Browse Source

Finito, reste a mettre au propre

Faure Paul 2 years ago
parent
commit
eef4708fba

+ 16
- 6
Processeur.srcs/sources_1/new/Ecran.vhd View File

@@ -67,6 +67,11 @@ architecture Behavioral of Ecran is
67 67
     signal CurrentCodeASCII : STD_LOGIC_VECTOR (0 to 6) := "0000000";
68 68
     signal CurrentFont : STD_LOGIC_VECTOR (0 to (font_width * font_height) - 1) := (others => '0');
69 69
     
70
+    signal position_X : X_T := 0;
71
+    signal position_Y : Y_T := 0;
72
+    
73
+    signal active : Boolean := false;
74
+    
70 75
 begin
71 76
 
72 77
     instance_TableASCII : TableASCII
@@ -106,14 +111,19 @@ begin
106 111
     
107 112
     L_inc <= "0000000" when L + 1 = L_Blocks else L + 1;
108 113
     InitialL_inc <= "0000000" when InitialL + 1 = L_Blocks else InitialL + 1;
109
-    L_Lecture <= Y/Display_CaracterHeight + to_integer(unsigned(InitialL)) - L_Blocks when (Y/Display_CaracterHeight + to_integer(unsigned(InitialL))) >= L_Blocks else Y/Display_CaracterHeight + to_integer(unsigned(InitialL));
110
-        
111
-    point_dereferencement <= (7 * (C_Blocks * L_Lecture + (X/Display_CaracterWidht)));
112 114
     point_dereferencement_ecriture <= 7 * (C_Blocks * to_integer(unsigned(L)) + to_integer(unsigned(C)));
113 115
     
114
-    CurrentCodeASCII <= Ecran(point_dereferencement to point_dereferencement + 6) when (Y < screen_height and X < screen_width and RST='1') else
115
-                        "0000000";
116
+    
117
+    
118
+    
119
+    position_X <= X - margin when X >= 0 + margin and X < screen_width  - margin else 0;
120
+    position_Y <= Y - margin when Y >= 0 + margin and Y < screen_height - margin else 0;
121
+    active <= X >= 0 + margin and X < screen_width  - margin and Y >= 0 + margin and Y < screen_height - margin;
122
+    L_Lecture <= position_Y/Display_CaracterHeight + to_integer(unsigned(InitialL)) - L_Blocks when (position_Y/Display_CaracterHeight + to_integer(unsigned(InitialL))) >= L_Blocks else position_Y/Display_CaracterHeight + to_integer(unsigned(InitialL));   
123
+    point_dereferencement <= (7 * (C_Blocks * L_Lecture + (position_X/Display_CaracterWidht)));
124
+    
125
+    CurrentCodeASCII <= Ecran(point_dereferencement to point_dereferencement + 6);
116 126
 
117
-    OUT_ON <= CurrentFont(((Y mod Display_CaracterHeight) / (Display_CaracterHeight / font_height)) * font_width + ((Display_CaracterWidht - 1) - (X mod Display_CaracterWidht)) / (Display_CaracterWidht / font_width));
127
+    OUT_ON <= CurrentFont(((position_Y mod Display_CaracterHeight) / (Display_CaracterHeight / font_height)) * font_width + ((Display_CaracterWidht - 1) - (position_X mod Display_CaracterWidht)) / (Display_CaracterWidht / font_width)) when active else '0';
118 128
 
119 129
 end Behavioral;

+ 31
- 1
Processeur.srcs/sources_1/new/Etage1_LectureInstruction_NS.vhd View File

@@ -50,12 +50,15 @@ entity Etage1_LectureInstruction_NS is
50 50
              
51 51
              Code_Instruction_JMP : STD_LOGIC_VECTOR;   -- Numéro de l'instruction JMP
52 52
              Code_Instruction_JMZ : STD_LOGIC_VECTOR;   -- Numéro de l'instruction JMZ
53
+             Code_Instruction_PRI  : STD_LOGIC_VECTOR;   -- Numéro de l'instruction PRI
54
+             Code_Instruction_PRIC : STD_LOGIC_VECTOR;   -- Numéro de l'instruction PRIC
53 55
              Code_Instruction_CALL : STD_LOGIC_VECTOR;  -- Numéro de l'instruction CALL
54 56
              Code_Instruction_RET : STD_LOGIC_VECTOR;   -- Numéro de l'instruction RET
55 57
              Code_Instruction_STOP : STD_LOGIC_VECTOR); -- Numéro de l'instruction STOP
56 58
     Port ( CLK : in STD_LOGIC; -- Clock
57 59
            RST : in STD_LOGIC; -- Reset
58 60
            Z : in STD_LOGIC;   -- Flag Zero de l'ALU (utile pour le JMZ)
61
+           STD_IN_Request : in STD_LOGIC;
59 62
            Addr_Retour : in STD_LOGIC_VECTOR (Nb_bits - 1 downto 0); -- Entrée de l'adresse de retour depuis l'étage 4
60 63
            A : out STD_LOGIC_VECTOR (Nb_bits - 1 downto 0); -- Sortie de l'opérande A
61 64
            B : out STD_LOGIC_VECTOR (Nb_bits - 1 downto 0); -- Sortie de l'opérande B
@@ -94,6 +97,9 @@ architecture Behavioral of Etage1_LectureInstruction_NS is
94 97
     -- Compteur pour attendre lors d'un JMZ que l'instruction d'avant soit a l'ALU, ou lors d'un STOP k
95 98
     signal compteur : integer := 0;
96 99
     
100
+    -- Compteur de protection des collisions entre les prints
101
+    signal Compteur_PRI : integer range 0 to Nb_bits/4 + 1 := 0;
102
+    
97 103
     -- Signal d'arret (STOP 0)
98 104
     signal locked : boolean := false;
99 105
     
@@ -115,16 +121,20 @@ begin
115 121
             Tableau <= (others => -1);
116 122
             Pointeur_Instruction <= (others => '0');
117 123
             compteur <= 0;
124
+            Compteur_PRI <= 0;
118 125
             locked <= false;
119 126
             C <= Argument_nul;
120 127
             B <= Argument_nul;
121 128
             A <= Argument_nul;
122 129
             Instruction <= Instruction_nulle;
123
-        else
130
+        elsif (STD_IN_Request = '0') then
124 131
             -- Avancement des instructions en écritures dans le pipeline
125 132
             Tableau(3) <= Tableau(2);
126 133
             Tableau(2) <= Tableau(1);
127 134
             Tableau(1) <= -1;
135
+            if (Compteur_PRI > 0) then
136
+                Compteur_PRI <= Compteur_PRI - 1;
137
+            end if;
128 138
             if (not bulles) then
129 139
                 -- S'il ne faut pas injecter de bulles ont traite l'instruction (Possible code factorisable sur ce if)
130 140
                 if ((Instruction_courante (Instruction_bus_size + 3 * Nb_bits - 1 downto 3 * Nb_bits) = Code_Instruction_CALL) or (Instruction_courante (Instruction_bus_size + 3 * Nb_bits - 1 downto 3 * Nb_bits) = Code_Instruction_JMP)) then
@@ -184,6 +194,14 @@ begin
184 194
                     B <= Instruction_courante (2 * Nb_bits - 1 downto 1 * Nb_bits);
185 195
                     A <= Instruction_courante (3 * Nb_bits - 1 downto 2 * Nb_bits);
186 196
                     Instruction <= Instruction_courante (Instruction_bus_size + 3 * Nb_bits - 1 downto 3 * Nb_bits);
197
+                elsif (Instruction_courante (Instruction_bus_size + 3 * Nb_bits - 1 downto 3 * Nb_bits) = Code_Instruction_PRI) then
198
+                    -- CAS PARTICULIER : PRI, on transmet l'instruction et fixe le compteur pour proteger des collisions
199
+                    Compteur_PRI <= Nb_bits/4 + 1;
200
+                    C <= Instruction_courante (1 * Nb_bits - 1 downto 0 * Nb_bits);
201
+                    B <= Instruction_courante (2 * Nb_bits - 1 downto 1 * Nb_bits);
202
+                    A <= Instruction_courante (3 * Nb_bits - 1 downto 2 * Nb_bits);
203
+                    Instruction <= Instruction_courante (Instruction_bus_size + 3 * Nb_bits - 1 downto 3 * Nb_bits);
204
+                    Pointeur_Instruction <= Pointeur_Instruction + 1;
187 205
                 else
188 206
                     -- CAS GENERAL : On transmet l'instruction et les opérandes, si elle est critique en ecriture, on enregistre le registre associé dans le tableau
189 207
                     C <= Instruction_courante (1 * Nb_bits - 1 downto 0 * Nb_bits);
@@ -248,6 +266,18 @@ begin
248 266
             or 
249 267
             (to_integer(unsigned(Instruction_courante (1 * Nb_bits - 1 downto 0 * Nb_bits))) = Tableau(3))
250 268
         )
269
+    )
270
+    or
271
+    (
272
+        (
273
+            (Instruction_courante (Instruction_bus_size + 3 * Nb_bits - 1 downto 3 * Nb_bits) = Code_Instruction_PRI)
274
+            or
275
+            (Instruction_courante (Instruction_bus_size + 3 * Nb_bits - 1 downto 3 * Nb_bits) = Code_Instruction_PRIC)
276
+        )
277
+        and
278
+        (
279
+            not (Compteur_PRI = 0)
280
+        )
251 281
     );
252 282
     
253 283
 

+ 1
- 1
Processeur.srcs/sources_1/new/MemoireInstructions.vhd
File diff suppressed because it is too large
View File


+ 1
- 1
Processeur.srcs/sources_1/new/Pipeline.vhd View File

@@ -208,7 +208,7 @@ architecture Behavioral of Pipeline is
208 208
     constant Code_Instruction_JMP  : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "01111";
209 209
     constant Code_Instruction_JMZ  : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10000";
210 210
     constant Code_Instruction_PRI  : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10001";
211
-    constant Code_Instruction_PRIC : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10010"; -------- TO BE DONE 
211
+    constant Code_Instruction_PRIC : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10010";
212 212
     constant Code_Instruction_GET  : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10011";
213 213
     constant Code_Instruction_CALL : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10100";
214 214
     constant Code_Instruction_RET  : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10101";

+ 67
- 39
Processeur.srcs/sources_1/new/Pipeline_NS.vhd View File

@@ -44,8 +44,12 @@ entity Pipeline_NS is
44 44
              Adresse_mem_size : Natural := 5);
45 45
     Port (CLK : STD_LOGIC;
46 46
           RST : STD_LOGIC;
47
-          STD_IN : in STD_LOGIC_VECTOR (Nb_bits - 1 downto 0);
48
-          STD_OUT : out STD_LOGIC_VECTOR (Nb_bits - 1 downto 0));
47
+          STD_IN      : in STD_LOGIC_VECTOR (Nb_bits - 1 downto 0); 
48
+          STD_IN_Av   : in STD_LOGIC;
49
+          STD_IN_Request  : out STD_LOGIC;
50
+          STD_OUT     : out STD_LOGIC_VECTOR (Nb_bits - 1 downto 0); 
51
+          STD_OUT_Av  : out STD_LOGIC;
52
+          STD_OUT_Int : out STD_LOGIC);
49 53
 end Pipeline_NS;
50 54
 
51 55
 architecture Behavioral of Pipeline_NS is
@@ -63,12 +67,15 @@ architecture Behavioral of Pipeline_NS is
63 67
              Instructions_critiques_ecriture : STD_LOGIC_VECTOR;
64 68
              Code_Instruction_JMP : STD_LOGIC_VECTOR;
65 69
              Code_Instruction_JMZ : STD_LOGIC_VECTOR;
70
+             Code_Instruction_PRI  : STD_LOGIC_VECTOR;   
71
+             Code_Instruction_PRIC : STD_LOGIC_VECTOR;   
66 72
              Code_Instruction_CALL : STD_LOGIC_VECTOR;
67 73
              Code_Instruction_RET : STD_LOGIC_VECTOR;
68 74
              Code_Instruction_STOP : STD_LOGIC_VECTOR);
69 75
     Port ( CLK : in STD_LOGIC;
70 76
            RST : in STD_LOGIC;
71 77
            Z : in STD_LOGIC;
78
+           STD_IN_Request : in STD_LOGIC;
72 79
            Addr_Retour : in STD_LOGIC_VECTOR (Nb_bits - 1 downto 0); -- Entrée de l'adresse de retour depuis l'étage 4
73 80
            A : out STD_LOGIC_VECTOR (Nb_bits - 1 downto 0);
74 81
            B : out STD_LOGIC_VECTOR (Nb_bits - 1 downto 0);
@@ -85,11 +92,16 @@ architecture Behavioral of Pipeline_NS is
85 92
               Bits_Controle_MUX_2_A : STD_LOGIC_VECTOR;
86 93
               Bits_Controle_MUX_2_B : STD_LOGIC_VECTOR;
87 94
               Code_Instruction_PRI : STD_LOGIC_VECTOR;
95
+              Code_Instruction_PRIC : STD_LOGIC_VECTOR; 
88 96
               Code_Instruction_GET : STD_LOGIC_VECTOR);
89 97
     Port ( CLK : in STD_LOGIC;
90 98
            RST : in STD_LOGIC;
91
-           STD_IN : in STD_LOGIC_VECTOR (Nb_bits - 1 downto 0);
92
-           STD_OUT : out STD_LOGIC_VECTOR (Nb_bits - 1 downto 0);
99
+           STD_IN : in STD_LOGIC_VECTOR (Nb_bits - 1 downto 0); -- Entrée de données depuis l'exterieur du processeur
100
+           STD_IN_Av : in STD_LOGIC;
101
+           STD_IN_Request : out STD_LOGIC;
102
+           STD_OUT : out STD_LOGIC_VECTOR (Nb_bits - 1 downto 0); -- Sortie de données vers l'exterieur du processeur
103
+           STD_OUT_Av : out STD_LOGIC;
104
+           STD_OUT_Int : out STD_LOGIC;
93 105
            IN_2_A : in STD_LOGIC_VECTOR (Nb_bits - 1 downto 0);
94 106
            IN_2_B : in STD_LOGIC_VECTOR (Nb_bits - 1 downto 0);
95 107
            IN_2_C : in STD_LOGIC_VECTOR (Nb_bits - 1 downto 0);
@@ -178,27 +190,31 @@ architecture Behavioral of Pipeline_NS is
178 190
     signal C : STD_LOGIC := '0';
179 191
     signal AdresseRetour : STD_LOGIC_VECTOR (Nb_bits - 1 downto 0) := (others => '0');
180 192
     
181
-    constant Bits_Controle_MUX_2_A      : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "1111111111" & "1111011101111111111111";
182
-    constant Bits_Controle_MUX_2_B      : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "1111111111" & "1111111000011000000001";
193
+    signal intern_STD_IN_Request : STD_LOGIC := '0';
194
+    
195
+    
196
+    constant Bits_Controle_MUX_2_A      : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "111111111" & "11110011101111111111111";
197
+    constant Bits_Controle_MUX_2_B      : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "111111111" & "11111111000011000000001";
183 198
     constant Bits_Controle_LC_3         : STD_LOGIC_VECTOR (Nb_Instructions * 3 - 1 downto 0) := "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "111" & "110" & "101" & "100" & "010" & "011" & "001" & "000";
184
-    constant Bits_Controle_MUX_3        : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "1111111111" & "1111111111111100000001";
185
-    constant Bits_Controle_LC_4         : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "1111111111" & "1111111001011111111111"; -- LC
186
-    constant Bits_Controle_MUX_4_IN     : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "1111111111" & "1101111110101111111111";
187
-    constant Bits_Controle_MUX_4_IN_EBP : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "1111111111" & "1001111011001111111111"; -- EBP
188
-    constant Bits_Controle_MUX_4_OUT    : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "1111111111" & "0000000001010000000000";
189
-    constant Bits_Controle_LC_5         : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "1111111111" & "0001000001011111111110";
199
+    constant Bits_Controle_MUX_3        : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "111111111" & "11111111111111100000001";
200
+    constant Bits_Controle_LC_4         : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "111111111" & "11111111001011111111111";
201
+    constant Bits_Controle_MUX_4_IN     : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "111111111" & "11111111110101111111111";
202
+    constant Bits_Controle_MUX_4_IN_EBP : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "111111111" & "10011111011001111111111";
203
+    constant Bits_Controle_MUX_4_OUT    : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "111111111" & "00000000001010000000000";
204
+    constant Bits_Controle_LC_5         : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "111111111" & "00010000001011111111110";
190 205
     constant Code_Instruction_JMP  : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "01111";
191 206
     constant Code_Instruction_JMZ  : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10000";
192 207
     constant Code_Instruction_PRI  : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10001";
193
-    constant Code_Instruction_GET  : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10010";
194
-    constant Code_Instruction_CALL : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10011";
195
-    constant Code_Instruction_RET  : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10100";
196
-    constant Code_Instruction_STOP  : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10101";
208
+    constant Code_Instruction_PRIC : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10010";
209
+    constant Code_Instruction_GET  : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10011";
210
+    constant Code_Instruction_CALL : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10100";
211
+    constant Code_Instruction_RET  : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10101";
212
+    constant Code_Instruction_STOP  : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10110";
197 213
     
198
-    constant Instructions_critiques_lecture_A : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "1111111111" & "0000100010000000000000";
199
-    constant Instructions_critiques_lecture_B : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "1111111111" & "0000000111100111111110";
200
-    constant Instructions_critiques_lecture_C : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "1111111111" & "0000000000000011111110";
201
-    constant Instructions_critiques_ecriture  : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "1111111111" & "0001000001011111111110";
214
+    constant Instructions_critiques_lecture_A : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "111111111" & "00001100010000000000000";
215
+    constant Instructions_critiques_lecture_B : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "111111111" & "00000000111100111111110";
216
+    constant Instructions_critiques_lecture_C : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "111111111" & "00000000000000011111110";
217
+    constant Instructions_critiques_ecriture  : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "111111111" & "00010000001011111111110";
202 218
 begin
203 219
     instance_Etage1 : Etage1_LectureInstruction_NS
204 220
     generic map (Instruction_size_in_memory => Instruction_En_Memoire_Size,
@@ -213,6 +229,8 @@ begin
213 229
                  Instructions_critiques_ecriture => Instructions_critiques_ecriture,
214 230
                  Code_Instruction_JMP => Code_Instruction_JMP,
215 231
                  Code_Instruction_JMZ => Code_Instruction_JMZ,
232
+                 Code_Instruction_PRI  => Code_Instruction_PRI, 
233
+                 Code_Instruction_PRIC => Code_Instruction_PRIC, 
216 234
                  Code_Instruction_CALL => Code_Instruction_CALL,
217 235
                  Code_Instruction_RET => Code_Instruction_RET,
218 236
                  Code_Instruction_STOP => Code_Instruction_STOP
@@ -221,6 +239,7 @@ begin
221 239
         CLK => CLK,
222 240
         RST => RST,
223 241
         Z => Z,
242
+        STD_IN_Request => intern_STD_IN_Request,
224 243
         Addr_Retour => AdresseRetour,
225 244
         A => A_from_1,
226 245
         B => B_from_1,
@@ -237,12 +256,17 @@ begin
237 256
                  Bits_Controle_MUX_2_A => Bits_Controle_MUX_2_A,
238 257
                  Bits_Controle_MUX_2_B => Bits_Controle_MUX_2_B,
239 258
                  Code_Instruction_PRI => Code_Instruction_PRI,
259
+                 Code_Instruction_PRIC =>  Code_Instruction_PRIC,
240 260
                  Code_Instruction_GET => Code_Instruction_GET
241 261
     )
242 262
     port map(    CLK => CLK,
243 263
                  RST => RST,
244
-                 STD_IN => STD_IN,
245
-                 STD_OUT => STD_OUT,
264
+                 STD_IN      => STD_IN,
265
+                 STD_IN_Av   => STD_IN_Av,
266
+                 STD_IN_Request  => intern_STD_IN_Request,
267
+                 STD_OUT     => STD_OUT,
268
+                 STD_OUT_Av  => STD_OUT_Av,
269
+                 STD_OUT_Int => STD_OUT_Int,
246 270
                  IN_2_A => A_to_2,
247 271
                  IN_2_B => B_to_2,
248 272
                  IN_2_C => C_to_2,
@@ -298,27 +322,31 @@ begin
298 322
                  OUT_Instruction => Instruction_from_4,
299 323
                  OUT_AddrRetour => AdresseRetour
300 324
     );
325
+    
326
+    STD_IN_Request <= intern_STD_IN_Request;
301 327
 
302 328
     process
303 329
     begin
304 330
         wait until CLK'event and CLK = '1';
305
-        A_to_2 <= A_from_1;
306
-        B_to_2 <= B_from_1;
307
-        C_to_2 <= C_from_1;
308
-        Instruction_to_2 <= Instruction_from_1;
309
-        
310
-        A_to_3 <= A_from_2;
311
-        B_to_3 <= B_from_2;
312
-        C_to_3 <= C_from_2;
313
-        Instruction_to_3 <= Instruction_from_2;
314
-
315
-        A_to_4 <= A_from_3;
316
-        B_to_4 <= B_from_3;
317
-        Instruction_to_4 <= Instruction_from_3;
318
-
319
-        A_to_5 <= A_from_4;
320
-        B_to_5 <= B_from_4;
321
-        Instruction_to_5 <= Instruction_from_4;
331
+        if (intern_STD_IN_Request = '0') then
332
+            A_to_2 <= A_from_1;
333
+            B_to_2 <= B_from_1;
334
+            C_to_2 <= C_from_1;
335
+            Instruction_to_2 <= Instruction_from_1;
336
+            
337
+            A_to_3 <= A_from_2;
338
+            B_to_3 <= B_from_2;
339
+            C_to_3 <= C_from_2;
340
+            Instruction_to_3 <= Instruction_from_2;
341
+    
342
+            A_to_4 <= A_from_3;
343
+            B_to_4 <= B_from_3;
344
+            Instruction_to_4 <= Instruction_from_3;
345
+    
346
+            A_to_5 <= A_from_4;
347
+            B_to_5 <= B_from_4;
348
+            Instruction_to_5 <= Instruction_from_4;
349
+        end if;
322 350
     end process;        
323 351
 end Behavioral;
324 352
 

+ 12
- 14
Processeur.srcs/sources_1/new/ScreenDriver.vhd View File

@@ -48,16 +48,17 @@ architecture Behavioral of ScreenDriver is
48 48
 
49 49
     signal intern_value : STD_LOGIC_VECTOR (Nb_Bits - 1 downto 0) := (others => '0');
50 50
     signal current_hexa : STD_LOGIC_VECTOR (3 downto 0) := (others => '0');
51
-    subtype compteur_T is Natural range 0 to Nb_bits/4 - 1;
52
-    signal compteur : compteur_T := 0; 
53
-    signal work_in_progess : BOOLEAN := false;    
54
-    signal x_to_send : BOOLEAN := false;    
51
+    subtype compteur_T is Integer range -2 to Nb_bits/4 - 1;
52
+    signal compteur : compteur_T := -2;
55 53
     signal first_detected : BOOLEAN := false;
54
+     
55
+    
56
+    constant Code_ASCII_Zero : STD_LOGIC_VECTOR (0 to 6) := "0110000";
56 57
     
57 58
 
58 59
 begin
59 60
 
60
-    current_hexa <= intern_value(Nb_Bits - 1 - compteur * 4 downto Nb_Bits - compteur * 4 - 4);
61
+    current_hexa <= intern_value(Nb_Bits - 1 - compteur * 4 downto Nb_Bits - compteur * 4 - 4) when compteur >= 0 and compteur < Nb_Bits else "0000";
61 62
 
62 63
     process
63 64
     begin
@@ -67,15 +68,14 @@ begin
67 68
                 OutData <= Value (6 downto 0);
68 69
             else 
69 70
                 intern_value <= Value;
70
-                OutData <= "0110000";
71
-                x_to_send <= true;
71
+                OutData <= Code_ASCII_Zero;
72
+                compteur <= compteur + 1;
72 73
             end if;
73 74
             OutDataAv <= '1';
74
-        elsif x_to_send then
75
+        elsif compteur = -1 then
75 76
             OutData <= "1111000";
76
-            x_to_send <= false;
77
-            work_in_progess <= true;
78
-        elsif work_in_progess then
77
+            compteur <= compteur + 1;
78
+        elsif compteur >= 0 then
79 79
             if (current_hexa >= "0000" and current_hexa <= "1001") then
80 80
                 if (not(current_hexa = "0000") or first_detected or compteur = Nb_bits/4 - 1 ) then
81 81
                     OutData <= "011" & current_hexa;
@@ -91,9 +91,7 @@ begin
91 91
             end if;
92 92
         
93 93
             if (compteur = Nb_bits/4 - 1) then
94
-                compteur <= 0;
95
-                work_in_progess <= false;    
96
-                x_to_send       <= false;    
94
+                compteur <= -2;   
97 95
                 first_detected  <= false;
98 96
             else 
99 97
                 compteur <= compteur + 1;

+ 4
- 2
Processeur.srcs/sources_1/new/ScreenProperties.vhd View File

@@ -2,6 +2,8 @@ library IEEE;
2 2
 use IEEE.STD_LOGIC_1164.ALL;
3 3
 
4 4
 package ScreenProperties is 
5
+
6
+    constant margin : Natural := 64;
5 7
     
6 8
     constant Display_CaracterWidht  : Natural := 64;
7 9
     constant Display_CaracterHeight : Natural := 64;
@@ -19,8 +21,8 @@ package ScreenProperties is
19 21
     subtype X_T is Natural range 0 to screen_width  + X_PulseWidth + X_FrontPorch + X_BackPorch - 1;
20 22
     subtype Y_T is Natural range 0 to screen_height + Y_PulseWidth + Y_FrontPorch + Y_BackPorch - 1;
21 23
     
22
-    constant C_Blocks : Natural := screen_width/Display_CaracterWidht;
23
-    constant L_Blocks : Natural := screen_height/Display_CaracterHeight;
24
+    constant C_Blocks : Natural := (screen_width - (2 * margin))/Display_CaracterWidht;
25
+    constant L_Blocks : Natural := (screen_height - (2 * margin))/Display_CaracterHeight;
24 26
     constant Ecran_Taille : Natural := C_Blocks * L_Blocks * 7;
25 27
     
26 28
     constant L_Size : Natural := C_Blocks * 7;

+ 26
- 8
Processeur.srcs/sources_1/new/System.vhd View File

@@ -68,11 +68,17 @@ architecture Structural of System is
68 68
              Instruction_Bus_Size : Natural := 5;
69 69
              Nb_Instructions : Natural := 32;
70 70
              Nb_Registres : Natural := 16;
71
-             Memoire_Size : Natural := 32);
71
+             Addr_registres_size : Natural := 4;
72
+             Memoire_Size : Natural := 32;
73
+             Adresse_mem_size : Natural := 5);
72 74
     Port (CLK : STD_LOGIC;
73 75
           RST : STD_LOGIC;
74
-          STD_IN : in STD_LOGIC_VECTOR (Nb_bits - 1 downto 0);
75
-          STD_OUT : out STD_LOGIC_VECTOR (Nb_bits - 1 downto 0));
76
+          STD_IN      : in STD_LOGIC_VECTOR (Nb_bits - 1 downto 0); 
77
+          STD_IN_Av   : in STD_LOGIC;
78
+          STD_IN_Request  : out STD_LOGIC;
79
+          STD_OUT     : out STD_LOGIC_VECTOR (Nb_bits - 1 downto 0); 
80
+          STD_OUT_Av  : out STD_LOGIC;
81
+          STD_OUT_Int : out STD_LOGIC);
76 82
     end component;
77 83
     
78 84
     component PeripheriqueEcran 
@@ -126,7 +132,7 @@ architecture Structural of System is
126 132
     signal clavier_STD_OUT_Av  : STD_LOGIC := '0';
127 133
     signal clavier_STD_OUT_Int : STD_LOGIC := '0';
128 134
     
129
-    constant SECURISED : boolean := true;
135
+    constant SECURISED : boolean := false;
130 136
         
131 137
 begin    
132 138
            
@@ -161,12 +167,24 @@ begin
161 167
                       STD_OUT_Int => pipeline_STD_OUT_Int);
162 168
     else generate
163 169
         instance_non_securisee : entity work.Pipeline_NS
164
-            generic map (Addr_Memoire_Instruction_Size => 9,
165
-                         Memoire_Instruction_Size => 512)
170
+            generic map (Nb_bits => 16,
171
+                         Instruction_En_Memoire_Size => 53,
172
+                         Addr_Memoire_Instruction_Size => 9,
173
+                         Memoire_Instruction_Size => 512,
174
+                         Instruction_Bus_Size => 5,
175
+                         Nb_Instructions => 32,
176
+                         Nb_Registres => 16,
177
+                         Addr_registres_size => 4,
178
+                         Memoire_Size => 64,
179
+                         Adresse_mem_size => 6)
166 180
             port map (CLK => my_CLK,
167 181
                       RST => my_RST,
168
-                      STD_IN => STD_IN,
169
-                      STD_OUT => pipeline_STD_OUT);
182
+                      STD_IN      => STD_IN,
183
+                      STD_IN_Av   => STD_IN_Av,
184
+                      STD_IN_Request => STD_IN_Request,
185
+                      STD_OUT     => pipeline_STD_OUT,
186
+                      STD_OUT_Av  => pipeline_STD_OUT_Av,
187
+                      STD_OUT_Int => pipeline_STD_OUT_Int);
170 188
     end generate;  
171 189
     
172 190
     instance_perif_ecran : PeripheriqueEcran 

+ 1
- 1
Processeur.xpr View File

@@ -35,7 +35,7 @@
35 35
     <Option Name="DSAVendor" Val="xilinx"/>
36 36
     <Option Name="DSABoardId" Val="basys3"/>
37 37
     <Option Name="DSANumComputeUnits" Val="16"/>
38
-    <Option Name="WTXSimLaunchSim" Val="573"/>
38
+    <Option Name="WTXSimLaunchSim" Val="602"/>
39 39
     <Option Name="WTModelSimLaunchSim" Val="0"/>
40 40
     <Option Name="WTQuestaLaunchSim" Val="0"/>
41 41
     <Option Name="WTIesLaunchSim" Val="0"/>

+ 158
- 230
SimulationsConfig/TestSystem_behav.wcfg View File

@@ -12,13 +12,13 @@
12 12
       </db_ref>
13 13
    </db_ref_list>
14 14
    <zoom_setting>
15
-      <ZoomStartTime time="5854068601925fs"></ZoomStartTime>
16
-      <ZoomEndTime time="6116717879615fs"></ZoomEndTime>
17
-      <Cursor1Time time="7222943000000fs"></Cursor1Time>
15
+      <ZoomStartTime time="10606008000000fs"></ZoomStartTime>
16
+      <ZoomEndTime time="10825655309273fs"></ZoomEndTime>
17
+      <Cursor1Time time="6077804260000fs"></Cursor1Time>
18 18
    </zoom_setting>
19 19
    <column_width_setting>
20 20
       <NameColumnWidth column_width="251"></NameColumnWidth>
21
-      <ValueColumnWidth column_width="177"></ValueColumnWidth>
21
+      <ValueColumnWidth column_width="173"></ValueColumnWidth>
22 22
    </column_width_setting>
23 23
    <WVObjectSize size="7" />
24 24
    <wvobject type="logic" fp_name="/TestSystem/CLK">
@@ -28,367 +28,302 @@
28 28
    <wvobject type="group" fp_name="group135">
29 29
       <obj_property name="label">Pipeline</obj_property>
30 30
       <obj_property name="DisplayName">label</obj_property>
31
-      <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/CLK">
32
-         <obj_property name="ElementShortName">CLK</obj_property>
33
-         <obj_property name="ObjectShortName">CLK</obj_property>
34
-      </wvobject>
35
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/STD_IN">
36
-         <obj_property name="ElementShortName">STD_IN[15:0]</obj_property>
37
-         <obj_property name="ObjectShortName">STD_IN[15:0]</obj_property>
38
-      </wvobject>
39
-      <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/STD_IN_Av">
40
-         <obj_property name="ElementShortName">STD_IN_Av</obj_property>
41
-         <obj_property name="ObjectShortName">STD_IN_Av</obj_property>
42
-      </wvobject>
43
-      <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/STD_IN_Request">
44
-         <obj_property name="ElementShortName">STD_IN_Request</obj_property>
45
-         <obj_property name="ObjectShortName">STD_IN_Request</obj_property>
46
-      </wvobject>
47
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/STD_OUT">
48
-         <obj_property name="ElementShortName">STD_OUT[15:0]</obj_property>
49
-         <obj_property name="ObjectShortName">STD_OUT[15:0]</obj_property>
50
-      </wvobject>
51
-      <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/STD_OUT_Av">
52
-         <obj_property name="ElementShortName">STD_OUT_Av</obj_property>
53
-         <obj_property name="ObjectShortName">STD_OUT_Av</obj_property>
54
-      </wvobject>
55
-      <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/STD_OUT_Int">
56
-         <obj_property name="ElementShortName">STD_OUT_Int</obj_property>
57
-         <obj_property name="ObjectShortName">STD_OUT_Int</obj_property>
58
-      </wvobject>
59
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/Instruction_from_1">
31
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/Instruction_from_1">
60 32
          <obj_property name="ElementShortName">Instruction_from_1[4:0]</obj_property>
61 33
          <obj_property name="ObjectShortName">Instruction_from_1[4:0]</obj_property>
62 34
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
63 35
       </wvobject>
64
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/A_from_1">
36
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/A_from_1">
65 37
          <obj_property name="ElementShortName">A_from_1[15:0]</obj_property>
66 38
          <obj_property name="ObjectShortName">A_from_1[15:0]</obj_property>
67 39
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
68 40
       </wvobject>
69
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/B_from_1">
41
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/B_from_1">
70 42
          <obj_property name="ElementShortName">B_from_1[15:0]</obj_property>
71 43
          <obj_property name="ObjectShortName">B_from_1[15:0]</obj_property>
72 44
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
73 45
       </wvobject>
74
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/C_from_1">
46
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/C_from_1">
75 47
          <obj_property name="ElementShortName">C_from_1[15:0]</obj_property>
76 48
          <obj_property name="ObjectShortName">C_from_1[15:0]</obj_property>
77 49
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
78 50
       </wvobject>
79
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/Instruction_from_2">
51
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/Instruction_from_2">
80 52
          <obj_property name="ElementShortName">Instruction_from_2[4:0]</obj_property>
81 53
          <obj_property name="ObjectShortName">Instruction_from_2[4:0]</obj_property>
82 54
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
83 55
       </wvobject>
84
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/A_from_2">
56
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/A_from_2">
85 57
          <obj_property name="ElementShortName">A_from_2[15:0]</obj_property>
86 58
          <obj_property name="ObjectShortName">A_from_2[15:0]</obj_property>
87 59
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
88 60
       </wvobject>
89
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/B_from_2">
61
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/B_from_2">
90 62
          <obj_property name="ElementShortName">B_from_2[15:0]</obj_property>
91 63
          <obj_property name="ObjectShortName">B_from_2[15:0]</obj_property>
92 64
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
93 65
       </wvobject>
94
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/C_from_2">
66
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/C_from_2">
95 67
          <obj_property name="ElementShortName">C_from_2[15:0]</obj_property>
96 68
          <obj_property name="ObjectShortName">C_from_2[15:0]</obj_property>
97 69
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
98 70
       </wvobject>
99
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/Instruction_from_3">
71
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/Instruction_from_3">
100 72
          <obj_property name="ElementShortName">Instruction_from_3[4:0]</obj_property>
101 73
          <obj_property name="ObjectShortName">Instruction_from_3[4:0]</obj_property>
102 74
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
103 75
       </wvobject>
104
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/A_from_3">
76
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/A_from_3">
105 77
          <obj_property name="ElementShortName">A_from_3[15:0]</obj_property>
106 78
          <obj_property name="ObjectShortName">A_from_3[15:0]</obj_property>
107 79
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
108 80
       </wvobject>
109
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/B_from_3">
81
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/B_from_3">
110 82
          <obj_property name="ElementShortName">B_from_3[15:0]</obj_property>
111 83
          <obj_property name="ObjectShortName">B_from_3[15:0]</obj_property>
112 84
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
113 85
       </wvobject>
114
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/Instruction_from_4">
86
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/Instruction_from_4">
115 87
          <obj_property name="ElementShortName">Instruction_from_4[4:0]</obj_property>
116 88
          <obj_property name="ObjectShortName">Instruction_from_4[4:0]</obj_property>
117 89
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
118 90
       </wvobject>
119
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/A_from_4">
91
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/A_from_4">
120 92
          <obj_property name="ElementShortName">A_from_4[15:0]</obj_property>
121 93
          <obj_property name="ObjectShortName">A_from_4[15:0]</obj_property>
122 94
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
123 95
       </wvobject>
124
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/B_from_4">
96
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/B_from_4">
125 97
          <obj_property name="ElementShortName">B_from_4[15:0]</obj_property>
126 98
          <obj_property name="ObjectShortName">B_from_4[15:0]</obj_property>
127 99
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
128 100
       </wvobject>
129
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/Instruction_to_5">
130
-         <obj_property name="ElementShortName">Instruction_to_5[4:0]</obj_property>
131
-         <obj_property name="ObjectShortName">Instruction_to_5[4:0]</obj_property>
132
-         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
133
-      </wvobject>
134
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/A_to_5">
135
-         <obj_property name="ElementShortName">A_to_5[15:0]</obj_property>
136
-         <obj_property name="ObjectShortName">A_to_5[15:0]</obj_property>
137
-         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
138
-      </wvobject>
139
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/B_to_5">
140
-         <obj_property name="ElementShortName">B_to_5[15:0]</obj_property>
141
-         <obj_property name="ObjectShortName">B_to_5[15:0]</obj_property>
142
-         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
143
-      </wvobject>
144 101
    </wvobject>
145 102
    <wvobject type="group" fp_name="group133">
146 103
       <obj_property name="label">Gestion Instructions</obj_property>
147 104
       <obj_property name="DisplayName">label</obj_property>
148
-      <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/CLK">
105
+      <obj_property name="isExpanded"></obj_property>
106
+      <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/CLK">
149 107
          <obj_property name="ElementShortName">CLK</obj_property>
150 108
          <obj_property name="ObjectShortName">CLK</obj_property>
151 109
       </wvobject>
152
-      <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/Z">
110
+      <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/Z">
153 111
          <obj_property name="ElementShortName">Z</obj_property>
154 112
          <obj_property name="ObjectShortName">Z</obj_property>
155 113
       </wvobject>
156
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/A">
114
+      <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/STD_IN_Request">
115
+         <obj_property name="ElementShortName">STD_IN_Request</obj_property>
116
+         <obj_property name="ObjectShortName">STD_IN_Request</obj_property>
117
+      </wvobject>
118
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/Addr_Retour">
119
+         <obj_property name="ElementShortName">Addr_Retour[15:0]</obj_property>
120
+         <obj_property name="ObjectShortName">Addr_Retour[15:0]</obj_property>
121
+      </wvobject>
122
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/A">
157 123
          <obj_property name="ElementShortName">A[15:0]</obj_property>
158 124
          <obj_property name="ObjectShortName">A[15:0]</obj_property>
125
+         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
159 126
       </wvobject>
160
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/B">
127
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/B">
161 128
          <obj_property name="ElementShortName">B[15:0]</obj_property>
162 129
          <obj_property name="ObjectShortName">B[15:0]</obj_property>
130
+         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
163 131
       </wvobject>
164
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/C">
132
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/C">
165 133
          <obj_property name="ElementShortName">C[15:0]</obj_property>
166 134
          <obj_property name="ObjectShortName">C[15:0]</obj_property>
135
+         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
167 136
       </wvobject>
168
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/Instruction">
137
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/Instruction">
169 138
          <obj_property name="ElementShortName">Instruction[4:0]</obj_property>
170 139
          <obj_property name="ObjectShortName">Instruction[4:0]</obj_property>
171 140
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
172 141
       </wvobject>
173
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/Pointeur_instruction">
142
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/Pointeur_instruction">
174 143
          <obj_property name="ElementShortName">Pointeur_instruction[8:0]</obj_property>
175 144
          <obj_property name="ObjectShortName">Pointeur_instruction[8:0]</obj_property>
176 145
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
177 146
       </wvobject>
178
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/Tableau">
179
-         <obj_property name="ElementShortName">Tableau[1:3]</obj_property>
180
-         <obj_property name="ObjectShortName">Tableau[1:3]</obj_property>
147
+      <wvobject type="other" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/bulles">
148
+         <obj_property name="ElementShortName">bulles</obj_property>
149
+         <obj_property name="ObjectShortName">bulles</obj_property>
181 150
       </wvobject>
182
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/Adresse_Retour">
183
-         <obj_property name="ElementShortName">Adresse_Retour[8:0]</obj_property>
184
-         <obj_property name="ObjectShortName">Adresse_Retour[8:0]</obj_property>
151
+      <wvobject type="other" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/compteur">
152
+         <obj_property name="ElementShortName">compteur</obj_property>
153
+         <obj_property name="ObjectShortName">compteur</obj_property>
185 154
       </wvobject>
186
-      <wvobject type="other" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/Compteur_PRI">
155
+      <wvobject type="other" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/Compteur_PRI">
187 156
          <obj_property name="ElementShortName">Compteur_PRI</obj_property>
188 157
          <obj_property name="ObjectShortName">Compteur_PRI</obj_property>
189 158
       </wvobject>
190
-      <wvobject type="other" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/locked">
159
+      <wvobject type="other" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/locked">
191 160
          <obj_property name="ElementShortName">locked</obj_property>
192 161
          <obj_property name="ObjectShortName">locked</obj_property>
193 162
       </wvobject>
194
-      <wvobject type="other" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/compteur">
195
-         <obj_property name="ElementShortName">compteur</obj_property>
196
-         <obj_property name="ObjectShortName">compteur</obj_property>
197
-      </wvobject>
198
-      <wvobject type="other" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/bulles">
199
-         <obj_property name="ElementShortName">bulles</obj_property>
200
-         <obj_property name="ObjectShortName">bulles</obj_property>
163
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/Tableau">
164
+         <obj_property name="ElementShortName">Tableau[1:3]</obj_property>
165
+         <obj_property name="ObjectShortName">Tableau[1:3]</obj_property>
201 166
       </wvobject>
202 167
    </wvobject>
203 168
    <wvobject type="group" fp_name="group132">
204 169
       <obj_property name="label">Registres</obj_property>
205 170
       <obj_property name="DisplayName">label</obj_property>
206
-      <obj_property name="isExpanded"></obj_property>
207
-      <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/CLK">
171
+      <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/CLK">
208 172
          <obj_property name="ElementShortName">CLK</obj_property>
209 173
          <obj_property name="ObjectShortName">CLK</obj_property>
210 174
       </wvobject>
211
-      <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/W">
212
-         <obj_property name="ElementShortName">W</obj_property>
213
-         <obj_property name="ObjectShortName">W</obj_property>
175
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/STD_IN">
176
+         <obj_property name="ElementShortName">STD_IN[15:0]</obj_property>
177
+         <obj_property name="ObjectShortName">STD_IN[15:0]</obj_property>
214 178
       </wvobject>
215
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_2_A">
179
+      <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/STD_IN_Av">
180
+         <obj_property name="ElementShortName">STD_IN_Av</obj_property>
181
+         <obj_property name="ObjectShortName">STD_IN_Av</obj_property>
182
+      </wvobject>
183
+      <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/STD_IN_Request">
184
+         <obj_property name="ElementShortName">STD_IN_Request</obj_property>
185
+         <obj_property name="ObjectShortName">STD_IN_Request</obj_property>
186
+      </wvobject>
187
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/STD_OUT">
188
+         <obj_property name="ElementShortName">STD_OUT[15:0]</obj_property>
189
+         <obj_property name="ObjectShortName">STD_OUT[15:0]</obj_property>
190
+      </wvobject>
191
+      <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/STD_OUT_Av">
192
+         <obj_property name="ElementShortName">STD_OUT_Av</obj_property>
193
+         <obj_property name="ObjectShortName">STD_OUT_Av</obj_property>
194
+      </wvobject>
195
+      <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/STD_OUT_Int">
196
+         <obj_property name="ElementShortName">STD_OUT_Int</obj_property>
197
+         <obj_property name="ObjectShortName">STD_OUT_Int</obj_property>
198
+      </wvobject>
199
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/IN_2_A">
216 200
          <obj_property name="ElementShortName">IN_2_A[15:0]</obj_property>
217 201
          <obj_property name="ObjectShortName">IN_2_A[15:0]</obj_property>
218
-         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
219 202
       </wvobject>
220
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_2_B">
203
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/IN_2_B">
221 204
          <obj_property name="ElementShortName">IN_2_B[15:0]</obj_property>
222 205
          <obj_property name="ObjectShortName">IN_2_B[15:0]</obj_property>
223
-         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
224 206
       </wvobject>
225
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_2_C">
207
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/IN_2_C">
226 208
          <obj_property name="ElementShortName">IN_2_C[15:0]</obj_property>
227 209
          <obj_property name="ObjectShortName">IN_2_C[15:0]</obj_property>
228
-         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
229 210
       </wvobject>
230
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_2_Instruction">
211
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/IN_2_Instruction">
231 212
          <obj_property name="ElementShortName">IN_2_Instruction[4:0]</obj_property>
232 213
          <obj_property name="ObjectShortName">IN_2_Instruction[4:0]</obj_property>
233
-         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
234 214
       </wvobject>
235
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_5_A">
215
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/OUT_2_A">
216
+         <obj_property name="ElementShortName">OUT_2_A[15:0]</obj_property>
217
+         <obj_property name="ObjectShortName">OUT_2_A[15:0]</obj_property>
218
+      </wvobject>
219
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/OUT_2_B">
220
+         <obj_property name="ElementShortName">OUT_2_B[15:0]</obj_property>
221
+         <obj_property name="ObjectShortName">OUT_2_B[15:0]</obj_property>
222
+      </wvobject>
223
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/OUT_2_C">
224
+         <obj_property name="ElementShortName">OUT_2_C[15:0]</obj_property>
225
+         <obj_property name="ObjectShortName">OUT_2_C[15:0]</obj_property>
226
+      </wvobject>
227
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/OUT_2_Instruction">
228
+         <obj_property name="ElementShortName">OUT_2_Instruction[4:0]</obj_property>
229
+         <obj_property name="ObjectShortName">OUT_2_Instruction[4:0]</obj_property>
230
+      </wvobject>
231
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/IN_5_A">
236 232
          <obj_property name="ElementShortName">IN_5_A[15:0]</obj_property>
237 233
          <obj_property name="ObjectShortName">IN_5_A[15:0]</obj_property>
238
-         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
239 234
       </wvobject>
240
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_5_B">
235
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/IN_5_B">
241 236
          <obj_property name="ElementShortName">IN_5_B[15:0]</obj_property>
242 237
          <obj_property name="ObjectShortName">IN_5_B[15:0]</obj_property>
243
-         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
244 238
       </wvobject>
245
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_5_Instruction">
239
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/IN_5_Instruction">
246 240
          <obj_property name="ElementShortName">IN_5_Instruction[4:0]</obj_property>
247 241
          <obj_property name="ObjectShortName">IN_5_Instruction[4:0]</obj_property>
248
-         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
249
-      </wvobject>
250
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/STD_IN">
251
-         <obj_property name="ElementShortName">STD_IN[15:0]</obj_property>
252
-         <obj_property name="ObjectShortName">STD_IN[15:0]</obj_property>
253
-      </wvobject>
254
-      <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/STD_IN_Av">
255
-         <obj_property name="ElementShortName">STD_IN_Av</obj_property>
256
-         <obj_property name="ObjectShortName">STD_IN_Av</obj_property>
257
-      </wvobject>
258
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/AddrA">
259
-         <obj_property name="ElementShortName">AddrA[3:0]</obj_property>
260
-         <obj_property name="ObjectShortName">AddrA[3:0]</obj_property>
261 242
       </wvobject>
262
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/AddrB">
263
-         <obj_property name="ElementShortName">AddrB[3:0]</obj_property>
264
-         <obj_property name="ObjectShortName">AddrB[3:0]</obj_property>
243
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/Commande_BancRegistres">
244
+         <obj_property name="ElementShortName">Commande_BancRegistres[0:0]</obj_property>
245
+         <obj_property name="ObjectShortName">Commande_BancRegistres[0:0]</obj_property>
265 246
       </wvobject>
266
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/AddrC">
267
-         <obj_property name="ElementShortName">AddrC[3:0]</obj_property>
268
-         <obj_property name="ObjectShortName">AddrC[3:0]</obj_property>
247
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/Entree_BancRegistre_DATA">
248
+         <obj_property name="ElementShortName">Entree_BancRegistre_DATA[15:0]</obj_property>
249
+         <obj_property name="ObjectShortName">Entree_BancRegistre_DATA[15:0]</obj_property>
269 250
       </wvobject>
270
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/AddrW">
271
-         <obj_property name="ElementShortName">AddrW[3:0]</obj_property>
272
-         <obj_property name="ObjectShortName">AddrW[3:0]</obj_property>
251
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/Sortie_BancRegistres_A">
252
+         <obj_property name="ElementShortName">Sortie_BancRegistres_A[15:0]</obj_property>
253
+         <obj_property name="ObjectShortName">Sortie_BancRegistres_A[15:0]</obj_property>
273 254
       </wvobject>
274
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/DATA">
275
-         <obj_property name="ElementShortName">DATA[15:0]</obj_property>
276
-         <obj_property name="ObjectShortName">DATA[15:0]</obj_property>
277
-      </wvobject>
278
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/QA">
279
-         <obj_property name="ElementShortName">QA[15:0]</obj_property>
280
-         <obj_property name="ObjectShortName">QA[15:0]</obj_property>
281
-      </wvobject>
282
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/QB">
283
-         <obj_property name="ElementShortName">QB[15:0]</obj_property>
284
-         <obj_property name="ObjectShortName">QB[15:0]</obj_property>
285
-      </wvobject>
286
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/QC">
287
-         <obj_property name="ElementShortName">QC[15:0]</obj_property>
288
-         <obj_property name="ObjectShortName">QC[15:0]</obj_property>
289
-      </wvobject>
290
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/REGISTRES">
291
-         <obj_property name="ElementShortName">REGISTRES[255:0]</obj_property>
292
-         <obj_property name="ObjectShortName">REGISTRES[255:0]</obj_property>
255
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/Sortie_BancRegistres_B">
256
+         <obj_property name="ElementShortName">Sortie_BancRegistres_B[15:0]</obj_property>
257
+         <obj_property name="ObjectShortName">Sortie_BancRegistres_B[15:0]</obj_property>
293 258
       </wvobject>
294 259
    </wvobject>
295 260
    <wvobject type="group" fp_name="group134">
296 261
       <obj_property name="label">Memoire</obj_property>
297 262
       <obj_property name="DisplayName">label</obj_property>
298
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/EBP">
299
-         <obj_property name="ElementShortName">EBP[5:0]</obj_property>
300
-         <obj_property name="ObjectShortName">EBP[5:0]</obj_property>
301
-      </wvobject>
302
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/New_EBP">
303
-         <obj_property name="ElementShortName">New_EBP[5:0]</obj_property>
304
-         <obj_property name="ObjectShortName">New_EBP[5:0]</obj_property>
305
-      </wvobject>
306
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/Addr_MemoireDonnees">
307
-         <obj_property name="ElementShortName">Addr_MemoireDonnees[5:0]</obj_property>
308
-         <obj_property name="ObjectShortName">Addr_MemoireDonnees[5:0]</obj_property>
309
-      </wvobject>
310
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/IN_Addr_MemoireDonnees">
311
-         <obj_property name="ElementShortName">IN_Addr_MemoireDonnees[5:0]</obj_property>
312
-         <obj_property name="ObjectShortName">IN_Addr_MemoireDonnees[5:0]</obj_property>
313
-      </wvobject>
314
-      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/Addr_MemoireDonnees_EBP">
315
-         <obj_property name="ElementShortName">Addr_MemoireDonnees_EBP[5:0]</obj_property>
316
-         <obj_property name="ObjectShortName">Addr_MemoireDonnees_EBP[5:0]</obj_property>
317
-      </wvobject>
318 263
       <wvobject type="group" fp_name="group197">
319 264
          <obj_property name="label">MemoireDonnees</obj_property>
320 265
          <obj_property name="DisplayName">label</obj_property>
321
-         <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/MEMORY">
322
-            <obj_property name="ElementShortName">MEMORY[1023:0]</obj_property>
323
-            <obj_property name="ObjectShortName">MEMORY[1023:0]</obj_property>
324
-         </wvobject>
325
-         <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/D_OUT">
326
-            <obj_property name="ElementShortName">D_OUT[15:0]</obj_property>
327
-            <obj_property name="ObjectShortName">D_OUT[15:0]</obj_property>
328
-         </wvobject>
329
-         <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/Addr">
330
-            <obj_property name="ElementShortName">Addr[5:0]</obj_property>
331
-            <obj_property name="ObjectShortName">Addr[5:0]</obj_property>
332
-         </wvobject>
333
-         <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/RW">
334
-            <obj_property name="ElementShortName">RW</obj_property>
335
-            <obj_property name="ObjectShortName">RW</obj_property>
266
+         <obj_property name="isExpanded"></obj_property>
267
+      </wvobject>
268
+      <wvobject type="group" fp_name="group198">
269
+         <obj_property name="label">MemoireAdressesRetour</obj_property>
270
+         <obj_property name="DisplayName">label</obj_property>
271
+         <obj_property name="isExpanded"></obj_property>
272
+         <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/CLK">
273
+            <obj_property name="ElementShortName">CLK</obj_property>
274
+            <obj_property name="ObjectShortName">CLK</obj_property>
336 275
          </wvobject>
337
-         <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/D_IN">
338
-            <obj_property name="ElementShortName">D_IN[15:0]</obj_property>
339
-            <obj_property name="ObjectShortName">D_IN[15:0]</obj_property>
276
+         <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/IN_A">
277
+            <obj_property name="ElementShortName">IN_A[15:0]</obj_property>
278
+            <obj_property name="ObjectShortName">IN_A[15:0]</obj_property>
340 279
          </wvobject>
341
-         <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/CALL">
342
-            <obj_property name="ElementShortName">CALL</obj_property>
343
-            <obj_property name="ObjectShortName">CALL</obj_property>
280
+         <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/IN_B">
281
+            <obj_property name="ElementShortName">IN_B[15:0]</obj_property>
282
+            <obj_property name="ObjectShortName">IN_B[15:0]</obj_property>
344 283
          </wvobject>
345
-         <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/IN_EBP">
346
-            <obj_property name="ElementShortName">IN_EBP[15:0]</obj_property>
347
-            <obj_property name="ObjectShortName">IN_EBP[15:0]</obj_property>
284
+         <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/IN_Instruction">
285
+            <obj_property name="ElementShortName">IN_Instruction[4:0]</obj_property>
286
+            <obj_property name="ObjectShortName">IN_Instruction[4:0]</obj_property>
348 287
          </wvobject>
349
-         <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/IN_AddrRet">
350
-            <obj_property name="ElementShortName">IN_AddrRet[15:0]</obj_property>
351
-            <obj_property name="ObjectShortName">IN_AddrRet[15:0]</obj_property>
288
+         <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/OUT_A">
289
+            <obj_property name="ElementShortName">OUT_A[15:0]</obj_property>
290
+            <obj_property name="ObjectShortName">OUT_A[15:0]</obj_property>
352 291
          </wvobject>
353
-         <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/RET">
354
-            <obj_property name="ElementShortName">RET</obj_property>
355
-            <obj_property name="ObjectShortName">RET</obj_property>
292
+         <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/OUT_B">
293
+            <obj_property name="ElementShortName">OUT_B[15:0]</obj_property>
294
+            <obj_property name="ObjectShortName">OUT_B[15:0]</obj_property>
356 295
          </wvobject>
357
-         <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/OUT_EBP">
358
-            <obj_property name="ElementShortName">OUT_EBP[15:0]</obj_property>
359
-            <obj_property name="ObjectShortName">OUT_EBP[15:0]</obj_property>
296
+         <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/OUT_Instruction">
297
+            <obj_property name="ElementShortName">OUT_Instruction[4:0]</obj_property>
298
+            <obj_property name="ObjectShortName">OUT_Instruction[4:0]</obj_property>
360 299
          </wvobject>
361
-         <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/OUT_AddrRet">
362
-            <obj_property name="ElementShortName">OUT_AddrRet[15:0]</obj_property>
363
-            <obj_property name="ObjectShortName">OUT_AddrRet[15:0]</obj_property>
300
+         <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/OUT_AddrRetour">
301
+            <obj_property name="ElementShortName">OUT_AddrRetour[15:0]</obj_property>
302
+            <obj_property name="ObjectShortName">OUT_AddrRetour[15:0]</obj_property>
364 303
          </wvobject>
365
-      </wvobject>
366
-      <wvobject type="group" fp_name="group198">
367
-         <obj_property name="label">MemoireAdressesRetour</obj_property>
368
-         <obj_property name="DisplayName">label</obj_property>
369
-         <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/R">
370
-            <obj_property name="ElementShortName">R</obj_property>
371
-            <obj_property name="ObjectShortName">R</obj_property>
304
+         <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/EBP">
305
+            <obj_property name="ElementShortName">EBP[5:0]</obj_property>
306
+            <obj_property name="ObjectShortName">EBP[5:0]</obj_property>
372 307
          </wvobject>
373
-         <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/W">
374
-            <obj_property name="ElementShortName">W</obj_property>
375
-            <obj_property name="ObjectShortName">W</obj_property>
308
+         <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/Addr_MemoireDonnees">
309
+            <obj_property name="ElementShortName">Addr_MemoireDonnees[5:0]</obj_property>
310
+            <obj_property name="ObjectShortName">Addr_MemoireDonnees[5:0]</obj_property>
376 311
          </wvobject>
377
-         <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/D_IN">
378
-            <obj_property name="ElementShortName">D_IN[5:0]</obj_property>
379
-            <obj_property name="ObjectShortName">D_IN[5:0]</obj_property>
312
+         <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/IN_Addr_MemoireDonnees">
313
+            <obj_property name="ElementShortName">IN_Addr_MemoireDonnees[5:0]</obj_property>
314
+            <obj_property name="ObjectShortName">IN_Addr_MemoireDonnees[5:0]</obj_property>
380 315
          </wvobject>
381
-         <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/D_OUT">
382
-            <obj_property name="ElementShortName">D_OUT[5:0]</obj_property>
383
-            <obj_property name="ObjectShortName">D_OUT[5:0]</obj_property>
316
+         <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/Addr_MemoireDonnees_EBP">
317
+            <obj_property name="ElementShortName">Addr_MemoireDonnees_EBP[5:0]</obj_property>
318
+            <obj_property name="ObjectShortName">Addr_MemoireDonnees_EBP[5:0]</obj_property>
384 319
          </wvobject>
385
-         <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/MEMORY">
386
-            <obj_property name="ElementShortName">MEMORY[23:0]</obj_property>
387
-            <obj_property name="ObjectShortName">MEMORY[23:0]</obj_property>
320
+         <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/Commande_MemoireDonnees">
321
+            <obj_property name="ElementShortName">Commande_MemoireDonnees[0:0]</obj_property>
322
+            <obj_property name="ObjectShortName">Commande_MemoireDonnees[0:0]</obj_property>
388 323
          </wvobject>
389
-         <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/Addr">
390
-            <obj_property name="ElementShortName">Addr[2:0]</obj_property>
391
-            <obj_property name="ObjectShortName">Addr[2:0]</obj_property>
324
+         <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/Sortie_MemoireDonnees">
325
+            <obj_property name="ElementShortName">Sortie_MemoireDonnees[15:0]</obj_property>
326
+            <obj_property name="ObjectShortName">Sortie_MemoireDonnees[15:0]</obj_property>
392 327
          </wvobject>
393 328
       </wvobject>
394 329
    </wvobject>
@@ -470,8 +405,8 @@
470 405
             <obj_property name="ObjectShortName">OUT_ON</obj_property>
471 406
          </wvobject>
472 407
          <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/Ecran">
473
-            <obj_property name="ElementShortName">Ecran[0:2239]</obj_property>
474
-            <obj_property name="ObjectShortName">Ecran[0:2239]</obj_property>
408
+            <obj_property name="ElementShortName">Ecran[0:559]</obj_property>
409
+            <obj_property name="ObjectShortName">Ecran[0:559]</obj_property>
475 410
             <obj_property name="Radix">HEXRADIX</obj_property>
476 411
          </wvobject>
477 412
          <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/L">
@@ -511,6 +446,7 @@
511 446
       <wvobject type="group" fp_name="group218">
512 447
          <obj_property name="label">ScreenDriver</obj_property>
513 448
          <obj_property name="DisplayName">label</obj_property>
449
+         <obj_property name="isExpanded"></obj_property>
514 450
          <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/CLK">
515 451
             <obj_property name="ElementShortName">CLK</obj_property>
516 452
             <obj_property name="ObjectShortName">CLK</obj_property>
@@ -547,14 +483,6 @@
547 483
             <obj_property name="ElementShortName">compteur</obj_property>
548 484
             <obj_property name="ObjectShortName">compteur</obj_property>
549 485
          </wvobject>
550
-         <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/work_in_progess">
551
-            <obj_property name="ElementShortName">work_in_progess</obj_property>
552
-            <obj_property name="ObjectShortName">work_in_progess</obj_property>
553
-         </wvobject>
554
-         <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/x_to_send">
555
-            <obj_property name="ElementShortName">x_to_send</obj_property>
556
-            <obj_property name="ObjectShortName">x_to_send</obj_property>
557
-         </wvobject>
558 486
          <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/first_detected">
559 487
             <obj_property name="ElementShortName">first_detected</obj_property>
560 488
             <obj_property name="ObjectShortName">first_detected</obj_property>

Loading…
Cancel
Save