Finito, reste a mettre au propre
Este commit está contenido en:
padre
4ca8c3ee9a
commit
eef4708fba
Se han modificado 10 ficheros con 336 adiciones y 322 borrados
|
@ -67,6 +67,11 @@ architecture Behavioral of Ecran is
|
|||
signal CurrentCodeASCII : STD_LOGIC_VECTOR (0 to 6) := "0000000";
|
||||
signal CurrentFont : STD_LOGIC_VECTOR (0 to (font_width * font_height) - 1) := (others => '0');
|
||||
|
||||
signal position_X : X_T := 0;
|
||||
signal position_Y : Y_T := 0;
|
||||
|
||||
signal active : Boolean := false;
|
||||
|
||||
begin
|
||||
|
||||
instance_TableASCII : TableASCII
|
||||
|
@ -106,14 +111,19 @@ begin
|
|||
|
||||
L_inc <= "0000000" when L + 1 = L_Blocks else L + 1;
|
||||
InitialL_inc <= "0000000" when InitialL + 1 = L_Blocks else InitialL + 1;
|
||||
L_Lecture <= Y/Display_CaracterHeight + to_integer(unsigned(InitialL)) - L_Blocks when (Y/Display_CaracterHeight + to_integer(unsigned(InitialL))) >= L_Blocks else Y/Display_CaracterHeight + to_integer(unsigned(InitialL));
|
||||
|
||||
point_dereferencement <= (7 * (C_Blocks * L_Lecture + (X/Display_CaracterWidht)));
|
||||
point_dereferencement_ecriture <= 7 * (C_Blocks * to_integer(unsigned(L)) + to_integer(unsigned(C)));
|
||||
|
||||
CurrentCodeASCII <= Ecran(point_dereferencement to point_dereferencement + 6) when (Y < screen_height and X < screen_width and RST='1') else
|
||||
"0000000";
|
||||
|
||||
|
||||
|
||||
position_X <= X - margin when X >= 0 + margin and X < screen_width - margin else 0;
|
||||
position_Y <= Y - margin when Y >= 0 + margin and Y < screen_height - margin else 0;
|
||||
active <= X >= 0 + margin and X < screen_width - margin and Y >= 0 + margin and Y < screen_height - margin;
|
||||
L_Lecture <= position_Y/Display_CaracterHeight + to_integer(unsigned(InitialL)) - L_Blocks when (position_Y/Display_CaracterHeight + to_integer(unsigned(InitialL))) >= L_Blocks else position_Y/Display_CaracterHeight + to_integer(unsigned(InitialL));
|
||||
point_dereferencement <= (7 * (C_Blocks * L_Lecture + (position_X/Display_CaracterWidht)));
|
||||
|
||||
CurrentCodeASCII <= Ecran(point_dereferencement to point_dereferencement + 6);
|
||||
|
||||
OUT_ON <= CurrentFont(((Y mod Display_CaracterHeight) / (Display_CaracterHeight / font_height)) * font_width + ((Display_CaracterWidht - 1) - (X mod Display_CaracterWidht)) / (Display_CaracterWidht / font_width));
|
||||
OUT_ON <= CurrentFont(((position_Y mod Display_CaracterHeight) / (Display_CaracterHeight / font_height)) * font_width + ((Display_CaracterWidht - 1) - (position_X mod Display_CaracterWidht)) / (Display_CaracterWidht / font_width)) when active else '0';
|
||||
|
||||
end Behavioral;
|
||||
|
|
|
@ -50,12 +50,15 @@ entity Etage1_LectureInstruction_NS is
|
|||
|
||||
Code_Instruction_JMP : STD_LOGIC_VECTOR; -- Numéro de l'instruction JMP
|
||||
Code_Instruction_JMZ : STD_LOGIC_VECTOR; -- Numéro de l'instruction JMZ
|
||||
Code_Instruction_PRI : STD_LOGIC_VECTOR; -- Numéro de l'instruction PRI
|
||||
Code_Instruction_PRIC : STD_LOGIC_VECTOR; -- Numéro de l'instruction PRIC
|
||||
Code_Instruction_CALL : STD_LOGIC_VECTOR; -- Numéro de l'instruction CALL
|
||||
Code_Instruction_RET : STD_LOGIC_VECTOR; -- Numéro de l'instruction RET
|
||||
Code_Instruction_STOP : STD_LOGIC_VECTOR); -- Numéro de l'instruction STOP
|
||||
Port ( CLK : in STD_LOGIC; -- Clock
|
||||
RST : in STD_LOGIC; -- Reset
|
||||
Z : in STD_LOGIC; -- Flag Zero de l'ALU (utile pour le JMZ)
|
||||
STD_IN_Request : in STD_LOGIC;
|
||||
Addr_Retour : in STD_LOGIC_VECTOR (Nb_bits - 1 downto 0); -- Entrée de l'adresse de retour depuis l'étage 4
|
||||
A : out STD_LOGIC_VECTOR (Nb_bits - 1 downto 0); -- Sortie de l'opérande A
|
||||
B : out STD_LOGIC_VECTOR (Nb_bits - 1 downto 0); -- Sortie de l'opérande B
|
||||
|
@ -94,6 +97,9 @@ architecture Behavioral of Etage1_LectureInstruction_NS is
|
|||
-- Compteur pour attendre lors d'un JMZ que l'instruction d'avant soit a l'ALU, ou lors d'un STOP k
|
||||
signal compteur : integer := 0;
|
||||
|
||||
-- Compteur de protection des collisions entre les prints
|
||||
signal Compteur_PRI : integer range 0 to Nb_bits/4 + 1 := 0;
|
||||
|
||||
-- Signal d'arret (STOP 0)
|
||||
signal locked : boolean := false;
|
||||
|
||||
|
@ -115,16 +121,20 @@ begin
|
|||
Tableau <= (others => -1);
|
||||
Pointeur_Instruction <= (others => '0');
|
||||
compteur <= 0;
|
||||
Compteur_PRI <= 0;
|
||||
locked <= false;
|
||||
C <= Argument_nul;
|
||||
B <= Argument_nul;
|
||||
A <= Argument_nul;
|
||||
Instruction <= Instruction_nulle;
|
||||
else
|
||||
elsif (STD_IN_Request = '0') then
|
||||
-- Avancement des instructions en écritures dans le pipeline
|
||||
Tableau(3) <= Tableau(2);
|
||||
Tableau(2) <= Tableau(1);
|
||||
Tableau(1) <= -1;
|
||||
if (Compteur_PRI > 0) then
|
||||
Compteur_PRI <= Compteur_PRI - 1;
|
||||
end if;
|
||||
if (not bulles) then
|
||||
-- S'il ne faut pas injecter de bulles ont traite l'instruction (Possible code factorisable sur ce if)
|
||||
if ((Instruction_courante (Instruction_bus_size + 3 * Nb_bits - 1 downto 3 * Nb_bits) = Code_Instruction_CALL) or (Instruction_courante (Instruction_bus_size + 3 * Nb_bits - 1 downto 3 * Nb_bits) = Code_Instruction_JMP)) then
|
||||
|
@ -184,6 +194,14 @@ begin
|
|||
B <= Instruction_courante (2 * Nb_bits - 1 downto 1 * Nb_bits);
|
||||
A <= Instruction_courante (3 * Nb_bits - 1 downto 2 * Nb_bits);
|
||||
Instruction <= Instruction_courante (Instruction_bus_size + 3 * Nb_bits - 1 downto 3 * Nb_bits);
|
||||
elsif (Instruction_courante (Instruction_bus_size + 3 * Nb_bits - 1 downto 3 * Nb_bits) = Code_Instruction_PRI) then
|
||||
-- CAS PARTICULIER : PRI, on transmet l'instruction et fixe le compteur pour proteger des collisions
|
||||
Compteur_PRI <= Nb_bits/4 + 1;
|
||||
C <= Instruction_courante (1 * Nb_bits - 1 downto 0 * Nb_bits);
|
||||
B <= Instruction_courante (2 * Nb_bits - 1 downto 1 * Nb_bits);
|
||||
A <= Instruction_courante (3 * Nb_bits - 1 downto 2 * Nb_bits);
|
||||
Instruction <= Instruction_courante (Instruction_bus_size + 3 * Nb_bits - 1 downto 3 * Nb_bits);
|
||||
Pointeur_Instruction <= Pointeur_Instruction + 1;
|
||||
else
|
||||
-- CAS GENERAL : On transmet l'instruction et les opérandes, si elle est critique en ecriture, on enregistre le registre associé dans le tableau
|
||||
C <= Instruction_courante (1 * Nb_bits - 1 downto 0 * Nb_bits);
|
||||
|
@ -248,6 +266,18 @@ begin
|
|||
or
|
||||
(to_integer(unsigned(Instruction_courante (1 * Nb_bits - 1 downto 0 * Nb_bits))) = Tableau(3))
|
||||
)
|
||||
)
|
||||
or
|
||||
(
|
||||
(
|
||||
(Instruction_courante (Instruction_bus_size + 3 * Nb_bits - 1 downto 3 * Nb_bits) = Code_Instruction_PRI)
|
||||
or
|
||||
(Instruction_courante (Instruction_bus_size + 3 * Nb_bits - 1 downto 3 * Nb_bits) = Code_Instruction_PRIC)
|
||||
)
|
||||
and
|
||||
(
|
||||
not (Compteur_PRI = 0)
|
||||
)
|
||||
);
|
||||
|
||||
|
||||
|
|
Las diferiencias del archivo han sido suprimidas porque una o mas lineas son muy largas
|
@ -208,7 +208,7 @@ architecture Behavioral of Pipeline is
|
|||
constant Code_Instruction_JMP : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "01111";
|
||||
constant Code_Instruction_JMZ : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10000";
|
||||
constant Code_Instruction_PRI : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10001";
|
||||
constant Code_Instruction_PRIC : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10010"; -------- TO BE DONE
|
||||
constant Code_Instruction_PRIC : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10010";
|
||||
constant Code_Instruction_GET : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10011";
|
||||
constant Code_Instruction_CALL : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10100";
|
||||
constant Code_Instruction_RET : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10101";
|
||||
|
|
|
@ -44,8 +44,12 @@ entity Pipeline_NS is
|
|||
Adresse_mem_size : Natural := 5);
|
||||
Port (CLK : STD_LOGIC;
|
||||
RST : STD_LOGIC;
|
||||
STD_IN : in STD_LOGIC_VECTOR (Nb_bits - 1 downto 0);
|
||||
STD_OUT : out STD_LOGIC_VECTOR (Nb_bits - 1 downto 0));
|
||||
STD_IN : in STD_LOGIC_VECTOR (Nb_bits - 1 downto 0);
|
||||
STD_IN_Av : in STD_LOGIC;
|
||||
STD_IN_Request : out STD_LOGIC;
|
||||
STD_OUT : out STD_LOGIC_VECTOR (Nb_bits - 1 downto 0);
|
||||
STD_OUT_Av : out STD_LOGIC;
|
||||
STD_OUT_Int : out STD_LOGIC);
|
||||
end Pipeline_NS;
|
||||
|
||||
architecture Behavioral of Pipeline_NS is
|
||||
|
@ -63,12 +67,15 @@ architecture Behavioral of Pipeline_NS is
|
|||
Instructions_critiques_ecriture : STD_LOGIC_VECTOR;
|
||||
Code_Instruction_JMP : STD_LOGIC_VECTOR;
|
||||
Code_Instruction_JMZ : STD_LOGIC_VECTOR;
|
||||
Code_Instruction_PRI : STD_LOGIC_VECTOR;
|
||||
Code_Instruction_PRIC : STD_LOGIC_VECTOR;
|
||||
Code_Instruction_CALL : STD_LOGIC_VECTOR;
|
||||
Code_Instruction_RET : STD_LOGIC_VECTOR;
|
||||
Code_Instruction_STOP : STD_LOGIC_VECTOR);
|
||||
Port ( CLK : in STD_LOGIC;
|
||||
RST : in STD_LOGIC;
|
||||
Z : in STD_LOGIC;
|
||||
STD_IN_Request : in STD_LOGIC;
|
||||
Addr_Retour : in STD_LOGIC_VECTOR (Nb_bits - 1 downto 0); -- Entrée de l'adresse de retour depuis l'étage 4
|
||||
A : out STD_LOGIC_VECTOR (Nb_bits - 1 downto 0);
|
||||
B : out STD_LOGIC_VECTOR (Nb_bits - 1 downto 0);
|
||||
|
@ -85,11 +92,16 @@ architecture Behavioral of Pipeline_NS is
|
|||
Bits_Controle_MUX_2_A : STD_LOGIC_VECTOR;
|
||||
Bits_Controle_MUX_2_B : STD_LOGIC_VECTOR;
|
||||
Code_Instruction_PRI : STD_LOGIC_VECTOR;
|
||||
Code_Instruction_PRIC : STD_LOGIC_VECTOR;
|
||||
Code_Instruction_GET : STD_LOGIC_VECTOR);
|
||||
Port ( CLK : in STD_LOGIC;
|
||||
RST : in STD_LOGIC;
|
||||
STD_IN : in STD_LOGIC_VECTOR (Nb_bits - 1 downto 0);
|
||||
STD_OUT : out STD_LOGIC_VECTOR (Nb_bits - 1 downto 0);
|
||||
STD_IN : in STD_LOGIC_VECTOR (Nb_bits - 1 downto 0); -- Entrée de données depuis l'exterieur du processeur
|
||||
STD_IN_Av : in STD_LOGIC;
|
||||
STD_IN_Request : out STD_LOGIC;
|
||||
STD_OUT : out STD_LOGIC_VECTOR (Nb_bits - 1 downto 0); -- Sortie de données vers l'exterieur du processeur
|
||||
STD_OUT_Av : out STD_LOGIC;
|
||||
STD_OUT_Int : out STD_LOGIC;
|
||||
IN_2_A : in STD_LOGIC_VECTOR (Nb_bits - 1 downto 0);
|
||||
IN_2_B : in STD_LOGIC_VECTOR (Nb_bits - 1 downto 0);
|
||||
IN_2_C : in STD_LOGIC_VECTOR (Nb_bits - 1 downto 0);
|
||||
|
@ -178,27 +190,31 @@ architecture Behavioral of Pipeline_NS is
|
|||
signal C : STD_LOGIC := '0';
|
||||
signal AdresseRetour : STD_LOGIC_VECTOR (Nb_bits - 1 downto 0) := (others => '0');
|
||||
|
||||
constant Bits_Controle_MUX_2_A : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "1111111111" & "1111011101111111111111";
|
||||
constant Bits_Controle_MUX_2_B : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "1111111111" & "1111111000011000000001";
|
||||
signal intern_STD_IN_Request : STD_LOGIC := '0';
|
||||
|
||||
|
||||
constant Bits_Controle_MUX_2_A : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "111111111" & "11110011101111111111111";
|
||||
constant Bits_Controle_MUX_2_B : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "111111111" & "11111111000011000000001";
|
||||
constant Bits_Controle_LC_3 : STD_LOGIC_VECTOR (Nb_Instructions * 3 - 1 downto 0) := "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "000" & "111" & "110" & "101" & "100" & "010" & "011" & "001" & "000";
|
||||
constant Bits_Controle_MUX_3 : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "1111111111" & "1111111111111100000001";
|
||||
constant Bits_Controle_LC_4 : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "1111111111" & "1111111001011111111111"; -- LC
|
||||
constant Bits_Controle_MUX_4_IN : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "1111111111" & "1101111110101111111111";
|
||||
constant Bits_Controle_MUX_4_IN_EBP : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "1111111111" & "1001111011001111111111"; -- EBP
|
||||
constant Bits_Controle_MUX_4_OUT : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "1111111111" & "0000000001010000000000";
|
||||
constant Bits_Controle_LC_5 : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "1111111111" & "0001000001011111111110";
|
||||
constant Bits_Controle_MUX_3 : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "111111111" & "11111111111111100000001";
|
||||
constant Bits_Controle_LC_4 : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "111111111" & "11111111001011111111111";
|
||||
constant Bits_Controle_MUX_4_IN : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "111111111" & "11111111110101111111111";
|
||||
constant Bits_Controle_MUX_4_IN_EBP : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "111111111" & "10011111011001111111111";
|
||||
constant Bits_Controle_MUX_4_OUT : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "111111111" & "00000000001010000000000";
|
||||
constant Bits_Controle_LC_5 : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "111111111" & "00010000001011111111110";
|
||||
constant Code_Instruction_JMP : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "01111";
|
||||
constant Code_Instruction_JMZ : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10000";
|
||||
constant Code_Instruction_PRI : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10001";
|
||||
constant Code_Instruction_GET : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10010";
|
||||
constant Code_Instruction_CALL : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10011";
|
||||
constant Code_Instruction_RET : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10100";
|
||||
constant Code_Instruction_STOP : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10101";
|
||||
constant Code_Instruction_PRIC : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10010";
|
||||
constant Code_Instruction_GET : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10011";
|
||||
constant Code_Instruction_CALL : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10100";
|
||||
constant Code_Instruction_RET : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10101";
|
||||
constant Code_Instruction_STOP : STD_LOGIC_VECTOR (Instruction_Bus_Size - 1 downto 0) := "10110";
|
||||
|
||||
constant Instructions_critiques_lecture_A : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "1111111111" & "0000100010000000000000";
|
||||
constant Instructions_critiques_lecture_B : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "1111111111" & "0000000111100111111110";
|
||||
constant Instructions_critiques_lecture_C : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "1111111111" & "0000000000000011111110";
|
||||
constant Instructions_critiques_ecriture : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "1111111111" & "0001000001011111111110";
|
||||
constant Instructions_critiques_lecture_A : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "111111111" & "00001100010000000000000";
|
||||
constant Instructions_critiques_lecture_B : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "111111111" & "00000000111100111111110";
|
||||
constant Instructions_critiques_lecture_C : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "111111111" & "00000000000000011111110";
|
||||
constant Instructions_critiques_ecriture : STD_LOGIC_VECTOR (Nb_Instructions - 1 downto 0) := "111111111" & "00010000001011111111110";
|
||||
begin
|
||||
instance_Etage1 : Etage1_LectureInstruction_NS
|
||||
generic map (Instruction_size_in_memory => Instruction_En_Memoire_Size,
|
||||
|
@ -213,6 +229,8 @@ begin
|
|||
Instructions_critiques_ecriture => Instructions_critiques_ecriture,
|
||||
Code_Instruction_JMP => Code_Instruction_JMP,
|
||||
Code_Instruction_JMZ => Code_Instruction_JMZ,
|
||||
Code_Instruction_PRI => Code_Instruction_PRI,
|
||||
Code_Instruction_PRIC => Code_Instruction_PRIC,
|
||||
Code_Instruction_CALL => Code_Instruction_CALL,
|
||||
Code_Instruction_RET => Code_Instruction_RET,
|
||||
Code_Instruction_STOP => Code_Instruction_STOP
|
||||
|
@ -221,6 +239,7 @@ begin
|
|||
CLK => CLK,
|
||||
RST => RST,
|
||||
Z => Z,
|
||||
STD_IN_Request => intern_STD_IN_Request,
|
||||
Addr_Retour => AdresseRetour,
|
||||
A => A_from_1,
|
||||
B => B_from_1,
|
||||
|
@ -237,12 +256,17 @@ begin
|
|||
Bits_Controle_MUX_2_A => Bits_Controle_MUX_2_A,
|
||||
Bits_Controle_MUX_2_B => Bits_Controle_MUX_2_B,
|
||||
Code_Instruction_PRI => Code_Instruction_PRI,
|
||||
Code_Instruction_PRIC => Code_Instruction_PRIC,
|
||||
Code_Instruction_GET => Code_Instruction_GET
|
||||
)
|
||||
port map( CLK => CLK,
|
||||
RST => RST,
|
||||
STD_IN => STD_IN,
|
||||
STD_OUT => STD_OUT,
|
||||
STD_IN => STD_IN,
|
||||
STD_IN_Av => STD_IN_Av,
|
||||
STD_IN_Request => intern_STD_IN_Request,
|
||||
STD_OUT => STD_OUT,
|
||||
STD_OUT_Av => STD_OUT_Av,
|
||||
STD_OUT_Int => STD_OUT_Int,
|
||||
IN_2_A => A_to_2,
|
||||
IN_2_B => B_to_2,
|
||||
IN_2_C => C_to_2,
|
||||
|
@ -298,27 +322,31 @@ begin
|
|||
OUT_Instruction => Instruction_from_4,
|
||||
OUT_AddrRetour => AdresseRetour
|
||||
);
|
||||
|
||||
STD_IN_Request <= intern_STD_IN_Request;
|
||||
|
||||
process
|
||||
begin
|
||||
wait until CLK'event and CLK = '1';
|
||||
A_to_2 <= A_from_1;
|
||||
B_to_2 <= B_from_1;
|
||||
C_to_2 <= C_from_1;
|
||||
Instruction_to_2 <= Instruction_from_1;
|
||||
|
||||
A_to_3 <= A_from_2;
|
||||
B_to_3 <= B_from_2;
|
||||
C_to_3 <= C_from_2;
|
||||
Instruction_to_3 <= Instruction_from_2;
|
||||
|
||||
A_to_4 <= A_from_3;
|
||||
B_to_4 <= B_from_3;
|
||||
Instruction_to_4 <= Instruction_from_3;
|
||||
|
||||
A_to_5 <= A_from_4;
|
||||
B_to_5 <= B_from_4;
|
||||
Instruction_to_5 <= Instruction_from_4;
|
||||
if (intern_STD_IN_Request = '0') then
|
||||
A_to_2 <= A_from_1;
|
||||
B_to_2 <= B_from_1;
|
||||
C_to_2 <= C_from_1;
|
||||
Instruction_to_2 <= Instruction_from_1;
|
||||
|
||||
A_to_3 <= A_from_2;
|
||||
B_to_3 <= B_from_2;
|
||||
C_to_3 <= C_from_2;
|
||||
Instruction_to_3 <= Instruction_from_2;
|
||||
|
||||
A_to_4 <= A_from_3;
|
||||
B_to_4 <= B_from_3;
|
||||
Instruction_to_4 <= Instruction_from_3;
|
||||
|
||||
A_to_5 <= A_from_4;
|
||||
B_to_5 <= B_from_4;
|
||||
Instruction_to_5 <= Instruction_from_4;
|
||||
end if;
|
||||
end process;
|
||||
end Behavioral;
|
||||
|
||||
|
|
|
@ -48,16 +48,17 @@ architecture Behavioral of ScreenDriver is
|
|||
|
||||
signal intern_value : STD_LOGIC_VECTOR (Nb_Bits - 1 downto 0) := (others => '0');
|
||||
signal current_hexa : STD_LOGIC_VECTOR (3 downto 0) := (others => '0');
|
||||
subtype compteur_T is Natural range 0 to Nb_bits/4 - 1;
|
||||
signal compteur : compteur_T := 0;
|
||||
signal work_in_progess : BOOLEAN := false;
|
||||
signal x_to_send : BOOLEAN := false;
|
||||
subtype compteur_T is Integer range -2 to Nb_bits/4 - 1;
|
||||
signal compteur : compteur_T := -2;
|
||||
signal first_detected : BOOLEAN := false;
|
||||
|
||||
|
||||
constant Code_ASCII_Zero : STD_LOGIC_VECTOR (0 to 6) := "0110000";
|
||||
|
||||
|
||||
begin
|
||||
|
||||
current_hexa <= intern_value(Nb_Bits - 1 - compteur * 4 downto Nb_Bits - compteur * 4 - 4);
|
||||
current_hexa <= intern_value(Nb_Bits - 1 - compteur * 4 downto Nb_Bits - compteur * 4 - 4) when compteur >= 0 and compteur < Nb_Bits else "0000";
|
||||
|
||||
process
|
||||
begin
|
||||
|
@ -67,15 +68,14 @@ begin
|
|||
OutData <= Value (6 downto 0);
|
||||
else
|
||||
intern_value <= Value;
|
||||
OutData <= "0110000";
|
||||
x_to_send <= true;
|
||||
OutData <= Code_ASCII_Zero;
|
||||
compteur <= compteur + 1;
|
||||
end if;
|
||||
OutDataAv <= '1';
|
||||
elsif x_to_send then
|
||||
elsif compteur = -1 then
|
||||
OutData <= "1111000";
|
||||
x_to_send <= false;
|
||||
work_in_progess <= true;
|
||||
elsif work_in_progess then
|
||||
compteur <= compteur + 1;
|
||||
elsif compteur >= 0 then
|
||||
if (current_hexa >= "0000" and current_hexa <= "1001") then
|
||||
if (not(current_hexa = "0000") or first_detected or compteur = Nb_bits/4 - 1 ) then
|
||||
OutData <= "011" & current_hexa;
|
||||
|
@ -91,9 +91,7 @@ begin
|
|||
end if;
|
||||
|
||||
if (compteur = Nb_bits/4 - 1) then
|
||||
compteur <= 0;
|
||||
work_in_progess <= false;
|
||||
x_to_send <= false;
|
||||
compteur <= -2;
|
||||
first_detected <= false;
|
||||
else
|
||||
compteur <= compteur + 1;
|
||||
|
|
|
@ -2,6 +2,8 @@ library IEEE;
|
|||
use IEEE.STD_LOGIC_1164.ALL;
|
||||
|
||||
package ScreenProperties is
|
||||
|
||||
constant margin : Natural := 64;
|
||||
|
||||
constant Display_CaracterWidht : Natural := 64;
|
||||
constant Display_CaracterHeight : Natural := 64;
|
||||
|
@ -19,8 +21,8 @@ package ScreenProperties is
|
|||
subtype X_T is Natural range 0 to screen_width + X_PulseWidth + X_FrontPorch + X_BackPorch - 1;
|
||||
subtype Y_T is Natural range 0 to screen_height + Y_PulseWidth + Y_FrontPorch + Y_BackPorch - 1;
|
||||
|
||||
constant C_Blocks : Natural := screen_width/Display_CaracterWidht;
|
||||
constant L_Blocks : Natural := screen_height/Display_CaracterHeight;
|
||||
constant C_Blocks : Natural := (screen_width - (2 * margin))/Display_CaracterWidht;
|
||||
constant L_Blocks : Natural := (screen_height - (2 * margin))/Display_CaracterHeight;
|
||||
constant Ecran_Taille : Natural := C_Blocks * L_Blocks * 7;
|
||||
|
||||
constant L_Size : Natural := C_Blocks * 7;
|
||||
|
|
|
@ -68,11 +68,17 @@ architecture Structural of System is
|
|||
Instruction_Bus_Size : Natural := 5;
|
||||
Nb_Instructions : Natural := 32;
|
||||
Nb_Registres : Natural := 16;
|
||||
Memoire_Size : Natural := 32);
|
||||
Addr_registres_size : Natural := 4;
|
||||
Memoire_Size : Natural := 32;
|
||||
Adresse_mem_size : Natural := 5);
|
||||
Port (CLK : STD_LOGIC;
|
||||
RST : STD_LOGIC;
|
||||
STD_IN : in STD_LOGIC_VECTOR (Nb_bits - 1 downto 0);
|
||||
STD_OUT : out STD_LOGIC_VECTOR (Nb_bits - 1 downto 0));
|
||||
STD_IN : in STD_LOGIC_VECTOR (Nb_bits - 1 downto 0);
|
||||
STD_IN_Av : in STD_LOGIC;
|
||||
STD_IN_Request : out STD_LOGIC;
|
||||
STD_OUT : out STD_LOGIC_VECTOR (Nb_bits - 1 downto 0);
|
||||
STD_OUT_Av : out STD_LOGIC;
|
||||
STD_OUT_Int : out STD_LOGIC);
|
||||
end component;
|
||||
|
||||
component PeripheriqueEcran
|
||||
|
@ -126,7 +132,7 @@ architecture Structural of System is
|
|||
signal clavier_STD_OUT_Av : STD_LOGIC := '0';
|
||||
signal clavier_STD_OUT_Int : STD_LOGIC := '0';
|
||||
|
||||
constant SECURISED : boolean := true;
|
||||
constant SECURISED : boolean := false;
|
||||
|
||||
begin
|
||||
|
||||
|
@ -161,12 +167,24 @@ begin
|
|||
STD_OUT_Int => pipeline_STD_OUT_Int);
|
||||
else generate
|
||||
instance_non_securisee : entity work.Pipeline_NS
|
||||
generic map (Addr_Memoire_Instruction_Size => 9,
|
||||
Memoire_Instruction_Size => 512)
|
||||
generic map (Nb_bits => 16,
|
||||
Instruction_En_Memoire_Size => 53,
|
||||
Addr_Memoire_Instruction_Size => 9,
|
||||
Memoire_Instruction_Size => 512,
|
||||
Instruction_Bus_Size => 5,
|
||||
Nb_Instructions => 32,
|
||||
Nb_Registres => 16,
|
||||
Addr_registres_size => 4,
|
||||
Memoire_Size => 64,
|
||||
Adresse_mem_size => 6)
|
||||
port map (CLK => my_CLK,
|
||||
RST => my_RST,
|
||||
STD_IN => STD_IN,
|
||||
STD_OUT => pipeline_STD_OUT);
|
||||
STD_IN => STD_IN,
|
||||
STD_IN_Av => STD_IN_Av,
|
||||
STD_IN_Request => STD_IN_Request,
|
||||
STD_OUT => pipeline_STD_OUT,
|
||||
STD_OUT_Av => pipeline_STD_OUT_Av,
|
||||
STD_OUT_Int => pipeline_STD_OUT_Int);
|
||||
end generate;
|
||||
|
||||
instance_perif_ecran : PeripheriqueEcran
|
||||
|
|
|
@ -35,7 +35,7 @@
|
|||
<Option Name="DSAVendor" Val="xilinx"/>
|
||||
<Option Name="DSABoardId" Val="basys3"/>
|
||||
<Option Name="DSANumComputeUnits" Val="16"/>
|
||||
<Option Name="WTXSimLaunchSim" Val="573"/>
|
||||
<Option Name="WTXSimLaunchSim" Val="602"/>
|
||||
<Option Name="WTModelSimLaunchSim" Val="0"/>
|
||||
<Option Name="WTQuestaLaunchSim" Val="0"/>
|
||||
<Option Name="WTIesLaunchSim" Val="0"/>
|
||||
|
|
|
@ -12,13 +12,13 @@
|
|||
</db_ref>
|
||||
</db_ref_list>
|
||||
<zoom_setting>
|
||||
<ZoomStartTime time="5854068601925fs"></ZoomStartTime>
|
||||
<ZoomEndTime time="6116717879615fs"></ZoomEndTime>
|
||||
<Cursor1Time time="7222943000000fs"></Cursor1Time>
|
||||
<ZoomStartTime time="10606008000000fs"></ZoomStartTime>
|
||||
<ZoomEndTime time="10825655309273fs"></ZoomEndTime>
|
||||
<Cursor1Time time="6077804260000fs"></Cursor1Time>
|
||||
</zoom_setting>
|
||||
<column_width_setting>
|
||||
<NameColumnWidth column_width="251"></NameColumnWidth>
|
||||
<ValueColumnWidth column_width="177"></ValueColumnWidth>
|
||||
<ValueColumnWidth column_width="173"></ValueColumnWidth>
|
||||
</column_width_setting>
|
||||
<WVObjectSize size="7" />
|
||||
<wvobject type="logic" fp_name="/TestSystem/CLK">
|
||||
|
@ -28,367 +28,302 @@
|
|||
<wvobject type="group" fp_name="group135">
|
||||
<obj_property name="label">Pipeline</obj_property>
|
||||
<obj_property name="DisplayName">label</obj_property>
|
||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/CLK">
|
||||
<obj_property name="ElementShortName">CLK</obj_property>
|
||||
<obj_property name="ObjectShortName">CLK</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/STD_IN">
|
||||
<obj_property name="ElementShortName">STD_IN[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">STD_IN[15:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/STD_IN_Av">
|
||||
<obj_property name="ElementShortName">STD_IN_Av</obj_property>
|
||||
<obj_property name="ObjectShortName">STD_IN_Av</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/STD_IN_Request">
|
||||
<obj_property name="ElementShortName">STD_IN_Request</obj_property>
|
||||
<obj_property name="ObjectShortName">STD_IN_Request</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/STD_OUT">
|
||||
<obj_property name="ElementShortName">STD_OUT[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">STD_OUT[15:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/STD_OUT_Av">
|
||||
<obj_property name="ElementShortName">STD_OUT_Av</obj_property>
|
||||
<obj_property name="ObjectShortName">STD_OUT_Av</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/STD_OUT_Int">
|
||||
<obj_property name="ElementShortName">STD_OUT_Int</obj_property>
|
||||
<obj_property name="ObjectShortName">STD_OUT_Int</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/Instruction_from_1">
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/Instruction_from_1">
|
||||
<obj_property name="ElementShortName">Instruction_from_1[4:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">Instruction_from_1[4:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/A_from_1">
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/A_from_1">
|
||||
<obj_property name="ElementShortName">A_from_1[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">A_from_1[15:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/B_from_1">
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/B_from_1">
|
||||
<obj_property name="ElementShortName">B_from_1[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">B_from_1[15:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/C_from_1">
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/C_from_1">
|
||||
<obj_property name="ElementShortName">C_from_1[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">C_from_1[15:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/Instruction_from_2">
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/Instruction_from_2">
|
||||
<obj_property name="ElementShortName">Instruction_from_2[4:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">Instruction_from_2[4:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/A_from_2">
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/A_from_2">
|
||||
<obj_property name="ElementShortName">A_from_2[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">A_from_2[15:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/B_from_2">
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/B_from_2">
|
||||
<obj_property name="ElementShortName">B_from_2[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">B_from_2[15:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/C_from_2">
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/C_from_2">
|
||||
<obj_property name="ElementShortName">C_from_2[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">C_from_2[15:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/Instruction_from_3">
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/Instruction_from_3">
|
||||
<obj_property name="ElementShortName">Instruction_from_3[4:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">Instruction_from_3[4:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/A_from_3">
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/A_from_3">
|
||||
<obj_property name="ElementShortName">A_from_3[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">A_from_3[15:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/B_from_3">
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/B_from_3">
|
||||
<obj_property name="ElementShortName">B_from_3[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">B_from_3[15:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/Instruction_from_4">
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/Instruction_from_4">
|
||||
<obj_property name="ElementShortName">Instruction_from_4[4:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">Instruction_from_4[4:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/A_from_4">
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/A_from_4">
|
||||
<obj_property name="ElementShortName">A_from_4[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">A_from_4[15:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/B_from_4">
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/B_from_4">
|
||||
<obj_property name="ElementShortName">B_from_4[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">B_from_4[15:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/Instruction_to_5">
|
||||
<obj_property name="ElementShortName">Instruction_to_5[4:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">Instruction_to_5[4:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/A_to_5">
|
||||
<obj_property name="ElementShortName">A_to_5[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">A_to_5[15:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/B_to_5">
|
||||
<obj_property name="ElementShortName">B_to_5[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">B_to_5[15:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
</wvobject>
|
||||
<wvobject type="group" fp_name="group133">
|
||||
<obj_property name="label">Gestion Instructions</obj_property>
|
||||
<obj_property name="DisplayName">label</obj_property>
|
||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/CLK">
|
||||
<obj_property name="isExpanded"></obj_property>
|
||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/CLK">
|
||||
<obj_property name="ElementShortName">CLK</obj_property>
|
||||
<obj_property name="ObjectShortName">CLK</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/Z">
|
||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/Z">
|
||||
<obj_property name="ElementShortName">Z</obj_property>
|
||||
<obj_property name="ObjectShortName">Z</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/A">
|
||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/STD_IN_Request">
|
||||
<obj_property name="ElementShortName">STD_IN_Request</obj_property>
|
||||
<obj_property name="ObjectShortName">STD_IN_Request</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/Addr_Retour">
|
||||
<obj_property name="ElementShortName">Addr_Retour[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">Addr_Retour[15:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/A">
|
||||
<obj_property name="ElementShortName">A[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">A[15:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/B">
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/B">
|
||||
<obj_property name="ElementShortName">B[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">B[15:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/C">
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/C">
|
||||
<obj_property name="ElementShortName">C[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">C[15:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/Instruction">
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/Instruction">
|
||||
<obj_property name="ElementShortName">Instruction[4:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">Instruction[4:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/Pointeur_instruction">
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/Pointeur_instruction">
|
||||
<obj_property name="ElementShortName">Pointeur_instruction[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">Pointeur_instruction[8:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/Tableau">
|
||||
<obj_property name="ElementShortName">Tableau[1:3]</obj_property>
|
||||
<obj_property name="ObjectShortName">Tableau[1:3]</obj_property>
|
||||
<wvobject type="other" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/bulles">
|
||||
<obj_property name="ElementShortName">bulles</obj_property>
|
||||
<obj_property name="ObjectShortName">bulles</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/Adresse_Retour">
|
||||
<obj_property name="ElementShortName">Adresse_Retour[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">Adresse_Retour[8:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="other" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/Compteur_PRI">
|
||||
<obj_property name="ElementShortName">Compteur_PRI</obj_property>
|
||||
<obj_property name="ObjectShortName">Compteur_PRI</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="other" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/locked">
|
||||
<obj_property name="ElementShortName">locked</obj_property>
|
||||
<obj_property name="ObjectShortName">locked</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="other" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/compteur">
|
||||
<wvobject type="other" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/compteur">
|
||||
<obj_property name="ElementShortName">compteur</obj_property>
|
||||
<obj_property name="ObjectShortName">compteur</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="other" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/bulles">
|
||||
<obj_property name="ElementShortName">bulles</obj_property>
|
||||
<obj_property name="ObjectShortName">bulles</obj_property>
|
||||
<wvobject type="other" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/Compteur_PRI">
|
||||
<obj_property name="ElementShortName">Compteur_PRI</obj_property>
|
||||
<obj_property name="ObjectShortName">Compteur_PRI</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="other" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/locked">
|
||||
<obj_property name="ElementShortName">locked</obj_property>
|
||||
<obj_property name="ObjectShortName">locked</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/Tableau">
|
||||
<obj_property name="ElementShortName">Tableau[1:3]</obj_property>
|
||||
<obj_property name="ObjectShortName">Tableau[1:3]</obj_property>
|
||||
</wvobject>
|
||||
</wvobject>
|
||||
<wvobject type="group" fp_name="group132">
|
||||
<obj_property name="label">Registres</obj_property>
|
||||
<obj_property name="DisplayName">label</obj_property>
|
||||
<obj_property name="isExpanded"></obj_property>
|
||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/CLK">
|
||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/CLK">
|
||||
<obj_property name="ElementShortName">CLK</obj_property>
|
||||
<obj_property name="ObjectShortName">CLK</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/W">
|
||||
<obj_property name="ElementShortName">W</obj_property>
|
||||
<obj_property name="ObjectShortName">W</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_2_A">
|
||||
<obj_property name="ElementShortName">IN_2_A[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">IN_2_A[15:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_2_B">
|
||||
<obj_property name="ElementShortName">IN_2_B[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">IN_2_B[15:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_2_C">
|
||||
<obj_property name="ElementShortName">IN_2_C[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">IN_2_C[15:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_2_Instruction">
|
||||
<obj_property name="ElementShortName">IN_2_Instruction[4:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">IN_2_Instruction[4:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_5_A">
|
||||
<obj_property name="ElementShortName">IN_5_A[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">IN_5_A[15:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_5_B">
|
||||
<obj_property name="ElementShortName">IN_5_B[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">IN_5_B[15:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_5_Instruction">
|
||||
<obj_property name="ElementShortName">IN_5_Instruction[4:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">IN_5_Instruction[4:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/STD_IN">
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/STD_IN">
|
||||
<obj_property name="ElementShortName">STD_IN[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">STD_IN[15:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/STD_IN_Av">
|
||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/STD_IN_Av">
|
||||
<obj_property name="ElementShortName">STD_IN_Av</obj_property>
|
||||
<obj_property name="ObjectShortName">STD_IN_Av</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/AddrA">
|
||||
<obj_property name="ElementShortName">AddrA[3:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">AddrA[3:0]</obj_property>
|
||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/STD_IN_Request">
|
||||
<obj_property name="ElementShortName">STD_IN_Request</obj_property>
|
||||
<obj_property name="ObjectShortName">STD_IN_Request</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/AddrB">
|
||||
<obj_property name="ElementShortName">AddrB[3:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">AddrB[3:0]</obj_property>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/STD_OUT">
|
||||
<obj_property name="ElementShortName">STD_OUT[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">STD_OUT[15:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/AddrC">
|
||||
<obj_property name="ElementShortName">AddrC[3:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">AddrC[3:0]</obj_property>
|
||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/STD_OUT_Av">
|
||||
<obj_property name="ElementShortName">STD_OUT_Av</obj_property>
|
||||
<obj_property name="ObjectShortName">STD_OUT_Av</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/AddrW">
|
||||
<obj_property name="ElementShortName">AddrW[3:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">AddrW[3:0]</obj_property>
|
||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/STD_OUT_Int">
|
||||
<obj_property name="ElementShortName">STD_OUT_Int</obj_property>
|
||||
<obj_property name="ObjectShortName">STD_OUT_Int</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/DATA">
|
||||
<obj_property name="ElementShortName">DATA[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">DATA[15:0]</obj_property>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/IN_2_A">
|
||||
<obj_property name="ElementShortName">IN_2_A[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">IN_2_A[15:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/QA">
|
||||
<obj_property name="ElementShortName">QA[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">QA[15:0]</obj_property>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/IN_2_B">
|
||||
<obj_property name="ElementShortName">IN_2_B[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">IN_2_B[15:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/QB">
|
||||
<obj_property name="ElementShortName">QB[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">QB[15:0]</obj_property>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/IN_2_C">
|
||||
<obj_property name="ElementShortName">IN_2_C[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">IN_2_C[15:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/QC">
|
||||
<obj_property name="ElementShortName">QC[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">QC[15:0]</obj_property>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/IN_2_Instruction">
|
||||
<obj_property name="ElementShortName">IN_2_Instruction[4:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">IN_2_Instruction[4:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/REGISTRES">
|
||||
<obj_property name="ElementShortName">REGISTRES[255:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">REGISTRES[255:0]</obj_property>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/OUT_2_A">
|
||||
<obj_property name="ElementShortName">OUT_2_A[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">OUT_2_A[15:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/OUT_2_B">
|
||||
<obj_property name="ElementShortName">OUT_2_B[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">OUT_2_B[15:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/OUT_2_C">
|
||||
<obj_property name="ElementShortName">OUT_2_C[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">OUT_2_C[15:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/OUT_2_Instruction">
|
||||
<obj_property name="ElementShortName">OUT_2_Instruction[4:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">OUT_2_Instruction[4:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/IN_5_A">
|
||||
<obj_property name="ElementShortName">IN_5_A[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">IN_5_A[15:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/IN_5_B">
|
||||
<obj_property name="ElementShortName">IN_5_B[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">IN_5_B[15:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/IN_5_Instruction">
|
||||
<obj_property name="ElementShortName">IN_5_Instruction[4:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">IN_5_Instruction[4:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/Commande_BancRegistres">
|
||||
<obj_property name="ElementShortName">Commande_BancRegistres[0:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">Commande_BancRegistres[0:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/Entree_BancRegistre_DATA">
|
||||
<obj_property name="ElementShortName">Entree_BancRegistre_DATA[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">Entree_BancRegistre_DATA[15:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/Sortie_BancRegistres_A">
|
||||
<obj_property name="ElementShortName">Sortie_BancRegistres_A[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">Sortie_BancRegistres_A[15:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/Sortie_BancRegistres_B">
|
||||
<obj_property name="ElementShortName">Sortie_BancRegistres_B[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">Sortie_BancRegistres_B[15:0]</obj_property>
|
||||
</wvobject>
|
||||
</wvobject>
|
||||
<wvobject type="group" fp_name="group134">
|
||||
<obj_property name="label">Memoire</obj_property>
|
||||
<obj_property name="DisplayName">label</obj_property>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/EBP">
|
||||
<obj_property name="ElementShortName">EBP[5:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">EBP[5:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/New_EBP">
|
||||
<obj_property name="ElementShortName">New_EBP[5:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">New_EBP[5:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/Addr_MemoireDonnees">
|
||||
<obj_property name="ElementShortName">Addr_MemoireDonnees[5:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">Addr_MemoireDonnees[5:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/IN_Addr_MemoireDonnees">
|
||||
<obj_property name="ElementShortName">IN_Addr_MemoireDonnees[5:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">IN_Addr_MemoireDonnees[5:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/Addr_MemoireDonnees_EBP">
|
||||
<obj_property name="ElementShortName">Addr_MemoireDonnees_EBP[5:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">Addr_MemoireDonnees_EBP[5:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="group" fp_name="group197">
|
||||
<obj_property name="label">MemoireDonnees</obj_property>
|
||||
<obj_property name="DisplayName">label</obj_property>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/MEMORY">
|
||||
<obj_property name="ElementShortName">MEMORY[1023:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">MEMORY[1023:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/D_OUT">
|
||||
<obj_property name="ElementShortName">D_OUT[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">D_OUT[15:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/Addr">
|
||||
<obj_property name="ElementShortName">Addr[5:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">Addr[5:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/RW">
|
||||
<obj_property name="ElementShortName">RW</obj_property>
|
||||
<obj_property name="ObjectShortName">RW</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/D_IN">
|
||||
<obj_property name="ElementShortName">D_IN[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">D_IN[15:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/CALL">
|
||||
<obj_property name="ElementShortName">CALL</obj_property>
|
||||
<obj_property name="ObjectShortName">CALL</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/IN_EBP">
|
||||
<obj_property name="ElementShortName">IN_EBP[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">IN_EBP[15:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/IN_AddrRet">
|
||||
<obj_property name="ElementShortName">IN_AddrRet[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">IN_AddrRet[15:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/RET">
|
||||
<obj_property name="ElementShortName">RET</obj_property>
|
||||
<obj_property name="ObjectShortName">RET</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/OUT_EBP">
|
||||
<obj_property name="ElementShortName">OUT_EBP[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">OUT_EBP[15:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/OUT_AddrRet">
|
||||
<obj_property name="ElementShortName">OUT_AddrRet[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">OUT_AddrRet[15:0]</obj_property>
|
||||
</wvobject>
|
||||
<obj_property name="isExpanded"></obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="group" fp_name="group198">
|
||||
<obj_property name="label">MemoireAdressesRetour</obj_property>
|
||||
<obj_property name="DisplayName">label</obj_property>
|
||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/R">
|
||||
<obj_property name="ElementShortName">R</obj_property>
|
||||
<obj_property name="ObjectShortName">R</obj_property>
|
||||
<obj_property name="isExpanded"></obj_property>
|
||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/CLK">
|
||||
<obj_property name="ElementShortName">CLK</obj_property>
|
||||
<obj_property name="ObjectShortName">CLK</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/W">
|
||||
<obj_property name="ElementShortName">W</obj_property>
|
||||
<obj_property name="ObjectShortName">W</obj_property>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/IN_A">
|
||||
<obj_property name="ElementShortName">IN_A[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">IN_A[15:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/D_IN">
|
||||
<obj_property name="ElementShortName">D_IN[5:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">D_IN[5:0]</obj_property>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/IN_B">
|
||||
<obj_property name="ElementShortName">IN_B[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">IN_B[15:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/D_OUT">
|
||||
<obj_property name="ElementShortName">D_OUT[5:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">D_OUT[5:0]</obj_property>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/IN_Instruction">
|
||||
<obj_property name="ElementShortName">IN_Instruction[4:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">IN_Instruction[4:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/MEMORY">
|
||||
<obj_property name="ElementShortName">MEMORY[23:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">MEMORY[23:0]</obj_property>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/OUT_A">
|
||||
<obj_property name="ElementShortName">OUT_A[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">OUT_A[15:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/Addr">
|
||||
<obj_property name="ElementShortName">Addr[2:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">Addr[2:0]</obj_property>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/OUT_B">
|
||||
<obj_property name="ElementShortName">OUT_B[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">OUT_B[15:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/OUT_Instruction">
|
||||
<obj_property name="ElementShortName">OUT_Instruction[4:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">OUT_Instruction[4:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/OUT_AddrRetour">
|
||||
<obj_property name="ElementShortName">OUT_AddrRetour[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">OUT_AddrRetour[15:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/EBP">
|
||||
<obj_property name="ElementShortName">EBP[5:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">EBP[5:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/Addr_MemoireDonnees">
|
||||
<obj_property name="ElementShortName">Addr_MemoireDonnees[5:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">Addr_MemoireDonnees[5:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/IN_Addr_MemoireDonnees">
|
||||
<obj_property name="ElementShortName">IN_Addr_MemoireDonnees[5:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">IN_Addr_MemoireDonnees[5:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/Addr_MemoireDonnees_EBP">
|
||||
<obj_property name="ElementShortName">Addr_MemoireDonnees_EBP[5:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">Addr_MemoireDonnees_EBP[5:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/Commande_MemoireDonnees">
|
||||
<obj_property name="ElementShortName">Commande_MemoireDonnees[0:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">Commande_MemoireDonnees[0:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/Sortie_MemoireDonnees">
|
||||
<obj_property name="ElementShortName">Sortie_MemoireDonnees[15:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">Sortie_MemoireDonnees[15:0]</obj_property>
|
||||
</wvobject>
|
||||
</wvobject>
|
||||
</wvobject>
|
||||
|
@ -470,8 +405,8 @@
|
|||
<obj_property name="ObjectShortName">OUT_ON</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/Ecran">
|
||||
<obj_property name="ElementShortName">Ecran[0:2239]</obj_property>
|
||||
<obj_property name="ObjectShortName">Ecran[0:2239]</obj_property>
|
||||
<obj_property name="ElementShortName">Ecran[0:559]</obj_property>
|
||||
<obj_property name="ObjectShortName">Ecran[0:559]</obj_property>
|
||||
<obj_property name="Radix">HEXRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/L">
|
||||
|
@ -511,6 +446,7 @@
|
|||
<wvobject type="group" fp_name="group218">
|
||||
<obj_property name="label">ScreenDriver</obj_property>
|
||||
<obj_property name="DisplayName">label</obj_property>
|
||||
<obj_property name="isExpanded"></obj_property>
|
||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/CLK">
|
||||
<obj_property name="ElementShortName">CLK</obj_property>
|
||||
<obj_property name="ObjectShortName">CLK</obj_property>
|
||||
|
@ -547,14 +483,6 @@
|
|||
<obj_property name="ElementShortName">compteur</obj_property>
|
||||
<obj_property name="ObjectShortName">compteur</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/work_in_progess">
|
||||
<obj_property name="ElementShortName">work_in_progess</obj_property>
|
||||
<obj_property name="ObjectShortName">work_in_progess</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/x_to_send">
|
||||
<obj_property name="ElementShortName">x_to_send</obj_property>
|
||||
<obj_property name="ObjectShortName">x_to_send</obj_property>
|
||||
</wvobject>
|
||||
<wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/first_detected">
|
||||
<obj_property name="ElementShortName">first_detected</obj_property>
|
||||
<obj_property name="ObjectShortName">first_detected</obj_property>
|
||||
|
|
Cargando…
Referenciar en una nueva incidencia