Processeur securisé avec ecran et clavier terminé
This commit is contained in:
parent
8b1dc18f3a
commit
4ca8c3ee9a
10 changed files with 140 additions and 109 deletions
|
|
@ -67,7 +67,7 @@ begin
|
||||||
(others => '0');
|
(others => '0');
|
||||||
|
|
||||||
|
|
||||||
intern_N <= SUB (Nb_bits);
|
intern_N <= SUB (Nb_bits-1);
|
||||||
intern_Z <= '1' when (SUB = ZERO_N1) else
|
intern_Z <= '1' when (SUB = ZERO_N1) else
|
||||||
'0';
|
'0';
|
||||||
|
|
||||||
|
|
|
||||||
|
|
@ -76,20 +76,23 @@ begin
|
||||||
process
|
process
|
||||||
begin
|
begin
|
||||||
wait until CLK'event and CLK='1';
|
wait until CLK'event and CLK='1';
|
||||||
if (RST = '0') then
|
if (RST = '0' or (Data_Av = '1' and Data_IN = Flush)) then
|
||||||
Ecran <= (others => '0');
|
Ecran <= (others => '0');
|
||||||
L <= "0000000";
|
L <= "0000000";
|
||||||
C <= "0000000";
|
C <= "0000000";
|
||||||
InitialL <= "0000000";
|
InitialL <= "0000000";
|
||||||
Full <= '0';
|
Full <= '0';
|
||||||
elsif (Data_Av = '1') then
|
elsif (Data_Av = '1') then
|
||||||
if (Data_IN = Flush) then
|
if (Data_IN = Delete) then
|
||||||
Ecran <= (others => '0');
|
if (C > 0) then
|
||||||
L <= "0000000";
|
C <= C - 1;
|
||||||
C <= "0000000";
|
Ecran(7 * (C_Blocks * to_integer(unsigned(L)) + to_integer(unsigned(C)) - 1) to 7 * (C_Blocks * to_integer(unsigned(L)) + to_integer(unsigned(C))) - 1) <= "0000000";
|
||||||
InitialL <= "0000000";
|
end if;
|
||||||
Full <= '0';
|
elsif (Data_In /= RetourChariot) then
|
||||||
elsif (Data_IN = RetourChariot) then
|
Ecran(point_dereferencement_ecriture to point_dereferencement_ecriture + 6) <= Data_IN;
|
||||||
|
C <= C + 1;
|
||||||
|
end if;
|
||||||
|
if (Data_IN = RetourChariot or (C + 1 = C_Blocks and Data_IN /= Delete)) then
|
||||||
C <= "0000000";
|
C <= "0000000";
|
||||||
L <= L_inc;
|
L <= L_inc;
|
||||||
if (L_inc = "0000000" or Full = '1') then
|
if (L_inc = "0000000" or Full = '1') then
|
||||||
|
|
@ -97,23 +100,6 @@ begin
|
||||||
InitialL <= InitialL_inc;
|
InitialL <= InitialL_inc;
|
||||||
Ecran(7 * C_Blocks * to_integer(unsigned(L_inc)) to 7 * C_Blocks * (to_integer(unsigned(L_inc)) + 1) - 1) <= Zero_Line;
|
Ecran(7 * C_Blocks * to_integer(unsigned(L_inc)) to 7 * C_Blocks * (to_integer(unsigned(L_inc)) + 1) - 1) <= Zero_Line;
|
||||||
end if;
|
end if;
|
||||||
elsif (Data_IN = Delete) then
|
|
||||||
if (C > 0) then
|
|
||||||
C <= C - 1;
|
|
||||||
Ecran(7 * (C_Blocks * to_integer(unsigned(L)) + to_integer(unsigned(C)) - 1) to 7 * (C_Blocks * to_integer(unsigned(L)) + to_integer(unsigned(C))) - 1) <= "0000000";
|
|
||||||
end if;
|
|
||||||
else
|
|
||||||
Ecran(point_dereferencement_ecriture to point_dereferencement_ecriture + 6) <= Data_IN;
|
|
||||||
C <= C + 1;
|
|
||||||
if (C + 1 = C_Blocks) then
|
|
||||||
C <= "0000000";
|
|
||||||
L <= L_inc;
|
|
||||||
if (L_inc = 0 or Full = '1') then
|
|
||||||
Full <= '1';
|
|
||||||
InitialL <= InitialL_inc;
|
|
||||||
Ecran(7 * C_Blocks * to_integer(unsigned(L_inc)) to 7 * C_Blocks * (to_integer(unsigned(L_inc)) + 1) - 1) <= Zero_Line;
|
|
||||||
end if;
|
|
||||||
end if;
|
|
||||||
end if;
|
end if;
|
||||||
end if;
|
end if;
|
||||||
end process;
|
end process;
|
||||||
|
|
|
||||||
|
|
@ -101,6 +101,8 @@ architecture Behavioral of Etage2_5_Registres is
|
||||||
signal intern_OUT_2_B : STD_LOGIC_VECTOR (Nb_bits - 1 downto 0) := (others => '0');
|
signal intern_OUT_2_B : STD_LOGIC_VECTOR (Nb_bits - 1 downto 0) := (others => '0');
|
||||||
signal intern_OUT_2_C : STD_LOGIC_VECTOR (Nb_bits - 1 downto 0) := (others => '0');
|
signal intern_OUT_2_C : STD_LOGIC_VECTOR (Nb_bits - 1 downto 0) := (others => '0');
|
||||||
|
|
||||||
|
signal Request_Stopped : BOOLEAN := false;
|
||||||
|
|
||||||
|
|
||||||
begin
|
begin
|
||||||
instance_LC : LC
|
instance_LC : LC
|
||||||
|
|
@ -162,11 +164,19 @@ begin
|
||||||
'1' when IN_2_Instruction = Code_Instruction_PRI else
|
'1' when IN_2_Instruction = Code_Instruction_PRI else
|
||||||
'0';
|
'0';
|
||||||
|
|
||||||
STD_IN_Request <= '0' when RST = '0' else
|
process
|
||||||
'1' when IN_5_Instruction = Code_Instruction_GET and STD_IN_Av = '0' else
|
begin
|
||||||
'0';
|
wait until CLK'event and CLK='1';
|
||||||
|
if (RST='0') then
|
||||||
|
Request_Stopped <= false;
|
||||||
|
elsif (STD_IN_Av = '1') then
|
||||||
|
Request_Stopped <= true;
|
||||||
|
else
|
||||||
|
Request_Stopped <= false;
|
||||||
|
end if;
|
||||||
|
end process;
|
||||||
|
|
||||||
|
STD_IN_Request <= '1' when not(Request_Stopped) and IN_5_Instruction = Code_Instruction_GET and not(RST='0') else '0';
|
||||||
|
|
||||||
-- Un multiplexeur pourrait être utilisé ici, mais cela n'a pas été jugé pertinent
|
-- Un multiplexeur pourrait être utilisé ici, mais cela n'a pas été jugé pertinent
|
||||||
Entree_BancRegistre_DATA <= (others => '0') when RST = '0' else
|
Entree_BancRegistre_DATA <= (others => '0') when RST = '0' else
|
||||||
|
|
|
||||||
|
|
@ -66,16 +66,20 @@ begin
|
||||||
if STD_IN_Request = '1' then
|
if STD_IN_Request = '1' then
|
||||||
work_in_progress <= true;
|
work_in_progress <= true;
|
||||||
if Data_av = '1' then
|
if Data_av = '1' then
|
||||||
STD_OUT <= Zeros & Data;
|
|
||||||
STD_OUT_Av <= '1';
|
|
||||||
if (Data = "1111111") then
|
if (Data = "1111111") then
|
||||||
intern_value <= intern_value / 10;
|
intern_value <= intern_value / 10;
|
||||||
elsif (Data = "0001101") then
|
STD_OUT <= Zeros & Data;
|
||||||
|
STD_OUT_Av <= '1';
|
||||||
|
elsif (Data = "0001010") then
|
||||||
STD_IN <= std_logic_vector(to_unsigned(intern_value, Nb_bits));
|
STD_IN <= std_logic_vector(to_unsigned(intern_value, Nb_bits));
|
||||||
STD_IN_Av <= '1';
|
STD_IN_Av <= '1';
|
||||||
work_in_progress <= false;
|
work_in_progress <= false;
|
||||||
|
STD_OUT <= Zeros & Data;
|
||||||
|
STD_OUT_Av <= '1';
|
||||||
elsif (Data >= "0110000" and Data <= "0111001") then
|
elsif (Data >= "0110000" and Data <= "0111001") then
|
||||||
intern_value <= intern_value * 10 + to_integer(unsigned(Data(3 to 6)));
|
intern_value <= intern_value * 10 + to_integer(unsigned(Data(3 to 6)));
|
||||||
|
STD_OUT <= Zeros & Data;
|
||||||
|
STD_OUT_Av <= '1';
|
||||||
end if;
|
end if;
|
||||||
end if;
|
end if;
|
||||||
end if;
|
end if;
|
||||||
|
|
|
||||||
|
|
@ -32,7 +32,7 @@ architecture Behavioral of KeyboardToASCII is
|
||||||
begin
|
begin
|
||||||
|
|
||||||
CodeASCII <= "0000000" when (KeyCode = x"05") else -- F1 -> flush
|
CodeASCII <= "0000000" when (KeyCode = x"05") else -- F1 -> flush
|
||||||
"0001101" when (KeyCode = x"5a") else -- Enter
|
"0001010" when (KeyCode = x"5a") else -- Enter
|
||||||
"1111111" when (KeyCode = x"66") else -- Del
|
"1111111" when (KeyCode = x"66") else -- Del
|
||||||
"1000001" when (KeyCode = x"15") else -- A
|
"1000001" when (KeyCode = x"15") else -- A
|
||||||
"1000010" when (KeyCode = x"32") else -- B
|
"1000010" when (KeyCode = x"32") else -- B
|
||||||
|
|
|
||||||
File diff suppressed because one or more lines are too long
|
|
@ -73,57 +73,21 @@ begin
|
||||||
OutDataAv <= '1';
|
OutDataAv <= '1';
|
||||||
elsif x_to_send then
|
elsif x_to_send then
|
||||||
OutData <= "1111000";
|
OutData <= "1111000";
|
||||||
OutDataAv <= '1';
|
|
||||||
x_to_send <= false;
|
x_to_send <= false;
|
||||||
work_in_progess <= true;
|
work_in_progess <= true;
|
||||||
first_detected <= false;
|
|
||||||
elsif work_in_progess then
|
elsif work_in_progess then
|
||||||
case current_hexa is
|
if (current_hexa >= "0000" and current_hexa <= "1001") then
|
||||||
when "0000" =>
|
if (not(current_hexa = "0000") or first_detected or compteur = Nb_bits/4 - 1 ) then
|
||||||
if first_detected or compteur = Nb_bits/4 - 1 then
|
OutData <= "011" & current_hexa;
|
||||||
OutData <= "0110000";
|
|
||||||
end if;
|
|
||||||
when "0001" =>
|
|
||||||
OutData <= "0110001";
|
|
||||||
when "0010" =>
|
|
||||||
OutData <= "0110010";
|
|
||||||
when "0011" =>
|
|
||||||
OutData <= "0110011";
|
|
||||||
when "0100" =>
|
|
||||||
OutData <= "0110100";
|
|
||||||
when "0101" =>
|
|
||||||
OutData <= "0110101";
|
|
||||||
when "0110" =>
|
|
||||||
OutData <= "0110110";
|
|
||||||
when "0111" =>
|
|
||||||
OutData <= "0110111";
|
|
||||||
when "1000" =>
|
|
||||||
OutData <= "0111000";
|
|
||||||
when "1001" =>
|
|
||||||
OutData <= "0111001";
|
|
||||||
when "1010" =>
|
|
||||||
OutData <= "1000001";
|
|
||||||
when "1011" =>
|
|
||||||
OutData <= "1000010";
|
|
||||||
when "1100" =>
|
|
||||||
OutData <= "1000011";
|
|
||||||
when "1101" =>
|
|
||||||
OutData <= "1000100";
|
|
||||||
when "1110" =>
|
|
||||||
OutData <= "1000101";
|
|
||||||
when "1111" =>
|
|
||||||
OutData <= "1000110";
|
|
||||||
when others =>
|
|
||||||
OutData <= "0000001";
|
|
||||||
end case;
|
|
||||||
|
|
||||||
|
|
||||||
if first_detected or not (current_hexa = "0000") or compteur = Nb_bits/4 - 1 then
|
|
||||||
OutDataAv <= '1';
|
OutDataAv <= '1';
|
||||||
first_detected <= true;
|
first_detected <= true;
|
||||||
else
|
else
|
||||||
OutDataAv <= '0';
|
OutDataAv <= '0';
|
||||||
first_detected <= false;
|
end if;
|
||||||
|
else
|
||||||
|
OutData <= ("000" & current_hexa) + "0110111";
|
||||||
|
OutDataAv <= '1';
|
||||||
|
first_detected <= true;
|
||||||
end if;
|
end if;
|
||||||
|
|
||||||
if (compteur = Nb_bits/4 - 1) then
|
if (compteur = Nb_bits/4 - 1) then
|
||||||
|
|
|
||||||
|
|
@ -147,8 +147,8 @@ begin
|
||||||
Nb_Instructions => 32,
|
Nb_Instructions => 32,
|
||||||
Nb_Registres => 16,
|
Nb_Registres => 16,
|
||||||
Addr_registres_size => 4,
|
Addr_registres_size => 4,
|
||||||
Memoire_Size => 32,
|
Memoire_Size => 64,
|
||||||
Adresse_mem_size => 5,
|
Adresse_mem_size => 6,
|
||||||
Memoire_Adresses_Retour_Size => 4,
|
Memoire_Adresses_Retour_Size => 4,
|
||||||
Adresse_Memoire_Adresses_Retour_Size => 2)
|
Adresse_Memoire_Adresses_Retour_Size => 2)
|
||||||
port map (CLK => my_CLK,
|
port map (CLK => my_CLK,
|
||||||
|
|
|
||||||
|
|
@ -35,7 +35,7 @@
|
||||||
<Option Name="DSAVendor" Val="xilinx"/>
|
<Option Name="DSAVendor" Val="xilinx"/>
|
||||||
<Option Name="DSABoardId" Val="basys3"/>
|
<Option Name="DSABoardId" Val="basys3"/>
|
||||||
<Option Name="DSANumComputeUnits" Val="16"/>
|
<Option Name="DSANumComputeUnits" Val="16"/>
|
||||||
<Option Name="WTXSimLaunchSim" Val="543"/>
|
<Option Name="WTXSimLaunchSim" Val="573"/>
|
||||||
<Option Name="WTModelSimLaunchSim" Val="0"/>
|
<Option Name="WTModelSimLaunchSim" Val="0"/>
|
||||||
<Option Name="WTQuestaLaunchSim" Val="0"/>
|
<Option Name="WTQuestaLaunchSim" Val="0"/>
|
||||||
<Option Name="WTIesLaunchSim" Val="0"/>
|
<Option Name="WTIesLaunchSim" Val="0"/>
|
||||||
|
|
|
||||||
|
|
@ -12,13 +12,13 @@
|
||||||
</db_ref>
|
</db_ref>
|
||||||
</db_ref_list>
|
</db_ref_list>
|
||||||
<zoom_setting>
|
<zoom_setting>
|
||||||
<ZoomStartTime time="2944585505728fs"></ZoomStartTime>
|
<ZoomStartTime time="5854068601925fs"></ZoomStartTime>
|
||||||
<ZoomEndTime time="3965757255329fs"></ZoomEndTime>
|
<ZoomEndTime time="6116717879615fs"></ZoomEndTime>
|
||||||
<Cursor1Time time="7176632820000fs"></Cursor1Time>
|
<Cursor1Time time="7222943000000fs"></Cursor1Time>
|
||||||
</zoom_setting>
|
</zoom_setting>
|
||||||
<column_width_setting>
|
<column_width_setting>
|
||||||
<NameColumnWidth column_width="251"></NameColumnWidth>
|
<NameColumnWidth column_width="251"></NameColumnWidth>
|
||||||
<ValueColumnWidth column_width="185"></ValueColumnWidth>
|
<ValueColumnWidth column_width="177"></ValueColumnWidth>
|
||||||
</column_width_setting>
|
</column_width_setting>
|
||||||
<WVObjectSize size="7" />
|
<WVObjectSize size="7" />
|
||||||
<wvobject type="logic" fp_name="/TestSystem/CLK">
|
<wvobject type="logic" fp_name="/TestSystem/CLK">
|
||||||
|
|
@ -126,11 +126,25 @@
|
||||||
<obj_property name="ObjectShortName">B_from_4[15:0]</obj_property>
|
<obj_property name="ObjectShortName">B_from_4[15:0]</obj_property>
|
||||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||||
</wvobject>
|
</wvobject>
|
||||||
|
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/Instruction_to_5">
|
||||||
|
<obj_property name="ElementShortName">Instruction_to_5[4:0]</obj_property>
|
||||||
|
<obj_property name="ObjectShortName">Instruction_to_5[4:0]</obj_property>
|
||||||
|
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||||
|
</wvobject>
|
||||||
|
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/A_to_5">
|
||||||
|
<obj_property name="ElementShortName">A_to_5[15:0]</obj_property>
|
||||||
|
<obj_property name="ObjectShortName">A_to_5[15:0]</obj_property>
|
||||||
|
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||||
|
</wvobject>
|
||||||
|
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/B_to_5">
|
||||||
|
<obj_property name="ElementShortName">B_to_5[15:0]</obj_property>
|
||||||
|
<obj_property name="ObjectShortName">B_to_5[15:0]</obj_property>
|
||||||
|
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||||
|
</wvobject>
|
||||||
</wvobject>
|
</wvobject>
|
||||||
<wvobject type="group" fp_name="group133">
|
<wvobject type="group" fp_name="group133">
|
||||||
<obj_property name="label">Gestion Instructions</obj_property>
|
<obj_property name="label">Gestion Instructions</obj_property>
|
||||||
<obj_property name="DisplayName">label</obj_property>
|
<obj_property name="DisplayName">label</obj_property>
|
||||||
<obj_property name="isExpanded"></obj_property>
|
|
||||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/CLK">
|
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/CLK">
|
||||||
<obj_property name="ElementShortName">CLK</obj_property>
|
<obj_property name="ElementShortName">CLK</obj_property>
|
||||||
<obj_property name="ObjectShortName">CLK</obj_property>
|
<obj_property name="ObjectShortName">CLK</obj_property>
|
||||||
|
|
@ -154,6 +168,7 @@
|
||||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/Instruction">
|
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/Instruction">
|
||||||
<obj_property name="ElementShortName">Instruction[4:0]</obj_property>
|
<obj_property name="ElementShortName">Instruction[4:0]</obj_property>
|
||||||
<obj_property name="ObjectShortName">Instruction[4:0]</obj_property>
|
<obj_property name="ObjectShortName">Instruction[4:0]</obj_property>
|
||||||
|
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||||
</wvobject>
|
</wvobject>
|
||||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/Pointeur_instruction">
|
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/Pointeur_instruction">
|
||||||
<obj_property name="ElementShortName">Pointeur_instruction[8:0]</obj_property>
|
<obj_property name="ElementShortName">Pointeur_instruction[8:0]</obj_property>
|
||||||
|
|
@ -188,6 +203,7 @@
|
||||||
<wvobject type="group" fp_name="group132">
|
<wvobject type="group" fp_name="group132">
|
||||||
<obj_property name="label">Registres</obj_property>
|
<obj_property name="label">Registres</obj_property>
|
||||||
<obj_property name="DisplayName">label</obj_property>
|
<obj_property name="DisplayName">label</obj_property>
|
||||||
|
<obj_property name="isExpanded"></obj_property>
|
||||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/CLK">
|
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/CLK">
|
||||||
<obj_property name="ElementShortName">CLK</obj_property>
|
<obj_property name="ElementShortName">CLK</obj_property>
|
||||||
<obj_property name="ObjectShortName">CLK</obj_property>
|
<obj_property name="ObjectShortName">CLK</obj_property>
|
||||||
|
|
@ -196,6 +212,49 @@
|
||||||
<obj_property name="ElementShortName">W</obj_property>
|
<obj_property name="ElementShortName">W</obj_property>
|
||||||
<obj_property name="ObjectShortName">W</obj_property>
|
<obj_property name="ObjectShortName">W</obj_property>
|
||||||
</wvobject>
|
</wvobject>
|
||||||
|
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_2_A">
|
||||||
|
<obj_property name="ElementShortName">IN_2_A[15:0]</obj_property>
|
||||||
|
<obj_property name="ObjectShortName">IN_2_A[15:0]</obj_property>
|
||||||
|
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||||
|
</wvobject>
|
||||||
|
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_2_B">
|
||||||
|
<obj_property name="ElementShortName">IN_2_B[15:0]</obj_property>
|
||||||
|
<obj_property name="ObjectShortName">IN_2_B[15:0]</obj_property>
|
||||||
|
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||||
|
</wvobject>
|
||||||
|
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_2_C">
|
||||||
|
<obj_property name="ElementShortName">IN_2_C[15:0]</obj_property>
|
||||||
|
<obj_property name="ObjectShortName">IN_2_C[15:0]</obj_property>
|
||||||
|
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||||
|
</wvobject>
|
||||||
|
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_2_Instruction">
|
||||||
|
<obj_property name="ElementShortName">IN_2_Instruction[4:0]</obj_property>
|
||||||
|
<obj_property name="ObjectShortName">IN_2_Instruction[4:0]</obj_property>
|
||||||
|
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||||
|
</wvobject>
|
||||||
|
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_5_A">
|
||||||
|
<obj_property name="ElementShortName">IN_5_A[15:0]</obj_property>
|
||||||
|
<obj_property name="ObjectShortName">IN_5_A[15:0]</obj_property>
|
||||||
|
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||||
|
</wvobject>
|
||||||
|
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_5_B">
|
||||||
|
<obj_property name="ElementShortName">IN_5_B[15:0]</obj_property>
|
||||||
|
<obj_property name="ObjectShortName">IN_5_B[15:0]</obj_property>
|
||||||
|
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||||
|
</wvobject>
|
||||||
|
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_5_Instruction">
|
||||||
|
<obj_property name="ElementShortName">IN_5_Instruction[4:0]</obj_property>
|
||||||
|
<obj_property name="ObjectShortName">IN_5_Instruction[4:0]</obj_property>
|
||||||
|
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||||
|
</wvobject>
|
||||||
|
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/STD_IN">
|
||||||
|
<obj_property name="ElementShortName">STD_IN[15:0]</obj_property>
|
||||||
|
<obj_property name="ObjectShortName">STD_IN[15:0]</obj_property>
|
||||||
|
</wvobject>
|
||||||
|
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/STD_IN_Av">
|
||||||
|
<obj_property name="ElementShortName">STD_IN_Av</obj_property>
|
||||||
|
<obj_property name="ObjectShortName">STD_IN_Av</obj_property>
|
||||||
|
</wvobject>
|
||||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/AddrA">
|
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/AddrA">
|
||||||
<obj_property name="ElementShortName">AddrA[3:0]</obj_property>
|
<obj_property name="ElementShortName">AddrA[3:0]</obj_property>
|
||||||
<obj_property name="ObjectShortName">AddrA[3:0]</obj_property>
|
<obj_property name="ObjectShortName">AddrA[3:0]</obj_property>
|
||||||
|
|
@ -237,39 +296,39 @@
|
||||||
<obj_property name="label">Memoire</obj_property>
|
<obj_property name="label">Memoire</obj_property>
|
||||||
<obj_property name="DisplayName">label</obj_property>
|
<obj_property name="DisplayName">label</obj_property>
|
||||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/EBP">
|
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/EBP">
|
||||||
<obj_property name="ElementShortName">EBP[4:0]</obj_property>
|
<obj_property name="ElementShortName">EBP[5:0]</obj_property>
|
||||||
<obj_property name="ObjectShortName">EBP[4:0]</obj_property>
|
<obj_property name="ObjectShortName">EBP[5:0]</obj_property>
|
||||||
</wvobject>
|
</wvobject>
|
||||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/New_EBP">
|
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/New_EBP">
|
||||||
<obj_property name="ElementShortName">New_EBP[4:0]</obj_property>
|
<obj_property name="ElementShortName">New_EBP[5:0]</obj_property>
|
||||||
<obj_property name="ObjectShortName">New_EBP[4:0]</obj_property>
|
<obj_property name="ObjectShortName">New_EBP[5:0]</obj_property>
|
||||||
</wvobject>
|
</wvobject>
|
||||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/Addr_MemoireDonnees">
|
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/Addr_MemoireDonnees">
|
||||||
<obj_property name="ElementShortName">Addr_MemoireDonnees[4:0]</obj_property>
|
<obj_property name="ElementShortName">Addr_MemoireDonnees[5:0]</obj_property>
|
||||||
<obj_property name="ObjectShortName">Addr_MemoireDonnees[4:0]</obj_property>
|
<obj_property name="ObjectShortName">Addr_MemoireDonnees[5:0]</obj_property>
|
||||||
</wvobject>
|
</wvobject>
|
||||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/IN_Addr_MemoireDonnees">
|
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/IN_Addr_MemoireDonnees">
|
||||||
<obj_property name="ElementShortName">IN_Addr_MemoireDonnees[4:0]</obj_property>
|
<obj_property name="ElementShortName">IN_Addr_MemoireDonnees[5:0]</obj_property>
|
||||||
<obj_property name="ObjectShortName">IN_Addr_MemoireDonnees[4:0]</obj_property>
|
<obj_property name="ObjectShortName">IN_Addr_MemoireDonnees[5:0]</obj_property>
|
||||||
</wvobject>
|
</wvobject>
|
||||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/Addr_MemoireDonnees_EBP">
|
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/Addr_MemoireDonnees_EBP">
|
||||||
<obj_property name="ElementShortName">Addr_MemoireDonnees_EBP[4:0]</obj_property>
|
<obj_property name="ElementShortName">Addr_MemoireDonnees_EBP[5:0]</obj_property>
|
||||||
<obj_property name="ObjectShortName">Addr_MemoireDonnees_EBP[4:0]</obj_property>
|
<obj_property name="ObjectShortName">Addr_MemoireDonnees_EBP[5:0]</obj_property>
|
||||||
</wvobject>
|
</wvobject>
|
||||||
<wvobject type="group" fp_name="group197">
|
<wvobject type="group" fp_name="group197">
|
||||||
<obj_property name="label">MemoireDonnees</obj_property>
|
<obj_property name="label">MemoireDonnees</obj_property>
|
||||||
<obj_property name="DisplayName">label</obj_property>
|
<obj_property name="DisplayName">label</obj_property>
|
||||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/MEMORY">
|
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/MEMORY">
|
||||||
<obj_property name="ElementShortName">MEMORY[511:0]</obj_property>
|
<obj_property name="ElementShortName">MEMORY[1023:0]</obj_property>
|
||||||
<obj_property name="ObjectShortName">MEMORY[511:0]</obj_property>
|
<obj_property name="ObjectShortName">MEMORY[1023:0]</obj_property>
|
||||||
</wvobject>
|
</wvobject>
|
||||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/D_OUT">
|
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/D_OUT">
|
||||||
<obj_property name="ElementShortName">D_OUT[15:0]</obj_property>
|
<obj_property name="ElementShortName">D_OUT[15:0]</obj_property>
|
||||||
<obj_property name="ObjectShortName">D_OUT[15:0]</obj_property>
|
<obj_property name="ObjectShortName">D_OUT[15:0]</obj_property>
|
||||||
</wvobject>
|
</wvobject>
|
||||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/Addr">
|
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/Addr">
|
||||||
<obj_property name="ElementShortName">Addr[4:0]</obj_property>
|
<obj_property name="ElementShortName">Addr[5:0]</obj_property>
|
||||||
<obj_property name="ObjectShortName">Addr[4:0]</obj_property>
|
<obj_property name="ObjectShortName">Addr[5:0]</obj_property>
|
||||||
</wvobject>
|
</wvobject>
|
||||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/RW">
|
<wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/RW">
|
||||||
<obj_property name="ElementShortName">RW</obj_property>
|
<obj_property name="ElementShortName">RW</obj_property>
|
||||||
|
|
@ -316,16 +375,16 @@
|
||||||
<obj_property name="ObjectShortName">W</obj_property>
|
<obj_property name="ObjectShortName">W</obj_property>
|
||||||
</wvobject>
|
</wvobject>
|
||||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/D_IN">
|
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/D_IN">
|
||||||
<obj_property name="ElementShortName">D_IN[4:0]</obj_property>
|
<obj_property name="ElementShortName">D_IN[5:0]</obj_property>
|
||||||
<obj_property name="ObjectShortName">D_IN[4:0]</obj_property>
|
<obj_property name="ObjectShortName">D_IN[5:0]</obj_property>
|
||||||
</wvobject>
|
</wvobject>
|
||||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/D_OUT">
|
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/D_OUT">
|
||||||
<obj_property name="ElementShortName">D_OUT[4:0]</obj_property>
|
<obj_property name="ElementShortName">D_OUT[5:0]</obj_property>
|
||||||
<obj_property name="ObjectShortName">D_OUT[4:0]</obj_property>
|
<obj_property name="ObjectShortName">D_OUT[5:0]</obj_property>
|
||||||
</wvobject>
|
</wvobject>
|
||||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/MEMORY">
|
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/MEMORY">
|
||||||
<obj_property name="ElementShortName">MEMORY[19:0]</obj_property>
|
<obj_property name="ElementShortName">MEMORY[23:0]</obj_property>
|
||||||
<obj_property name="ObjectShortName">MEMORY[19:0]</obj_property>
|
<obj_property name="ObjectShortName">MEMORY[23:0]</obj_property>
|
||||||
</wvobject>
|
</wvobject>
|
||||||
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/Addr">
|
<wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/Addr">
|
||||||
<obj_property name="ElementShortName">Addr[2:0]</obj_property>
|
<obj_property name="ElementShortName">Addr[2:0]</obj_property>
|
||||||
|
|
@ -336,6 +395,7 @@
|
||||||
<wvobject type="group" fp_name="group136">
|
<wvobject type="group" fp_name="group136">
|
||||||
<obj_property name="label">PeripheriqueEcran</obj_property>
|
<obj_property name="label">PeripheriqueEcran</obj_property>
|
||||||
<obj_property name="DisplayName">label</obj_property>
|
<obj_property name="DisplayName">label</obj_property>
|
||||||
|
<obj_property name="isExpanded"></obj_property>
|
||||||
<wvobject type="group" fp_name="group216">
|
<wvobject type="group" fp_name="group216">
|
||||||
<obj_property name="label">VGAControleur</obj_property>
|
<obj_property name="label">VGAControleur</obj_property>
|
||||||
<obj_property name="DisplayName">label</obj_property>
|
<obj_property name="DisplayName">label</obj_property>
|
||||||
|
|
@ -383,6 +443,7 @@
|
||||||
<wvobject type="group" fp_name="group217">
|
<wvobject type="group" fp_name="group217">
|
||||||
<obj_property name="label">Ecran</obj_property>
|
<obj_property name="label">Ecran</obj_property>
|
||||||
<obj_property name="DisplayName">label</obj_property>
|
<obj_property name="DisplayName">label</obj_property>
|
||||||
|
<obj_property name="isExpanded"></obj_property>
|
||||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/CLK">
|
<wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/CLK">
|
||||||
<obj_property name="ElementShortName">CLK</obj_property>
|
<obj_property name="ElementShortName">CLK</obj_property>
|
||||||
<obj_property name="ObjectShortName">CLK</obj_property>
|
<obj_property name="ObjectShortName">CLK</obj_property>
|
||||||
|
|
@ -394,6 +455,7 @@
|
||||||
<wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/Data_IN">
|
<wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/Data_IN">
|
||||||
<obj_property name="ElementShortName">Data_IN[0:6]</obj_property>
|
<obj_property name="ElementShortName">Data_IN[0:6]</obj_property>
|
||||||
<obj_property name="ObjectShortName">Data_IN[0:6]</obj_property>
|
<obj_property name="ObjectShortName">Data_IN[0:6]</obj_property>
|
||||||
|
<obj_property name="Radix">BINARYRADIX</obj_property>
|
||||||
</wvobject>
|
</wvobject>
|
||||||
<wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/X">
|
<wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/X">
|
||||||
<obj_property name="ElementShortName">X</obj_property>
|
<obj_property name="ElementShortName">X</obj_property>
|
||||||
|
|
@ -410,6 +472,7 @@
|
||||||
<wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/Ecran">
|
<wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/Ecran">
|
||||||
<obj_property name="ElementShortName">Ecran[0:2239]</obj_property>
|
<obj_property name="ElementShortName">Ecran[0:2239]</obj_property>
|
||||||
<obj_property name="ObjectShortName">Ecran[0:2239]</obj_property>
|
<obj_property name="ObjectShortName">Ecran[0:2239]</obj_property>
|
||||||
|
<obj_property name="Radix">HEXRADIX</obj_property>
|
||||||
</wvobject>
|
</wvobject>
|
||||||
<wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/L">
|
<wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/L">
|
||||||
<obj_property name="ElementShortName">L[0:6]</obj_property>
|
<obj_property name="ElementShortName">L[0:6]</obj_property>
|
||||||
|
|
@ -418,6 +481,7 @@
|
||||||
<wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/C">
|
<wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/C">
|
||||||
<obj_property name="ElementShortName">C[0:6]</obj_property>
|
<obj_property name="ElementShortName">C[0:6]</obj_property>
|
||||||
<obj_property name="ObjectShortName">C[0:6]</obj_property>
|
<obj_property name="ObjectShortName">C[0:6]</obj_property>
|
||||||
|
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||||
</wvobject>
|
</wvobject>
|
||||||
<wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/InitialL">
|
<wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/InitialL">
|
||||||
<obj_property name="ElementShortName">InitialL[0:6]</obj_property>
|
<obj_property name="ElementShortName">InitialL[0:6]</obj_property>
|
||||||
|
|
@ -500,6 +564,7 @@
|
||||||
<wvobject type="group" fp_name="group159">
|
<wvobject type="group" fp_name="group159">
|
||||||
<obj_property name="label">Peripherique Clavier</obj_property>
|
<obj_property name="label">Peripherique Clavier</obj_property>
|
||||||
<obj_property name="DisplayName">label</obj_property>
|
<obj_property name="DisplayName">label</obj_property>
|
||||||
|
<obj_property name="isExpanded"></obj_property>
|
||||||
<wvobject type="group" fp_name="group160">
|
<wvobject type="group" fp_name="group160">
|
||||||
<obj_property name="label">Keyboard</obj_property>
|
<obj_property name="label">Keyboard</obj_property>
|
||||||
<obj_property name="DisplayName">label</obj_property>
|
<obj_property name="DisplayName">label</obj_property>
|
||||||
|
|
@ -535,6 +600,7 @@
|
||||||
<wvobject type="group" fp_name="group161">
|
<wvobject type="group" fp_name="group161">
|
||||||
<obj_property name="label">KeyboardDriver</obj_property>
|
<obj_property name="label">KeyboardDriver</obj_property>
|
||||||
<obj_property name="DisplayName">label</obj_property>
|
<obj_property name="DisplayName">label</obj_property>
|
||||||
|
<obj_property name="isExpanded"></obj_property>
|
||||||
<wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/CLK">
|
<wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/CLK">
|
||||||
<obj_property name="ElementShortName">CLK</obj_property>
|
<obj_property name="ElementShortName">CLK</obj_property>
|
||||||
<obj_property name="ObjectShortName">CLK</obj_property>
|
<obj_property name="ObjectShortName">CLK</obj_property>
|
||||||
|
|
@ -550,6 +616,7 @@
|
||||||
<wvobject type="array" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/Data">
|
<wvobject type="array" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/Data">
|
||||||
<obj_property name="ElementShortName">Data[0:6]</obj_property>
|
<obj_property name="ElementShortName">Data[0:6]</obj_property>
|
||||||
<obj_property name="ObjectShortName">Data[0:6]</obj_property>
|
<obj_property name="ObjectShortName">Data[0:6]</obj_property>
|
||||||
|
<obj_property name="Radix">BINARYRADIX</obj_property>
|
||||||
</wvobject>
|
</wvobject>
|
||||||
<wvobject type="array" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/STD_IN">
|
<wvobject type="array" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/STD_IN">
|
||||||
<obj_property name="ElementShortName">STD_IN[15:0]</obj_property>
|
<obj_property name="ElementShortName">STD_IN[15:0]</obj_property>
|
||||||
|
|
|
||||||
Loading…
Reference in a new issue