No Description
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
Yohan Simard 1c83b3863e rename cross assembler submodule 2 years ago
compilator-2000 @ ef75bd33b8 update submodules and global makefile 2 years ago
crossassemblor-2000 @ 0e9455b376 rename cross assembler submodule 2 years ago
processor-2000 @ 7409c89285 add submodules 2 years ago
.gitignore update submodules and global makefile 2 years ago
.gitmodules rename cross assembler submodule 2 years ago
Makefile rename cross assembler submodule 2 years ago
README.md Update README 2 years ago
test.c update submodules and global makefile 2 years ago

README.md

Ce dépôt inclut notre compilateur, un cross assembleur et le code VHDL de notre processeur.

Voici les commandes à entrer dans un terminal pour récupérer, compiler et exécuter le projet

# Clone le dépôt git avec les submodules
git clone --recurse-submodules https://git.etud.insa-toulouse.fr/ysimard/projet_systeme_info.git
cd projet_systeme_info
# Compile le compilateur et le cross assembleur
make
# Exécute le compilateur et le cross assembleur sur le fichier test.c
make test_cross_bin.txt