No Description
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

config_simu.wcfg 19KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334
  1. <?xml version="1.0" encoding="UTF-8"?>
  2. <wave_config>
  3. <wave_state>
  4. </wave_state>
  5. <db_ref_list>
  6. <db_ref path="/home/ysimard/Documents/4A/projet_compilateur/processor-2000/CPU_test_isim_beh.wdb" id="1" type="auto">
  7. <top_modules>
  8. <top_module name="cpu_test" />
  9. <top_module name="numeric_std" />
  10. <top_module name="std_logic_1164" />
  11. <top_module name="std_logic_arith" />
  12. <top_module name="std_logic_unsigned" />
  13. </top_modules>
  14. </db_ref>
  15. </db_ref_list>
  16. <WVObjectSize size="13" />
  17. <wvobject fp_name="/cpu_test/clk" type="logic" db_ref_id="1">
  18. <obj_property name="ElementShortName">clk</obj_property>
  19. <obj_property name="ObjectShortName">clk</obj_property>
  20. </wvobject>
  21. <wvobject fp_name="/cpu_test/rst" type="logic" db_ref_id="1">
  22. <obj_property name="ElementShortName">rst</obj_property>
  23. <obj_property name="ObjectShortName">rst</obj_property>
  24. </wvobject>
  25. <wvobject fp_name="/cpu_test/clk_period" type="other" db_ref_id="1">
  26. <obj_property name="ElementShortName">clk_period</obj_property>
  27. <obj_property name="ObjectShortName">clk_period</obj_property>
  28. </wvobject>
  29. <wvobject fp_name="/cpu_test/uut/instr_mem/q" type="array" db_ref_id="1">
  30. <obj_property name="ElementShortName">q[31:0]</obj_property>
  31. <obj_property name="ObjectShortName">q[31:0]</obj_property>
  32. </wvobject>
  33. <wvobject fp_name="/cpu_test/uut/ip" type="array" db_ref_id="1">
  34. <obj_property name="ElementShortName">ip[7:0]</obj_property>
  35. <obj_property name="ObjectShortName">ip[7:0]</obj_property>
  36. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  37. </wvobject>
  38. <wvobject fp_name="group39" type="group">
  39. <obj_property name="label">etage 1</obj_property>
  40. <obj_property name="DisplayName">label</obj_property>
  41. <wvobject fp_name="/cpu_test/uut/op1" type="array" db_ref_id="1">
  42. <obj_property name="ElementShortName">op1[7:0]</obj_property>
  43. <obj_property name="ObjectShortName">op1[7:0]</obj_property>
  44. <obj_property name="UseCustomSignalColor">true</obj_property>
  45. <obj_property name="CustomSignalColor">#ff00ff</obj_property>
  46. <obj_property name="Radix">HEXRADIX</obj_property>
  47. </wvobject>
  48. <wvobject fp_name="/cpu_test/uut/a1" type="array" db_ref_id="1">
  49. <obj_property name="ElementShortName">a1[7:0]</obj_property>
  50. <obj_property name="ObjectShortName">a1[7:0]</obj_property>
  51. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  52. </wvobject>
  53. <wvobject fp_name="/cpu_test/uut/b1" type="array" db_ref_id="1">
  54. <obj_property name="ElementShortName">b1[7:0]</obj_property>
  55. <obj_property name="ObjectShortName">b1[7:0]</obj_property>
  56. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  57. </wvobject>
  58. <wvobject fp_name="/cpu_test/uut/c1" type="array" db_ref_id="1">
  59. <obj_property name="ElementShortName">c1[7:0]</obj_property>
  60. <obj_property name="ObjectShortName">c1[7:0]</obj_property>
  61. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  62. </wvobject>
  63. </wvobject>
  64. <wvobject fp_name="group40" type="group">
  65. <obj_property name="label">etage 2</obj_property>
  66. <obj_property name="DisplayName">label</obj_property>
  67. <wvobject fp_name="/cpu_test/uut/op2_in" type="array" db_ref_id="1">
  68. <obj_property name="ElementShortName">op2_in[7:0]</obj_property>
  69. <obj_property name="ObjectShortName">op2_in[7:0]</obj_property>
  70. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  71. <obj_property name="UseCustomSignalColor">true</obj_property>
  72. <obj_property name="CustomSignalColor">#ff00ff</obj_property>
  73. </wvobject>
  74. <wvobject fp_name="/cpu_test/uut/a2_in" type="array" db_ref_id="1">
  75. <obj_property name="ElementShortName">a2_in[7:0]</obj_property>
  76. <obj_property name="ObjectShortName">a2_in[7:0]</obj_property>
  77. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  78. </wvobject>
  79. <wvobject fp_name="/cpu_test/uut/b2_in" type="array" db_ref_id="1">
  80. <obj_property name="ElementShortName">b2_in[7:0]</obj_property>
  81. <obj_property name="ObjectShortName">b2_in[7:0]</obj_property>
  82. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  83. </wvobject>
  84. <wvobject fp_name="/cpu_test/uut/c2_in" type="array" db_ref_id="1">
  85. <obj_property name="ElementShortName">c2_in[7:0]</obj_property>
  86. <obj_property name="ObjectShortName">c2_in[7:0]</obj_property>
  87. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  88. </wvobject>
  89. <wvobject fp_name="/cpu_test/uut/op2" type="array" db_ref_id="1">
  90. <obj_property name="ElementShortName">op2[7:0]</obj_property>
  91. <obj_property name="ObjectShortName">op2[7:0]</obj_property>
  92. <obj_property name="UseCustomSignalColor">true</obj_property>
  93. <obj_property name="CustomSignalColor">#ff00ff</obj_property>
  94. <obj_property name="Radix">HEXRADIX</obj_property>
  95. </wvobject>
  96. <wvobject fp_name="/cpu_test/uut/a2" type="array" db_ref_id="1">
  97. <obj_property name="ElementShortName">a2[7:0]</obj_property>
  98. <obj_property name="ObjectShortName">a2[7:0]</obj_property>
  99. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  100. </wvobject>
  101. <wvobject fp_name="/cpu_test/uut/b2" type="array" db_ref_id="1">
  102. <obj_property name="ElementShortName">b2[7:0]</obj_property>
  103. <obj_property name="ObjectShortName">b2[7:0]</obj_property>
  104. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  105. </wvobject>
  106. <wvobject fp_name="/cpu_test/uut/c2" type="array" db_ref_id="1">
  107. <obj_property name="ElementShortName">c2[7:0]</obj_property>
  108. <obj_property name="ObjectShortName">c2[7:0]</obj_property>
  109. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  110. </wvobject>
  111. </wvobject>
  112. <wvobject fp_name="group41" type="group">
  113. <obj_property name="label">etage 3</obj_property>
  114. <obj_property name="DisplayName">label</obj_property>
  115. <wvobject fp_name="/cpu_test/uut/op3" type="array" db_ref_id="1">
  116. <obj_property name="ElementShortName">op3[7:0]</obj_property>
  117. <obj_property name="ObjectShortName">op3[7:0]</obj_property>
  118. <obj_property name="UseCustomSignalColor">true</obj_property>
  119. <obj_property name="CustomSignalColor">#ff00ff</obj_property>
  120. <obj_property name="Radix">HEXRADIX</obj_property>
  121. </wvobject>
  122. <wvobject fp_name="/cpu_test/uut/a3" type="array" db_ref_id="1">
  123. <obj_property name="ElementShortName">a3[7:0]</obj_property>
  124. <obj_property name="ObjectShortName">a3[7:0]</obj_property>
  125. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  126. </wvobject>
  127. <wvobject fp_name="/cpu_test/uut/b3" type="array" db_ref_id="1">
  128. <obj_property name="ElementShortName">b3[7:0]</obj_property>
  129. <obj_property name="ObjectShortName">b3[7:0]</obj_property>
  130. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  131. </wvobject>
  132. </wvobject>
  133. <wvobject fp_name="group42" type="group">
  134. <obj_property name="label">etage 4</obj_property>
  135. <obj_property name="DisplayName">label</obj_property>
  136. <wvobject fp_name="/cpu_test/uut/op4" type="array" db_ref_id="1">
  137. <obj_property name="ElementShortName">op4[7:0]</obj_property>
  138. <obj_property name="ObjectShortName">op4[7:0]</obj_property>
  139. <obj_property name="UseCustomSignalColor">true</obj_property>
  140. <obj_property name="CustomSignalColor">#ff00ff</obj_property>
  141. <obj_property name="Radix">HEXRADIX</obj_property>
  142. </wvobject>
  143. <wvobject fp_name="/cpu_test/uut/a4" type="array" db_ref_id="1">
  144. <obj_property name="ElementShortName">a4[7:0]</obj_property>
  145. <obj_property name="ObjectShortName">a4[7:0]</obj_property>
  146. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  147. </wvobject>
  148. <wvobject fp_name="/cpu_test/uut/b4" type="array" db_ref_id="1">
  149. <obj_property name="ElementShortName">b4[7:0]</obj_property>
  150. <obj_property name="ObjectShortName">b4[7:0]</obj_property>
  151. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  152. </wvobject>
  153. </wvobject>
  154. <wvobject fp_name="group62" type="group">
  155. <obj_property name="label">registres</obj_property>
  156. <obj_property name="DisplayName">label</obj_property>
  157. <wvobject fp_name="/cpu_test/uut/reg/rb" type="array" db_ref_id="1">
  158. <obj_property name="ElementShortName">rb[15:0]</obj_property>
  159. <obj_property name="ObjectShortName">rb[15:0]</obj_property>
  160. <obj_property name="UseCustomSignalColor">true</obj_property>
  161. <obj_property name="CustomSignalColor">#00ffff</obj_property>
  162. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  163. <wvobject fp_name="/cpu_test/uut/reg/rb[15]" type="array" db_ref_id="1">
  164. <obj_property name="ElementShortName">[15]</obj_property>
  165. <obj_property name="ObjectShortName">rb[15]</obj_property>
  166. <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
  167. </wvobject>
  168. <wvobject fp_name="/cpu_test/uut/reg/rb[14]" type="array" db_ref_id="1">
  169. <obj_property name="ElementShortName">[14]</obj_property>
  170. <obj_property name="ObjectShortName">rb[14]</obj_property>
  171. <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
  172. </wvobject>
  173. <wvobject fp_name="/cpu_test/uut/reg/rb[13]" type="array" db_ref_id="1">
  174. <obj_property name="ElementShortName">[13]</obj_property>
  175. <obj_property name="ObjectShortName">rb[13]</obj_property>
  176. <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
  177. </wvobject>
  178. <wvobject fp_name="/cpu_test/uut/reg/rb[12]" type="array" db_ref_id="1">
  179. <obj_property name="ElementShortName">[12]</obj_property>
  180. <obj_property name="ObjectShortName">rb[12]</obj_property>
  181. <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
  182. </wvobject>
  183. <wvobject fp_name="/cpu_test/uut/reg/rb[11]" type="array" db_ref_id="1">
  184. <obj_property name="ElementShortName">[11]</obj_property>
  185. <obj_property name="ObjectShortName">rb[11]</obj_property>
  186. <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
  187. </wvobject>
  188. <wvobject fp_name="/cpu_test/uut/reg/rb[10]" type="array" db_ref_id="1">
  189. <obj_property name="ElementShortName">[10]</obj_property>
  190. <obj_property name="ObjectShortName">rb[10]</obj_property>
  191. <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
  192. </wvobject>
  193. <wvobject fp_name="/cpu_test/uut/reg/rb[9]" type="array" db_ref_id="1">
  194. <obj_property name="ElementShortName">[9]</obj_property>
  195. <obj_property name="ObjectShortName">rb[9]</obj_property>
  196. <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
  197. </wvobject>
  198. <wvobject fp_name="/cpu_test/uut/reg/rb[8]" type="array" db_ref_id="1">
  199. <obj_property name="ElementShortName">[8]</obj_property>
  200. <obj_property name="ObjectShortName">rb[8]</obj_property>
  201. <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
  202. </wvobject>
  203. <wvobject fp_name="/cpu_test/uut/reg/rb[7]" type="array" db_ref_id="1">
  204. <obj_property name="ElementShortName">[7]</obj_property>
  205. <obj_property name="ObjectShortName">rb[7]</obj_property>
  206. <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
  207. </wvobject>
  208. <wvobject fp_name="/cpu_test/uut/reg/rb[6]" type="array" db_ref_id="1">
  209. <obj_property name="ElementShortName">[6]</obj_property>
  210. <obj_property name="ObjectShortName">rb[6]</obj_property>
  211. <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
  212. </wvobject>
  213. <wvobject fp_name="/cpu_test/uut/reg/rb[5]" type="array" db_ref_id="1">
  214. <obj_property name="ElementShortName">[5]</obj_property>
  215. <obj_property name="ObjectShortName">rb[5]</obj_property>
  216. <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
  217. </wvobject>
  218. <wvobject fp_name="/cpu_test/uut/reg/rb[4]" type="array" db_ref_id="1">
  219. <obj_property name="ElementShortName">[4]</obj_property>
  220. <obj_property name="ObjectShortName">rb[4]</obj_property>
  221. <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
  222. </wvobject>
  223. <wvobject fp_name="/cpu_test/uut/reg/rb[3]" type="array" db_ref_id="1">
  224. <obj_property name="ElementShortName">[3]</obj_property>
  225. <obj_property name="ObjectShortName">rb[3]</obj_property>
  226. <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
  227. </wvobject>
  228. <wvobject fp_name="/cpu_test/uut/reg/rb[2]" type="array" db_ref_id="1">
  229. <obj_property name="ElementShortName">[2]</obj_property>
  230. <obj_property name="ObjectShortName">rb[2]</obj_property>
  231. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  232. </wvobject>
  233. <wvobject fp_name="/cpu_test/uut/reg/rb[1]" type="array" db_ref_id="1">
  234. <obj_property name="ElementShortName">[1]</obj_property>
  235. <obj_property name="ObjectShortName">rb[1]</obj_property>
  236. <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
  237. </wvobject>
  238. <wvobject fp_name="/cpu_test/uut/reg/rb[0]" type="array" db_ref_id="1">
  239. <obj_property name="ElementShortName">[0]</obj_property>
  240. <obj_property name="ObjectShortName">rb[0]</obj_property>
  241. <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
  242. </wvobject>
  243. </wvobject>
  244. <wvobject fp_name="/cpu_test/uut/reg/addr_a" type="array" db_ref_id="1">
  245. <obj_property name="ElementShortName">addr_a[3:0]</obj_property>
  246. <obj_property name="ObjectShortName">addr_a[3:0]</obj_property>
  247. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  248. </wvobject>
  249. <wvobject fp_name="/cpu_test/uut/reg/addr_w" type="array" db_ref_id="1">
  250. <obj_property name="DisplayName">label</obj_property>
  251. <obj_property name="ElementShortName">addr_w[3:0]</obj_property>
  252. <obj_property name="ObjectShortName">addr_w[3:0]</obj_property>
  253. <obj_property name="label">addr_w[3:0]</obj_property>
  254. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  255. </wvobject>
  256. <wvobject fp_name="/cpu_test/uut/reg/w" type="logic" db_ref_id="1">
  257. <obj_property name="ElementShortName">w</obj_property>
  258. <obj_property name="ObjectShortName">w</obj_property>
  259. </wvobject>
  260. <wvobject fp_name="/cpu_test/uut/reg/data" type="array" db_ref_id="1">
  261. <obj_property name="ElementShortName">data[7:0]</obj_property>
  262. <obj_property name="ObjectShortName">data[7:0]</obj_property>
  263. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  264. </wvobject>
  265. </wvobject>
  266. <wvobject fp_name="/cpu_test/uut/data_mem/memory[8]" type="array" db_ref_id="1">
  267. <obj_property name="ElementShortName">[8]</obj_property>
  268. <obj_property name="ObjectShortName">memory[8]</obj_property>
  269. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  270. <obj_property name="UseCustomSignalColor">true</obj_property>
  271. <obj_property name="CustomSignalColor">#008080</obj_property>
  272. </wvobject>
  273. <wvobject fp_name="/cpu_test/uut/data_mem/memory[20]" type="array" db_ref_id="1">
  274. <obj_property name="ElementShortName">[20]</obj_property>
  275. <obj_property name="ObjectShortName">memory[20]</obj_property>
  276. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  277. <obj_property name="UseCustomSignalColor">true</obj_property>
  278. <obj_property name="CustomSignalColor">#008080</obj_property>
  279. </wvobject>
  280. <wvobject fp_name="group61" type="group">
  281. <obj_property name="label">aleas</obj_property>
  282. <obj_property name="DisplayName">label</obj_property>
  283. <wvobject fp_name="/cpu_test/uut/alea_write_p3" type="logic" db_ref_id="1">
  284. <obj_property name="ElementShortName">alea_write_p3</obj_property>
  285. <obj_property name="ObjectShortName">alea_write_p3</obj_property>
  286. </wvobject>
  287. <wvobject fp_name="/cpu_test/uut/alea_write_p3_reg" type="array" db_ref_id="1">
  288. <obj_property name="ElementShortName">alea_write_p3_reg[3:0]</obj_property>
  289. <obj_property name="ObjectShortName">alea_write_p3_reg[3:0]</obj_property>
  290. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  291. <obj_property name="UseCustomSignalColor">true</obj_property>
  292. <obj_property name="CustomSignalColor">#808000</obj_property>
  293. </wvobject>
  294. <wvobject fp_name="/cpu_test/uut/alea_write_p2" type="logic" db_ref_id="1">
  295. <obj_property name="ElementShortName">alea_write_p2</obj_property>
  296. <obj_property name="ObjectShortName">alea_write_p2</obj_property>
  297. </wvobject>
  298. <wvobject fp_name="/cpu_test/uut/alea_write_p2_reg" type="array" db_ref_id="1">
  299. <obj_property name="ElementShortName">alea_write_p2_reg[3:0]</obj_property>
  300. <obj_property name="ObjectShortName">alea_write_p2_reg[3:0]</obj_property>
  301. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  302. <obj_property name="UseCustomSignalColor">true</obj_property>
  303. <obj_property name="CustomSignalColor">#808000</obj_property>
  304. </wvobject>
  305. <wvobject fp_name="/cpu_test/uut/alea_read_b_p1" type="logic" db_ref_id="1">
  306. <obj_property name="ElementShortName">alea_read_b_p1</obj_property>
  307. <obj_property name="ObjectShortName">alea_read_b_p1</obj_property>
  308. </wvobject>
  309. <wvobject fp_name="/cpu_test/uut/alea_read_b_p1_reg" type="array" db_ref_id="1">
  310. <obj_property name="ElementShortName">alea_read_b_p1_reg[3:0]</obj_property>
  311. <obj_property name="ObjectShortName">alea_read_b_p1_reg[3:0]</obj_property>
  312. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  313. <obj_property name="UseCustomSignalColor">true</obj_property>
  314. <obj_property name="CustomSignalColor">#808000</obj_property>
  315. </wvobject>
  316. <wvobject fp_name="/cpu_test/uut/alea_read_c_p1" type="logic" db_ref_id="1">
  317. <obj_property name="ElementShortName">alea_read_c_p1</obj_property>
  318. <obj_property name="ObjectShortName">alea_read_c_p1</obj_property>
  319. </wvobject>
  320. <wvobject fp_name="/cpu_test/uut/alea_read_c_p1_reg" type="array" db_ref_id="1">
  321. <obj_property name="ElementShortName">alea_read_c_p1_reg[3:0]</obj_property>
  322. <obj_property name="ObjectShortName">alea_read_c_p1_reg[3:0]</obj_property>
  323. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  324. <obj_property name="UseCustomSignalColor">true</obj_property>
  325. <obj_property name="CustomSignalColor">#808000</obj_property>
  326. </wvobject>
  327. <wvobject fp_name="/cpu_test/uut/alea" type="logic" db_ref_id="1">
  328. <obj_property name="ElementShortName">alea</obj_property>
  329. <obj_property name="ObjectShortName">alea</obj_property>
  330. <obj_property name="UseCustomSignalColor">true</obj_property>
  331. <obj_property name="CustomSignalColor">#ff0000</obj_property>
  332. </wvobject>
  333. </wvobject>
  334. </wave_config>