From 8a7685b049787fe8c9526ed0636b47cda3d38ecd Mon Sep 17 00:00:00 2001 From: Lacroix Raphael Date: Tue, 30 May 2023 08:46:35 +0200 Subject: [PATCH 1/3] xilinx generqted files --- VHDL/ALU/ALU.cache/wt/gui_handlers.wdf | 28 ++++++++------- .../ALU.cache/wt/java_command_handlers.wdf | 6 ++-- VHDL/ALU/ALU.cache/wt/webtalk_pa.xml | 32 ++++++++++-------- .../sim_1/behav/xsim/Test_total_behav.wdb | Bin 24275 -> 25317 bytes .../ALU/ALU.sim/sim_1/behav/xsim/simulate.log | 2 ++ .../webtalk/.xsim_webtallk.info | 2 +- .../Test_total_behav/webtalk/xsim_webtalk.tcl | 6 ++-- .../xsim.dir/Test_total_behav/xsimkernel.log | 3 ++ VHDL/ALU/Test_Alu_behav.wcfg | 12 +++---- 9 files changed, 50 insertions(+), 41 deletions(-) diff --git a/VHDL/ALU/ALU.cache/wt/gui_handlers.wdf b/VHDL/ALU/ALU.cache/wt/gui_handlers.wdf index e28902b..80ffaa4 100644 --- a/VHDL/ALU/ALU.cache/wt/gui_handlers.wdf +++ b/VHDL/ALU/ALU.cache/wt/gui_handlers.wdf @@ -3,11 +3,12 @@ version:1 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6162737472616374636f6d62696e656470616e656c5f72656d6f76655f73656c65637465645f656c656d656e7473:3133:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61646473726377697a6172645f737065636966795f6f725f6372656174655f636f6e73747261696e745f66696c6573:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61646473726377697a6172645f737065636966795f73696d756c6174696f6e5f73706563696669635f68646c5f66696c6573:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f63616e63656c:3131:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:3539:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f63616e63656c:3132:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:3630:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636d646d73676469616c6f675f6d65737361676573:34:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636d646d73676469616c6f675f6f6b:3234:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636d646d73676469616c6f675f6f70656e5f6d657373616765735f76696577:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6d6d616e6473696e7075745f747970655f74636c5f636f6d6d616e645f68657265:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6164645f6578697374696e675f6f725f6372656174655f6e65775f636f6e73747261696e7473:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6164645f66696c6573:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6372656174655f66696c65:31:00:00 @@ -19,12 +20,12 @@ version:1 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:65787072756e7472656570616e656c5f6578705f72756e5f747265655f7461626c65:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:333039:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f6d65737361676573:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:313034:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:313036:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6372656174655f6e65775f70726f6a656374:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6f70656e5f70726f6a656374:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f666974:35:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f696e:3438:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f6f7574:3531:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f666974:36:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f696e:3439:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f6f7574:3630:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68636f6465656469746f725f636c6f7365:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68636f6465656469746f725f7365617263685f746578745f636f6d626f5f626f78:33:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68706f7075707469746c655f636c6f7365:31:00:00 @@ -56,11 +57,11 @@ version:1 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6164645f736f7572636573:3135:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f7570646174655f68696572:37:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6c6f675f77696e646f77:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7265706f7274735f77696e646f77:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7265706f7274735f77696e646f77:33:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f72756e5f73796e746865736973:33:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7365745f61735f746f70:34:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72656c61756e6368:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e5f6265686176696f72616c:3737:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e5f6265686176696f72616c:3739:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e5f706f73745f73796e7468657369735f66756e6374696f6e616c:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7372635f7265706c6163655f66696c65:35:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f636f6465:3230:00:00 @@ -68,18 +69,19 @@ version:1 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f70726f6a6563745f73756d6d617279:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706c616e61686561647461625f73686f775f666c6f775f6e6176696761746f72:33:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7072696d617279636c6f636b7370616e656c5f7265636f6d6d656e6465645f636f6e73747261696e74735f7461626c65:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f67726573736469616c6f675f6261636b67726f756e64:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f67726573736469616c6f675f63616e63656c:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a65637473657474696e67736761646765745f656469745f70726f6a6563745f73657474696e6773:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563747461625f72656c6f6164:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f64656c657465:33:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f736176655f66696c65:3130:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72646976696577735f77617665666f726d5f766965776572:313139:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72646976696577735f77617665666f726d5f766965776572:313637:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72746c6f7074696f6e7370616e656c5f73656c6563745f746f705f6d6f64756c655f6f665f796f75725f64657369676e:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72656d6f7665736f75726365736469616c6f675f616c736f5f64656c657465:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7361766570726f6a6563747574696c735f73617665:39:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73657474696e67736469616c6f675f70726f6a6563745f74726565:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d756c6174696f6e6f626a6563747370616e656c5f73696d756c6174696f6e5f6f626a656374735f747265655f7461626c65:3130:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d756c6174696f6e73636f70657370616e656c5f73696d756c6174655f73636f70655f7461626c65:3235:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d756c6174696f6e73636f70657370616e656c5f73696d756c6174655f73636f70655f7461626c65:3238:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f68646c5f616e645f6e65746c6973745f66696c65735f746f5f796f75725f70726f6a656374:33:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f6f725f6372656174655f736f757263655f66696c65:3138:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6372656174655f66696c65:3133:00:00 @@ -87,17 +89,17 @@ version:1 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73746174656d6f6e69746f725f72657365745f72756e:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636167657474696e6773746172746564766965775f726563656e745f70726f6a65637473:34:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636173746174656d6f6e69746f725f63616e63656c:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7461736b62616e6e65725f636c6f7365:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7461736b62616e6e65725f636c6f7365:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74636c636f6e736f6c65766965775f74636c5f636f6e736f6c655f636f64655f656469746f72:3231:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74696d696e67636f6e73747261696e747377697a6172645f6372656174655f636865636b5f74696d696e675f7265706f7274:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74696d696e67636f6e73747261696e747377697a6172645f6372656174655f6d6574686f646f6c6f67795f7265706f7274:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74696d696e67636f6e73747261696e747377697a6172645f6372656174655f74696d696e675f73756d6d6172795f7265706f7274:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74696d696e67636f6e73747261696e747377697a6172645f766965775f74696d696e675f636f6e73747261696e7473:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d6e616d65747265655f77617665666f726d5f6e616d655f74726565:3531:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d6e616d65747265655f77617665666f726d5f6e616d655f74726565:3635:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d6f7074696f6e73766965775f72657365745f746f5f64656661756c7473:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d6f7074696f6e73766965775f73686f775f7369676e616c5f696e6469636573:34:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d766965775f6164645f6d61726b6572:34:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d766965775f676f746f5f6c6173745f74696d65:34:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d766965775f676f746f5f74696d655f30:33:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d766965775f6e6578745f6d61726b6572:33:00:00 -eof:2409938427 +eof:2706062306 diff --git a/VHDL/ALU/ALU.cache/wt/java_command_handlers.wdf b/VHDL/ALU/ALU.cache/wt/java_command_handlers.wdf index 84fd2ef..1022ec2 100644 --- a/VHDL/ALU/ALU.cache/wt/java_command_handlers.wdf +++ b/VHDL/ALU/ALU.cache/wt/java_command_handlers.wdf @@ -7,12 +7,12 @@ version:1 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e70726f6a656374:33:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e696d706c656d656e746174696f6e:33:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e73796e746865736973:39:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73686f7776696577:36:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73686f7776696577:37:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72656c61756e6368:33:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72756e:3736:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72756e:3738:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:74696d696e67636f6e73747261696e747377697a617264:32:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:746f67676c65766965776e6176696761746f72:33:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:746f6f6c7373657474696e6773:35:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:757064617465736f7572636566696c6573:35:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b696d706c656d656e746174696f6e:31:00:00 -eof:900808538 +eof:1901464571 diff --git a/VHDL/ALU/ALU.cache/wt/webtalk_pa.xml b/VHDL/ALU/ALU.cache/wt/webtalk_pa.xml index c5d8014..7389f3a 100644 --- a/VHDL/ALU/ALU.cache/wt/webtalk_pa.xml +++ b/VHDL/ALU/ALU.cache/wt/webtalk_pa.xml @@ -3,7 +3,7 @@ - +
@@ -25,9 +25,9 @@ This means code written to parse this file will need to be revisited each subseq - + - + @@ -39,11 +39,12 @@ This means code written to parse this file will need to be revisited each subseq - - + + + @@ -55,12 +56,12 @@ This means code written to parse this file will need to be revisited each subseq - + - - - + + + @@ -92,11 +93,11 @@ This means code written to parse this file will need to be revisited each subseq - + - + @@ -104,18 +105,19 @@ This means code written to parse this file will need to be revisited each subseq + - + - + @@ -123,13 +125,13 @@ This means code written to parse this file will need to be revisited each subseq - + - + diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_total_behav.wdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_total_behav.wdb index df20a833fee7626f7f84bd39a5ca195062988038..b6ba277c6db2f784948f7f08eb3893dd477d492b 100644 GIT binary patch delta 1219 zcmcb-m+|RQ#tjvW%nnZcldBnZC*SAeV7eT)c@tx%E7Rk+&4TW7%#4?TR6nB-BLf4& zym}x31>52w(g(0hmnA@?AAqGn26Mr5h9yFz&SBT7k_3@H2-jK91l6hqr7IZUUfj!j z$Uva&;bFHwogH#gR%#Ajl7^g%12!^nx3ESuv;|7As()miziy#xRqT;{duRbZ0o-K&96_0$eXhyyM8D1y3Th7uh;#;o2V>ucbb0OsLx{dAJ_qMNm z`>^S>+28uCk5gYX{k4_dyMjZnLU!6RrCksHM9l1Zz+}13*R{m)_ZlD9g2sDKt}DmC zmH5J2q0qp<#6c|aXX^p>mS~A*KtElw=4&<(a1A_uZoT88<%yb_!JCdu(NR2IpI|wK zXWRUyMH?@V^nBtU=FM{HDO85KkwOxMLZcnbUT(kV^oA>rb`>t_*8vNz+ z)`|Py+}~3?@A;(HF=rniJ%8P8U(DZMKc786V3JVcShPPl=>F?JH(zgC*{Bt=&TXoV z>(i_&<$I;(75`qR$7GD+{(AiW`LLf!+H|jQ8psEyL9ya`G2!z`wvFkooKq44Sycnt zycfuvS|}3t$R={*8M%ellTxcqy3~#v^Qc~WYa*|Ay7tzlYb!*jT`27Pz3fTt_d4Fc zezTpqtry^ty=6t^=BJhLN{Ahzpq)LI_cW_Mc1bN z{8htawSJoa^T+1b_pVy}d#0cR10#z7kwhIw4a2`#qVquBapY@O5NJ(gF*SX8Xsh@K z7GE2`>9$_|PcA+FBH`B%u9SG&_jA3!Q-h%Uj|)3x`m1GhW<~$sR<`+B@r#R_y(`aN z&EGe7zO(iJjeDkQ6@GckzppLpp-oulU7@vW{~Z)8*>Y%#+a=>8B1!Akd$T6lvDB{# su6dXxyyx`(hIQYg)t|5bdb%oh_p5CCFL*MH6lVIf7qMVmnZ#cV0Bis0bN~PV delta 81 zcmaEQl=1Rj#tjvW6AL&dH!x~UED+qhlQGG4v%WhI^JWA06^u;t>NhL8OMy5`kU88S P5pL#5jDAQeRxknpu+tjU diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.log index e69de29..2cc3412 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.log +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.log @@ -0,0 +1,2 @@ +Vivado Simulator 2018.2 +Time resolution is 1 ps diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/.xsim_webtallk.info b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/.xsim_webtallk.info index fce4d4a..60f0a1f 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/.xsim_webtallk.info +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/.xsim_webtallk.info @@ -1,5 +1,5 @@ 1685389741 1685390103 -17 +18 1 aef36ef3a0d94dac9e6058b656907afd diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl index b938c76..065616b 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl @@ -1,6 +1,6 @@ webtalk_init -webtalk_dir /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/ webtalk_register_client -client project -webtalk_add_data -client project -key date_generated -value "Tue May 30 00:45:27 2023" -context "software_version_and_target_device" +webtalk_add_data -client project -key date_generated -value "Tue May 30 00:50:26 2023" -context "software_version_and_target_device" webtalk_add_data -client project -key product_version -value "XSIM v2018.2 (64-bit)" -context "software_version_and_target_device" webtalk_add_data -client project -key build_version -value "2258646" -context "software_version_and_target_device" webtalk_add_data -client project -key os_platform -value "LIN64" -context "software_version_and_target_device" @@ -14,7 +14,7 @@ webtalk_add_data -client project -key target_package -value "not_applicable" -co webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device" webtalk_add_data -client project -key random_id -value "6ef722b6-53ec-42dc-bc5c-9d79054a9923" -context "software_version_and_target_device" webtalk_add_data -client project -key project_id -value "aef36ef3a0d94dac9e6058b656907afd" -context "software_version_and_target_device" -webtalk_add_data -client project -key project_iteration -value "16" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_iteration -value "17" -context "software_version_and_target_device" webtalk_add_data -client project -key os_name -value "Ubuntu" -context "user_environment" webtalk_add_data -client project -key os_release -value "Ubuntu 20.04.6 LTS" -context "user_environment" webtalk_add_data -client project -key cpu_name -value "Intel(R) Xeon(R) Silver 4216 CPU @ 2.10GHz" -context "user_environment" @@ -28,5 +28,5 @@ webtalk_add_data -client xsim -key runtime -value "1 us" -context "xsim\\usage" webtalk_add_data -client xsim -key iteration -value "4" -context "xsim\\usage" webtalk_add_data -client xsim -key Simulation_Time -value "0.06_sec" -context "xsim\\usage" webtalk_add_data -client xsim -key Simulation_Memory -value "118556_KB" -context "xsim\\usage" -webtalk_transmit -clientid 2993264675 -regid "" -xml /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.xml -html /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.html -wdm /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" +webtalk_transmit -clientid 2174300005 -regid "" -xml /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.xml -html /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.html -wdm /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" webtalk_terminate diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsimkernel.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsimkernel.log index 7b9e937..2424516 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsimkernel.log +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsimkernel.log @@ -2,3 +2,6 @@ Running: xsim.dir/Test_total_behav/xsimk -simmode gui -wdb Test_total_behav.wdb Design successfully loaded Design Loading Memory Usage: 32720 KB (Peak: 32772 KB) Design Loading CPU Usage: 60 ms +Simulation completed +Simulation Memory Usage: 118556 KB (Peak: 179992 KB) +Simulation CPU Usage: 60 ms diff --git a/VHDL/ALU/Test_Alu_behav.wcfg b/VHDL/ALU/Test_Alu_behav.wcfg index 8496043..62614dd 100644 --- a/VHDL/ALU/Test_Alu_behav.wcfg +++ b/VHDL/ALU/Test_Alu_behav.wcfg @@ -11,17 +11,17 @@ - - + + - - + + - - + + Clk From 576b41da4d5e3dfed85022e5648dba0fc0217885 Mon Sep 17 00:00:00 2001 From: Lacroix Raphael Date: Tue, 30 May 2023 13:38:05 +0200 Subject: [PATCH 2/3] fixed data path and aleas --- VHDL/ALU/ALU.cache/wt/gui_handlers.wdf | 97 +++-- .../ALU.cache/wt/java_command_handlers.wdf | 25 +- VHDL/ALU/ALU.cache/wt/project.wpc | 2 +- VHDL/ALU/ALU.cache/wt/synthesis.wdf | 8 +- VHDL/ALU/ALU.cache/wt/webtalk_pa.xml | 124 +++--- VHDL/ALU/ALU.runs/.jobs/vrs_config_11.xml | 5 + VHDL/ALU/ALU.runs/.jobs/vrs_config_12.xml | 5 + VHDL/ALU/ALU.runs/.jobs/vrs_config_13.xml | 5 + VHDL/ALU/ALU.runs/synth_1/.vivado.begin.rst | 2 +- VHDL/ALU/ALU.runs/synth_1/Pipeline.dcp | Bin 21058 -> 3010 bytes VHDL/ALU/ALU.runs/synth_1/Pipeline.tcl | 32 +- VHDL/ALU/ALU.runs/synth_1/Pipeline.vds | 192 ++++----- .../synth_1/Pipeline_utilization_synth.pb | Bin 276 -> 276 bytes .../synth_1/Pipeline_utilization_synth.rpt | 102 ++--- VHDL/ALU/ALU.runs/synth_1/gen_run.xml | 17 +- VHDL/ALU/ALU.runs/synth_1/project.wdf | 37 +- VHDL/ALU/ALU.runs/synth_1/runme.log | 182 ++++---- VHDL/ALU/ALU.runs/synth_1/runme.sh | 2 +- VHDL/ALU/ALU.runs/synth_1/vivado.jou | 10 +- VHDL/ALU/ALU.runs/synth_1/vivado.pb | Bin 81946 -> 80929 bytes .../ALU/ALU.sim/sim_1/behav/xsim/Pipeline.tcl | 11 + .../sim_1/behav/xsim/Pipeline_behav.wdb | Bin 0 -> 26125 bytes .../sim_1/behav/xsim/Pipeline_vhdl.prj | 16 + .../ALU.sim/sim_1/behav/xsim/Test_total.tcl | 2 +- .../sim_1/behav/xsim/Test_total_behav.wdb | Bin 25317 -> 34063 bytes .../sim_1/behav/xsim/Test_total_vhdl.prj | 3 +- VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.log | 119 ++++++ VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.sh | 2 +- .../ALU.sim/sim_1/behav/xsim/elaborate.log | 1 - .../ALU/ALU.sim/sim_1/behav/xsim/elaborate.sh | 2 +- .../ALU/ALU.sim/sim_1/behav/xsim/simulate.log | 2 - VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.sh | 4 +- VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou | 14 +- VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log | 17 +- .../behav/xsim/webtalk_334386.backup.jou | 12 - .../behav/xsim/webtalk_334386.backup.log | 13 - .../behav/xsim/webtalk_509586.backup.jou | 12 + .../behav/xsim/webtalk_509586.backup.log | 14 + .../sim_1/behav/xsim/webtalk_5794.backup.jou | 12 + .../sim_1/behav/xsim/webtalk_5794.backup.log | 13 + .../behav/xsim/webtalk_831173.backup.jou | 12 - .../behav/xsim/webtalk_831173.backup.log | 13 - VHDL/ALU/ALU.sim/sim_1/behav/xsim/xelab.pb | Bin 1217 -> 921 bytes .../Pipeline_behav/Compile_Options.txt | 1 + .../Pipeline_behav/TempBreakPointFile.txt | 1 + .../Pipeline_behav/obj/xsim_0.lnx64.o | Bin 0 -> 48040 bytes .../xsim/xsim.dir/Pipeline_behav/obj/xsim_1.c | 122 ++++++ .../Pipeline_behav/obj/xsim_1.lnx64.o | Bin 0 -> 5640 bytes .../webtalk/.xsim_webtallk.info | 5 + .../webtalk/usage_statistics_ext_xsim.html | 53 +++ .../webtalk/usage_statistics_ext_xsim.wdm | 38 ++ .../webtalk/usage_statistics_ext_xsim.xml | 44 ++ .../Pipeline_behav/webtalk/xsim_webtalk.tcl | 32 ++ .../xsim/xsim.dir/Pipeline_behav/xsim.dbg | Bin 0 -> 18108 bytes .../xsim/xsim.dir/Pipeline_behav/xsim.mem | Bin 0 -> 5000 bytes .../xsim/xsim.dir/Pipeline_behav/xsim.reloc | Bin 0 -> 2192 bytes .../xsim/xsim.dir/Pipeline_behav/xsim.rlx | 12 + .../xsim/xsim.dir/Pipeline_behav/xsim.rtti | Bin 0 -> 592 bytes .../xsim/xsim.dir/Pipeline_behav/xsim.svtype | Bin 0 -> 16 bytes .../xsim/xsim.dir/Pipeline_behav/xsim.type | Bin 0 -> 7424 bytes .../xsim/xsim.dir/Pipeline_behav/xsim.xdbg | Bin 0 -> 79640 bytes .../xsim.dir/Pipeline_behav/xsimSettings.ini | 41 ++ .../xsim.dir/Pipeline_behav/xsimcrash.log | 0 .../behav/xsim/xsim.dir/Pipeline_behav/xsimk | Bin 0 -> 58112 bytes .../xsim.dir/Pipeline_behav/xsimkernel.log | 7 + .../Test_total_behav/obj/xsim_0.lnx64.o | Bin 44416 -> 50512 bytes .../xsim.dir/Test_total_behav/obj/xsim_1.c | 31 +- .../Test_total_behav/obj/xsim_1.lnx64.o | Bin 5512 -> 5712 bytes .../webtalk/.xsim_webtallk.info | 2 +- .../Test_total_behav/webtalk/xsim_webtalk.tcl | 22 +- .../xsim/xsim.dir/Test_total_behav/xsim.dbg | Bin 16892 -> 18436 bytes .../xsim/xsim.dir/Test_total_behav/xsim.mem | Bin 4892 -> 4966 bytes .../xsim/xsim.dir/Test_total_behav/xsim.reloc | Bin 1978 -> 2137 bytes .../xsim/xsim.dir/Test_total_behav/xsim.rlx | 2 +- .../xsim/xsim.dir/Test_total_behav/xsim.rtti | Bin 711 -> 711 bytes .../xsim/xsim.dir/Test_total_behav/xsim.xdbg | Bin 79456 -> 79656 bytes .../Test_total_behav/xsimSettings.ini | 2 +- .../xsim/xsim.dir/Test_total_behav/xsimk | Bin 53944 -> 58288 bytes .../xsim.dir/Test_total_behav/xsimkernel.log | 9 +- .../xsim.dir/xil_defaultlib/aleacontroler.vdb | Bin 0 -> 5211 bytes .../xsim/xsim.dir/xil_defaultlib/alu.vdb | Bin 9789 -> 9789 bytes .../xsim.dir/xil_defaultlib/datamemory.vdb | Bin 4136 -> 4253 bytes .../xil_defaultlib/instructionmemory.vdb | Bin 8800 -> 5645 bytes .../behav/xsim/xsim.dir/xil_defaultlib/ip.vdb | Bin 3608 -> 3608 bytes .../xsim/xsim.dir/xil_defaultlib/pipeline.vdb | Bin 30040 -> 30143 bytes .../xsim.dir/xil_defaultlib/registers.vdb | Bin 5762 -> 5862 bytes .../xsim.dir/xil_defaultlib/stage_di_ex.vdb | Bin 3387 -> 3387 bytes .../xsim.dir/xil_defaultlib/stage_ex_mem.vdb | Bin 2905 -> 2905 bytes .../xsim.dir/xil_defaultlib/stage_li_di.vdb | Bin 3387 -> 3387 bytes .../xsim.dir/xil_defaultlib/stage_mem_re.vdb | Bin 2905 -> 2905 bytes .../xsim.dir/xil_defaultlib/test_total.vdb | Bin 2029 -> 2484 bytes .../xil_defaultlib/xil_defaultlib.rlx | 23 +- VHDL/ALU/ALU.srcs/sim_1/new/test_total.vhd | 64 +++ .../ALU.srcs/sources_1/new/AleaControler.vhd | 62 +-- VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd | 4 +- .../sources_1/new/InstructionMemory.vhd | 13 +- VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd | 13 +- VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd | 60 ++- VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd | 4 +- VHDL/ALU/ALU.xpr | 19 +- VHDL/ALU/Test_Alu_behav.wcfg | 395 ++++++++++-------- 101 files changed, 1435 insertions(+), 787 deletions(-) create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_11.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_12.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_13.xml create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/Pipeline.tcl create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/Pipeline_behav.wdb create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/Pipeline_vhdl.prj delete mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_334386.backup.jou delete mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_334386.backup.log create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_509586.backup.jou create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_509586.backup.log create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_5794.backup.jou create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_5794.backup.log delete mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_831173.backup.jou delete mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_831173.backup.log create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/Compile_Options.txt create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/TempBreakPointFile.txt create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/obj/xsim_0.lnx64.o create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/obj/xsim_1.c create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/obj/xsim_1.lnx64.o create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/.xsim_webtallk.info create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/usage_statistics_ext_xsim.html create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/usage_statistics_ext_xsim.wdm create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/xsim_webtalk.tcl create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsim.dbg create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsim.mem create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsim.reloc create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsim.rlx create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsim.rtti create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsim.svtype create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsim.type create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsim.xdbg create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsimSettings.ini create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsimcrash.log create mode 100755 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsimk create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsimkernel.log create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/aleacontroler.vdb create mode 100644 VHDL/ALU/ALU.srcs/sim_1/new/test_total.vhd diff --git a/VHDL/ALU/ALU.cache/wt/gui_handlers.wdf b/VHDL/ALU/ALU.cache/wt/gui_handlers.wdf index 80ffaa4..eb381bd 100644 --- a/VHDL/ALU/ALU.cache/wt/gui_handlers.wdf +++ b/VHDL/ALU/ALU.cache/wt/gui_handlers.wdf @@ -1,33 +1,40 @@ version:1 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6162737472616374636f6d62696e656470616e656c5f6164645f656c656d656e74:3336:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6162737472616374636f6d62696e656470616e656c5f72656d6f76655f73656c65637465645f656c656d656e7473:3133:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61646473726377697a6172645f737065636966795f6f725f6372656174655f636f6e73747261696e745f66696c6573:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61646473726377697a6172645f737065636966795f73696d756c6174696f6e5f73706563696669635f68646c5f66696c6573:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f63616e63656c:3132:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:3630:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:616273747261637466696c65766965775f72656c6f6164:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61646473726377697a6172645f737065636966795f6f725f6372656174655f636f6e73747261696e745f66696c6573:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61646473726377697a6172645f737065636966795f73696d756c6174696f6e5f73706563696669635f68646c5f66696c6573:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6170706c79:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f63616e63656c:3137:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:3834:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636d646d73676469616c6f675f6d65737361676573:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636d646d73676469616c6f675f6f6b:3234:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636d646d73676469616c6f675f6f6b:3235:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636d646d73676469616c6f675f6f70656e5f6d657373616765735f76696577:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6d6d616e6473696e7075745f747970655f74636c5f636f6d6d616e645f68657265:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6d6d616e6473696e7075745f747970655f74636c5f636f6d6d616e645f68657265:34:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6164645f6578697374696e675f6f725f6372656174655f6e65775f636f6e73747261696e7473:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6164645f66696c6573:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6372656174655f66696c65:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f66696c655f7461626c65:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:637265617465636f6e73747261696e747366696c6570616e656c5f66696c655f6e616d65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f6e616d65:3134:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746572756e7265706f72746469616c6f675f7265706f72745f6e616d65:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f6e616d65:3135:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f74797065:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:646566696e656d6f64756c65736469616c6f675f646566696e655f6d6f64756c65735f616e645f737065636966795f696f5f706f727473:313438:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:646566696e656d6f64756c65736469616c6f675f656e746974795f6e616d65:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6578707265706f72747472656570616e656c5f656469745f7265706f72745f6f7074696f6e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6578707265706f72747472656570616e656c5f6578705f7265706f72745f747265655f7461626c65:39:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:65787072756e7472656570616e656c5f6578705f72756e5f747265655f7461626c65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:333039:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:343533:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f6d65737361676573:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:313036:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:313935:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6372656174655f6e65775f70726f6a656374:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6f70656e5f70726f6a656374:33:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f666974:36:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f696e:3439:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f6f7574:3630:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f696e:313033:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f6f7574:313334:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68636f6465656469746f725f636c6f7365:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68636f6465656469746f725f7365617263685f746578745f636f6d626f5f626f78:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68636f6465656469746f725f7365617263685f746578745f636f6d626f5f626f78:3136:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68696e70757468616e646c65725f746f67676c655f6c696e655f636f6d6d656e7473:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68706f7075707469746c655f636c6f7365:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6c6f676d6f6e69746f725f6d6f6e69746f72:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6c6f6770616e656c5f636f7079:31:00:00 @@ -37,11 +44,11 @@ version:1 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f636865636b706f696e74:3138:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f65646974:3136:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f6578706f7274:37:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f66696c65:3638:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f66696c65:3730:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f666c6f77:38:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f6970:3132:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f6f70656e5f726563656e745f70726f6a656374:3235:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f70726f6a656374:3436:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f70726f6a656374:3437:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f7265706f727473:3130:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f73657474696e6773:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f73696d756c6174696f6e5f77617665666f726d:3134:00:00 @@ -51,55 +58,65 @@ version:1 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f77696e646f77:36:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e746f6f6c6261726d67725f72756e:37:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e77696e6d656e756d67725f6c61796f7574:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d73677472656570616e656c5f6d6573736167655f766965775f74726565:3231:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6f70656e66696c65616374696f6e5f63616e63656c:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6f70656e66696c65616374696f6e5f6f70656e5f6469726563746f7279:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6164645f736f7572636573:3135:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f7570646174655f68696572:37:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d73677472656570616e656c5f6d6573736167655f766965775f74726565:3235:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6f70656e66696c65616374696f6e5f63616e63656c:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6f70656e66696c65616374696f6e5f6f70656e5f6469726563746f7279:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6164645f736f7572636573:3138:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f7570646174655f68696572:3136:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f676f746f5f696e7374616e74696174696f6e:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6c6f675f77696e646f77:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7265706f7274735f77696e646f77:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6f70656e5f70726f6a656374:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7265706f7274735f77696e646f77:34:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f72756e5f73796e746865736973:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7365745f61735f746f70:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72656c61756e6368:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e5f6265686176696f72616c:3739:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7365745f61735f746f70:36:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72656c61756e6368:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f7265736574:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e5f6265686176696f72616c:313333:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e5f706f73745f73796e7468657369735f66756e6374696f6e616c:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f73657474696e6773:38:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7372635f7265706c6163655f66696c65:35:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f636f6465:3230:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f636f6465:3335:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f646576696365:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f70726f6a6563745f73756d6d617279:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706c616e61686561647461625f73686f775f666c6f775f6e6176696761746f72:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706c616e61686561647461625f73686f775f666c6f775f6e6176696761746f72:34:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7072696d617279636c6f636b7370616e656c5f7265636f6d6d656e6465645f636f6e73747261696e74735f7461626c65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f67726573736469616c6f675f6261636b67726f756e64:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f67726573736469616c6f675f6261636b67726f756e64:3135:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f67726573736469616c6f675f63616e63656c:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a65637473657474696e67736761646765745f656469745f70726f6a6563745f73657474696e6773:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a65637473657474696e677373696d756c6174696f6e70616e656c5f73656c6563745f7465737462656e63685f746f705f6d6f64756c65:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a65637473657474696e677373696d756c6174696f6e70616e656c5f7461626265645f70616e65:35:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563747461625f72656c6f6164:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f64656c657465:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f6c696e655f636f6d6d656e74:39:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f736176655f66696c65:3130:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72646976696577735f77617665666f726d5f766965776572:313637:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72646976696577735f77617665666f726d5f766965776572:363238:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72746c6f7074696f6e7370616e656c5f73656c6563745f746f705f6d6f64756c655f6f665f796f75725f64657369676e:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72656d6f7665736f75726365736469616c6f675f616c736f5f64656c657465:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7361766570726f6a6563747574696c735f73617665:39:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73656c656374746f706d6f64756c656469616c6f675f73656c6563745f746f705f6d6f64756c65:38:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73657474696e67736469616c6f675f70726f6a6563745f74726565:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d756c6174696f6e6f626a6563747370616e656c5f73696d756c6174696f6e5f6f626a656374735f747265655f7461626c65:3130:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d756c6174696f6e73636f70657370616e656c5f73696d756c6174655f73636f70655f7461626c65:3238:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f68646c5f616e645f6e65746c6973745f66696c65735f746f5f796f75725f70726f6a656374:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f6f725f6372656174655f736f757263655f66696c65:3138:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6372656174655f66696c65:3133:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7372636d656e755f69705f686965726172636879:36:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d756c6174696f6e6f626a6563747370616e656c5f73696d756c6174696f6e5f6f626a656374735f747265655f7461626c65:3435:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d756c6174696f6e73636f70657370616e656c5f73696d756c6174655f73636f70655f7461626c65:3734:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f68646c5f616e645f6e65746c6973745f66696c65735f746f5f796f75725f70726f6a656374:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f6f725f6372656174655f736f757263655f66696c65:3231:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6372656174655f66696c65:3135:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f7365727461626c655f7372635f63686f6f7365725f7461626c65:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7372636d656e755f69705f686965726172636879:3136:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73746174656d6f6e69746f725f72657365745f72756e:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636167657474696e6773746172746564766965775f726563656e745f70726f6a65637473:34:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636173746174656d6f6e69746f725f63616e63656c:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7461736b62616e6e65725f636c6f7365:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74636c636f6e736f6c65766965775f74636c5f636f6e736f6c655f636f64655f656469746f72:3231:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74696d696e67636f6e73747261696e747377697a6172645f6372656174655f636865636b5f74696d696e675f7265706f7274:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74696d696e67636f6e73747261696e747377697a6172645f6372656174655f6d6574686f646f6c6f67795f7265706f7274:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74696d696e67636f6e73747261696e747377697a6172645f6372656174655f74696d696e675f73756d6d6172795f7265706f7274:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74696d696e67636f6e73747261696e747377697a6172645f766965775f74696d696e675f636f6e73747261696e7473:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d6e616d65747265655f77617665666f726d5f6e616d655f74726565:3635:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74636c636f6e736f6c65766965775f74636c5f636f6e736f6c655f636f64655f656469746f72:3339:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74696d696e67636f6e73747261696e747377697a6172645f6372656174655f636865636b5f74696d696e675f7265706f7274:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74696d696e67636f6e73747261696e747377697a6172645f6372656174655f6d6574686f646f6c6f67795f7265706f7274:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74696d696e67636f6e73747261696e747377697a6172645f6372656174655f74696d696e675f73756d6d6172795f7265706f7274:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74696d696e67636f6e73747261696e747377697a6172645f766965775f74696d696e675f636f6e73747261696e7473:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d6e616d65747265655f77617665666f726d5f6e616d655f74726565:323633:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d6f7074696f6e73766965775f72657365745f746f5f64656661756c7473:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d6f7074696f6e73766965775f73686f775f7369676e616c5f696e6469636573:34:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d766965775f6164645f6d61726b6572:34:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d766965775f676f746f5f6c6173745f74696d65:34:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d766965775f676f746f5f74696d655f30:33:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d766965775f6e6578745f6d61726b6572:33:00:00 -eof:2706062306 +eof:1736165994 diff --git a/VHDL/ALU/ALU.cache/wt/java_command_handlers.wdf b/VHDL/ALU/ALU.cache/wt/java_command_handlers.wdf index 1022ec2..a1dbbdf 100644 --- a/VHDL/ALU/ALU.cache/wt/java_command_handlers.wdf +++ b/VHDL/ALU/ALU.cache/wt/java_command_handlers.wdf @@ -1,18 +1,23 @@ version:1 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464736f7572636573:3137:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464736f7572636573:3230:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:636c6f736570726f6a656374:32:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6564697464656c657465:33:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:65646974756e646f:31:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6e657770726f6a656374:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e70726f6a656374:33:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e70726f6a656374:34:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e696d706c656d656e746174696f6e:33:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e73796e746865736973:39:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73686f7776696577:37:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72656c61756e6368:33:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72756e:3738:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:74696d696e67636f6e73747261696e747377697a617264:32:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:746f67676c65766965776e6176696761746f72:33:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:746f6f6c7373657474696e6773:35:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e73796e746865736973:3132:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7361766566696c6570726f787968616e646c6572:32:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:736574746f706e6f6465:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73686f77736f75726365:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73686f7776696577:3131:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72656c61756e6368:35:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72756e:313331:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:74696d696e67636f6e73747261696e747377697a617264:34:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:746f67676c65766965776e6176696761746f72:34:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:746f6f6c7373657474696e6773:3133:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:757064617465736f7572636566696c6573:35:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b696d706c656d656e746174696f6e:31:00:00 -eof:1901464571 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b73796e746865736973:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:77617665666f726d73617665636f6e66696775726174696f6e:3131:00:00 +eof:158833456 diff --git a/VHDL/ALU/ALU.cache/wt/project.wpc b/VHDL/ALU/ALU.cache/wt/project.wpc index 41a9c1a..7e694a7 100644 --- a/VHDL/ALU/ALU.cache/wt/project.wpc +++ b/VHDL/ALU/ALU.cache/wt/project.wpc @@ -1,3 +1,3 @@ version:1 -6d6f64655f636f756e7465727c4755494d6f6465:19 +6d6f64655f636f756e7465727c4755494d6f6465:21 eof: diff --git a/VHDL/ALU/ALU.cache/wt/synthesis.wdf b/VHDL/ALU/ALU.cache/wt/synthesis.wdf index bbe8c77..3ebe16b 100644 --- a/VHDL/ALU/ALU.cache/wt/synthesis.wdf +++ b/VHDL/ALU/ALU.cache/wt/synthesis.wdf @@ -33,7 +33,7 @@ version:1 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a323673:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313637332e3239334d42:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3531332e3436394d42:00:00 -eof:2352502396 +73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a313873:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313637332e3238314d42:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3531332e3436314d42:00:00 +eof:428720552 diff --git a/VHDL/ALU/ALU.cache/wt/webtalk_pa.xml b/VHDL/ALU/ALU.cache/wt/webtalk_pa.xml index 7389f3a..b8b518b 100644 --- a/VHDL/ALU/ALU.cache/wt/webtalk_pa.xml +++ b/VHDL/ALU/ALU.cache/wt/webtalk_pa.xml @@ -3,7 +3,7 @@ - +
@@ -17,53 +17,65 @@ This means code written to parse this file will need to be revisited each subseq - + - + - - - - - - - + + + + + + + + + + + + - - - - + + + + + + - + - + - + + + + + - + - + - - + + - + + @@ -73,11 +85,11 @@ This means code written to parse this file will need to be revisited each subseq - + - + @@ -87,51 +99,61 @@ This means code written to parse this file will need to be revisited each subseq - - - - - + + + + + + - + + - - - + + + + + + - + - + - + + + + - + + - - - - - - + + + + + + + - - - - - - + + + + + + @@ -140,9 +162,9 @@ This means code written to parse this file will need to be revisited each subseq - + - +
diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_11.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_11.xml new file mode 100644 index 0000000..330f416 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_11.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_12.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_12.xml new file mode 100644 index 0000000..330f416 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_12.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_13.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_13.xml new file mode 100644 index 0000000..330f416 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_13.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/synth_1/.vivado.begin.rst b/VHDL/ALU/ALU.runs/synth_1/.vivado.begin.rst index f28b9a6..60b7b62 100644 --- a/VHDL/ALU/ALU.runs/synth_1/.vivado.begin.rst +++ b/VHDL/ALU/ALU.runs/synth_1/.vivado.begin.rst @@ -1,5 +1,5 @@ - + diff --git a/VHDL/ALU/ALU.runs/synth_1/Pipeline.dcp b/VHDL/ALU/ALU.runs/synth_1/Pipeline.dcp index a5b423c4d0501017ffd6cae9db665359a6fcc45e..2f2fd84b7b26ad4f893f6264681ab9b706dd8fbf 100644 GIT binary patch delta 1991 zcmZuy3ozUH7XC|W<9X4h9;IEYOugUrrdnbNOG1T4vq%ZH8j2RB`EQh{XG>6uvPEc6 z-KI!O)nmQiRBSv-x^399xK$LPH@SD_PVK#C=FFLM<~Qee&YW+)uf1HTT@FM!Ll40L z01yEfPA!<%*mD)H4|YbIRneNEjWoi-zheaWGy)|pqj z{M^|{)9^zo=}Uc$am%nBp1c-${IlVC=Zr0LV8UffJCNES*@&KmF@Io__cY!fvB+{0A9eE1gm zuu3|6`jlzUa;mDYB5i_o=S4r744%z@9O95)s*OIZKX8o5vKQi)j*(S!<>u)@|@ihW<& zU%uVUH*ovp<_GDerWjX@yQu3D$t-g*C_gaTl15l+3|i?_|HPa3--dUtg!DayPatPc zi{B_Ho&qPVFB5PsrC;VCibbczKN33DjO}WdwC}U+VnD90{!auFWkIKTZ~WZq&Vr95 zwl@0U!L;oWwrUUnusQ?)68wXqLc#+>Lx_R8fdPbC59i22ed(56ujO!28&yBYF|)=g znxsO4b;BRM8Cm`rp115lHUC1;SCrS|a^rG=(6iOqRUdZ#sN_I!l5pVf&?g!AJLlYo zTA^|?`xg{BC(@|R9o$v~Ci_E#t}B-`oR?aK-E6fHp~DT2{1TiZj_4D!np|(Y3HYA+ zw!IYw{?4sAG%T#qh8?x;WeRUTm+Fs%xkW#i2-|c2F|P;iU3MRo6??WvcwWn?C+IUL z*(JDDHki=Zd)Q?rW23R^8q~DA-iFXcyjRsDLrO%?ClGy6dAHt&#-?PdJ8GI)Ta;my z9~H-GDzP1NQ4O3Smo?uiq8yg2);EpPLO8+qaAi>#J!;SPiI;_2uBqoOvL{s#1rkaW z*n$LamQ+{|j&HpzI!sGk}l~!F4;U1pXPoxt$qU2V3cDVR1(%JiX~4rL9dTy5ppPv9($LY!bXiR z2(Kf|GN_;TuD}QCs#!WQhRYaU|6RuRr_%+AC6N6&B1z|h%-~|hn8BU{50;C0zHsKv zKPukH#m0sn(UwG3)D;YMpFOM1hLdV^Wv- zKRKD(+OO~?i(E|&6Sb5o2lt8@GVAsI@v~Mo;r%A1YpTYNBK$^KfEf{Way;~;N6DEk zYlljf(zFv~yDN*m9=Sd@tDrRnO4z;^&v6d(s(+ixc`qgQYA`-USYL+FMrSvik&hdc zA~*Nbs#gHp^GODfN>6C6YRkUwpEkS75*8%?5Cg9x7a#ik2Fnp4b~o8CZ90}Mixdk-wt7rdk+NKTQ$kz~AlQ^^ny;k2hOo=ZXm6v5j3*ZIvF% z%nSWA5y@8hd!OM1ADPqfCfOjgigaYrK=Z85Dx6Gk6BW(4K-igs!YX zq+*GF=XNTS4eZz*r`BX^9(HZA{v!7#?RI>&D5QY<@$wg9#AE|vm#0!F>YYkGM zEm+?oEg3$Xr1*Y-s-go3TFyF}Lj%JM!*|F*H-;gf$DD;AaOnRLCMpc2t}JNxcjn`F z1^CJZl#?F|QPWVzECrAL4rRe3ft8c@_zL%5vWy`f{Es@1Qi2$LL)}Lm7i@4GtpqWK zQ7zHRf(*yc>_n(>XhlJmk5;m36ZxuFM1cRnX8*gnV1oaQ8YW6zL(5u;@a6Y4zd{!U z09^oKm%!Ffj=4dHH)IZ^zi6pRl2Bh)BeB#8s2Xs93zd^}hfz CwqvsZ delta 20185 zcmZ6y18^?Ex9%O=PIhc-$F^b^(6~9Uhg29w`7rw^_zO^oLDZzAY8}1 z!{J&eGWUg~=Lui=E@I2LvAkx$4wGpBOcg+3heeqd0l94FA2_XbCk0c?daVmcw71y^ ztP7WqSJhQp*>{?k?-?=iQaRav+I5jYs-XS>7V3T@;h+VuGN%Fyb#DfK`JRRkGwG^W zx$Y=bBk6dI$h!{XuBbX|TN!i-E9K#^Wu7C42->`9hYZE0J6I>1=&gj`iBMjK*hlfV zBJzXVfVKU*s>aMtJAsi|bo4NtM|Ii~?1P67ygC&7-(1y&|3F%#7T$_gkh6aYk_EUB zw-%1f(5a%RefT+P8AygnE!sIZj@QbF)_v!sE>VEbY5TU1yt=b4(N7nqaAz)fiuj9M z4wyfC=|bf_b`Yf!+b{1@Np8$tSf0N24IER21Gc0miW8UA_#4rt;=U71#p~Vj`6r|U zd=IY_>^Y%-IehY?UHwCf`sE_%!2N>FTJrC001cvL=f-LAtP}MA?K~i4vp!{LARtwA zARvUF&Qq{-FtxF?Go^R7Fmy1D($IC#7DrzmkN0+QC96{V(&Uy@{0k8J%hqH<;g}nE zzJf9rQ$a8yCt;U0XelEbKP;EQ64Y)x{~Mw-5Yh=OtpAox zp_iKHW5^7O=Y_UP>&Z=JQ9qvh@DnTE&StH#yo zM_7=CnXl>B?#Ep}Ngd$f7S&vip3ldHEEy|8elT8In#n1}m;y5yQ;x62!Tq9`FJy(vvn7L332 zOT}2c>*?voJ`;jWD!kK43gQ%j9+bcK%kL&jJOtq!C^TxS3I)KJNyJzil6#;aUz_2- z^*ycT^s(jsT~xpDW=9EXm`~!(yT{)!g#FE1!yi{X@7Um=_YaLzJix%n^U5tmsCi~u zbKB>jyf zuN1#vu+WQbmBql=c9m9_NR0DP0;BqCnj<@*Z2DVuL5Ohih2$XrF&Vm<1rm}+s=b#n z+Nj~wc9a$h{d0u-)0$I@E=&UEtz@e{zMfHo_B)Ym&yWd_TM(pEO@b68-<0I9oKk*f zgT+^cZvGC^+=ylgT2`C0?yZU!R zY2k5k$etpyE2ZRtaIGqoxD$QIKPVn@h>is+W;kQw_3KHi{Qx9PWD2EoSs$BRq>CGcBu9eZ^ukI}|sR@?=T#;2~^NW#5)Qi?bLR=F>B$LGj zBAh^)ecFF{X~8(r?DBYoMHfjJk8HpYJ+{}Nh{08#bj(Tg-H2C5?UqJ=B#8oAK$Zqg zhOlJntIWH5P%drcx=xh}RYe?8DHMsmv>Btsb)(bovEp}=1=aRwAyrx3`tmiM_SOug zdH@zaDj_5%q~GboOiYQ%y9RJey+0=0S;L<cY!ny%kO;~>i^7(abD4U!#2%R3orO|1|Jo#~v zeO~;3?^yze4!6t+!zWSOT=lX6KPMpA_CTGK&7N3-III&_{< z`lHI4*PHb=^oz@l1tzdXZ<5m{H2C<3s%s&jqF#@rNo^XAYdH8TNPv zHcDO*DAndWmNpvWar{?OLr*Qac0&NWAgCm%dcA2Y(RTx)-b$-Arm#bQ zCuH1}U)1Qu_cCMx^gkcr+09V`B0EfT zykH0N28IJ3|E7Uvw)YW(Xm1+Z%s&Ql$FSCXl{))Ztk``D$nG-jPMexCpUq8v26wx# zB&>rhO=ASh;Afn9QY>ii*fMQ(_!#Sw9~->Y6_8U0rdI3e<&@3zJrn~1&ZHvFs`P7S z4&4|(3lq)?VCjmV0S$Z!BYiFf31`DJbj3^G1>`jWXT1r$Lf;|CeC0R0msw;#-&kCF`R=^O7b2zQq7meDT4SmReq9@V94_XmtpZ6EPv2Do* zeHVA;XClE>luu zx>&Yd!-=F18byBek!)(lRs*g*Rv{gX?V_y3cIvz#?Q(cnTmU(JLCWHu&$MeZ7qKN* z_{qh<`GRU;(NQT@QH+=I3uF{9O3T?1aF0HD%n1zP!QBN;q6X)})}PFEVsE zmgi7cv2a#a@nLXhP*-(u8(>xeq%9+*y0OStv(3_Z6M4J|iro2ZS_l>)Dx{E2!t)8j zZm@@N)**v5MF2UHp$TNKNG@_G6;8pf>3oK+2bst{?NP`-4Es)RyHAkz-@hd;-bda| z;Kic|&E`@Xo7`s*MTtb$)H+89`sCn6C`)du9^pYyA4PPzU(S)3CNWi%dg#|5BFZ<7gD^ ztW5tnDq*wW40$w{%Jh~SDJhE_AHhqx?`^N|+}edCbbX^( zDvO*X|0uCqh6-`$hwn^!d4!YbA3B7>y!yoqEN=}w z4K2fhUwi+R3in~-oJXV~v7l^LL^)nB4};w-46|LoGW@@9WW_7%P_FnuKrY(AKyd%} z8=0wz*_E!f{jWAa{AafQ+`o{hPs8DJyDnT>3t#Oe6pQ{i_80#!frmH(ghr$2hhJm4b8}hp~2f~oM>%Btlf%+QKJ_Hju!KodURQjaTHe2A_U5j?=E&Z^pF3Wcwyw7> zeSMQQqx!cj?~K{iKW=t%iIe3-`L)8G`2I+hkUsiEy&l`tkY~Mw|Xf-Gb zRu%g3b8{vvnHIS&YKW#B*mxh4d0^ZOt*QjFeA6TM`h6?%33FH8PQNu}x+yFtV9#xn zoB9sVFI?Ps-|uKvv$uD=WsV09?@hQ2wGiD8q&6g-eDFHEz3)R>bw1i<{rM515x4OW z26X}RW}K3~U<)RWyppfC@|}yfeM575ucB(ybnI#L@vkShswjv0MN!^t`d}1&PbFhK zdrf$mI(nIUdZ8uiZ=4k2K%an40yumH-jYO5p!|Y~h*Mx9O^;xVywOe> ziNwCe_6#{X22{<&FuL(Zx^b6KW{ssRbJYn`N>yXl=A0$UFGKX87)?cgLN7@Ef+JC>X+^82BhU2W6J?}Lj=u2*-! zb5DZ&_t(YgOU>Ne-fdqf{OcD(*U8i62um8I_9cT6n~-zI4IS`@v=(#ZMweVq6xjrg z$mK4B+~safi5M;3iL=?+4TY#}jyeGQ{dLgd7UrNQ8?A0-^G|J#eE9O;1tWg;jOE>z z{jE6i>N5f|l1hHH`^+rND7zuRErBM3K-L&bppfkAF>u_L3rmMSnD*;Z2qT6X67!a} z)jiQwF5bu%6l}R!?r_ZekOhE6zB@8s5l8e+o*=)6U&PrB5i8)Mw~WVM z?LxxX;nQ}o*jU_%d<}u$#$kW&#WHAKi5t_?7@{Yyd}1u}2H^C5UF6O8k>&F?>rA+$ zkwX@!NV7^!6sdIqj4Vai&-&M3SXC`JB8U!IsEJw2x*}4dJko-f&mft06$y_Zg1z;KrL9ZMJc;j&-al!9(nS54rH0-Q7Gn6XMg3~arf-ao7E(%GO>POdulC_m6YoD#v z)s?MLHZALX`G%lnoKwJXi+r{r%7 zp>hyR&aJ`oSAob7KRzPaxMeH(#-np2pXe6PP1iHSo-Nnma{718QG6_Le$B2uG+6qFVSQJ^+A z8Q3pwvcm$X%|$9A2GLw{LFhd!SJwW_Y?;D2i6=K&GpFlvPfo;#NA|LZcdS%MC zP+X|Y+q#F)mUUr57?7-`tX}MW>grQVP~{5+@SyY8&c?0uK6Un~p~^)jK$2>p30N6) zY#UV2%&%EBQfQ#HS{ZQvxEJGV>GeM@qqRZBFOIEVskCvAWT z-1T9U()u6Qnik+!p01v1d*pd-Z^#HY4FR1?9Sl+$%nAb0F@S=Q3+EO>7Q1B#ZSqG0 zWDPG_;K$9~VqN{)=M1;@aYF3`H#OXmMMnm=rEU}K&B)7AJtZJBiY6^H9l<2b_lAd) zqDx3_a!E#h&lTZ+=8!&BT#PFpMQ^Tdds(2Mp@D4{4MQmf6HzDKRuEseL)N!*n_tL7m6qbVLvp{;04A5h_) zxz!A#pGI-=PgV|hfSuD3y}N-yeUHX@7q@$?!T5!Irn>)++dpb=Q{RjM_BJoLox^L@ zmuWrypqfct=a*uvzk3-*e_?hw(Mta%c~~OspkXn3D;p^6agRWvcQc<%?q%eI2iu2Z zP2j^tT{%J!QK)AK0!lA)O5AH z6-;kwDLlqE-N^~bH!+h@QGHYSlA&;Q!aS) zF0;D5X4+H!j9O+5JR<)|Ks1t|n|LVU0EtNK5i+p_d7EJOp0iXs4P^qA5VS>@0tgOq z;$Jr?vRrH-Xp^8BDoc=spD;Z6SZ?+L!TG1>mLy9ZOGlA5DRhQdyaFmfQplLJ@81@&r50oX5EDa;g zV~RI;v5OAXaih1-@8NDxl>bUCByZ=15;w1jKTaD%zgL?z!kC~J3 z&1!I4OrR>1dYy*` zbZW!JTX!FKk!uv=Z$m?y@p<->B$@H|4%CChvmqM2&yDRNKc+^*^&0+=XfxYXszW1k z`)T@-OdIOK;?xb(Wy<&0>lp*`dQy-@!QV*q-AcZ!I8N?bQEJb zKz!&dUgDOme8TMJJ^xvFd$wxj&>zgb^j~J)g$y@rCORH0Bs(oqdrLXiHJe=J!)O=G z1(Nlh=oemR`1F;d@x7hi5$ESrsV8QxF8aQ*`Wt?H98L|L&O8W?*h>S#I@V5xPTzbT zxw9vQIjP3|YzpI#YOo7O-7*rcify!CdrB9=wW@p&K@6+f`%856{q36i!M2i?G|Z8j z_XV{y9V@mhn|vq(n{Z&OtZX#V!U48l3mN_@u$lgK7|F&iFE0EAb3+PfZ#HaGgi}je z=al8D&W+htc1=PvR>uIeLaSpmHI=D)`?|o*D$6eGqQ{WGmnLam+crfo`!-SNjikR| zL*BD$xsiMa7lu8XU@mOMf?T`Sq|k@gs>}Q5^~5MQ{QyCa*67PSkuVot4N26I4Z4~^ zuI*d@9&1aP5MdOxt7=QT`eC^L)iCB@kguxI$kghp26bV>aoGbr1GY2N7%m&TxIz_6 z)C}<|U@llnki@CLpX^t0-qbVY4>2l>!VfB=&{yoHxi0I&y*`I?>eL4gBM)$lzwX?_ zZ{yEjbNOxXT+nj%2eqzcwd<=NXY*B`W^q~zve~uA%GK9}rL~>B7$Y|ZS{Kd=zuy%~ z|965)syv_<^XERbEfKF|xj$?8u^J&iA5IK*1&OL@c=H0E`tjFe7!qM?PAOh=#ADky*GxQr^D^=1S2&gMn_Smx+J6ZDf9s` zvo7{e2tTZr0kU)Q93#W_x(ppnCGnyZH{tw-^*j>WHjzn-&OwNg7uFz zP(a)iWNYLlbx1QjPX!OXH(}S|fy7Tk$cMt>MiTUqPq+xkG^3*EPQ%-CZv(^UR=m}e z!yH{rg~d7SuOBT=h}1OzAI(MNAbhxoUBj=qPh zW-XbUBz(-%F}NFPu?b#-Aw#cm#v3qB0H>IjR1SV8S^_SL=TOAZdyn}mNi()=u2=k@h6aQ5-;GPxURqZqk004CJa9X=Qa*0%LXjja%kfL?&1sQ%L%mi z={}NPXiFc59U#AW@=P+H|6%NFa@F^fN=w=akWHMs9mM+Qqz8d~-BAOeIoCbzrlA9J*M*ZK6Ni{znIe}buRDlU99@*ey zEYwvdDN+M4X(J?73C{h4I>$`foMF;v0oA~1w4ifQG7!T#k)ssKW1*SMu*^jLWXJV* zV^ECnV}n}EAKIX4se?zNV(D1UBn6LVntF-g*cN7d+q9qu@?sZL^_h9(Cf=Qz5W^Xf z%W14nrhL_vc@(J7urSDRjkAA4C0-p!h-2MRkpaf(>loS#er!!>BA=dCU zBU_zELLzP^^4IXULObmb68+gUVNmh0Az6IEB}80QL6rTEPRB^gDn9gEZxwMHPD z7=QFb*@!)-KVr>)Ta8;oM2p2w{|5R1sG?MbcqV~awXL~f@v^%)t7DwW-X~P9HKAfc zgf67rq9dlqX(@t}ZOQZmpucbeX`wP%>!(2vE(F z#wD7Smm(?2ZBHG_Nob2G6)IY4@tn1=9O-@}*Hu}22Sh+JzXh`?plC)&ghodygsWgB5?|m;#n}Q$ z#gPXT@_fWH`yj7mbdKDm7B9ypUoN zSVv~e*2I#BKv*@N2rCtyfbvPEu~+@)_V~i+G8}VEv}lyOB8+f=D%ZkI%o)%}vB) z;3|NS^q3O@GxK!^k=M1K| zJ~-!n1#q=_3g4%<84*MlM4aKsf>LEa$Ozn0!iyHbjKH*ab@y<$O)a1eQlyL{p>)Cw z7AfA2PZ&CfQ=&>HsN^f=vMP5MrV+8yS%DN%LP`awEHGci)r-c!m07NV5{j;=;}qi9 z8^k2a+L}qoCS(9njla(mE=@kKl9;06V=FBr0w4v#nWtGKSZ^2bseZAUO2~pz{6#xz zw~V#QPvTWdM$9B)EdmBD9PRocI*q(a%T<%H>0HS`W!AnqxVSD7SvzL5T{zL-`}{A! zM15z6Y;AI!VKM)*hE18C=5D8k11{{NcI->KY0C1WKgbu~uOL#6ps4~HH@)3_IJ0O0 zuxm*>^7y%v8*#w&rh zg*#jS**ZB>#+l|EKW}<2^h_Q1P&ZxxtgLvu?96LOUV-Vx?}uKbQIZK?PyXvacH+N6 zhF_o?)~@;8c(oGXY?+Y=Kh@PUWB@=eO1_O6hF6D9*&cV7%6Y36k1U-jyGpxSAoEm& zBS+%IH`b(Yp2Z;n;8$7kIPV9$O8w=S;XZ-H1bMUKrAM@hY+Q)4j8uPAe@yqsOc|-v zZ9DPd5wn1uh}6AxM$xzbLc96|a`6dOtMvuxMES$Em1q8!t(9*A9N4su+MUL_P;V*> z5nW1ode%8-g(V|a`O|kMPhLeKr4WVAY>ihj{!K!Lj)7Mo`qFq}c*X{9a>hLR8X2^Q zAsMd_%bknHu{|aUls0cc&SEKGaF|wU!&0%C zuIh~#S+`IelMzP)Dv_T*RX6pBcUN&3x%K;C9j((~>^2TmX_hhUYs{pgkp5gEhh&Fp zON-jr57<<6V(zL8bsYgWw-~w+`(YY1nWgtOu^Tp*?ZDcV?_A#jC16bcDZ(KTMT5+= z>2sxWh-X_f1Z9)2wp3=t!4uSO<+X10%C=Z&SHI&)-@VcX95hv`|} zKS?&!Yo0R%m`P9IHdq>J4qtMGIH_gWSo}?+V|Jb_CNslsxImlMw5=Za<(yX4%g>>u zmR!+WQ)x?se#cau&}dndpC)g!boi^3u!S{(79uFHqN$8j<&~V2`Jh3eH58nTh9)p~vm9b-+HK8UgR|3?P5eG}wEwJsAI4T}A)0^b6pAfSKiF25{7n zOmh9*Uumu{8`Z=n*3DMrrY1vI*Naz9-<3!F;^+?!xghAziQ8RxHe+ZRMRm}NfJMuZ z8jX?2QTj>eg&=LMfDP%Z;VjtiF4Hh5bmg$tpyAYj62hKBYlDYtp7+#Rsd-745$NE+ z39ZdAB3U}MkS+okBL%$Vb%8@4ICin}SY0&Vt}1AV7n5f_u}ta>NrG2SXqERiZ)nvj zWCbL^s2D<`m*&f=^m26;!Pszjfx|8}aFhw4yD9iluZw_JcIf;hPw3PtY{mb7$%Npq zqio}tv??WLLm*NclnSK+NjCW)Wid!=ZYVG)Yye#hFH0}<(s+0kBx&Br)#ebz*xIw( zRq2h2|4#HW;<@M$>o4vwVX&>tC4b#{}N?DdAOuDlGUAsRWf={@Jy+%I2+2ip_*HPxeD_(EbxE6vISBw~pLMx%9=efWq{O)uEYt}S!TnuX-H}leTg(Dx9ysnTM^3_%D zg}|#`ZHxZdgDPpN{|NxdSCM#rA}`t&LQ%)n|HH{wCH{jMbu5J7pZ;(B_dnR;2VcRq z{+~w8WI60blHFYH^e;!If96frrev9Q!L)DUXQ=$q#2lDl>AT7M{$45az2bgwzTUC6 zX@iN6Js)~T@OuE>m7UmMndzFz?>8{KUdQ1ln(%z`4S=7o=EhDx`#SCi5)S5Wk2Y2y zZ~U)1hP;_SQEWu3YQMc$);G!}9pg)Ge~TfSlY*Y#0r5&j$;zuDOSb7f}YWP}fG$Z%H-7KN|`0Zju0_-n~iBlO6rZ%54>t^L}M zCVmFs25LRD-Xy?|bW^?>j`_?MGbPmXPB;Z*rHA=FngzaTQBO>Vuo zYC;+}hS&ni+r&$lJg-|J2S|Yl;zXo`kIF9$D@FvMjR;e(7PkLPS+I$)Z~?%E@U1B7 zgET8^pVHcY)(y@DSpJJH7n==&cX;-hrhmV1>U%*;!o$5e*(lBiUbe-VV9PqdHev&Z z;yI@tv{H6A)f&D8%B`TbH}Fd*I<}Hnd;lBBLS+QRBwxP!AHNiH8W908dK(G^I{#6R z2-C^M{2mib6b}3?DwravQO!`tCVur7Ay6;$iEUfsdTUjm-pAe44_(?6!XKncDZTeT za5=E*D4o~kP6|M;JwW?#8;h$4ZnzE zqPKFuZzSCNvX^Q$xcwxYoJ&9IBH5k?;0!fY(9mA=i5^t%o;thw=r&Io&8F&Q`NFUk zlJqmZt*{O_f%+3z;{3&1kR{b7XldMeCCKLG^T7UJ><7oRK@6jV8jF5Ss$e~C)g}xi z>qkj#t$4n{UjprPQx@V^ZDktgJ()MAMLs|4PsICG^G@z@D+tl-a^8Md==wZnRNGa$ ztMtSvqWp^KU3mdWS|EmB$TWq@PV?Uc92h&@S$A`S%Sy}mgxOCYhLkO~b7D{qke2e+ zMgFpwI-z@cnbu{PtTA!k3A*?03`M5Vq{tITWJ=B8;o|O_P~-rc0?#MuP}9d*v&`cH zY_?8*gu>(lJKFgYOJUnw5i3r!HmuPt({MP$y!mgQ8L(yZ1+f9e+yBe^kd(B@^ljyA z2pC;q3rCcH?DMz{&jRtl51&n{!A-62kEiU=YL4C4g9y~sz5G?&74!0aFQPb5al}?U4n^i4CVkB#=t5az*5$J z^%H~>C=Ait0vUhZk8dWDePvI-{Hh~5z;__>?5kv)^CQDJ0{dzl0lu)!6;`lIyXM@V z*$5PWvYFjbwD`DDuTt|z^+m_ylCBBi^GPG;U=xY|wH&6uEZ(DOhyLc$uvgqHkxe|JLtV<^_VQ(Pb;Z)KL_ zJVdcS2JAQHIX)@T*Pug{-$Lqo6Mtka`$CySJ`b4yzjeuV0d^#-MYiO$hG6;TV%oyOk2e z*IWypzfdEAr3b=cljYx?^vMWsijgH)BKu)HmblBJDg||Kv$v!m*&YF zpuLfbX#J(h0`5lKR6MRM@V)@Bg zCnDGp1Xa^Ph)k#7k)+d%-DN#fJjA+v(6wF#+B&8Ms*I2)bs;oG7p7R~^C^(BMMX&h z<>^sS+dDGtH^nqSe?D9aXll}$;`nMGos$SU%nl2X#vq@?Q$d>ab7P-A>8%sZ9KaE_&D zPuXtOKR<5ryk68?HT7HbB`@APKu z@Z(>2!up$ncxpmjvZe@)5~4WiMdw`4MXQqNvKuVh15a%4rQeJseYw`zYx`QKVyY9R zbTHhxijv@-*qM?Tqo6@49M>i<0nhEaw?>T+HAwq5?8T7$0{DJ!8#S}|3P7&%3#*+c zUh6@_ShXZ&s7TBL9C2H44JO(j&MQC{%`#NZ#*Btb4?$zvmE$`x@b1!`XKG2I1gTC> zpWMza&rx8NW&iqdiBt<*=KNu~hy)S3K}8N;NUlP8?^LWF@Hi$5c27jEkUiLrf)0RD zwHM&{#xwpt3ZaOVOArYSI+6tR5 z+v+U|0PhPCIWEUQ?PEfb5$6Eo$SqaT%K0pQ;p_GSFb%5j>`^$63$(a{T(*~>{vMJf zl0Xe>=-F=ey-apO%fKRxJ~wyNi`~|+qSAHgb^!a%BMyQ9DV;Cm(HQa+4|uih2o7+w zLR%RiEuaH^zMbJ}v50@$Pt~W|Kxgd`Gi=fC=uA_ZyGA!r517>*Tr1%x4{-C{(Ya+6 z>!+ds*vV5(RKu&0=e1pXzP{?IzZ}^U+@iS2tzAT*?Btljf+}?yZf<|hiF|NI78DqZZ&XFySe2ub;)CX;Dbm8sDp4{`XRUUb?@Ap z1Dz=%0)yXR{xYRT08!qnt~n<#$LC|bBgTaR1d{}5(iI#)w|>&T&9doxb%HMK%xOKy zqqWIh?p03^N0vB`U7vn~`$7g@SDRMfHf^M_imvkj(%BnsgIjSN231uxzoEc`^!rOrimFp3Vr8ZjSCns{TrN@oEqrg~(X7?L&>3NQ~0wtXQMlG8IDC953)e+l3oL^u@dyHWfb=arU9GeVq zdPw=F$L8y(w=tUXGH{RgU-aoncaZr&l`?j$|?!9nSLh^LG z=cRf@xO$4G^>i$Ko?aPR%PVX#-dYVKfRUbR_=?c=%}H$NEs2LL*F9{G)l#o&*cOH>BTPRrg$^u zAHOnKE6NADFY-ZGG?(Oc#U-PMy%Lw#X_U8O*0~qC$O;0;!7)-B)FDgf)hAIWzy{C6 z7=cfkBA6nwnoD!szR~Y43bbz(CjJI|1L!B|RDKYDGe6)O8sK{^s_BaEQzT%do=R%f zj$+7s0EJ}uKdTm`=jbw(`@MQ;?Ff7DwqK4mpNuU3N!-2bTM3fiD)xV0Z1=mRHXpr zh&Y7R_(zyZYKhcR;w0(!zPdcPn z7eb?~icnf!feE*D3oj)S&9!BhLPP z71h}Qm%I;TD401J8vHROW8gl`GShG)95zDim7?K=tSP*zfk-HCG&RI#!nX~R-+|@gt zf$T-w1`IG6&c&NR@rdv0NW@RKF*?swXhz0 zn(cG-VMCDMhVvr%_yy=^(^nr(NWXvj<^7#!t{jZCx6Q~{i&pt)#E$rXINDaI+L$%6 zCp&46Q*m3}Yj1RPJV}Xz$|Bt+^)jP*_|GJ$}CS8vs0h|KS)I@}2D#c&TH@jsJ6pd%2hA4ac^dwfI2p+7kn!n6;F*k>adT0$&*a^H)Nwiw zz4-m=7kWJDfm>y>YFOMklA_e~e%K00A0UIXBNeh^p)O-RUBF-uJzCHbWZ@x#UUgwT zF7Gkk2FODj5_X9mbIMcjpfJA^3JJ6V-`y&Dv@$grM%01(!k*!Bt^Gn>?_s48pxk`H z%yb)Utt#2pMK-t9JCkf$<)MMq>MC08!z9xL9lvq}C3qeNvbtatWkKoGOi6~=J4xTQUx0D+6|a0PX9Y6rc~sy2e%+{x$FD6@iUTK|TA@-H zC1s`w*Q!|@zT}^rj`z;&kgg^ystND70uau50>SN~r(S&IZH1HY8{d}2DGpK_+<}1% z`u8A&uU<;g1_PBCNqVMuHu477HBNGsewaRLFE5;&YMzX3A#wK|_@&jAHA1c)FejAfCacs_ z7uqswaRom^lahdO8$ddB_`IN@=53X|GsJU2YEr|pn@QPgz1!s;J2sNxPGTX=J}s%| z1ZBqeTTKCMBXNYR=yg(5gjWDk55NsNtqI!k>y?asTBKDID*jB6!OQ2Cr`SEu6Ks!8 z%`EmUlcJ$!^Z=4ETM+zbM{5IbGmr-p5Y9X^xT*k|RRpz5#P2&`Qh4iE*zdc(;<~&7 zoP>K8O1+2V7m@kkcK6@*A~dsk#I98z*8ISa7`xA~Ey&T~a!pbl@jZ zt&Vq#9LIP;m}oySXkiD#DnOV+`E!sRu#L^CY<>qPOOI1{$9Zw3n~4|lbk0pT`5zqY zCLntC5q_q-X5Po?(|_7uJlnNuNx|~qS#i8iTaQ4zG5pBottfC_%oM{OJqro(^eV20 z2V6lTrPy^ZEDruTvoY^|fmN)C5-H!voKJ1auh0nlk&im{UAf$_$bg;RQzt3llpDI! z&LrbNxUZYxnx;Wnuod&>Ko|?8gqRs9no?M^%w9BsH=@Rceg@ZRb16_NM$ViuXS20z zq$*Xi+w8wsZK_7*z&QEo)Nb@44eM&0cB zlyp+ie7bU4=7q_vaR52XGV~(0GpkrTXlAPeSRxcq-5@wH2z+40Vr`Cn_otiTR5xgG zN1&39LT%gzYE9aEg4#7co$--<3Q>QU9v%V)KUXMATr8P)v2bhnJXN2$suFH_)V@nj zh1jn8EOAq?kxEACVP&;$_Ty#inX78XO-{N8?~bKSuUI<2!~nAvQrW6%F>i!_TsrZnJFUQ_tnL69zGCS<%27C%5|=f%9QjHeDNTjk8={DYHJeJ~@26&oq2TDfPs zqqC)>R;BzXU=yryxECDsv~zd33iI2a(FA8)>S)mOTIaks+gAyzF!hqZdmKIHmO5Ef zsWN;CZp$v}>Hwi?sx!{Eh1M6=X~F%Ew#HnpStel}B!KPi2*HDF7aWVwNkj=skw(WB z5I5v_S?#4aY4-C%~PuS`KK#% zW=jeIDFf9)%M==Kw<#}h-%ZxO)uE+CQ<+|>g2b3x@cEw_8w2V9xkYC(L8vMd>N{MG z&m;RJZNw1GX6#&-uu*{Q)n7aPwjeorgfq3MGd(eVq@lkeZ6x51{5F^y?N}6`hIC_n zBMT`KihvEYwBVsJCUs1c@FXNyYB)F_vcp=Xgd}vrIv?gBNEYSFz_W zu(W)=ASWwHlnh(WXUL`iq>cnYRqO&V435-QFcRj1Pv4#|!GI9gEs8gQ;o^sSa5d=>^b(pC9LwZj-|Gjrk(y-NY zyIm>|PK6juDiy+Be*y~NMnt%}5T_}GntrdNj<{x!^!;!@$&R$`{Xa?>O}c*VJbYnt zlmIRPL!Bi!m68o_`$MxajOV538a1r?K^QHjyDw-sP3go?1S=Ar3=r&3a$40LfIG+h zS6LrrKklkIntMG6@~`HM0G6$a?@|^yQJz0893yCNyh95^M^r4~L3#-?Y9Tf_lAv$zVk;s9^BW;R%v2ek7DQ|VTbUMrFI%Y!nxw3+NV zUY@SA6xVdI?$BuCs-Hd3-WO&6tBv!DYC>DWFc5k#frKt1O%#+SC=d{kUP2S;9gz~G zhZfL)p(`Z_Ql(3m-b0gKL@*##x-+IIG@)KJ{3F3I!6;k#oNe`Z_B$T9Lb4S`--5KJ4nS?*?@-<-qV8QoB% zz~LM2M*q}~wYo_Cc8jN1xMt}Ph*csY%;OU@FuBprLsg~{Qpx|yT~aLL41IdkgqZ8O zEzIiC)K2p7Q1*G`23%sTv0O;`#olyH=>_A6$K+0ZWuxCIH|ULh3e8QNw_D3%G?fcX znI&B(lgO+~Iog$L7g#JnqG|wC26b}=`5 z54kLr2Em|g;>TRGO=X|k;x+E7B3`y2&j}{C*+S!i27;5jRxr6P`jZ~b<(m?52`{A~ zN3p++#p8qE^KcFUi9%~^sO5`tgAYzSy670i@mfYs#7r?S`Q=YBZjK|c>Y*E92a)VD zRDllVVKT$7tL8H)xnT*2o8LJ}GC?@^1!moZY>vU(rXJRTZoz`P>8H&R4b4rk=UCOs zO-xGXJGXt{Pd6j{7Xgi#BDxo=6By!TUMzVo_k?k7KzcQ~2VeMDf62)D;iKk%h-(#YFs z|K%FYJJ{^KRwkZlaTfpKxY*f?a`O4&tM{fghFfPN0u98?J!dfp$eO$>*!lvZ&5(hC zw8<>n*8^-R?dKjSjugd%m*P+F@+q*>&3YOdi`P7s4tVp)T-f5avBr{uD(Pd5<$1@6 zl16K=ykQ zjHdQG1<|9H_-xyg%^;V}hA;P)KrQ;m+MnKmWeTW;!U@U{dtd9q87lpAo<{dm2_szs{pDy=()&&CQ(StnJ30IjEU7dAhu!Sx|eyOK1VISmMCQr<|)6}zXT>3H9aAtL%PtB!gNb3+3A zI*J`g>$IDwpTD+3`6NMGzm3oHP^^hC&lE>cFNCTU%SFWBIb$*v9h_e{`nV1Q~C0a%62LMg@FdAN~UM zL#ZkVVHrs%C8fz(l9DCRXeIDHUuA&C^)Okc)NWsG2~>mzi)2L20k&g{8V*ikROn57 zOvZ0&g%cDa6QDaZ9TG1^k3PC<7w4cW`b}m*OB~lKF|<7(hM*Mhn-^BLja|D7i<$rQ z8D)Xk8Iw;bOWlVC8;(Q45w4l%#4G*+sdQ&{wRKtD^&z5bqEX8vFPKz~t&UWcqV@cv z9MQ`b?el^koO9m;ml{KG}GPR{qM^R|COLJxjCc9?>#{r*$=hR$Pm8RVO?k}nwHCXJ`csViwoejWn|rS!x5Twz^+_s>h3DX{HNO@tF5gt9g& zX`)*(Dsh^lXsGXJ3ru-bDl^<}6JxUjDN4}Huhwps8Z^6Bh)5<1pyE9;Q8i$d7h_oKav>%6% zhN4^ujlX)|-rz620B)_DSFUyDW`{m1O$-)Wg>>xro3`VaXd?*Q=Orhsv+_lVNtN0C;A6 zB8AZ?KoMGE-W`{|u7C?TRnn2mD5GHh8p0A7M{NK0xO8G>KZk4Wz9pV9p$F0W7Aj(y zy{(C=w9q$w%LV;NM&(ee*Ep1?UWur1cLBQ&`i5@9T0wR!8)+l~u4=h35Op~5^sx6v zlodw1hM8k{C`q;N9f##LY1>Hi`{}DB!Wx+$NfI|&@}tzNsGS>|hGq^_)*;WIK^`%` z|Dwb-0G&3jP+l$L69tpnIv^6};QKvx`p5_ai+eecwrQ~(#THb}j&}$xF1V>UyuiqD ztUQAPLo99kqQ%StaftuDz_ELp;9E35gmdyYh^*;Q@Fsf@*%wGTG3=0B%hC)rcH{e1 z;mMJy(kE)V;rZlM?`@yu89lXyy0`-Gue+<8tE#!Q81~vT>;CBbvj}6uuG1akS}S7V zR5KFBS@S}m6pyo~mKH_MP_?h-;Y)#!BQn!`3HHY%f4&7m_la}*;`FkOHmg6yS7N4x z(mBH|1Wh<@yg6gfpP?(S^7yzU%(oV*r#qHrkanvS?Y9R&a}T*qUodqaiOP_XI8>6I zbRmJlmZCD}wf-vic}lTTeI z?)aCaOQ2%fBTV(VCk^PgWEInCpnd6<0y~rSJ1Vc)p)$22JAceJ?GAEyN7j%{&G#2* z_26Kz(78Sg{H)(rXJW!~R=TjwaAoE7P3}~KPC4t2n`I(MYJ`-#m*HN0lfd%Sso2BR zQvW4#JjSIWSCUg->)z{E`H=c<2Qnf+3_$jT)Cm!zB^CKcwLd+sbLoI+AgVoj9Jxil zzPg@QPngX!b=p`UAyVQf;G6-75t<}v=PC*{k540gX~J0{%HQN=)my-aO-d2^VXZl6 z&P^PR=oathPk9jQ%X=Ne`Q4QYT5^k*>6K`v7oz-E_IxNTLa$9{Q9^B|IwPb` zIuAro`eS!x!<2yCt81 z0cf-eMxPfOUmRq-0#w^q5Wx`&?9(V}y%4oT+bFlbmp)4_%GY^xZ*1&gq2ag=1$|az znr%`1+GOiwcsxPDB32kk4LFBh{IE>;JN6(ELV7{OL{hClMniaM6LrahuFNobDdGw- z7IFG9>D%SF2>B%t;U7!{KYPcX4R9yQbk5h6by*|_e^S%g>GuJagCiZ!(Xl<*He8fLI>w5X3Wd@ZVIcNSzT z#XM@v&)aGDw$?#-@xEv4DeNCrW(fK`_6@~5we>z?lKZ>bzey8U2QLp16^E>>&foMr?QQ-k z_`i?fs*?YfyQ!1tLB%3VL2x5A0p7;s5{u diff --git a/VHDL/ALU/ALU.runs/synth_1/Pipeline.tcl b/VHDL/ALU/ALU.runs/synth_1/Pipeline.tcl index 24b15a0..f78754c 100644 --- a/VHDL/ALU/ALU.runs/synth_1/Pipeline.tcl +++ b/VHDL/ALU/ALU.runs/synth_1/Pipeline.tcl @@ -17,30 +17,30 @@ proc create_report { reportName command } { send_msg_id runtcl-5 warning "$msg" } } -set_msg_config -id {Common 17-41} -limit 10000000 create_project -in_memory -part xc7a35tcpg236-1 set_param project.singleFileAddWarning.threshold 0 set_param project.compositeFile.enableAutoGeneration 0 set_param synth.vivado.isSynthRun true -set_property webtalk.parent_dir {/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.cache/wt} [current_project] -set_property parent.project_path {/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.xpr} [current_project] +set_property webtalk.parent_dir /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.cache/wt [current_project] +set_property parent.project_path /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.xpr [current_project] set_property default_lib xil_defaultlib [current_project] set_property target_language VHDL [current_project] set_property board_part digilentinc.com:basys3:part0:1.1 [current_project] -set_property ip_output_repo {/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.cache/ip} [current_project] +set_property ip_output_repo /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.cache/ip [current_project] set_property ip_cache_permissions {read write} [current_project] read_vhdl -library xil_defaultlib { - {/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd} - {/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd} - {/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd} - {/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd} - {/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd} - {/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd} - {/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd} - {/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd} - {/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd} - {/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd} + /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd + /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd + /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd + /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd + /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd + /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd + /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd + /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd + /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd + /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd + /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd } # Mark all dcp files as not used in implementation to prevent them from being # stitched into the results of this synthesis run. Any black boxes in the @@ -50,8 +50,8 @@ read_vhdl -library xil_defaultlib { foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] { set_property used_in_implementation false $dcp } -read_xdc {{/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/cpu.xdc}} -set_property used_in_implementation false [get_files {{/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/cpu.xdc}}] +read_xdc /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/cpu.xdc +set_property used_in_implementation false [get_files /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/cpu.xdc] set_param ips.enableIPCacheLiteLoad 0 close [open __synthesis_is_running__ w] diff --git a/VHDL/ALU/ALU.runs/synth_1/Pipeline.vds b/VHDL/ALU/ALU.runs/synth_1/Pipeline.vds index acca65e..0e8305f 100644 --- a/VHDL/ALU/ALU.runs/synth_1/Pipeline.vds +++ b/VHDL/ALU/ALU.runs/synth_1/Pipeline.vds @@ -2,12 +2,12 @@ # Vivado v2018.2 (64-bit) # SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 # IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 -# Start of session at: Tue May 30 00:39:32 2023 -# Process ID: 608313 -# Current directory: /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1 +# Start of session at: Tue May 30 09:12:59 2023 +# Process ID: 10840 +# Current directory: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1 # Command line: vivado -log Pipeline.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source Pipeline.tcl -# Log file: /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1/Pipeline.vds -# Journal file: /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1/vivado.jou +# Log file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1/Pipeline.vds +# Journal file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1/vivado.jou #----------------------------------------------------------- source Pipeline.tcl -notrace Command: synth_design -top Pipeline -part xc7a35tcpg236-1 @@ -15,44 +15,46 @@ Starting synth_design Attempting to get a license for feature 'Synthesis' and/or device 'xc7a35t' INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a35t' INFO: Launching helper process for spawning children vivado processes -INFO: Helper process launched with PID 608386 +INFO: Helper process launched with PID 10853 --------------------------------------------------------------------------------- -Starting RTL Elaboration : Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 1255.277 ; gain = 83.809 ; free physical = 108497 ; free virtual = 138755 +Starting RTL Elaboration : Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1255.273 ; gain = 83.699 ; free physical = 57815 ; free virtual = 69577 --------------------------------------------------------------------------------- -INFO: [Synth 8-638] synthesizing module 'Pipeline' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:38] -INFO: [Synth 8-3491] module 'IP' declared at '/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd:36' bound to instance 'inst_point' of component 'IP' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:177] -INFO: [Synth 8-638] synthesizing module 'IP' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd:45] -INFO: [Synth 8-256] done synthesizing module 'IP' (1#1) [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd:45] -INFO: [Synth 8-3491] module 'InstructionMemory' declared at '/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd:35' bound to instance 'MemInst' of component 'InstructionMemory' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:187] -INFO: [Synth 8-638] synthesizing module 'InstructionMemory' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd:41] -INFO: [Synth 8-256] done synthesizing module 'InstructionMemory' (2#1) [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd:41] -INFO: [Synth 8-3491] module 'Stage_Li_Di' declared at '/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd:34' bound to instance 'Stage1' of component 'Stage_Li_Di' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:193] -INFO: [Synth 8-638] synthesizing module 'Stage_Li_Di' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd:47] -INFO: [Synth 8-256] done synthesizing module 'Stage_Li_Di' (3#1) [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd:47] -INFO: [Synth 8-3491] module 'Registers' declared at '/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd:35' bound to instance 'StageRegisters' of component 'Registers' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:205] -INFO: [Synth 8-638] synthesizing module 'Registers' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd:47] -INFO: [Synth 8-256] done synthesizing module 'Registers' (4#1) [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd:47] -INFO: [Synth 8-3491] module 'Stage_Di_Ex' declared at '/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd:34' bound to instance 'Stage2' of component 'Stage_Di_Ex' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:217] -INFO: [Synth 8-638] synthesizing module 'Stage_Di_Ex' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd:47] -INFO: [Synth 8-256] done synthesizing module 'Stage_Di_Ex' (5#1) [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd:47] -INFO: [Synth 8-3491] module 'ALU' declared at '/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:36' bound to instance 'Ual' of component 'ALU' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:229] -INFO: [Synth 8-638] synthesizing module 'ALU' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:61] -INFO: [Synth 8-256] done synthesizing module 'ALU' (6#1) [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:61] -INFO: [Synth 8-3491] module 'Stage_Ex_Mem' declared at '/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd:34' bound to instance 'Stage3' of component 'Stage_Ex_Mem' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:240] -INFO: [Synth 8-638] synthesizing module 'Stage_Ex_Mem' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd:45] -INFO: [Synth 8-256] done synthesizing module 'Stage_Ex_Mem' (7#1) [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd:45] -INFO: [Synth 8-3491] module 'DataMemory' declared at '/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd:35' bound to instance 'DataMem' of component 'DataMemory' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:250] -INFO: [Synth 8-638] synthesizing module 'DataMemory' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd:44] -INFO: [Synth 8-256] done synthesizing module 'DataMemory' (8#1) [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd:44] -INFO: [Synth 8-3491] module 'Stage_Mem_Re' declared at '/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd:34' bound to instance 'Stage4' of component 'Stage_Mem_Re' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:259] -INFO: [Synth 8-638] synthesizing module 'Stage_Mem_Re' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd:45] -INFO: [Synth 8-256] done synthesizing module 'Stage_Mem_Re' (9#1) [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd:45] -INFO: [Synth 8-637] synthesizing blackbox instance 'CU' of component 'ControlUnit' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:329] -WARNING: [Synth 8-3848] Net Rst in module/entity Pipeline does not have driver. [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:50] -WARNING: [Synth 8-3848] Net jump in module/entity Pipeline does not have driver. [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:170] -INFO: [Synth 8-256] done synthesizing module 'Pipeline' (10#1) [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:38] +INFO: [Synth 8-638] synthesizing module 'Pipeline' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:38] +INFO: [Synth 8-3491] module 'IP' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd:36' bound to instance 'inst_point' of component 'IP' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:177] +INFO: [Synth 8-638] synthesizing module 'IP' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd:45] +INFO: [Synth 8-256] done synthesizing module 'IP' (1#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd:45] +INFO: [Synth 8-3491] module 'InstructionMemory' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd:35' bound to instance 'MemInst' of component 'InstructionMemory' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:187] +INFO: [Synth 8-638] synthesizing module 'InstructionMemory' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd:41] +INFO: [Synth 8-256] done synthesizing module 'InstructionMemory' (2#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd:41] +INFO: [Synth 8-3491] module 'Stage_Li_Di' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd:34' bound to instance 'Stage1' of component 'Stage_Li_Di' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:193] +INFO: [Synth 8-638] synthesizing module 'Stage_Li_Di' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd:47] +INFO: [Synth 8-256] done synthesizing module 'Stage_Li_Di' (3#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd:47] +INFO: [Synth 8-3491] module 'Registers' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd:35' bound to instance 'StageRegisters' of component 'Registers' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:205] +INFO: [Synth 8-638] synthesizing module 'Registers' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd:47] +INFO: [Synth 8-256] done synthesizing module 'Registers' (4#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd:47] +INFO: [Synth 8-3491] module 'Stage_Di_Ex' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd:34' bound to instance 'Stage2' of component 'Stage_Di_Ex' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:217] +INFO: [Synth 8-638] synthesizing module 'Stage_Di_Ex' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd:47] +INFO: [Synth 8-256] done synthesizing module 'Stage_Di_Ex' (5#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd:47] +INFO: [Synth 8-3491] module 'ALU' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:36' bound to instance 'Ual' of component 'ALU' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:229] +INFO: [Synth 8-638] synthesizing module 'ALU' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:61] +INFO: [Synth 8-256] done synthesizing module 'ALU' (6#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:61] +INFO: [Synth 8-3491] module 'Stage_Ex_Mem' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd:34' bound to instance 'Stage3' of component 'Stage_Ex_Mem' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:240] +INFO: [Synth 8-638] synthesizing module 'Stage_Ex_Mem' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd:45] +INFO: [Synth 8-256] done synthesizing module 'Stage_Ex_Mem' (7#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd:45] +INFO: [Synth 8-3491] module 'DataMemory' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd:35' bound to instance 'DataMem' of component 'DataMemory' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:250] +INFO: [Synth 8-638] synthesizing module 'DataMemory' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd:44] +INFO: [Synth 8-256] done synthesizing module 'DataMemory' (8#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd:44] +INFO: [Synth 8-3491] module 'Stage_Mem_Re' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd:34' bound to instance 'Stage4' of component 'Stage_Mem_Re' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:259] +INFO: [Synth 8-638] synthesizing module 'Stage_Mem_Re' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd:45] +INFO: [Synth 8-256] done synthesizing module 'Stage_Mem_Re' (9#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd:45] +INFO: [Synth 8-3491] module 'AleaControler' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd:28' bound to instance 'CU' of component 'AleaControler' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:329] +INFO: [Synth 8-638] synthesizing module 'AleaControler' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd:40] +INFO: [Synth 8-256] done synthesizing module 'AleaControler' (10#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd:40] +WARNING: [Synth 8-3848] Net Rst in module/entity Pipeline does not have driver. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:50] +WARNING: [Synth 8-3848] Net jump in module/entity Pipeline does not have driver. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:170] +INFO: [Synth 8-256] done synthesizing module 'Pipeline' (11#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:38] --------------------------------------------------------------------------------- -Finished RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:05 . Memory (MB): peak = 1313.902 ; gain = 142.434 ; free physical = 108498 ; free virtual = 138756 +Finished RTL Elaboration : Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 1313.898 ; gain = 142.324 ; free physical = 57806 ; free virtual = 69569 --------------------------------------------------------------------------------- Report Check Netlist: @@ -61,46 +63,46 @@ Report Check Netlist: +------+------------------+-------+---------+-------+------------------+ |1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | +------+------------------+-------+---------+-------+------------------+ -WARNING: [Synth 8-3295] tying undriven pin inst_point:LOAD to constant 0 [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:177] -WARNING: [Synth 8-3295] tying undriven pin StageRegisters:Rst to constant 0 [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:205] -WARNING: [Synth 8-3295] tying undriven pin DataMem:Rst to constant 0 [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:250] +WARNING: [Synth 8-3295] tying undriven pin inst_point:LOAD to constant 0 [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:177] +WARNING: [Synth 8-3295] tying undriven pin StageRegisters:Rst to constant 0 [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:205] +WARNING: [Synth 8-3295] tying undriven pin DataMem:Rst to constant 0 [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:250] --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 1313.902 ; gain = 142.434 ; free physical = 108498 ; free virtual = 138757 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 1313.898 ; gain = 142.324 ; free physical = 57814 ; free virtual = 69577 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 1313.902 ; gain = 142.434 ; free physical = 108498 ; free virtual = 138757 +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 1313.898 ; gain = 142.324 ; free physical = 57814 ; free virtual = 69577 --------------------------------------------------------------------------------- INFO: [Device 21-403] Loading part xc7a35tcpg236-1 INFO: [Project 1-570] Preparing netlist for logic optimization Processing XDC Constraints Initializing timing engine -Parsing XDC File [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/cpu.xdc] -Finished Parsing XDC File [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/cpu.xdc] +Parsing XDC File [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/cpu.xdc] +Finished Parsing XDC File [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/cpu.xdc] Completed Processing XDC Constraints INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -Constraint Validation Runtime : Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.03 . Memory (MB): peak = 1673.293 ; gain = 0.000 ; free physical = 108244 ; free virtual = 138510 +Constraint Validation Runtime : Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1673.281 ; gain = 0.000 ; free physical = 57555 ; free virtual = 69319 --------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:14 ; elapsed = 00:00:34 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108337 ; free virtual = 138591 +Finished Constraint Validation : Time (s): cpu = 00:00:10 ; elapsed = 00:00:20 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57631 ; free virtual = 69396 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- Loading part: xc7a35tcpg236-1 --------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:14 ; elapsed = 00:00:34 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108337 ; free virtual = 138591 +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:10 ; elapsed = 00:00:20 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57631 ; free virtual = 69396 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying 'set_property' XDC Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:14 ; elapsed = 00:00:34 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108339 ; free virtual = 138592 +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:10 ; elapsed = 00:00:20 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57631 ; free virtual = 69396 --------------------------------------------------------------------------------- INFO: [Synth 8-5546] ROM "Mem" won't be mapped to RAM because it is too sparse INFO: [Synth 8-5544] ROM "Regs_reg[0]" won't be mapped to Block RAM because address size (4) smaller than threshold (5) @@ -219,9 +221,9 @@ INFO: [Synth 8-5546] ROM "Mem_reg[96]" won't be mapped to RAM because it is too INFO: [Synth 8-5546] ROM "Mem_reg[97]" won't be mapped to RAM because it is too sparse INFO: [Synth 8-5546] ROM "Mem_reg[98]" won't be mapped to RAM because it is too sparse INFO: [Common 17-14] Message 'Synth 8-5546' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. -WARNING: [Synth 8-327] inferring latch for variable 'Mem_FinalB_reg' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:261] +WARNING: [Synth 8-327] inferring latch for variable 'Mem_FinalB_reg' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:261] --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:16 ; elapsed = 00:00:38 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108313 ; free virtual = 138566 +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:11 ; elapsed = 00:00:22 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57618 ; free virtual = 69383 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -327,8 +329,8 @@ Finished Part Resource Summary Start Cross Boundary and Area Optimization --------------------------------------------------------------------------------- Warning: Parallel synthesis criteria is not met -WARNING: [Synth 8-3936] Found unconnected internal register 'Stage1/Out_C_reg' and it is trimmed from '8' to '4' bits. [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd:55] -WARNING: [Synth 8-3936] Found unconnected internal register 'Stage4/Out_A_reg' and it is trimmed from '8' to '4' bits. [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd:51] +WARNING: [Synth 8-3936] Found unconnected internal register 'Stage4/Out_A_reg' and it is trimmed from '8' to '4' bits. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd:51] +WARNING: [Synth 8-3936] Found unconnected internal register 'Stage1/Out_C_reg' and it is trimmed from '8' to '4' bits. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd:55] WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[0][7]) is unused and will be removed from module Pipeline. WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[0][6]) is unused and will be removed from module Pipeline. WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[0][5]) is unused and will be removed from module Pipeline. @@ -431,7 +433,7 @@ WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[12][5]) is unused an WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[12][4]) is unused and will be removed from module Pipeline. INFO: [Common 17-14] Message 'Synth 8-3332' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. --------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108314 ; free virtual = 138572 +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:13 ; elapsed = 00:00:25 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57609 ; free virtual = 69379 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -443,13 +445,13 @@ Report RTL Partitions: Start Applying XDC Timing Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:24 ; elapsed = 00:00:52 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108174 ; free virtual = 138436 +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:17 ; elapsed = 00:00:31 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57516 ; free virtual = 69285 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Timing Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:00:24 ; elapsed = 00:00:52 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108171 ; free virtual = 138433 +Finished Timing Optimization : Time (s): cpu = 00:00:17 ; elapsed = 00:00:31 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57516 ; free virtual = 69285 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -461,7 +463,7 @@ Report RTL Partitions: Start Technology Mapping --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:25 ; elapsed = 00:00:52 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108171 ; free virtual = 138433 +Finished Technology Mapping : Time (s): cpu = 00:00:17 ; elapsed = 00:00:31 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57516 ; free virtual = 69285 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -485,7 +487,7 @@ Start Final Netlist Cleanup Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:00:25 ; elapsed = 00:00:53 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108171 ; free virtual = 138434 +Finished IO Insertion : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 --------------------------------------------------------------------------------- Report Check Netlist: @@ -498,7 +500,7 @@ Report Check Netlist: Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:00:25 ; elapsed = 00:00:53 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108171 ; free virtual = 138434 +Finished Renaming Generated Instances : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -510,73 +512,55 @@ Report RTL Partitions: Start Rebuilding User Hierarchy --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:25 ; elapsed = 00:00:53 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108171 ; free virtual = 138434 +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Ports --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:00:25 ; elapsed = 00:00:53 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108171 ; free virtual = 138434 +Finished Renaming Generated Ports : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:25 ; elapsed = 00:00:53 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108171 ; free virtual = 138434 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Nets --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:00:25 ; elapsed = 00:00:53 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108171 ; free virtual = 138434 +Finished Renaming Generated Nets : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Writing Synthesis Report --------------------------------------------------------------------------------- Report BlackBoxes: -+------+--------------+----------+ -| |BlackBox name |Instances | -+------+--------------+----------+ -|1 |ControlUnit | 1| -+------+--------------+----------+ ++-+--------------+----------+ +| |BlackBox name |Instances | ++-+--------------+----------+ ++-+--------------+----------+ Report Cell Usage: -+------+-------------------+------+ -| |Cell |Count | -+------+-------------------+------+ -|1 |ControlUnit_bbox_0 | 1| -|2 |BUFG | 1| -|3 |LUT1 | 2| -|4 |LUT2 | 14| -|5 |LUT3 | 2| -|6 |LUT4 | 2| -|7 |LUT5 | 4| -|8 |LUT6 | 15| -|9 |FDRE | 34| -|10 |FDSE | 13| -|11 |IBUF | 1| -+------+-------------------+------+ ++-+-----+------+ +| |Cell |Count | ++-+-----+------+ ++-+-----+------+ Report Instance Areas: -+------+-------------+------------------+------+ -| |Instance |Module |Cells | -+------+-------------+------------------+------+ -|1 |top | | 89| -|2 | MemInst |InstructionMemory | 17| -|3 | Stage1 |Stage_Li_Di | 11| -|4 | Stage2 |Stage_Di_Ex | 11| -|5 | inst_point |IP | 47| -+------+-------------+------------------+------+ ++------+---------+-------+------+ +| |Instance |Module |Cells | ++------+---------+-------+------+ +|1 |top | | 0| ++------+---------+-------+------+ --------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:00:25 ; elapsed = 00:00:53 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108171 ; free virtual = 138434 +Finished Writing Synthesis Report : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 --------------------------------------------------------------------------------- -Synthesis finished with 0 errors, 0 critical warnings and 2292 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:17 ; elapsed = 00:00:27 . Memory (MB): peak = 1673.293 ; gain = 142.434 ; free physical = 108225 ; free virtual = 138487 -Synthesis Optimization Complete : Time (s): cpu = 00:00:25 ; elapsed = 00:00:53 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108235 ; free virtual = 138498 +Synthesis finished with 0 errors, 0 critical warnings and 2331 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:11 ; elapsed = 00:00:17 . Memory (MB): peak = 1673.281 ; gain = 142.324 ; free physical = 57567 ; free virtual = 69336 +Synthesis Optimization Complete : Time (s): cpu = 00:00:18 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57570 ; free virtual = 69340 INFO: [Project 1-571] Translating synthesized netlist -INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-570] Preparing netlist for logic optimization INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). INFO: [Project 1-111] Unisim Transformation Summary: @@ -585,9 +569,9 @@ No Unisim elements were transformed. INFO: [Common 17-83] Releasing license: Synthesis 159 Infos, 108 Warnings, 0 Critical Warnings and 0 Errors encountered. synth_design completed successfully -synth_design: Time (s): cpu = 00:00:27 ; elapsed = 00:00:54 . Memory (MB): peak = 1673.293 ; gain = 513.469 ; free physical = 108228 ; free virtual = 138491 +synth_design: Time (s): cpu = 00:00:19 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 513.461 ; free physical = 57552 ; free virtual = 69322 WARNING: [Constraints 18-5210] No constraint will be written out. -INFO: [Common 17-1381] The checkpoint '/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1/Pipeline.dcp' has been generated. +INFO: [Common 17-1381] The checkpoint '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1/Pipeline.dcp' has been generated. INFO: [runtcl-4] Executing : report_utilization -file Pipeline_utilization_synth.rpt -pb Pipeline_utilization_synth.pb -report_utilization: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.17 . Memory (MB): peak = 1697.305 ; gain = 0.000 ; free physical = 108229 ; free virtual = 138491 -INFO: [Common 17-206] Exiting Vivado at Tue May 30 00:40:40 2023... +report_utilization: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1673.281 ; gain = 0.000 ; free physical = 57537 ; free virtual = 69306 +INFO: [Common 17-206] Exiting Vivado at Tue May 30 09:13:40 2023... diff --git a/VHDL/ALU/ALU.runs/synth_1/Pipeline_utilization_synth.pb b/VHDL/ALU/ALU.runs/synth_1/Pipeline_utilization_synth.pb index 338d3903dbd9a96980b6e4f2b6f337e9192b54b5..a29faa5e62148053506e54b1ed307e0802e76f96 100644 GIT binary patch delta 96 zcmbQjG=*t`xeEisTwaC-CHJSi3_$Q!2t@7`W@uRC)+z#G_ez4uyFgORZK)K9y;dGX d{sod(oQ^7h*b}QY_<$l7K&I1COR&hsWB}om6oUW& delta 96 zcmbQjG=*t`xr>d{TwaC-CHJSiWl7I%-wH7>JaF7A%+RpNtyN_14NkjWNd_Re3nazd tmP&!xYvmaj8tnf9$tzAr6|$NN?I%`i@Bu|EfRau}Eg2X*942l|1^})49ee-) diff --git a/VHDL/ALU/ALU.runs/synth_1/Pipeline_utilization_synth.rpt b/VHDL/ALU/ALU.runs/synth_1/Pipeline_utilization_synth.rpt index 53c488b..d935c8b 100644 --- a/VHDL/ALU/ALU.runs/synth_1/Pipeline_utilization_synth.rpt +++ b/VHDL/ALU/ALU.runs/synth_1/Pipeline_utilization_synth.rpt @@ -1,12 +1,12 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ----------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.2 (lin64) Build 2258646 Thu Jun 14 20:02:38 MDT 2018 -| Date : Tue May 30 00:40:39 2023 -| Host : srv-tp04 running 64-bit Ubuntu 20.04.6 LTS +| Date : Tue May 30 09:13:39 2023 +| Host : insa-11267 running 64-bit Ubuntu 20.04.6 LTS | Command : report_utilization -file Pipeline_utilization_synth.rpt -pb Pipeline_utilization_synth.pb | Design : Pipeline | Device : 7a35tcpg236-1 -| Design State : Synthesized +| Design State : Fully Placed ----------------------------------------------------------------------------------------------------------- Utilization Design Information @@ -15,14 +15,15 @@ Table of Contents ----------------- 1. Slice Logic 1.1 Summary of Registers by Type -2. Memory -3. DSP -4. IO and GT Specific -5. Clocking -6. Specific Feature -7. Primitives -8. Black Boxes -9. Instantiated Netlists +2. Slice Logic Distribution +3. Memory +4. DSP +5. IO and GT Specific +6. Clocking +7. Specific Feature +8. Primitives +9. Black Boxes +10. Instantiated Netlists 1. Slice Logic -------------- @@ -30,16 +31,15 @@ Table of Contents +-------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-------------------------+------+-------+-----------+-------+ -| Slice LUTs* | 28 | 0 | 20800 | 0.13 | -| LUT as Logic | 28 | 0 | 20800 | 0.13 | +| Slice LUTs | 0 | 0 | 20800 | 0.00 | +| LUT as Logic | 0 | 0 | 20800 | 0.00 | | LUT as Memory | 0 | 0 | 9600 | 0.00 | -| Slice Registers | 47 | 0 | 41600 | 0.11 | -| Register as Flip Flop | 47 | 0 | 41600 | 0.11 | +| Slice Registers | 0 | 0 | 41600 | 0.00 | +| Register as Flip Flop | 0 | 0 | 41600 | 0.00 | | Register as Latch | 0 | 0 | 41600 | 0.00 | | F7 Muxes | 0 | 0 | 16300 | 0.00 | | F8 Muxes | 0 | 0 | 8150 | 0.00 | +-------------------------+------+-------+-----------+-------+ -* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. 1.1 Summary of Registers by Type @@ -56,12 +56,31 @@ Table of Contents | 0 | Yes | - | - | | 0 | Yes | - | Set | | 0 | Yes | - | Reset | -| 13 | Yes | Set | - | -| 34 | Yes | Reset | - | +| 0 | Yes | Set | - | +| 0 | Yes | Reset | - | +-------+--------------+-------------+--------------+ -2. Memory +2. Slice Logic Distribution +--------------------------- + ++--------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++--------------------------+------+-------+-----------+-------+ +| Slice | 0 | 0 | 8150 | 0.00 | +| SLICEL | 0 | 0 | | | +| SLICEM | 0 | 0 | | | +| LUT as Logic | 0 | 0 | 20800 | 0.00 | +| LUT as Memory | 0 | 0 | 9600 | 0.00 | +| LUT as Distributed RAM | 0 | 0 | | | +| LUT as Shift Register | 0 | 0 | | | +| LUT Flip Flop Pairs | 0 | 0 | 20800 | 0.00 | +| Unique Control Sets | 0 | | | | ++--------------------------+------+-------+-----------+-------+ +* Note: Review the Control Sets Report for more information regarding control sets. + + +3. Memory --------- +----------------+------+-------+-----------+-------+ @@ -74,7 +93,7 @@ Table of Contents * Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 -3. DSP +4. DSP ------ +-----------+------+-------+-----------+-------+ @@ -84,13 +103,13 @@ Table of Contents +-----------+------+-------+-----------+-------+ -4. IO and GT Specific +5. IO and GT Specific --------------------- +-----------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-----------------------------+------+-------+-----------+-------+ -| Bonded IOB | 1 | 0 | 106 | 0.94 | +| Bonded IOB | 0 | 0 | 106 | 0.00 | | Bonded IPADs | 0 | 0 | 10 | 0.00 | | Bonded OPADs | 0 | 0 | 4 | 0.00 | | PHY_CONTROL | 0 | 0 | 5 | 0.00 | @@ -109,13 +128,13 @@ Table of Contents +-----------------------------+------+-------+-----------+-------+ -5. Clocking +6. Clocking ----------- +------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +------------+------+-------+-----------+-------+ -| BUFGCTRL | 1 | 0 | 32 | 3.13 | +| BUFGCTRL | 0 | 0 | 32 | 0.00 | | BUFIO | 0 | 0 | 20 | 0.00 | | MMCME2_ADV | 0 | 0 | 5 | 0.00 | | PLLE2_ADV | 0 | 0 | 5 | 0.00 | @@ -125,7 +144,7 @@ Table of Contents +------------+------+-------+-----------+-------+ -6. Specific Feature +7. Specific Feature ------------------- +-------------+------+-------+-----------+-------+ @@ -143,38 +162,25 @@ Table of Contents +-------------+------+-------+-----------+-------+ -7. Primitives +8. Primitives ------------- +----------+------+---------------------+ | Ref Name | Used | Functional Category | +----------+------+---------------------+ -| FDRE | 34 | Flop & Latch | -| LUT6 | 15 | LUT | -| LUT2 | 14 | LUT | -| FDSE | 13 | Flop & Latch | -| LUT5 | 4 | LUT | -| LUT4 | 2 | LUT | -| LUT3 | 2 | LUT | -| LUT1 | 2 | LUT | -| IBUF | 1 | IO | -| BUFG | 1 | Clock | -+----------+------+---------------------+ -8. Black Boxes +9. Black Boxes -------------- -+-------------+------+ -| Ref Name | Used | -+-------------+------+ -| ControlUnit | 1 | -+-------------+------+ - - -9. Instantiated Netlists ------------------------- - ++----------+------+ +| Ref Name | Used | ++----------+------+ + + +10. Instantiated Netlists +------------------------- + +----------+------+ | Ref Name | Used | +----------+------+ diff --git a/VHDL/ALU/ALU.runs/synth_1/gen_run.xml b/VHDL/ALU/ALU.runs/synth_1/gen_run.xml index 5fe2340..43a1ea5 100644 --- a/VHDL/ALU/ALU.runs/synth_1/gen_run.xml +++ b/VHDL/ALU/ALU.runs/synth_1/gen_run.xml @@ -1,14 +1,11 @@ - - - + + + + - - - - @@ -17,6 +14,12 @@ + + + + + + diff --git a/VHDL/ALU/ALU.runs/synth_1/project.wdf b/VHDL/ALU/ALU.runs/synth_1/project.wdf index 03ad16a..44c1bc3 100644 --- a/VHDL/ALU/ALU.runs/synth_1/project.wdf +++ b/VHDL/ALU/ALU.runs/synth_1/project.wdf @@ -1,5 +1,5 @@ version:1 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:3130:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:3131:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00 @@ -13,7 +13,7 @@ version:1 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:5648444c:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:3830:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:3836:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 @@ -28,35 +28,4 @@ version:1 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:6165663336656633613064393464616339653630353862363536393037616664:506172656e742050412070726f6a656374204944:00 -74696d696e675f636f6e73747261696e74735f77697a617264:74696d696e675f636f6e73747261696e74735f77697a6172645c7573616765:6d697373696e675f7072696d6172795f636c6f636b73:31:00:00 -74696d696e675f636f6e73747261696e74735f77697a617264:74696d696e675f636f6e73747261696e74735f77697a6172645c7573616765:61636365707465645f7072696d6172795f636c6f636b73:31:00:00 -74696d696e675f636f6e73747261696e74735f77697a617264:74696d696e675f636f6e73747261696e74735f77697a6172645c7573616765:6d697373696e675f67656e6572617465645f636c6f636b73:30:00:00 -74696d696e675f636f6e73747261696e74735f77697a617264:74696d696e675f636f6e73747261696e74735f77697a6172645c7573616765:61636365707465645f67656e6572617465645f636c6f636b73:30:00:00 -74696d696e675f636f6e73747261696e74735f77697a617264:74696d696e675f636f6e73747261696e74735f77697a6172645c7573616765:6d697373696e675f666f727761726465645f636c6f636b73:30:00:00 -74696d696e675f636f6e73747261696e74735f77697a617264:74696d696e675f636f6e73747261696e74735f77697a6172645c7573616765:61636365707465645f666f727761726465645f636c6f636b73:30:00:00 -74696d696e675f636f6e73747261696e74735f77697a617264:74696d696e675f636f6e73747261696e74735f77697a6172645c7573616765:6d697373696e675f65787465726e616c5f64656c617973:30:00:00 -74696d696e675f636f6e73747261696e74735f77697a617264:74696d696e675f636f6e73747261696e74735f77697a6172645c7573616765:61636365707465645f65787465726e616c5f64656c617973:30:00:00 -74696d696e675f636f6e73747261696e74735f77697a617264:74696d696e675f636f6e73747261696e74735f77697a6172645c7573616765:6d697373696e675f696e7075745f64656c617973:30:00:00 -74696d696e675f636f6e73747261696e74735f77697a617264:74696d696e675f636f6e73747261696e74735f77697a6172645c7573616765:61636365707465645f696e7075745f64656c617973:30:00:00 -74696d696e675f636f6e73747261696e74735f77697a617264:74696d696e675f636f6e73747261696e74735f77697a6172645c7573616765:6d697373696e675f6f75747075745f64656c617973:30:00:00 -74696d696e675f636f6e73747261696e74735f77697a617264:74696d696e675f636f6e73747261696e74735f77697a6172645c7573616765:61636365707465645f6f75747075745f64656c617973:30:00:00 -74696d696e675f636f6e73747261696e74735f77697a617264:74696d696e675f636f6e73747261696e74735f77697a6172645c7573616765:6d697373696e675f636f6d62696e6174696f6e616c5f7061746873:30:00:00 -74696d696e675f636f6e73747261696e74735f77697a617264:74696d696e675f636f6e73747261696e74735f77697a6172645c7573616765:61636365707465645f636f6d62696e6174696f6e616c5f7061746873:30:00:00 -74696d696e675f636f6e73747261696e74735f77697a617264:74696d696e675f636f6e73747261696e74735f77697a6172645c7573616765:6d697373696e675f7068795f6578636c5f636c6f636b5f67726f757073:30:00:00 -74696d696e675f636f6e73747261696e74735f77697a617264:74696d696e675f636f6e73747261696e74735f77697a6172645c7573616765:61636365707465645f7068795f6578636c5f636c6f636b5f67726f757073:30:00:00 -74696d696e675f636f6e73747261696e74735f77697a617264:74696d696e675f636f6e73747261696e74735f77697a6172645c7573616765:6d697373696e675f6c6f675f6578636c5f636c6f636b5f67726f757073:30:00:00 -74696d696e675f636f6e73747261696e74735f77697a617264:74696d696e675f636f6e73747261696e74735f77697a6172645c7573616765:61636365707465645f6c6f675f6578636c5f636c6f636b5f67726f757073:30:00:00 -74696d696e675f636f6e73747261696e74735f77697a617264:74696d696e675f636f6e73747261696e74735f77697a6172645c7573616765:6d697373696e675f6173796e635f636c6f636b5f67726f757073:30:00:00 -74696d696e675f636f6e73747261696e74735f77697a617264:74696d696e675f636f6e73747261696e74735f77697a6172645c7573616765:61636365707465645f6173796e635f636c6f636b5f67726f757073:30:00:00 -74696d696e675f636f6e73747261696e74735f77697a617264:74696d696e675f636f6e73747261696e74735f77697a6172645c7573616765:6d697373696e675f66616c73655f7061746873:30:00:00 -74696d696e675f636f6e73747261696e74735f77697a617264:74696d696e675f636f6e73747261696e74735f77697a6172645c7573616765:61636365707465645f66616c73655f7061746873:30:00:00 -7265706f72745f6d6574686f646f6c6f6779:7265706f72745f6d6574686f646f6c6f67795c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636865636b73:64656661756c74:5b6e6f745f7370656369666965645d:00 -7265706f72745f6d6574686f646f6c6f6779:7265706f72745f6d6574686f646f6c6f67795c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:706f73745f77697a617264:00:00 -7265706f72745f6d6574686f646f6c6f6779:7265706f72745f6d6574686f646f6c6f67795c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666f726d6174:64656661756c74:5b6e6f745f7370656369666965645d:00 -7265706f72745f6d6574686f646f6c6f6779:7265706f72745f6d6574686f646f6c6f67795c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6661696c5f6f6e:64656661756c74:5b6e6f745f7370656369666965645d:00 -7265706f72745f6d6574686f646f6c6f6779:7265706f72745f6d6574686f646f6c6f67795c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72657475726e5f737472696e67:64656661756c74:5b6e6f745f7370656369666965645d:00 -7265706f72745f6d6574686f646f6c6f6779:7265706f72745f6d6574686f646f6c6f67795c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d65737361676573:64656661756c74:5b6e6f745f7370656369666965645d:00 -7265706f72745f6d6574686f646f6c6f6779:7265706f72745f6d6574686f646f6c6f67795c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666f726365:64656661756c74:5b6e6f745f7370656369666965645d:00 -7265706f72745f6d6574686f646f6c6f6779:7265706f72745f6d6574686f646f6c6f67795c636f6d6d616e645f6c696e655f6f7074696f6e73:2d776169766564:64656661756c74:5b6e6f745f7370656369666965645d:00 -7265706f72745f6d6574686f646f6c6f6779:7265706f72745f6d6574686f646f6c6f67795c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617070656e64:64656661756c74:5b6e6f745f7370656369666965645d:00 -eof:2501282044 +eof:3805747332 diff --git a/VHDL/ALU/ALU.runs/synth_1/runme.log b/VHDL/ALU/ALU.runs/synth_1/runme.log index 93ab5ce..3e75e98 100644 --- a/VHDL/ALU/ALU.runs/synth_1/runme.log +++ b/VHDL/ALU/ALU.runs/synth_1/runme.log @@ -14,44 +14,46 @@ Starting synth_design Attempting to get a license for feature 'Synthesis' and/or device 'xc7a35t' INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a35t' INFO: Launching helper process for spawning children vivado processes -INFO: Helper process launched with PID 608386 +INFO: Helper process launched with PID 10853 --------------------------------------------------------------------------------- -Starting RTL Elaboration : Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 1255.277 ; gain = 83.809 ; free physical = 108497 ; free virtual = 138755 +Starting RTL Elaboration : Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1255.273 ; gain = 83.699 ; free physical = 57815 ; free virtual = 69577 --------------------------------------------------------------------------------- -INFO: [Synth 8-638] synthesizing module 'Pipeline' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:38] -INFO: [Synth 8-3491] module 'IP' declared at '/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd:36' bound to instance 'inst_point' of component 'IP' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:177] -INFO: [Synth 8-638] synthesizing module 'IP' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd:45] -INFO: [Synth 8-256] done synthesizing module 'IP' (1#1) [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd:45] -INFO: [Synth 8-3491] module 'InstructionMemory' declared at '/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd:35' bound to instance 'MemInst' of component 'InstructionMemory' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:187] -INFO: [Synth 8-638] synthesizing module 'InstructionMemory' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd:41] -INFO: [Synth 8-256] done synthesizing module 'InstructionMemory' (2#1) [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd:41] -INFO: [Synth 8-3491] module 'Stage_Li_Di' declared at '/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd:34' bound to instance 'Stage1' of component 'Stage_Li_Di' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:193] -INFO: [Synth 8-638] synthesizing module 'Stage_Li_Di' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd:47] -INFO: [Synth 8-256] done synthesizing module 'Stage_Li_Di' (3#1) [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd:47] -INFO: [Synth 8-3491] module 'Registers' declared at '/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd:35' bound to instance 'StageRegisters' of component 'Registers' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:205] -INFO: [Synth 8-638] synthesizing module 'Registers' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd:47] -INFO: [Synth 8-256] done synthesizing module 'Registers' (4#1) [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd:47] -INFO: [Synth 8-3491] module 'Stage_Di_Ex' declared at '/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd:34' bound to instance 'Stage2' of component 'Stage_Di_Ex' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:217] -INFO: [Synth 8-638] synthesizing module 'Stage_Di_Ex' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd:47] -INFO: [Synth 8-256] done synthesizing module 'Stage_Di_Ex' (5#1) [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd:47] -INFO: [Synth 8-3491] module 'ALU' declared at '/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:36' bound to instance 'Ual' of component 'ALU' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:229] -INFO: [Synth 8-638] synthesizing module 'ALU' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:61] -INFO: [Synth 8-256] done synthesizing module 'ALU' (6#1) [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:61] -INFO: [Synth 8-3491] module 'Stage_Ex_Mem' declared at '/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd:34' bound to instance 'Stage3' of component 'Stage_Ex_Mem' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:240] -INFO: [Synth 8-638] synthesizing module 'Stage_Ex_Mem' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd:45] -INFO: [Synth 8-256] done synthesizing module 'Stage_Ex_Mem' (7#1) [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd:45] -INFO: [Synth 8-3491] module 'DataMemory' declared at '/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd:35' bound to instance 'DataMem' of component 'DataMemory' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:250] -INFO: [Synth 8-638] synthesizing module 'DataMemory' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd:44] -INFO: [Synth 8-256] done synthesizing module 'DataMemory' (8#1) [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd:44] -INFO: [Synth 8-3491] module 'Stage_Mem_Re' declared at '/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd:34' bound to instance 'Stage4' of component 'Stage_Mem_Re' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:259] -INFO: [Synth 8-638] synthesizing module 'Stage_Mem_Re' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd:45] -INFO: [Synth 8-256] done synthesizing module 'Stage_Mem_Re' (9#1) [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd:45] -INFO: [Synth 8-637] synthesizing blackbox instance 'CU' of component 'ControlUnit' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:329] -WARNING: [Synth 8-3848] Net Rst in module/entity Pipeline does not have driver. [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:50] -WARNING: [Synth 8-3848] Net jump in module/entity Pipeline does not have driver. [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:170] -INFO: [Synth 8-256] done synthesizing module 'Pipeline' (10#1) [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:38] +INFO: [Synth 8-638] synthesizing module 'Pipeline' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:38] +INFO: [Synth 8-3491] module 'IP' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd:36' bound to instance 'inst_point' of component 'IP' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:177] +INFO: [Synth 8-638] synthesizing module 'IP' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd:45] +INFO: [Synth 8-256] done synthesizing module 'IP' (1#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd:45] +INFO: [Synth 8-3491] module 'InstructionMemory' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd:35' bound to instance 'MemInst' of component 'InstructionMemory' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:187] +INFO: [Synth 8-638] synthesizing module 'InstructionMemory' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd:41] +INFO: [Synth 8-256] done synthesizing module 'InstructionMemory' (2#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd:41] +INFO: [Synth 8-3491] module 'Stage_Li_Di' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd:34' bound to instance 'Stage1' of component 'Stage_Li_Di' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:193] +INFO: [Synth 8-638] synthesizing module 'Stage_Li_Di' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd:47] +INFO: [Synth 8-256] done synthesizing module 'Stage_Li_Di' (3#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd:47] +INFO: [Synth 8-3491] module 'Registers' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd:35' bound to instance 'StageRegisters' of component 'Registers' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:205] +INFO: [Synth 8-638] synthesizing module 'Registers' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd:47] +INFO: [Synth 8-256] done synthesizing module 'Registers' (4#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd:47] +INFO: [Synth 8-3491] module 'Stage_Di_Ex' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd:34' bound to instance 'Stage2' of component 'Stage_Di_Ex' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:217] +INFO: [Synth 8-638] synthesizing module 'Stage_Di_Ex' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd:47] +INFO: [Synth 8-256] done synthesizing module 'Stage_Di_Ex' (5#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd:47] +INFO: [Synth 8-3491] module 'ALU' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:36' bound to instance 'Ual' of component 'ALU' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:229] +INFO: [Synth 8-638] synthesizing module 'ALU' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:61] +INFO: [Synth 8-256] done synthesizing module 'ALU' (6#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:61] +INFO: [Synth 8-3491] module 'Stage_Ex_Mem' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd:34' bound to instance 'Stage3' of component 'Stage_Ex_Mem' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:240] +INFO: [Synth 8-638] synthesizing module 'Stage_Ex_Mem' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd:45] +INFO: [Synth 8-256] done synthesizing module 'Stage_Ex_Mem' (7#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd:45] +INFO: [Synth 8-3491] module 'DataMemory' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd:35' bound to instance 'DataMem' of component 'DataMemory' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:250] +INFO: [Synth 8-638] synthesizing module 'DataMemory' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd:44] +INFO: [Synth 8-256] done synthesizing module 'DataMemory' (8#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd:44] +INFO: [Synth 8-3491] module 'Stage_Mem_Re' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd:34' bound to instance 'Stage4' of component 'Stage_Mem_Re' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:259] +INFO: [Synth 8-638] synthesizing module 'Stage_Mem_Re' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd:45] +INFO: [Synth 8-256] done synthesizing module 'Stage_Mem_Re' (9#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd:45] +INFO: [Synth 8-3491] module 'AleaControler' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd:28' bound to instance 'CU' of component 'AleaControler' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:329] +INFO: [Synth 8-638] synthesizing module 'AleaControler' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd:40] +INFO: [Synth 8-256] done synthesizing module 'AleaControler' (10#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd:40] +WARNING: [Synth 8-3848] Net Rst in module/entity Pipeline does not have driver. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:50] +WARNING: [Synth 8-3848] Net jump in module/entity Pipeline does not have driver. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:170] +INFO: [Synth 8-256] done synthesizing module 'Pipeline' (11#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:38] --------------------------------------------------------------------------------- -Finished RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:05 . Memory (MB): peak = 1313.902 ; gain = 142.434 ; free physical = 108498 ; free virtual = 138756 +Finished RTL Elaboration : Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 1313.898 ; gain = 142.324 ; free physical = 57806 ; free virtual = 69569 --------------------------------------------------------------------------------- Report Check Netlist: @@ -60,46 +62,46 @@ Report Check Netlist: +------+------------------+-------+---------+-------+------------------+ |1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | +------+------------------+-------+---------+-------+------------------+ -WARNING: [Synth 8-3295] tying undriven pin inst_point:LOAD to constant 0 [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:177] -WARNING: [Synth 8-3295] tying undriven pin StageRegisters:Rst to constant 0 [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:205] -WARNING: [Synth 8-3295] tying undriven pin DataMem:Rst to constant 0 [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:250] +WARNING: [Synth 8-3295] tying undriven pin inst_point:LOAD to constant 0 [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:177] +WARNING: [Synth 8-3295] tying undriven pin StageRegisters:Rst to constant 0 [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:205] +WARNING: [Synth 8-3295] tying undriven pin DataMem:Rst to constant 0 [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:250] --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 1313.902 ; gain = 142.434 ; free physical = 108498 ; free virtual = 138757 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 1313.898 ; gain = 142.324 ; free physical = 57814 ; free virtual = 69577 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 1313.902 ; gain = 142.434 ; free physical = 108498 ; free virtual = 138757 +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 1313.898 ; gain = 142.324 ; free physical = 57814 ; free virtual = 69577 --------------------------------------------------------------------------------- INFO: [Device 21-403] Loading part xc7a35tcpg236-1 INFO: [Project 1-570] Preparing netlist for logic optimization Processing XDC Constraints Initializing timing engine -Parsing XDC File [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/cpu.xdc] -Finished Parsing XDC File [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/cpu.xdc] +Parsing XDC File [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/cpu.xdc] +Finished Parsing XDC File [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/cpu.xdc] Completed Processing XDC Constraints INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -Constraint Validation Runtime : Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.03 . Memory (MB): peak = 1673.293 ; gain = 0.000 ; free physical = 108244 ; free virtual = 138510 +Constraint Validation Runtime : Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1673.281 ; gain = 0.000 ; free physical = 57555 ; free virtual = 69319 --------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:14 ; elapsed = 00:00:34 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108337 ; free virtual = 138591 +Finished Constraint Validation : Time (s): cpu = 00:00:10 ; elapsed = 00:00:20 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57631 ; free virtual = 69396 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- Loading part: xc7a35tcpg236-1 --------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:14 ; elapsed = 00:00:34 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108337 ; free virtual = 138591 +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:10 ; elapsed = 00:00:20 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57631 ; free virtual = 69396 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying 'set_property' XDC Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:14 ; elapsed = 00:00:34 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108339 ; free virtual = 138592 +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:10 ; elapsed = 00:00:20 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57631 ; free virtual = 69396 --------------------------------------------------------------------------------- INFO: [Synth 8-5546] ROM "Mem" won't be mapped to RAM because it is too sparse INFO: [Synth 8-5544] ROM "Regs_reg[0]" won't be mapped to Block RAM because address size (4) smaller than threshold (5) @@ -218,9 +220,9 @@ INFO: [Synth 8-5546] ROM "Mem_reg[96]" won't be mapped to RAM because it is too INFO: [Synth 8-5546] ROM "Mem_reg[97]" won't be mapped to RAM because it is too sparse INFO: [Synth 8-5546] ROM "Mem_reg[98]" won't be mapped to RAM because it is too sparse INFO: [Common 17-14] Message 'Synth 8-5546' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. -WARNING: [Synth 8-327] inferring latch for variable 'Mem_FinalB_reg' [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:261] +WARNING: [Synth 8-327] inferring latch for variable 'Mem_FinalB_reg' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:261] --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:16 ; elapsed = 00:00:38 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108313 ; free virtual = 138566 +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:11 ; elapsed = 00:00:22 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57618 ; free virtual = 69383 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -326,8 +328,8 @@ Finished Part Resource Summary Start Cross Boundary and Area Optimization --------------------------------------------------------------------------------- Warning: Parallel synthesis criteria is not met -WARNING: [Synth 8-3936] Found unconnected internal register 'Stage1/Out_C_reg' and it is trimmed from '8' to '4' bits. [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd:55] -WARNING: [Synth 8-3936] Found unconnected internal register 'Stage4/Out_A_reg' and it is trimmed from '8' to '4' bits. [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd:51] +WARNING: [Synth 8-3936] Found unconnected internal register 'Stage4/Out_A_reg' and it is trimmed from '8' to '4' bits. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd:51] +WARNING: [Synth 8-3936] Found unconnected internal register 'Stage1/Out_C_reg' and it is trimmed from '8' to '4' bits. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd:55] WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[0][7]) is unused and will be removed from module Pipeline. WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[0][6]) is unused and will be removed from module Pipeline. WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[0][5]) is unused and will be removed from module Pipeline. @@ -430,7 +432,7 @@ WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[12][5]) is unused an WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[12][4]) is unused and will be removed from module Pipeline. INFO: [Common 17-14] Message 'Synth 8-3332' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. --------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:18 ; elapsed = 00:00:41 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108314 ; free virtual = 138572 +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:13 ; elapsed = 00:00:25 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57609 ; free virtual = 69379 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -442,13 +444,13 @@ Report RTL Partitions: Start Applying XDC Timing Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:24 ; elapsed = 00:00:52 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108174 ; free virtual = 138436 +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:17 ; elapsed = 00:00:31 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57516 ; free virtual = 69285 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Timing Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:00:24 ; elapsed = 00:00:52 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108171 ; free virtual = 138433 +Finished Timing Optimization : Time (s): cpu = 00:00:17 ; elapsed = 00:00:31 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57516 ; free virtual = 69285 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -460,7 +462,7 @@ Report RTL Partitions: Start Technology Mapping --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:25 ; elapsed = 00:00:52 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108171 ; free virtual = 138433 +Finished Technology Mapping : Time (s): cpu = 00:00:17 ; elapsed = 00:00:31 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57516 ; free virtual = 69285 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -484,7 +486,7 @@ Start Final Netlist Cleanup Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:00:25 ; elapsed = 00:00:53 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108171 ; free virtual = 138434 +Finished IO Insertion : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 --------------------------------------------------------------------------------- Report Check Netlist: @@ -497,7 +499,7 @@ Report Check Netlist: Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:00:25 ; elapsed = 00:00:53 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108171 ; free virtual = 138434 +Finished Renaming Generated Instances : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -509,73 +511,55 @@ Report RTL Partitions: Start Rebuilding User Hierarchy --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:25 ; elapsed = 00:00:53 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108171 ; free virtual = 138434 +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Ports --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:00:25 ; elapsed = 00:00:53 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108171 ; free virtual = 138434 +Finished Renaming Generated Ports : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:25 ; elapsed = 00:00:53 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108171 ; free virtual = 138434 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Nets --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:00:25 ; elapsed = 00:00:53 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108171 ; free virtual = 138434 +Finished Renaming Generated Nets : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Writing Synthesis Report --------------------------------------------------------------------------------- Report BlackBoxes: -+------+--------------+----------+ -| |BlackBox name |Instances | -+------+--------------+----------+ -|1 |ControlUnit | 1| -+------+--------------+----------+ ++-+--------------+----------+ +| |BlackBox name |Instances | ++-+--------------+----------+ ++-+--------------+----------+ Report Cell Usage: -+------+-------------------+------+ -| |Cell |Count | -+------+-------------------+------+ -|1 |ControlUnit_bbox_0 | 1| -|2 |BUFG | 1| -|3 |LUT1 | 2| -|4 |LUT2 | 14| -|5 |LUT3 | 2| -|6 |LUT4 | 2| -|7 |LUT5 | 4| -|8 |LUT6 | 15| -|9 |FDRE | 34| -|10 |FDSE | 13| -|11 |IBUF | 1| -+------+-------------------+------+ ++-+-----+------+ +| |Cell |Count | ++-+-----+------+ ++-+-----+------+ Report Instance Areas: -+------+-------------+------------------+------+ -| |Instance |Module |Cells | -+------+-------------+------------------+------+ -|1 |top | | 89| -|2 | MemInst |InstructionMemory | 17| -|3 | Stage1 |Stage_Li_Di | 11| -|4 | Stage2 |Stage_Di_Ex | 11| -|5 | inst_point |IP | 47| -+------+-------------+------------------+------+ ++------+---------+-------+------+ +| |Instance |Module |Cells | ++------+---------+-------+------+ +|1 |top | | 0| ++------+---------+-------+------+ --------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:00:25 ; elapsed = 00:00:53 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108171 ; free virtual = 138434 +Finished Writing Synthesis Report : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 --------------------------------------------------------------------------------- -Synthesis finished with 0 errors, 0 critical warnings and 2292 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:17 ; elapsed = 00:00:27 . Memory (MB): peak = 1673.293 ; gain = 142.434 ; free physical = 108225 ; free virtual = 138487 -Synthesis Optimization Complete : Time (s): cpu = 00:00:25 ; elapsed = 00:00:53 . Memory (MB): peak = 1673.293 ; gain = 501.824 ; free physical = 108235 ; free virtual = 138498 +Synthesis finished with 0 errors, 0 critical warnings and 2331 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:11 ; elapsed = 00:00:17 . Memory (MB): peak = 1673.281 ; gain = 142.324 ; free physical = 57567 ; free virtual = 69336 +Synthesis Optimization Complete : Time (s): cpu = 00:00:18 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57570 ; free virtual = 69340 INFO: [Project 1-571] Translating synthesized netlist -INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-570] Preparing netlist for logic optimization INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). INFO: [Project 1-111] Unisim Transformation Summary: @@ -584,9 +568,9 @@ No Unisim elements were transformed. INFO: [Common 17-83] Releasing license: Synthesis 159 Infos, 108 Warnings, 0 Critical Warnings and 0 Errors encountered. synth_design completed successfully -synth_design: Time (s): cpu = 00:00:27 ; elapsed = 00:00:54 . Memory (MB): peak = 1673.293 ; gain = 513.469 ; free physical = 108228 ; free virtual = 138491 +synth_design: Time (s): cpu = 00:00:19 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 513.461 ; free physical = 57552 ; free virtual = 69322 WARNING: [Constraints 18-5210] No constraint will be written out. -INFO: [Common 17-1381] The checkpoint '/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1/Pipeline.dcp' has been generated. +INFO: [Common 17-1381] The checkpoint '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1/Pipeline.dcp' has been generated. INFO: [runtcl-4] Executing : report_utilization -file Pipeline_utilization_synth.rpt -pb Pipeline_utilization_synth.pb -report_utilization: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.17 . Memory (MB): peak = 1697.305 ; gain = 0.000 ; free physical = 108229 ; free virtual = 138491 -INFO: [Common 17-206] Exiting Vivado at Tue May 30 00:40:40 2023... +report_utilization: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1673.281 ; gain = 0.000 ; free physical = 57537 ; free virtual = 69306 +INFO: [Common 17-206] Exiting Vivado at Tue May 30 09:13:40 2023... diff --git a/VHDL/ALU/ALU.runs/synth_1/runme.sh b/VHDL/ALU/ALU.runs/synth_1/runme.sh index 3a75b33..ae5d7fd 100755 --- a/VHDL/ALU/ALU.runs/synth_1/runme.sh +++ b/VHDL/ALU/ALU.runs/synth_1/runme.sh @@ -20,7 +20,7 @@ else fi export LD_LIBRARY_PATH -HD_PWD='/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1' +HD_PWD='/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1' cd "$HD_PWD" HD_LOG=runme.log diff --git a/VHDL/ALU/ALU.runs/synth_1/vivado.jou b/VHDL/ALU/ALU.runs/synth_1/vivado.jou index b72c97e..6074572 100644 --- a/VHDL/ALU/ALU.runs/synth_1/vivado.jou +++ b/VHDL/ALU/ALU.runs/synth_1/vivado.jou @@ -2,11 +2,11 @@ # Vivado v2018.2 (64-bit) # SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 # IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 -# Start of session at: Tue May 30 00:39:32 2023 -# Process ID: 608313 -# Current directory: /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1 +# Start of session at: Tue May 30 09:12:59 2023 +# Process ID: 10840 +# Current directory: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1 # Command line: vivado -log Pipeline.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source Pipeline.tcl -# Log file: /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1/Pipeline.vds -# Journal file: /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1/vivado.jou +# Log file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1/Pipeline.vds +# Journal file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1/vivado.jou #----------------------------------------------------------- source Pipeline.tcl -notrace diff --git a/VHDL/ALU/ALU.runs/synth_1/vivado.pb b/VHDL/ALU/ALU.runs/synth_1/vivado.pb index d2a131a550a6c6d09a545268b5744f1669020583..d51d0f6ac2ff16cfd72d79bfecedd60b7e1d620e 100644 GIT binary patch delta 3544 zcmb_edrVVj6wkSo*F|1!0f9nqK~yM~dwYA^ULHcl=M-dfZful!wa_w#hPQ z#LZ35lF#UTP7}>Ua81@_(+o0M+>(Xi+)L4o#K+t+vp<%&IivgTZ9yqj7KJq7dz|z8 zoqN9X+Oa-#-`|?iF~!07Hsd^UQRWO2-{k#iGv>1N>Tv4=;Uq^tUUaf)0!TZ$dJXi8?r3-NAx(reqO z8hKV*KXU)i$g0%O?Z%Z!lkwL{8T3Z6fk{MFw+3$|zUM#7N-o9kCnXKlx zNjag-FEzB(SWf_Z2s`pblo(5*D2NuP?7WkJg3W3X$p8Zh2AY7pJ!k^jWi-h~&l0{c z#BaiN@k^REy|!#6ZIrj`&ottEDHf-7zUF<}1WSReF+w`7bK1t^RTJM9l-J?md(R}o+k~35D8B85tf#uh9|S3l0%ZPYLPC|N;h^{6lC1GP>+X8-X*gb>WF7tdBFQ> zp_Qk#Fiqza?!1D;3l<0OQ0kyYa@gsEgo93jk?kIs)z9dE3vj^zu*dP@?0FA}X_7Mm zyWiG&ewbSU`fCB;-vQf$1@jj^7&r};eV*M5ZWj9^Bc!A2UN30ED;=rBEyAj_h)0Ec1ks0j4vFo_ssmT zXI|Rx0bhL@*b^|fwxPDU#$Am)omp6NBLzhNn@Qst$IC&DbI8;zA_YAWbR zY$8OG)+9)NXm~Xgy)+ab2l7W2aO5!qO(WkUKe*8zHDOEkYQ1^s;0j(52~34FvX55X ziJ==^#>I&N-8Nh6?VJK7fJH9V_Y>$FLVXiPu1$kml!e5Hf`M=>aC8H0q&(frr$^N9#`+=L94D@R;P}hUS*4hUeT|TUlduYrUK7;bHoH!K^{R1Rq#S;~GD#?;q!5 z;qlR%-2E{32d(KuV}pW+jua(D$r?Rq$!ZA-LPSv@ha||>5BiG2h{HYy_9(d|fjrzo z1cy>aMLQ0a8ujM`@J|BUMNZj4#;0!38a;0MI{2XIbSR|gWV-|A zVp!o~uSSN~8Ms8piwz{E5N0rRR%rhyF~T=vP#U@k7witvVpT^B@+O@&lvyLfKWA8R zNK6P*#sk_jeN%>({8b1i)d_|tSBv1B=2N9&o|;K3CS-y>l;GH64U W#Nh7W?WD07R%_&ZK_uskq3A!Be-)oNsy}lJEZ2b1H7Bbo+w{r9vDD-Id0^gzZK=>? zDiYg^@9;b7cu{on9uM2Y?hQp^?1QXVaC%)nJT_FT=UiU5kAwuME#U;OM-tv{=__LcliYxUyq?FRf)>FcV+P`L(w=Wt?G z`GkgR#t+I*Y5l{pLSw~@X8E_;idPwM=c=#g;YL@#qV)~PIG5RvXI7i>x*@3b+?HE6 z)aRhB8Bf=oke`Bhy!NKn>XLnpREF`fIx~J;=}-~zs*)E?m%T3?b>ha3D_ZL_!auB90VLaMej}_Kj5bm~CVOvXXvZWwe zTfd{C@3!96T7we4epbhbauSAPvAd$7iG91`hp?)wsk*33@U-dUq2%EtZ(?+T zF|?P~uC_51fp{!68IO*|A}M_8o1#jZ(67TI?Twf)=)r??&RmS8jQmgM745C{=;5Bj zHO`GV=gqmTWUb5i+k)D-0L*Ee@7C>T>N}_aBkk|7Ti*OSmgTf z(!M>D;V^qJGMPFMiqaC2iv`&MHuGhnw@7oQ9}`{!j(U_t3!svAHflYkz9U&KH%S%) zj!ZqB+1Nb>WVivW`am%#*PXGyxv%vEtVr zmUB?bSb=jd?x05wM4pG|ehiO-@vjAXSAcn&bn0M}j+b+~7DVy~2UwTN5h*B~XK_up zkYNYbL6#|oQNZzjD>jnN_1m=6>5e7uy zUzQi3WxZcs1#<5KGfTGHp!fbn=t4kCHZfB}ZnZ&4)^DfvBUKbR z;Q;RbD6{@1IHa3jsqc?Pag5zulRei0eL(tbz>-%SP)*iv0L$m$q=U`U!4?CKeyavH z9tWr;GwskJJ(i?d=|i@)L(d}J_B1E=r}H*qYEVE@4@a(cKpozgE-yfnwhkDm4c>bI z^)l-ed7BwZ@P@mL+;UR%1zmaj!Z%fsbRZm!%1&rsyG*VEIBV*eY^?A60CsZvem4>MkZ`mPYDkcWo+94IljnKZVEjqjUN+bOlFlQxF--@>xUeE}AUWt%A>4w3F!c2!h z7K$F0uXxQ#mR{VFk^O8q8r~m{rIPHP_#`_SPSCrbY+$PN4LZ)#RvHVZqLCy{SC~zY z>Um!W=Xv~rg#M6z8Xl<9F;6f&e&{!~O~m&nqTy6{H=Q?@vIl}=Y%)9%kL^y%OuRS8 zMCQ7{Tq;xXUbH^EGiwmx86EtE-a(!v^yTMqlUQ8&H-DHyf8RGVFc>56}`MVc>BcG66^p(a 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Pipeline_behav.wdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Pipeline_behav.wdb new file mode 100644 index 0000000000000000000000000000000000000000..3907a605843c64a7ca7c009abb60ee84b364d8cc GIT binary patch literal 26125 zcmeI43v^Z0na2;vs{?4n_me2EfRLMn0KuZ<<{b!0NCHHpUM|U%Tu5@mO)dtHDBj)QfaqE1I@R~?qh5{gt-TUHeW=KnwE+hOx1_mqwZ zj^Mxyxvi7*B8KlU z>m%i|zNJ{;)SBBW3sZ|q%dqfR`92#T!`gBfDZL$M8Z?HsvAU)pR8~=3n(rXf4Yd51 z5Jt@!<&3ID$Uw+Mn2Rt6p$wq}VcaN(opXUqOS3*%Q>kdGF9uZ}teI66EOUp0Ea&9O zlPlO&5Fy1LbZB;AeoaM{3-)q0y5k|pxlkK9ffm*yq#}bIl$O^N78iQ;kkw&2C_;iA z%!o9F!tr=`S!c_%3R~oDz}6`z5tuiW2?$zodoit4MHZexEA$h#(w|L0bSr<_Sad6J zBUj9g{v%2MO7!(&rdvhdC;F$N#hK`sTrz_p=p*u8M+#fzKrEZq>BN%$83_;BJ0b95cf%+=ZP;NQ<94&dz zNZu$(L%DS>hlVNK_zr0LT2RtZZa(*rY>7Vy-3OsY(ok-lE1_?ZyjP$(-X=*yx$Rs7 zT`cimK=(uFkTjG#=4+uVC2t=zO}JXpP;NWdLvst}oDU;#U->&pL%I39FXl`9C^UDK z?@AiVWm2IRO8f#e2G?+&mo$_+uN$D7C9f3BK*aw`(on8&ttcGNFkA*fzlFfG5s&bg zG!zU*!G45fOa=-rMEP%hm~1e-gFp6RcyFbkydO0Fb&5TnJQQ4vk`y1N2xauUt9_W6 zD7ysAaA@P7?9lYZ^`@`UFUR>Xxc!{V5GI15KN>OZa4iD}^xdgo=#NGW!!^i4pzoG| zvF{(la1F*I(04iZWXx2Q^WK{4!{np4W3c2W_RgQ4#mF$~vx zEW!;4t9+Prlyje2Ux#4o({A)5mQ%BS8-gikea20ntbd;>zX)mWozxuP0|=%* z$ItcT_^DZc6M|jNwd5L5v;S=fb~)FP^{H9^MFdmMIdKisMAP?-{W%{B?aO_Q`Am0L z&OObqocozyIrlQZa_(b(<=n&k%DI2}mGk~jR!;xnec)HlJu6u`eSqtqtek7Wy&+jS z*Ma+#Upea#rEXM8N@ z-ec9~+u(VF9M$fePNAsM1I z$KjB3H(;m%bZCg?I2>|&q93hm5vM9E?T$8;gDO+0~chCXw9)3a`SoUf;5QM z9J?Wx!-E$jSG4BX4Y?Hea-0vQf0= zSe;x84{VTj(VAm*awT%tSBlmgtCLIR!xNGwT63&U?n5be4{^xT9E-{A<{=NVN3`ac zO>Q#}dXTN6HOFjn9YbBrSuI*~%qCZx>T&Z$YmV9EGH&p=9MPI%Hn{;jbU;!>YmV9E z_DcEwBplB+C*ej3x1ALr zJ4NgEc-C_J<;Dsz&zas{(wQ%MwCFW#7_v^Z=Eiu%UFM;=n}pk|=ApTSbtd ze3JI~yyY{^j4#`Vj{MFpe z!s+L^=DsYPeokv{N|Jv2BA;xfl=CwaMYpH9TRp7Hex!x7$8@-6x zuQV4l91lhibN$yu-87dkoIby3u0S|_F40_}a9hRSGp2bNnky1c`-^N}opvb} zPM@1JS0bDqpXOv(UCxP`lVNwUpXR1Zzxo`exiaDO`ATy$gwy9W&6NwM&taOYNYbyk zPp6%YAL{lrH&fcv=Q_<*38&9>nyVI0pVu^3Bb+|3X>OKq`W&XY*}~~_o#y5Uw?-Cv zqiD_53a9;mdpO1Hr?+{@F6UUy%@t1jf#z-(PCvIaH%~Zy?$q2@gwy9q&D|lKKA&nX zB%D4^eo69;-_4h_?pJeR;q*CHa|?vqD+^`zNzK&>r|qY?dg1hWS91~JTI7ar5y#cs zoxm>BWH-#++D&saw88At+{sLHcR=|B-}F7o@joK8HQj!&oG=w#InS7>2&O&enf8VtPGMdtg557a zV;GL_2PoZfY}attxm5QY=V&-N{&m|P$8We%R(pK**yH0Fz;Nbs7ssbLZjFYc%_!Y* zY};_=^FVhT_c+6u^ENp?^X&2Qo;RF1S9Zs7O$}F$IHfyo5b_OY&I#li5bS>Wk;8E2 zIousb#&F`sZoj%c`jX+y^P#);c)uIY?7!rA58C6q*y7B6+#N^XH0^aDPU(*0yEVgo z!=*fq_cVDU=6<7pt(8oc`*DAJhTNlP$US9o_aScEb2o zYltVa%L^9wb;RxQU4gV2-zz>G_jAL&>BC)Rar-Rpam4L@ueP{f`*3{0VfsDn!|@%V z;f`3`-y@#PE=MiyNyP2(anCdD^_K>yxYo(q8)R`$`*4?A+&_S``@J4%)9+B>xF?QC z(jF0h7fB&E5U@4MZ^v(L5uUV-(OptwqJ(T9?uFC&V%-oYRo$A{&h2OAxHZq)*MTb#DbEf)8L#nCSIdfaMpTP;r8WvXzr%l9lUnLm^JzQrZ;XL8#t zj&_kGVyEs8a@#GA{+x{ap~Z22JmZ>uBNuVn&-Q1QYkv+3$EJ)wlhgj3XK}_)$?0{= zw>U&yA;)#=ZXV1teo9WSTLJQnznJ}*oL;v=i!**oPWy9_#hK?X&-{A6#lmrXKbC=$ z)AKE{xEC$XZ@y-qWP5)1FN$3u$N5V0Zl3wPz`U2Ne)W7yQEu#Kp8ISsovjS;{$7#Q zry*#6;b$D}FVlr%9pf+LwEfB~&iD&CZNC{7ho~#${Oo7^g`D=6a^#u$8h;_D{iVX< zjK7f6_N%nG*Tl~JOviH}%-4Cp%@mHv9v`mC;@!}OxG1`V}Z42{Lk&%q=$@lEmV}~!M9g^KI<{mmJ5%>Pb<^Q{%@XTck z_lG{n_*3)GmL1Gkc&?_<&O8g9t@b~!?HGH}W)!aD=h<%FEHO`sd|vLy^W~qRwpfc( z8EuK+XVMWTFVYZR8jZ!njZU<=wJp>Vi{clzrIikTd|8SNhnIMwt}PmCMpi7o%&Be* zFN|b*DpVE?6-2!_e)Jh_ZHvTPol`NF%@VdC8Y*meW}&NK*(@(_yc89-htRrH5N-=w zy0f=z!B7^eia7bRoM2-loF8j$i^m!xafee0g|a4RcE%=3Y&?F2>6MPp$T6|Z&RABK z$;;}jF@BQdWgBc_hRHES6HLwo)8)kR!b~*G#0ipr z^4&{YyfG9kOE6v)X$=J%-Kf;94wV-*h8H^3p^7^_oiFLRuI6e4=_qWMD$l)E&2d$* zzCP|oxTv8DOoxaxT@^u1Tu>3$JYMEFVbp+kh8887S{(P%9BT>XW04!3ipo$~X{eyo z$uFDkR8`kFWfj2!r?4FJZgvW2tZ<^;ar>mly5>WFa9?zUOCB%zyr4@A2D!ZExmU@` zck82s&7jCtbDTM@TRJm?&P)z;VJm))lIP^Rrh~nzopPtbnd{^`@kpzShA^b6ySykz zR?)(N<62nDD~ATw$+ESGBR=y2{+e= zzr@e^7gS=-|l#Ojwx2cPOKGD6`ZNul(?cCFWcZBc^^{FpV=gH(8_2 zlj)A*XIQ=h)%Ezko9{>Yw=?|A#J|~KdKd!B_#GzSWAHmb49l4+>3nER&;5H1{yv<) z0q5_$`CD%OUYozko{5kJhToU69e&TrgE+r;WPAL6FB2gd!#Xz4?-$uW`|hqy<`*Co zA`~GMBa|TU`wxD%!S5~jodmyc;CBQS2z1+d*acds}# zij6({*C}K4#Cj~CEO5U{_ax7+1@!(kw*LH%r>FNG3w)L>@Z@KCa9_Z&asRUA=RNzE zZH}IJj|EP%z{b;3J&E&e0e${TM9%k!diw9Nz-QM2>pr_f`$CRPpTE+?3hliP?S5u( zN5-H&J6HA28gi^+?aY#khZjHk=)QUXHuUyyr{8vP?4iW)+Yh|{Vrxb zwBeFtPd~Ky#@>Afq@?C{IKBQ@yVP7O?{sI%R^5*F?i(T2M?CNx8j$pUO)c*Zx&8FG<(bg4;{b>91 zII-pJ<)IIjotX5qeIqwaU9#fniy!TIVe+c6O+(ITB)J_sZ|^hvTQ!45V=S+}H27aL zQu^*r*>>&Z>Rux%`;Fj8E*!CG(AbB6w&3A`bKWX>wSIZgw2!j}ZTP{8Yby3FAM?hC zo2T47cF`jr{o>!3FF&?6^w9C+FF&yisRjF);TI?faYU&klT>MtcPV9n`O~dikWi zKh3%S`2qJoH{kwPFTQfsum|TBm(J?v+!7kHV$u3{3xA(ze)F04C(Ri8z-x);nri#J z(e%QT$0KirmLB}**z^-`2Kr6C==F~9KTdgP`MjZ1zJ1XTx18AftAW3%d1>Ctd&&mC zwx!>JzZv%Q882$6_t5h9FN|}12M4cAy|QoRJrm0JUVGyGwClFKlk?5TO1`>b+t)9g z-`c#O=<>&D>Dw%UH8tO|2}r4_$O_n_f@Q4_J<=wZ(a5B yi$~^;ecN8;7Aga-0wlubXtdbj*jLm zL#WBi-8A|C>(LS}q@qnn3)0xtT~pXRL6c9OtjW7h)#UfRHF;CV`(!kmWg22~cvIw` zTmJ+G&=FPYYV^K3pvf<2vZjyb9ET?RJK7I)ik5~)G9;M}E`}6bNIRKVSD09l-$XAb z(O6eEm~fc!QSJxsM@`oQE01yE<@CsTTA&QfA}LII{o{OOQbz zlR*4I+(2AF2AFZ!xg6wu(B$Xp?!@WC366Az5)PUap;Tjd60YQaCKDQ^Med@&~k_&rx%0 zQ~`9DekFzT z|IVw!-qGF}0)8lm;r%4L!#?Oc(<+ z;oU=qtmb>bIbPS5ZAjl5`!E>54god$!N{ma) zsSTKS0>OE21%j&^_Ki;m%AmdvK`cO!!TG>^1_+<&0_SKewq=1}Y{i052JORfjs`*I zA_%&9H3(&}FPLI(EC@3BAh?+A1fdM(cn^7kAX5y2>()0QltDcvAORrAJOgP1@*N0e zFz*2(0YRn;cQB;zVKe!4-ER0Cdy!J^#{RwiPqtD?@Aaq=m+#6t}CEYy|!cC0|fm?+fg6J zhbhUio$9By;&r%&Vm)N{B8$oD0UXO8u?U>{BdqDW@ScXTVx(@9-%4 z5PChfV;tbzV2=IY2jRElJmK}2k26xiu#_-XpC4>6+M-|JCyclccR3*FtUW zxDIM-$2Cw}JFb7)+HvjE){g65Q|;(uOX3&E)Eu%H516nW*Sn^!r)ynP?WhOWm8RNp zj)wdayWT-dJI1B9{xMeUv|NwvxSloDKV8e3YR9<6bxd13u3=5JV_a*SAG&tY z>#Z?hA&E&2Q?E`hTbkiA@-|uH#6l92oaN}bc)Et8M_P~i1W<{BiPcBzUGB|O_uB%P zh6Lak`&bUwEaWW7gUp)bEQjkba=N$(K{6*f%VBrORg-r5U}HIS19G_6$8?9}EQjVG zx0^iJ4w0PYush@u$-^y~e`_D~q*bQjR7fwiAUVrnHFD;-xrAg%a+bqt*jIm_W*6uGHbfHafjEQk9k@R;o3vFVT5Djifkv| za#n<6{lap?3CBLCSYENYc6~t(6YbYlbIP&n70ZbU$KJmzXGb{_aCAS(a`uE{_b)8xKsa_^ z!g7v;`WPSk8@b?0${q+zH3-!&uIviGIoU`0ah}*@Qlpqw5E|uVXoHqL1Czv78U# z*!>#I`4W!Zud$pT;n;l`%Z(=-yRT!p3DiCkICn_Sa{h#4 zA{@I;vfMPvG3zAD1rv_l@3P!+wLzZ%Vpo|Io#b+L0AHG9UZXlE~y^CuB^^~LU7w_V*f6CGQ`@1;w8|CQ! z7&&~_^6kSq%8i9Grgw3;*QXr458lP0ZpzW;I&!!c^ZUg;6y->Gs{3U*j1kHOK^fD# z^x-p+ax>J_yE%MDP>!ybs1NUbzJ0i6QjXqt@8Xc5+yW?LdKcFY>M6HeO=&n>OK99- zHUBt!XUO9+wQ%iuTsDtGUpD2JA9&nCDD&;Z zHG|rBO$+w{k1No^b>MMjJZ>A5`Tcg}aldHca4ny^V8XSeH^{sC#X7p)BWJ3G z>&??=riJUvHKlvvjxY2$RHlqm*Kh*4%dAv9ygHT@S|Mnmyv|SwXPS# zeMC4!=<^LZZT`c*@4$o{`cDKxpIi9s!+y~|7arFi&Jo0zz-lPIwU7Q?8Lo-={N?+_ zgm8F`Hy$7%$Bv^1)KULU;Bhz(zCP4XIsDrZOsEgX!RJsv<)~kf(-sFoJbmVjKD2}S zC4|SZae#JE4*wiOe9J194oaCna6!#P92YP19Ev7E%?&~845 zc2ExgMggEj$kG;rn?YkK4-QaQ~MG(i#M-aleZFv;?7XkI!y4?kx$2*U-2} zt``WuU(}EKkfU*r92@t8c^r*<t7Im+Xjif81G^SGws8MzZY4*fz-q4eARf!s+Rhw9$vW8)e1Q;x@;Dl&$l<)bYaCcd;}kh|-o#Lc{i0uJoFd20 zn;nm%af%!p&-OfyK7Vm9%8u88aA;o+F&sH|ypBBX0*})+Ub;@AK5h3e^&fILUZNgK zzr_pIUE=l2j@Jp=ssHG6AN36c!5akrsr8P99J!Vtbp69UH5)I^gu^arydcN=&xOa) zctMW!pDT}}<3&!J|7g4*$HvRYL?1t1kVF6BScM>H`#2El7aA|fvHo-8aW{#d@f`y9 zQ+$2yghS+>7S4mm6=~r-d0d$m&Wp!A<8dOW=iBGa<0`dqK0K~k3+Kz@8hD%u)2|PZb#@OK}tQSE=Q+#v1#&6Aj`2W)nO~o^faTO`3?@u`V)90}T9T>^+{>A6Y z|Fr(k@&h#o_uH7z&$t)-v-JO`>Y@HZpJBpz{7?3qW|S~aQut4~AO9)wDT$OvaDGx{ zBorcpLOFZs%%EtQTmeNd_$P~y!q3X3oI|KQc$OqWArF=+l^j+{BvyktSvXu6A(z3g zmR-F#_(iEJRB(7uLrx_VkM4)X9wg*;TM zAY&M8ZB0ufhLX|{%fW9;RD-B7B|ic&*|lcr&`X4oK7V>N^p zC}TzSSkvx?j-Z#*!chE}gbT(A;S}I)bx9H-RmkMiIUip?n5$VZ8xna`B4z!0d#qrLh_svOkULkp^bCUxe|`K#lQ^MU#B zw&T3f&=4j3P{p2eP`eKN<;!`%FHt9P4xB=&RO1pb%V&XE9~&xUOhnd!NbqTjSGUvhBKsS(cQ_NlPS>`K?+&q%rI%BEEp?*;#I(Bj5Fn7 zQVT_BP_RNSi?OheQb>cMEQZPy7Rp#~kt}?M+`>yC|5O?|z!xf{VN&G)SEy8k1x3nc zM@f|y6I>kKEa2`$8K_hQD=n0=Fv%c`aOoV2$hQm2!04INIg2@pSr#!fr_Y=oE}u3l zDq^rjm^><6791395d#+~YiG|Hq>DK&GtBvc+E3ziHTWHl63hyE`;(3I{0)8x}*Ne6$$e5&U~ zN#>M36Ewd1%e!;+`V%Ha84D`}1hhr4JS;*MD)p1QzGIryH^$%ATU5AG77{KcQT*5Y zk$out2?PBt9>b%;-~kjYfqNA7{&|7UQ~b9^xq26(*{Wz9^_Nf3+a1u~)SqUAuoG~K z`I~yg2ZZ`D@R$2iGd9?)z%%r3>JoZ_z31Q5nPz;@CF}5v-?-KBP0{jjDKi|jC?(uVZ{QEn!cL)fziC?DnK(hz_Ru444KmM)m zZtkqv1I-?2_CT`-nmy3$fo2b=JrJWKy*I1#7vFdJp<=de55v;_dkmMhH)$W#%c)mh zA0Hz_SKU?HmYOd~_4$1IHpf2edmQ)h{kTtWt0z0YKDy55`iPxIJD)e~Z>PLcJ4H3I z{L;z%O;uZ@my?_~ozP9Vdh)q(yMS|NfB2v}xNqyUE;kPSDETq|{Z7e0soqodDQatb z=xNdFxOsL1&w3}EzL))F@|hPatLi$5wsNnwO>e$SLR zr}=_K7F`XJyXU8HPs(oS<*t;ualSkBl5+RB>lIxbDaflDY9`)&eS!EFhY&;27KK$( z^|~^HoS|XvqKd^zy`p5ExjsfWWroCFl=ZDy;>5@glX54W(Jh(~=po9SZ7f(+c2Hz+|D>_b z_0Rh0<<80aoSSi<6D&Q`s(sFUt7LKI)>*m+@ty5O(XT88I~JVN%k}JH$N4IHCFPzv zV3s&4`h%pB)?)psT1NYrHVxmcNlp{3}<%qFPU*4q*J9a+YGbz!}5+OB7&;=ZSri0cau>P_7nKTcHf z)eGICr}bh{Z0Sm&u6tGc1^4PAduu)12YP|&i`t+BD_>}x|o z-YNa|qWAhZilXH~f*la&agVkMEqy;Xbm>1Ol?(UK6XdO1*-ISYw^|(f?7GmU-$jFD z+wI+SE^n$7wx4Y5#8r)jFyGS8P!u}qGx0B_Uvf{5K$y3NFt0h>H!1gD5aw<7c!*+s zAk0^r877}OWvX-i@G;$7i~a7LQqf(obmi^diL)a6CYAW5bB|JQ=;mfUOyTwf_Y_pT zurf`2a(9uqco&3uD+u$#VP@hJU$smMkhvxfeH1FFa6Z~2apSE`;%Pgrgb`I4y;I_* zjS?)9LX?Na=;&M@(p@(<;};k1NESqS+bOz551)T5s<|F6)E#xwD7pKO3%Mto$LZ#- zd?mDOIk&yb>7AW)0xKR0SBJbY5%0dUUi?daywK%Vol&x6ZU-H=h5Ph+*$hkJ4tzgV zkXL@dL_E`Wt@xMxAfd~c&tSS^ggS1jRl@dFfu7v(X%OWSXCu+_Ho|GkzAzDQ8uX<& zZt-lPWx|6O)pfH1ryZM|8L`q$loBX!uXFZko^aY`PZRN6S>L4U=o@-dk6#w0+?j8x zQ{X(uz~%Xvu?^hUch3piO9Jh=+i$!Dd7Hm%FA6-mTpSv|oZE8^;#{&{l=$Jv)#BoZ z5a+|cF--}q?ju;#2jYCd%l6sAT=45p9s4>a zotfRQgI7x1*v2dgB`_EFraaT0!$xqQUIo3wf!7SAGYFa(7kgV81rLM0O+27 z&j$wj{cIS8>f;WeJ1}B2(Ba>JT_?uv^)=D2JU&TQFkstAu7lUquRn2LY@RG_1rz=} z?K|iuuD}5F_5TP4d}vqTI2fhbXK44DatNlEXI;Q2qpMnhC1Ks1z$a6}VQ6RTl3;e` zt^5i`Sr&}5vuQStQilG17z5E+r0)*HDal&{-FrQ?g6_ABSq!6$?g9h0wces{Zh0&m zLNB*;2n^wNwFh()AKnpeh)EB?z(ZYUV9?$egLC;X5PE;L31TdHR8LUd>I%l}i%u9+ zH(LNP`B%HXTZ>*K{M!Ar)%ZiI&`Q;3g*qAIig(87%-_^z_k{5s&#w&G8N1!p>)S3p zzgfQA>)R!(79QK!W?iJ+yw3N|<)rnR_|;UGP96aZ21|p74hx>%uI0SW1-ln@%{Ohm z`ND-BCi4P5miD^ixS*rnk^Ja`$~X7wY^%hD4bNX3c#*9t&wgW5Xt;Op_VuyLUi`NtFiTC>t_aC za-JH$;k9?e*pEjn&T`r}!n8E%S!3?vI9c1LzL}4=#;*;r*;D$1*|FM9lLMAZj@n$= zHEz4^-tflDXXEb1FI{^-zWn$nb=zWO4Y5Cj_#d=6CP|3)47mMRT+%qQZ^W(jb1M!O zJU%xfckU_Ak>R)9Pdu=l;`rUv^=qEq&)OY6z?I*uWaYOu~Wj0rQc=0o_PD>tzB3BGq?Er%NxA*`Ifx-RTh!uUSirh?eof` z7FVylTHW|u6+icGr`!E*XGLDVcqD31sjPA7if8MU$>m?$j9Q=h=;VQXcVXQUmsp!l zrB5Yg+X`wQ)%o1K_Hxd_wC?%Gl)WnNo1V)w&!~w=JN$5ezZ%Ezt5Ys689nDg!1(jk zAzPjfnZ3I3p!Ky2!_uuKK4~>CVn#|DQ}TBy*DAYg`9A&Gi(~hdI+YF=pLMHg>{t%Q zdS`BFQ&=_aOy0&T=EG|&G-@LXlMQ6f`BE8ee3QQoG1)PpMUN6$b0^qL-uE6Ry5cu%Cm1|#8%F4y!^@9kSS9} z9=YtRNFIG@&DzaTQrq*jLx(>IHMf~FUb3-kf;jd|&r8eVwymf**Tza2vaaFV_+v31 z|B~M^TXjD^^WcRW^`$q>J#P<-+g-P?e`kwZu4TPP9@sef@ws&G@HgXq4%P@`A39D- ze|@XsRba1?vg~a;M@D2h8;6};U3-1>+@6)57r#hoOi{c(SSN{%HlA7To4$H;epzg7 z!WE0E7Io3`hpnm>D%NZ+F)F{&?fQ#n+Z&WYr#DqScN}r^Vp!YL^58R%4W^$MRJzgl z)7X*DcU9hISDcTo-PJfMWA)ZE_2=ibP}Ps=n)&+LwRWraC2ZMV8Mor1Z+fwPtj+nS z<@0j7)x=i*IL+F*6vDcMs|jgov(7CUc{^`}*Or^v^>>Yrz|G$R?u&a>#aj)|D%1TS|uSJrI!e+f21PKGG@e zu+0_sxV;`vCC~jY-Wa{};gpQ_r4#F%_SvPKmiN3?*{gKMl)EZ`2D~Re`)Ofh-uQM0 z`Y6|A^|)3ki(Tcp-?L#+yuZ!FH*LGF8o~gZEw=}=3$_Vb}``&3~cjHcE6|_4&WS{XT1K%uswX>kav?h1%_G^vn zHpdlRT_0IpQ*ztgJbhB^an=3W9_hP--Bdg~zjg9-hBBe&@ku#}l(+RvgLbIDe^U>2Zs!gdb`n zB|Bd~Ir&+;sE4KE^W$FM$h=_u;L3fmP4|ql#mZ%`H+iO%&EGe=eBVz`VnZa8(%8`Rs3gaxr$y}-qbIMg-#mvnvO^|R8@%r;&hx{B=!T}c9dO;L5|)YGH! z>xlgr4Q;3#~J! zD8~(KOgLdUyE6M~>(tjv28dG&^fEe)F0p++FgMS05?JFod69MI!#dzXEbLP2uZ#m* z7GE+js}d(%Jty4c+$A^f;Ka5tk_^FY#pVfL2G+N0d>{Ha*edn)oC9{L1rN>Id{n8b zk>%#84tI>mw+-)JT;ehJtCHe`;#Sbd1L#BYM!&eE_LEC7$=TtL-xql_t{BdRH1ytV zXjb*(R2XsaWCOG8d>DyEm{V%Or*1viIR`*K)H>5W7?xbqd7W#N70kCml@|wv}yNgUzyW*Ww z>uY<02g}EYWfhj%xu?ksOS5+t);-c$(I7WYvaXpjCh^D*Sw9(?&52n1$Rw_&vAb2P z*_CF?Tc*DD9Mf{PqAYciA}`@yOm1FPnhuO=e#hdH$DVy-E+jn4OkR^P6{akE8cbQ* zi9;pDs?24EX4$flMf+86-pkEvIA1z?`PRF4Vb0FroT;`fwa)B0AB;VJWo&A_>utf+ z+K?$LtutSibr@ZeaMwL~&5KhlBl5F@zz@$)p806hp=6zUPV)MiTLhXUbBVMJ*nW)O>ki4er;k_{YK`VQB#Gm~MhQHPfCUta zadt&T$8lCg$59*=#0N5?JbW!GgCdTi&Wf-q;Ib$#_@M%_=YRX0P#n|!;SM4@rasO+ zb*p}Ls_vsH@RQyQoURMjSqb&v5R=D17TS?*#_VseTpjg}V&Dr+iykzmMh%4FT< zlA7{Qq>%L5Cef2;n@9D>xmM->A)8EXMB+7X#+4n0L^E#>kLueCqq=x>RCgF3)hEj_ zGpnsLl+Wk_&PJ|PbYzi7qWaaSsz0)O&D&RUQ4eFvDLXbNE6Fp{Ulpk*qZ#3AIWv^& zd0z8qViQZo6?zhL^YUrJX~z487bMn{pGw@Erp62-O+#B;T$1C>FBp=SZK%)@cpOys z(cMk=Qj%nn6q4~IV@dK!hLZH@ZqRvL^xLy|~& zbdWc)#52SbZAV3Q(gE%vbTGnS>Gg#|zS;GbahG8T)cVMwsGG_L0 zX5G=e6ZSb-Lnz?W4Z?jNUEWG+TStyuOHrM9F6rK6KmGf%v#&85FE!?_!BNS`H0C{$ zJ?^My;B<~b6K_X-l5=}7131I!Do;YVT6_b*7u^$xRvG+cNSi7*)Fc_fGk*m<7>Yt6rr z2m^gSNf8N{Pf2Ln&4VPuzz*)A2_#_lkYL?HoY+hvolhnK^DW8gBvhwL?R4jojVME26G`Xv{;(YNMbQPh&hAm_r_t8iNT$GC=QcO46QDvCFxjw4Wc^4 zOS?Es7S**U=G-_;F4c7)rdu3l6xHEgaFIqFNrG>XFyF}}h`Ee7%;i*%N2;3```(CJ zIeZv%=^{Z~4kHGBmn01405OZWEGC9;R|gXYbC5=YxSSY=LCkg{@yB6s&)^=#co4Ia zq%X`R#)J5-A_g%lNf^wfn*{MaD-JV;>MtOHpWzEhVh8gLKjR*#BL;CTNf^v`3P~af z>>#cs34{5Doh~GHnQ*qoJYoE}F2o|4G#9h(N*d#p`ks`>oS_~X^~}Oq0wIZ4}Qg3 zB>rot9_vuNdaOb5>aqUBtH;_CuO918yn3uT@#?YO#Hxo6li4q%91bkz8wvGTXJWNS z3}Aj^)x!?%=UDZ!w#2K)x)QG*Yf7wo#9h4p5i9OE?NN_)B$jN60KlrmY<)H!Ld=g-YJ>!@Vhs8+JMrc^HH+8*kV#GagIV4^8yvX*k;r1Jz`v^%oa z9M%-1m0bT`3{IX`ORz{#dV;mK$8Io%2sBEitTo5OHeYkSEasZy;h4p|*e_wNIUbG~ z$_suWYt2b_iG0KKV68ds#(XU|76PA==H&UZk?Y@!!HJnA2yjYIu-5jtxv4`SQ(C}U zbKKmNBA_WvVy!uDZjuq$lrmUrj+>iS2yjXXtTo5Y%~r188H3|uvl1I8N^4nbdt7W5 zV)I1lF4mgkVlxdJC`#3=HOIv!6PqYXLs@H%i%mytq$nk_)*Kg`J)HSE28X>C(yN@= z$XeUOehXSdm;7UOfqZDVL#&}&a`5!IqboZ z-sAeMF}OsBqIl;9D_LuMU6@uVUQBIK}Xh_ z>lVe#POje*gS(iywQTrR*4kco=BBaXYSxyA za{VQ&7xF;wVy!vs<&gAsXs%BbGx|C-m&Ba*pXOZ5>F1#4l7(YOX0p~?ig5g3lIN;C z_rx!$!krS$$a7zFY0T;8s^-#}OW+Ai$cq+eu5S!`7zUn^GQNIsxCD#4G!BO)PV8j} zr{an)zn<&QoQ^BaUB;Y#PHS!ebNczKIqY?j^z&SE_{@T&pVOKf6ve*x+(%d-X2dVp z<05H$n#*)3dOoZ5N^@@J^!mc#Sl@3Jb9%kfT()os4ob5B(_Bup+UR-HoQFBRf6-hn zb9!H*xgpFw!SS9vELxzsq0H%c;mfM`3!C}gzDaZ3&G+_Anj6mj>bTNeK6BcCnj68K z-iK*!By)OyrMUv;^nOiq60dq6rnylu`aPA)#ZD2QYkQh2W=`+xG*`l$-q&evG;?~t zrnxc9>HV7K#xke(VVWytPVeh9H;%c595{MqIu7J>$<~|SXa-~gb9x`Exrxl_ zIMCb`%;`AQ+?CAfeW&KU%<284<|Z+x_otfkF{k&Fr%QX*lUeJ2HCM)*^Ma1@vX9kV zIdl4RvgZ8EY5!?%3UhkDtGTJnRr3N;%{PMPrZK1ILq2y(yabqAkQmL#{#kR=nbY&3 zxf#sq>(yKZbNczFxk~2rb4zno(r?#jM)vKR3o<9F31zT%gqDPNG-uEW_EPekM}2P+ ztbf9S!{=3S^0^f}w)nzO)_Ercdnl97kKnL(ggxvJgqME#W0BK8_Ks3d*?N2EmoL}h zut!QH5qoGO_PS9X3H|1g*!?!8bK!bX9;qP?3yg5d4%IM+{)LnMXG8Wde&J+)2M%ke zJwB8PC;O*{IP3w0^H3hCAr7{M8|F|Ab6A6gljkPvVa>M3hc!t!c|J76;T{#vM|q@% zIK-@Qa=(L{Mq>AicSFKeP#&ov4vcUihiaH>YjLyUa0wQ7t;Nlxygj~l7PlY{*WTi8 zkHg^}lk2$0;xN`&_s1WPk$e0Yxo3`%TV-)KQr`9p-d)LcycCB!%i>;-!{ObP*xP7v zzodLDzihU+`INWEcMhG4y{&OLeBKjocN`9{w1oTG;xIRMznv`ZP#g}gw8S31gGNH! zYkPR5C0r|udx*+o`K67;Jwlv4zVj`6oh=hs2Qw{uXm8uY ze4}5?+qD*_;{fw5+&qiZaR7e`hrcsI!uU{b_ltd*aJMjrbNCN)20?Kj5|krCNZ_J1goc>@Rk#o`{d`qlo! zJ{0XSZ%Zu>ezpCKeW-BDEe`GLNN_KsIE@6H9rxH<=(xu_OmJu;aS!ey61!jQA%&B; z2dCp6?=XdvxCf`>{!)t*|AC7)ZxZ+5^t@%TJv;8f>A1(cPK*zn#6372_t*;yCvgu> z&l}!#3b%si1A8;=7rg5fzpS)4?U#WT_ZN$UU+no9WN|N9oc0U$>N37pEG||&!!PSB zE>=8)d(GnD7e1xjk^2MOdW%Cm$Kp0v9Ig+3#J!HUefDhc*(=;B-7^ zS)9ZvI6ZIK7AJ8E4)eytrQDJ0MVZ7YI6ZGUR3`Hw>oYh#Zyt-2I0dKUIoIOk`HQ{0 zzTP3sVSI1#z`^P39cpp!TU@;Bm30#K;@!XEKXABSHcz>u{r4YMzxsOfh!y|Ib07AS zV5C*_9zpYABsyNuzmAvT%%P3M3pnk+e2bHK0jK>p!s6t5q2u{a;suE_D7zPnRcBK`g$pJnFYbKm0uXfX`HR!hMuz6&&Wg zv#inK|9hM?v#t$UTyJyIKeYUK`9X$*{TUMcjJ?D0vj5L&NBjk!Az>c>nf(^MO1e(Y zoUHewHxjHiCH`=PG7(>e$?{M0%?t!Xlr5xxfoebfywY#7D}rS+yw#y#nLivhfhyvw zg8}-{US6T0A5`U0fx#hEQx*vXtEea#nr(_BzN!9{sPg6qyg7kro_@#`2uJ*(usM=* z*i>e70$xw88BK$5=Z}t-rE!&~)=Sn+jxXY~bbW8>j8UDp$Zs%suQ#=SN`0;$=hEm0 zRMFbBpSf|MLON#Q;1rUJos--^ zm9HXNoNCC6ZX5`vV-^nC&LI-2@VfJBkT3Fwz3vJp%WaFjBXcW!Q%$kAU`kYH^Z9s3 z!|86s1MEU&Ime>0j&hfmhnx)N&Ram21B6W%`Kcuxs39G*a2RWR)PmyDJH4i|+Bk=* zV6`{9iUw&43cdMx-kdy>oj=?Z6_=R&0(Xw_jHK(XGC6RTucp>GeIhU&_fdZorPL7} zvT(@8fx4u@P$`aC&XJ3^rpC~{Igt<`WrfF z=CpF-niZPis-0Fot-LBYc}7ijs;e?sQxz!l&2-gLqj0b$ROWZG-k~0Mj;ov|$VCk+ zTx8c3j+A>-lan(jB6#w{s)KA6ZaG^)yv8tw$9uj3W3vz<`i(Vl;ojtWDs7E{?oX5bA?#t-4(cXccv?r)b>WMagC#bXN^_S$s^k_lv z<4EpeIqeksjVDQjWd~_U34M@+*ki+cJvoo1!Qj2zX{r1`UsPOwn_Emx9eNeyfS!V&9?U#b(EG28CjaC^Y8*hL z2TocKyl~Qv?B_W!*?-~t6(sx~0;#si?zdL8c`-R*{lb$xBO@|LXKD&pxty+r0Jt4o&~^j-B%k z4LpCa-d)yD>c6Pk0uzb^y z&F!B&l-@D&?_CysxwGiX)-`JiRt)X(79zw3~m;1MV`1>J!ZfM_oU8wim_8CP@ z{iX9x|K#R{ua(yAoiab~e{Oxh^_!FX-SYX&yU*VIbmxa}9{l@PwruflJh1DXo5uE- zH#L1g(u@bcDx2`;7tdW?b>M+LTVE*6c<}xKA7rmu>%IOrGv3U;=e4<=Zf*8Vua@mM z%*`47RR3$fxOPdm+umLFz`J*T^X;{*FI#qJ!H$nF-Ms2ge>m{FcA2J0^MqqOT;J+i z^WEKN-HWSnpK(KC!Y_Tz`}Y0p#b?gh(|p9`BY!(^*e#!I`gmW*k#%Fc`u;v*Z$VaF zyCGk1{PTIYTytLUyC)o8G4rYI;hk?iHGKAlcY>?$xi5Rb;pNZY(d(*xyW6gwKYiQf zV{ZDe{o{{+_d>hK(=RT{-IVt7nUj|;8{T5_pyqQPE53Hy^bXIjUsJbq|Ag*4mVLGU b>MNf6uNi~)9rLY%TWau`8BHFU+&28bZ+!If diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_total_vhdl.prj b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_total_vhdl.prj index 7b9f8b6..bfcc4c8 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_total_vhdl.prj +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_total_vhdl.prj @@ -1,6 +1,7 @@ # compile vhdl design source files vhdl xil_defaultlib \ "../../../../ALU.srcs/sources_1/new/ALU.vhd" \ +"../../../../ALU.srcs/sources_1/new/AleaControler.vhd" \ "../../../../ALU.srcs/sources_1/new/IP.vhd" \ "../../../../ALU.srcs/sources_1/new/InstructionMemory.vhd" \ "../../../../ALU.srcs/sources_1/new/Memory.vhd" \ @@ -10,7 +11,7 @@ vhdl xil_defaultlib \ "../../../../ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd" \ "../../../../ALU.srcs/sources_1/new/Stage_Li_Di.vhd" \ "../../../../ALU.srcs/sources_1/new/Stage_Mem_Re.vhd" \ -"../../../../ALU.srcs/sim_1/new/VHDL.vhd" \ +"../../../../ALU.srcs/sim_1/new/test_total.vhd" \ # Do not sort compile order nosort diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.log index a0c4a5f..018949d 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.log +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.log @@ -217,3 +217,122 @@ INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst info/Pro INFO: [VRFC 10-307] analyzing entity Test_total INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/test_total.vhd" into library xil_defaultlib INFO: [VRFC 10-307] analyzing entity Test_total +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity ALU +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity IP +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity InstructionMemory +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity DataMemory +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Registers +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Stage_Di_Ex +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Stage_Ex_Mem +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Stage_Li_Di +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Stage_Mem_Re +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Pipeline +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity AleaControler +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Pipeline +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/test_total.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_total +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/test_total.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_total +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Pipeline +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Pipeline +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity AleaControler +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Pipeline +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity AleaControler +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity InstructionMemory +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Registers +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Registers +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity IP +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity DataMemory +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Pipeline +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity AleaControler +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity DataMemory +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity AleaControler +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity AleaControler +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity AleaControler +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity AleaControler +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Pipeline +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/test_total.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_total +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/test_total.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_total +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity AleaControler +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Pipeline +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity AleaControler +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity AleaControler +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity AleaControler +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity InstructionMemory +ERROR: [VRFC 10-825] illegal identifier : __En [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd:38] +ERROR: [VRFC 10-1504] unit instructionmemory ignored due to previous errors [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd:35] +INFO: [VRFC 10-240] VHDL file /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd ignored due to errors +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity InstructionMemory +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity InstructionMemory +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity AleaControler +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Pipeline +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Pipeline +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Pipeline +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Pipeline +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Pipeline +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Pipeline +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity AleaControler +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity AleaControler +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity AleaControler +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity DataMemory +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity AleaControler +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity DataMemory +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity DataMemory +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity DataMemory +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity DataMemory diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.sh b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.sh index 97b5f55..f6b7d78 100755 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.sh +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.sh @@ -6,7 +6,7 @@ # Simulator : Xilinx Vivado Simulator # Description : Script for compiling the simulation design source files # -# Generated by Vivado on Tue May 30 00:45:29 CEST 2023 +# Generated by Vivado on Tue May 30 13:26:07 CEST 2023 # SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 # # Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.log index 26a61eb..93de205 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.log +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.log @@ -3,6 +3,5 @@ Copyright 1986-1999, 2001-2018 Xilinx, Inc. All Rights Reserved. Running: /usr/local/insa/Xilinx.VIVADO/Vivado/2018.2/bin/unwrapped/lnx64.o/xelab -wto aef36ef3a0d94dac9e6058b656907afd --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot Test_total_behav xil_defaultlib.Test_total -log elaborate.log Using 8 slave threads. Starting static elaboration -WARNING: [VRFC 10-122] controlunit remains a black-box since it has no binding entity [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:329] Completed static elaboration INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.sh b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.sh index ad71e04..d9fe5f4 100755 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.sh +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.sh @@ -6,7 +6,7 @@ # Simulator : Xilinx Vivado Simulator # Description : Script for elaborating the compiled design # -# Generated by Vivado on Tue May 30 00:45:30 CEST 2023 +# Generated by Vivado on Tue May 30 13:26:09 CEST 2023 # SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 # # Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.log index 2cc3412..e69de29 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.log +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.log @@ -1,2 +0,0 @@ -Vivado Simulator 2018.2 -Time resolution is 1 ps diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.sh b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.sh index 9bb1cfd..5640af2 100755 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.sh +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.sh @@ -6,7 +6,7 @@ # Simulator : Xilinx Vivado Simulator # Description : Script for simulating the design by launching the simulator # -# Generated by Vivado on Tue May 30 00:45:32 CEST 2023 +# Generated by Vivado on Tue May 30 13:26:10 CEST 2023 # SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 # # Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. @@ -23,4 +23,4 @@ then exit $RETVAL fi } -ExecStep xsim Test_total_behav -key {Behavioral:sim_1:Functional:Test_total} -tclbatch Test_total.tcl -view /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/Test_Alu_behav.wcfg -log simulate.log +ExecStep xsim Test_total_behav -key {Behavioral:sim_1:Functional:Test_total} -tclbatch Test_total.tcl -view /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/Test_Alu_behav.wcfg -log simulate.log diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou index ad71808..00a9a20 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou @@ -2,11 +2,11 @@ # Webtalk v2018.2 (64-bit) # SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 # IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 -# Start of session at: Mon May 29 21:55:04 2023 -# Process ID: 509586 -# Current directory: /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim -# Command line: wbtcv -mode batch -source /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl -notrace -# Log file: /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log -# Journal file: /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou +# Start of session at: Tue May 30 08:48:34 2023 +# Process ID: 5876 +# Current directory: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim +# Command line: wbtcv -mode batch -source /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log +# Journal file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou #----------------------------------------------------------- -source /home/rlacroix/Bureau/4ir/syst -notrace +source /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log index 57760b0..1837e58 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log @@ -2,13 +2,12 @@ # Webtalk v2018.2 (64-bit) # SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 # IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 -# Start of session at: Mon May 29 21:55:04 2023 -# Process ID: 509586 -# Current directory: /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim -# Command line: wbtcv -mode batch -source /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl -notrace -# Log file: /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log -# Journal file: /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou +# Start of session at: Tue May 30 08:48:34 2023 +# Process ID: 5876 +# Current directory: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim +# Command line: wbtcv -mode batch -source /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log +# Journal file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou #----------------------------------------------------------- -source /home/rlacroix/Bureau/4ir/syst -notrace -couldn't read file "/home/rlacroix/Bureau/4ir/syst": no such file or directory -INFO: [Common 17-206] Exiting Webtalk at Mon May 29 21:55:04 2023... +source /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/xsim_webtalk.tcl -notrace +INFO: [Common 17-206] Exiting Webtalk at Tue May 30 08:48:35 2023... diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_334386.backup.jou b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_334386.backup.jou deleted file mode 100644 index 1b48663..0000000 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_334386.backup.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Webtalk v2018.2 (64-bit) -# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 -# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 -# Start of session at: Mon May 29 19:26:30 2023 -# Process ID: 334386 -# Current directory: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim -# Command line: wbtcv -mode batch -source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace -# Log file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log -# Journal file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou -#----------------------------------------------------------- -source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_334386.backup.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_334386.backup.log deleted file mode 100644 index f578386..0000000 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_334386.backup.log +++ /dev/null @@ -1,13 +0,0 @@ -#----------------------------------------------------------- -# Webtalk v2018.2 (64-bit) -# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 -# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 -# Start of session at: Mon May 29 19:26:30 2023 -# Process ID: 334386 -# Current directory: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim -# Command line: wbtcv -mode batch -source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace -# Log file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log -# Journal file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou -#----------------------------------------------------------- -source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace -INFO: [Common 17-206] Exiting Webtalk at Mon May 29 19:26:31 2023... diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_509586.backup.jou b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_509586.backup.jou new file mode 100644 index 0000000..ad71808 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_509586.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2018.2 (64-bit) +# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 +# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 +# Start of session at: Mon May 29 21:55:04 2023 +# Process ID: 509586 +# Current directory: /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim +# Command line: wbtcv -mode batch -source /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log +# Journal file: /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou +#----------------------------------------------------------- +source /home/rlacroix/Bureau/4ir/syst -notrace diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_509586.backup.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_509586.backup.log new file mode 100644 index 0000000..57760b0 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_509586.backup.log @@ -0,0 +1,14 @@ +#----------------------------------------------------------- +# Webtalk v2018.2 (64-bit) +# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 +# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 +# Start of session at: Mon May 29 21:55:04 2023 +# Process ID: 509586 +# Current directory: /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim +# Command line: wbtcv -mode batch -source /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log +# Journal file: /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou +#----------------------------------------------------------- +source /home/rlacroix/Bureau/4ir/syst -notrace +couldn't read file "/home/rlacroix/Bureau/4ir/syst": no such file or directory +INFO: [Common 17-206] Exiting Webtalk at Mon May 29 21:55:04 2023... diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_5794.backup.jou b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_5794.backup.jou new file mode 100644 index 0000000..7a74134 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_5794.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2018.2 (64-bit) +# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 +# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 +# Start of session at: Tue May 30 08:48:10 2023 +# Process ID: 5794 +# Current directory: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim +# Command line: wbtcv -mode batch -source /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log +# Journal file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou +#----------------------------------------------------------- +source /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_5794.backup.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_5794.backup.log new file mode 100644 index 0000000..a9563c6 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_5794.backup.log @@ -0,0 +1,13 @@ +#----------------------------------------------------------- +# Webtalk v2018.2 (64-bit) +# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 +# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 +# Start of session at: Tue May 30 08:48:10 2023 +# Process ID: 5794 +# Current directory: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim +# Command line: wbtcv -mode batch -source /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log +# Journal file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou +#----------------------------------------------------------- +source /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/xsim_webtalk.tcl -notrace +INFO: [Common 17-206] Exiting Webtalk at Tue May 30 08:48:11 2023... diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_831173.backup.jou b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_831173.backup.jou deleted file mode 100644 index ad264ba..0000000 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_831173.backup.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Webtalk v2018.2 (64-bit) -# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 -# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 -# Start of session at: Sun May 14 22:27:00 2023 -# Process ID: 831173 -# Current directory: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim -# Command line: wbtcv -mode batch -source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace -# Log file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log -# Journal file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou -#----------------------------------------------------------- -source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_831173.backup.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_831173.backup.log deleted file mode 100644 index 5c88519..0000000 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_831173.backup.log +++ /dev/null @@ -1,13 +0,0 @@ -#----------------------------------------------------------- -# Webtalk v2018.2 (64-bit) -# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 -# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 -# Start of session at: Sun May 14 22:27:00 2023 -# Process ID: 831173 -# Current directory: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim -# Command line: wbtcv -mode batch -source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace -# Log file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log -# Journal file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou -#----------------------------------------------------------- -source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace -INFO: [Common 17-206] Exiting Webtalk at Sun May 14 22:27:01 2023... diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xelab.pb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xelab.pb index e8e73cf8fe3f43fc776d4c0f435824de4aa2eba1..3020a605746522398d5b8ad178fe1fecb6ca2ca2 100644 GIT binary patch delta 11 TcmX@eIg@?E1*XYAm`?%#9tH(O delta 304 zcmZvXu};H45Ja&fIl^h&Lc}WKcJ%K z2lxeA8u%nkK?TJ~qc_FOy!78!-@d}-YZ&iuv9Qo+Bo> zIZ}yqD}WrjMG~#|GNrZ$En_nWi&HGrMm#7CIcsW9=v2RisrI!y`Zek)h)Gta0cpD) zYnIr`k+-0|+(RpQ!A>Aejht9>2QIzn05rhqc?WHy9X@zqd3AO=mM7!O9}U?SEZKNl z0N-Si;89L+1~n24=T(^m|Lj{2f=Z09c4Rd_pVx*MQ!x_5q^FHQuk$= diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/Compile_Options.txt b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/Compile_Options.txt new file mode 100644 index 0000000..6314351 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/Compile_Options.txt @@ -0,0 +1 @@ +-wto "aef36ef3a0d94dac9e6058b656907afd" --incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" --snapshot "Pipeline_behav" "xil_defaultlib.Pipeline" -log "elaborate.log" diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/TempBreakPointFile.txt b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/obj/xsim_0.lnx64.o b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/obj/xsim_0.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..c6458fd5ea999ae4b3cf72ab58c9075460f9dd14 GIT binary patch literal 48040 zcmeHw4|rTxb?3;kV}&>#1>B;gPNS3|5hNHnII&C&899pmQWPS76ibQR$hNSh zM5GRkkkyVn7Pze`rk~je8iJt7#(+bWf0jam>_R9m3tgoPMRfUNt-`J;l$bWu`}>`9 z?|Wz7%-G7G?}KjpzR368-#z!-bI&>V+&}NV$p@}!TsyC0OduZ&J(?Pm^ib-cr2A5%NGI1c&ku6DVr$>XWQG%or?Jgu?<@9_CWTY0B=|w<$DET9adlHG+)GfCxOJ2Z=V5uC+Q5Z67Of?Oa<$$0) zQY9ZantVVzx$5!chu;r-u|-jT*;D|=O-9=0V5l(_B)^z$MnO0JI)~>^AZa%=&~;-f ztx9i5)rBPk6X2H@Mg?-3|qh05#^(0M z$-%e&+o@BAKblqF*qv^k?V{(M>OBim?wnl+Au`&W_YumZD(&$s)Q7vB8$hM>lP;=M zOot2=AgUE%8&j>W?@-yN!Ed)FLI0Bz$i3%@TjUD;D;V0DIvKzmCxW5%spFGt)+EN> z4krwtTHp<-DeCk%dMrM141SXa`V{_-s^2{63F@hmF%ZuGBGj5-&O_pKU+AId~1 z-l$OU0Ji3mQRGA@-th8@RV9u9ff`OAVKd-P1l50r1O?9_VF8plf<%?bJCK}2BCL7C zi^?OX^^2;4bh$Bnyl<66^Nx2JXk6-EWN}lAsEg|yQqDT<$KhJ1VkO@P}D7J2n)=! zx>i6kl2@<@$SxpA_8_T0-0-PH;t}$neRcAJG?hzEK?-z+_ZpKoB)`~@+5rAdpcMCP zNHroGunDRO;X6>$b-q}WR(T>%4%AB|bC{hu!2TXxfvwJnty%e%b z**z`;szSw3=Q+TUyc>!mW%je_ z(1S*hBdL^OO%{3(B{V#!1;0$pWtao$eMqnd7(tR8{HtH;8bDMa%2C%<{S7K-3NJ+Z! z6U)DG$@)~cba&O?_9ZVt4e2(Aao)tlKutyr)YC|VO*^py#d57vRKRi8%J|GaAU-3Q z>?Gi9zdp57$(#`wnaKrv8N?qo%%r$gVr&mNAmS;f$UP(NPaNLaQhUJ zu!H-=$i9y+zeJ5L-8>39=_YS@QJB3*+1&}II;o2+!`Traeg*A2i!E6n<_sx94Jmn?}?WoQS&3O;Mgi>Xw6d;vstV+7D z1ldLG0wa3pvAOP@5WWJ!FM;rmJe&Z?bpFtMC;@Hf7NsWVSInFFSFkqwY06!$;x9}N zK2${fRxduRktcp=6wGqak#)D6?*aC0R9E5>=Vovpc7mRjX9wv=140_PpewH48SK#P z?0}Ay&%P!UZ#YXIrs`XDNS8(D2Zm_G6Xi1Z;MR2kiTXUkNzR=_s56_Yek?WTGQ33{ zdNk%cR!t5*`<%{q>dTQdnK25MNbV}jbM~yL4&p+?8o%6-R+Tnj0pq1JGRVL}B|0Ms zhq6RqBFXrH$I7w6EKuG^X2sbHlNU5dedY?sFOOJM4``1hvGKc%lOHIg&$9ns}^?b4ddj8TkGw`cYc zYKR`#l*{lo?jav>ry^E4;Xn%qmOo`cxU+tt3n5l@>&H+o5g4<-3ZA!t!D2GV^+29| zt~6Q&)%Z;u#@{{QdK`ZV#FS{pWbd&A;zCDHOv3d~!TCdd+1PcT0`(luOJLR~@i)O3 z!DEmKT}O{I&l46oKsktGknt?;Er?@~dN~H!%k|eWN{98=QArQUv>9OiB~lOHnO^?$ zhatFjYjs)ghF};g4j%7F02V5U(M|7_OfJAdR`c%pWjHbtJ3=qQ8;gmFkWo1Vcx7^5 zL+UsA&ueBEOyb`J;^}M3dfy!kZ-l;wHu4D0Z;-_T?i6_72&57{?@oYECpVL}iD2mO zrBtSug>2v~{&SJEa!~>+`ioHQV%UV8hfPYH2L%IPO0%a^UVRO8%42l-sodFD1`Wx( z8&Y3?|0{ekh+zjDF3)0@pjMC?Q@J#U-S>fgpDW-}_CIrb2hiSKFTB0_4SFIYTM5{l zXCcARAvsZ@&AA50@{3yGMeP&H^|gZdn?PJ5+Qq1R3XnP9AO&4%0;!Prp}~~CfZ;=$ z{AIJWAm=-7z2N6I79=av&3?_w^aQHg75WJBVN?5Ah{4W^Sj387C>9x5%@A_9)v9-*4rBD01HL6j~1{yEmTZyn&Qp zv>ty=WY&#Q*rYMd}8J(R}+S?RN?BXkLR6`#AX5RDewfct-7r|EhMV8{Y>w0*+^OVU8QOFqdIt7Us-j;f~^FUon^7iEKc@ zbt%$}Y?=#Na*_4B)avem7gS;fZN^g8k%y~tS;>RE0zn)iaqY1_RpAyMB1ANHr33!3 zgQ|mG{Q#4)V#hMVBO1iwBAJX<=BU9kl{}Jzd)+cs8>LRdV;S75bNR0Or3l81Mkg#$ zyAg5Ho}zspD!ajSe7CHghx7KHefL2p`>drfLq~0FKcx2pjF| zd*NZ73iRD{VZGqkI#P|s4RMz+ZfKvKzw4OT$#sN2;&pC*9LGE6>uU8%r6XAsHY4Yaje1p4MB)X&`mDn%gNjVI+{q&t3Qc`n_BY&98|I(rtf?si1D zGafvXO)cbGr1G6p*O0n8`H_ay%aVf`H0EW1xHu-)M+ywFp|QP9_ljg(ORyRen#t6L z%3%yX7EpU4!m(;{aIxEFN!R5#Mq8OocbCmdypBq6K9bIJUJMeY-kt8oPT&D>#KU7I z7!^m6U_kumcyJ2*&++i@d^{wIj)yWc%H}p6^5360^M3F}?myod3_Jth+lg3*h*XQM zZVh5VH5bWM3_pBfraBmYw5;wdj9buE@)U$m!m5sA2q*BAoCmABx+J`VJ~Otw7hA$! z?0?C$|HxQRxZjfEcioX!Jbin9@#o%`S6qjzG$g`g#y+z%uecUjTl|SkUU3bwws`0d z^NK5xwZ${pyy9+TZShb3B(Jy+St)*GbOFODyIb~MubyfsPF3V45TnZ`(dB9!EOVLb zRT-3TMrpah2}+qx?)nyXKlXg$R6CCjA9cr)M{1V;eEBz)|Ftx;x-2>PpxZ2w*JS1w zV3i2QSKwdt(Cf~(6m()ckXcxl(6}@R0XRl^4(8*WGVwZ@eG91 z7^M;EfMflJ0TB%EOa;+i1^6Pb2X6Nemd*}_vU9Nu#2Ep56=M7{+!nZ{I<16hDmoZG zcQGHf4`n$lmQP@%!r^1i2M3<1(lCf{SrLq{&S#2kX^;~ul>DZ$>j~Ww? zV(sC>e6<7aG?M2|Xm;SqDy)tgSon;{h5f<_%7^^|yF-O&nvtjH;rQ(V!(!uChzE`| z#m;gsh!_QU(9!A#&0A#T$Z6?SJd?c&W4(s5x^^csZOFmrsnoOaCpsQG=p0x@bq>s; zTu>L32eowFEbGA;_A-TWYdudaW78b0t!;F7Q}L-Zp2X(yFgP@7BFdBvf1c@QAMvd+0S zM*Cq21pSlMd9JO2yMQ5GuPDQ2!%+OMe=;3o$j}~Vn9Tsp$-z%iwta?eAN$-t*&1V8 zSB&kbusw)>i?ZEs*fuHKOpGn(#(Zg2)o>9SYWUnYsDIGn5(jGJg_-Di!5QNL6&}s! ze}P=dc+h{Oj?Lsh-jV0P;;gxB8pXLxfPcN$6blS*lWuE3n#}MGcsLHtaxVUy>Usi^W!i zQm1(T&Ldaz2$(fc69aH8!q#%q4kPSRA3afFDI#nV74jnNlE3R`H-bjKt;lsd|EoRNrAwKEyE z@PH>XotQG`(I16s`0!DXAl@|rR?6{);uW*8iV1b(?x^B5#Vd}+D%NR5E(=_XYl~Mr z8ms6+^TDX%I$Lr4IxO*ma<7L+QxuI;8+)2Nm<4T6elUY18hG+`PZ#KtgJ+4>0?Y9c zIf(%W(JUWoV@8AYW1o_i91c*%wx`QwY8RlX;q0PUAVJtYh$^`Zb0EEsy)Q{RBBWJH zdVr*yN%cGjl`AMCSIL50D{nP`DACAQci78A!XFbH>o(%p%u6OZa4U_0i8SYA9AGr^ zn8mRjnxDdPOmzp?A+2abiUZ$N71+uv*Y#tB{6Y=F>_rpQNFOK~L78C+H_QUZi4n8B z*ja(n^XZOJ)}0&q(uzuyj@=*AY=(`LR97ELL(THcqcmx!kUGt_lXU35)bX=acPEfS zcjN%wv6Q;=ZL98d79HRxX;yb+5tPu~Nt6}WU9$)P9YRU8z<#FCWs~4vhWd!`VvmU8 z%+0G$v!VJlE9&DhiTElyLr3MRum+5-9r~sYH8c?bZydfa)d{>2I!Kca4NgH=X<>|p z(6cza+|TZTn^3{Xq>2{*V7HppAtRN82E;Jx69<4fr?)hA85e8D(Oqcrpu4*IZ(0@7L` zb>6a(q>s=T5EW)RkZOh&IObZBLOJ9Gzabs{#!I1oqbFVkV~#9J`AsLviu(;WJmHwb zSgS-nHr51+oJF&tvuIYw+GF>EnbBT?%u9~76R=;Xy~fj$P7A5i-f9u~K&lR%qR!Hi z&O&Wu0=1EVYV*yj+DZ&Jaw(;@0A~PO0T)_V1=3{gVd)3+I`iVrY)va4%3Zk+PfY zj#%b26DbEka_|>i@Qz=LPK3*k$MPrA2`IR6_#PK+?@3Kl;pk5dY*`p>Yk|@_LIo*Fb=qi4_AfNr=2<8l_hpfB%I|Z5 z^*-rF=m9ZfVN4%`7%?LW6lqbjp%yiJS|h znhn`%w!n<7;Nw>`VaTl|2wPP%g{?I2LM~i0;c%80+7LkswW38+H>u|wYA4SDbr@=A zvdE6#bT2RyJEi` z%>@gEDo$D>q-ODR?P2PP@sSgF1!a7!0y)q@_xGhLffO!-yga8}1_`qg%jYVvEk2)D zb37ora&T1Z(G-?p6u+v2)ADMl;YdYl06Myc1GK#}e=8|qH8 z1#2Qdglb@uaFp_fhU#>Nnr0rYO+n1UX>gjl;WQ|Hz-UHh%lw&aE^`sp z;9D{81#n1Ht$VfK9NE6#0!97SY}jwj#?+d>?!%IzQ`y=jg+LKo&4z3>TcFMpl-}*G zMV$;kR6W-f0SncU8(pH6sUh#s z`oM0F`xTWv?v;}8RTiCh{o7=EMk5La8=0F;7&>Oy=Aw;EhSovrS*ECV)R3WdoKo#c zO$fF}%J9(8Kh*K93upK+eP3JW4`px@ht5)rk3IVfaOUKOgom22#R?=9!Z%tqiO#@i z+A|WTClLg!remW1L8(+~%`uA7a5|;`QIjfYd?hm?q;5LBl%#oQq}5c2yiW!TOUpGt zFq@DojvH8m#|?A})5z(0O>8yZ;b&fp{t4T;4%$&vW#p|vMF?*vG=bcq0vnzqwccDx|Bj>A=_jNoJhK?| zyD+~yl(pPxPOz35(_QyMOvgb)({c3BbnH=VFbNdtRy&Y5n zMeH>jve#@$({+Mx+;miO@*c)JTq`sq3M2E+;oIz-U<5gyGUS6S2@Q~ zx5$|8Z7+rC*rS-~1d4R4*-*EdovZ043QZ?a#9p%@d(A#C(|J84cYUmkhZ4wz$b z9F97_-eiwpI=kK!DAKKFL)~gtbc=e=cfILlPVS-;#?^M6Q`>01nhDRe`B2Gu#RbDR zJNlTK3C}DC{rGT9%_pch)IXD&pAj{GOw`=;QmC0dim6$kNX?oJ)vVd)rRLTA`H+uN z+QAt}Ua*)MK~dQGXnEWRMbRTNOx=_9XdimF*JDqjcVWp^MW%wyGC zhqB`=grI+>37^i)tieBm8{6Jg+_r>gZUFuGM`E^}1{iklG!xgiL6;nS3#rH74k2Fj z5E=oKm~91$Y^&K&VVd;{o6`_7wtXE2&ZM44l+AoC$|Rx(Th9Rv#`7H4Xm*R!Y!-5x zU`7}(2+!qN^vtvWeCpKL$Hf3{Fv4+;i2*b?<~}Ff%RiSvBk~f7|L9)cBY8?}@L>`2 z9iVv8HehQp8weEHK(nC@G+Sr`*B!)o2`X^fK1R%;Bf-$$97iJwu>_qrImn+Ijg2z* zQ)v=kN)0jm4oBYjV&2Os_fAN;_Yy|K%1g-K2XJG`h=-SHx4AGd^Im8m+ctHd0E*4 z%Er$MjBN1!tMIo~KGNCBU#;4T!N57j`&G}1U_3A4ge-@%_e148*TBo#p-&N;y}Wdt z$E1#n7Ev|AVK7yV;5D~VI>G{WM&9cQhQ1yQP0oDgUrwDe@8jpc&pLi1x*hr>UN>7( z0RU;GOBXSviK}wiG4e0Z9z+7byvD5`997^QZpIOQG{_H*MpzS{W&n!b^Yh=(`0u6g zxpPh7_f@iG55KQ!L4%&&eqY4~Vjs(d-&c*IEdMi)V&7NsSIVVxlbOH9Eds(D@oy#m zj^j^@g44WTdtB;kcc)kZuT=qxx~m`G7BDRNer*LE&gqYvdobjp(lX>1U~z_LdcU^D zu&q(Hm2?vtS9>ZS4(78}orE7k{h@vcMbkJVy5CPZ$&TYh|AeIO%N&eTGA{U@c^SOn zG~Yk5PRykC`zJP$%dkQJ@lLVtpPrW9ssMQ(L7#_;+TBn*{qQg|p)B2}&>QtTyO8|w zcQ_2o@rVgz{17nB<)`$o|40=T$&*;eIs7y!P(^d^g-?@6F(Y=6Z>2-t3=qO8XN>53 zhfkBr$wj{23af;lu&6k{`p-J~N`CCK&Sr{To^3*cSW);{XQ1DF)V>U4I1&~O3?Hsm zl~nv~s$@>&*E+4xVXoaldIreL5*Kgx0x%H_fu}`rWlL~rnK9p7_=RU}vzcL?6 zbC^8w>lj~O4#6lhEYBBsUwnKmVEAI=Yn-7Onngb}m}7j+XFIp}I`9mhAn}*K5q6q` z@k^mLyuYCO8_TppiTGtwl!_~3^%WqFaL{G4_?N%=DWCCc0VnWWW+E(jJHJ$J<$O~? z@2(W1pu$3WYIC;#galHyJA62eT9}QvS`#vv13I6;R}cF+=Og~h+>gf=S?0%=&@MY!#N+ihv20bIdNptt_Sp`PHVQ@QXU1m37_NcOF0uymRH- zJW3AONOrqMxG-UUf*kP*3$nb}$OKX};@i}0_`Zc^r4h~p!XGhA764c@R)LYINcM8$ zVAXpr7VAI2P1($Rs4-mDddUu=h|R{dah&RhHpC1NbQEL|lvyvCK#?{y8*Z93tJ?TM zOdBI?6HF6M8x>9)`?V2fc~LBZB5i0k)P`mYv>|sn9Yeb+(Bbp60qFL3*kMlIbtu;9AC1&vhS3504dui(s(g~=b4!lGKa0u+5dtU`_;1<7Z=EwLKnIDq-%>ThZ zF-5rDnEFMig$gHQrIV4nG2W{R&b%8N`{!8Co7nSe$5mn(!il^b3yc?g9)trz?!%q~ zj~Ty5H+Dzi>S}rX|2klHb{Ri7#soR`8BV|iKXkxZwxI8Ip$EuMfnzx_3Nk*P+RtDF zD~&LPto*qZ-g^z4>E~7=#tCvL&z^LQxwj!>MoKcS0b|~K#x-H+VVRFRa$lf?I_@Bw zQ8}{x#l5e@r_5{1W`2tHVE7*)NzD8N{}gl{^P&p~@y^#c8Ec)4m5`D5UdBozBkscu zFYcX;p0RK$&sGbgJ?_(zUHaAxCloLa4C4yJ822G#+!u5n8^C?Cs`DPO5U#%#JLa{p zJ-ZFtOYeK+f8Rn6pUf~Gmd_@A&lQkwgiyqXn2C?Cd<^wDBvSkLJP0Vxtk`h0=usQgYF+JiMf{ z(z$th`IXE{E;#9|^fUB4rVcwR#eZ+$MbAyY5$pf2|J*c1-zb+~^!blBH^n;t|L@$y z_ssII{F{?jUl&ll&%FAlP_nyapw z+}H#sz49?GL*!6IP6y17oZ#i2hSUW;=L7UgP@H%KikD zp*c4;MZV=@$B-25kF1i{$&bF=P&WIP!>_kYuqK=n6R2b*{%*i8zuZtJyly0~%PlW- zdak@K2Co~z>qh0ZfxO;qd11LWS6;6KuMOa}A)z*FhRsew8M%zkefqnH6|=7rmMG)` zA(xTus`>dkR1=epIFoUcE*r}J$t<9l$vSDW1}Hvup1_4;WUp&%mtLs7uCq*Dq`hvi zyk4ZeZnC^yn7uBdNm}u!rGvciET=+FN^r`pKz|y)I5+z`Z#cR*e&mh*Uc_*u^gom3e1r3rjHEr-w~nvKv*H%%D8Afu1f7(pFE@4!p$P+zZxodt zc(=-6*-b@rB|`}=}c}FpPvf*V&FB8KsGXlO^1MLjr$9T?nfI`u$oyoVFOKtF zpr}8ea{a01{Dhy5;bYD-4io85vS+c7PiCs|v0?cvei9XxHHTG!J`$`{2`fNx9QyUo z&GN=`{`1fAwR!U0UrauJQHEiB0!h(f9FuPXt@&tmb_#s(gSz%xe;+)YxS14zUq#x z?H%pacWmzI+}7Ju-B!K5qo;TK*6JNSZ9N@ZI=1$_ED@1!-uk@A+xj+d>D@AiDo#{U z4(`kDd`F_Jud?j77A>sU3ma4cTk2>&fypCLzqoSU;$vk4m~5!XI#)L8Qeurou+|tx zo;?nGISiUuv$(Q;aTQq48z}$KJZX2IEB|Q)Zlv9jdA?m3TdohdlyW}l?iX_43H@{^ z=d>$pcPZukSq!U2zJhge?SzFX)0)Lq^^2?5EuNS+F#kj4AD%}&UFvAgr9P+(B*Ytv z;B053!+qP7wcBCeWeQmv7B{hL!e@^wTc`Z@5+|Q~9DW<_6Ag>2*(O)(yne`Z-C~|l z3#|x+`&STXSX@im?>pMxP`*M-oNwdudKYQY9~Ki|!aOdEQx1Oaz{~Tl#eLdg_tqeT z{y=YQ7FVxXT(f3zZT;f9b&K~_4lLYr*6@N4&Hr#YUTz|v9#`j&a8DVDWgYe?WpZ*K zaM*~Eo3w{ww8V~jTHK?4H~aBzhh^z!>lTmDw8Ver@O=g+k40<;*Af2HusBVu+F_qn zxw?t1aM%|dMxKGgZX$2=nnk2-EyAFDc8 zEW3Hf4wr9l>uF=jvaW5L+PV_Uwr=a`ShntMH@qG(Wz%hrsH3yxmhEj@IugrvbnfWc z-qUt7?tJ>bEt229jjR&Os<#r;DSQx{C_m3EnjGZs~yS%h^lSr=UU_bXI=fu;=V zq-Dt9#j(cgR{Rlnsw5=Wa~XFNOX6*jFL}Pu`oD>~#oABwYr|en%|-J2JfF+QWn$Ub zUnxbPiTuVeChUU#w*X`N&gC67^yAw9;}Fps(%S1HWa1dhKjZ3KTHeQLuXI?v{e!Ol z!I)yb{XE|*a8s=Ri7}-Zb@gc{tU3$&e+{r$6H>8tP?E$JO~QZU@^3&%Ty_#S4QZ8b zC-Aw*dS79Qx9jz|FV_BqQ~dvk4UBmE0PW+K334XUvyy<2IWWL1h-#NUp zUGWj{<@G&>A7miJ<>}L~yXfr>#gAx`=yZ5xp8_)P;dY0^C-DDg;U#k)()T+&^9KSa zWd6hLki)0%Qrz?BoXd6(zF%>f195w|!<*c~&g0KG`MWnO{fH)sXMKNvUjdopaeKMr zGt#ZN%<;JWtiw+@{xYWmXUw2H{*tvWGHLvYoKBCUj^IXzw>nq%c$dSuCFAAs58|GD zXir|U=0!Tid*IX98}X90E>b?E7n}}d&e}4%)(X*|)tLyeH ziOn4y9WC80tJ+p}+_HSr%`H26Z*HkgY`$%C%k7=*T`ikBJ2u_cvb}BVtsO1fZfV(! z6OF!>ZQVtyEiZ~*Rup|>QFMhg+}5@E)~#~4qq}!U=becy9b0yE^h5}OP2KMkpq(VH zsDxaX7j5f`qUcItC0*~h9Y-`RJ0MG2S4(#rcT_zc+oSTXwjDh!x3_imb`&8}t+v;$ zx_rf|cGYT~Z!iyCRTN!rOt8J9d)s#Oz6i_Zp~~}kE)R9yzH-ybw)Wav#H`ETnCO8e zceKd~6|77(Zh>V(4dv6z9Vf(gC9oTP%9-wN#ZnNuVZZV0szi(^Xmd%@5EH|nw z(b3njskf)2<#Nd7J!t#p9hiZs@p+H!k093~X` zvgj6;^3qH1B`f;h+PkG=JKCiLVXHmcFfMvJZiRDrZdcSsw=jn1cDiov=-PHiR0-q5 zSIU=uYsY(gvGWfbS`pn|5#5>|oUYA0)rw+_;WlmCx~a{Lx>5>v{chR3qvh7^9XQt1 z@o{DYZRzcrJ8SJS6*33Lo3}dCpP6>9Qk!1lz@;S;r@$xR3f?WmfOC=|;x{MqE)LJo z{;R^tT<< zt(;@Yh`tfGUd~>(Xo>45%>yx9aeRR9UKYdMK8o~KZwCx+<$2KHR&R$(;CtMDjQpGM z=jH#F!FdGX@$=n2iu7+c_>~5?^dEEkI?}H&^p6_c+T}Y2w|2R>3YBq@kM++D2Df@x z@G2oM(DPeM;IICz;`EzK;ODr9OO(gj{hae7KGt3r8{EqI4uih~RlT0O4Q}=Jrv`ts zq5ms`TfLRL{WImX?QS=?mGeUexAdPjxb>?ql)$g6MuWKG`uTCSzySjvWp}6 zR~q^y2DkLJ2Dkh_YVda&K93nZF!&Ek;1||J@>u$&68I+!zTWVE(BPKO@e=sNYom78 z89x7J@V6R#6@wHm`loI88iQYsGOz#j2DkEjrUZV%;Ff>$C2UpO<&PeD{+}_p<^PIH zg3TX}{IZt1^jaLfPi4Q}a|E{phE`b!LM>3101 z(tpt4mi{XSxAadN+|u9nhDe^b8NGeU;FkWMOW>ccjrdslAC$m*mq+y0j(=uwYsdd( z@EeVs|7396?mOH$KKbH~0qB@$zpsxTW92#SJdDR0<02nxuWJo%?RA5}t^DlVJvBt^6GZxB4G2xNY|WPBys6KaD@% z?wbv6>A!DqhD=X?GZ*)`$j9P;X>d*jp8j5L{&A6>qIvv#2B)e#{yT3+1{dkA9hVv0 z>Y>iyR{kn(dU25tLxSgjnZYgnMuS^B-eYj9=Pw!D^7%YBIk?y^LxOMjn87W*bkfmV zyoH^2xNY|?gIoG76xz{Szs(uk(mzrHe-#&nj*o4(&fu0$4T&7R)$>||Tl(8e;NLPh zZ@u1rYH-Wv!_CsH=-I~4`wedCzf%HV{jP|QZTH;V?-i$?GPvcR zY>DVCer*Z-lO^z*S|dJIo?jT;%71BFL~q+|ErCB>0$+V|#K-D+zrpP~f5zap-AgtV z=kq3mTl$>_x9#Q(Zt4HK!7cqi8r;&~)*i`Y<^PhwE&WeQ;7dCqJ{*F6TwiB!%O_I; z|KA3;^UgW9MEvdkYn#Dse+LY1+m#n$!HRL)^1txb;{3N7oT1V8>n?*^{wED?^?7Y) z#NX2A3~uHBbAwy@ZyVgw&l=p)zj1TauBBgNa7#Z_0{;htUu5*K;P)f`c0Rq#;Ff>Q zZ4rHg;d4b-aeUR5;&|=W;`rs;BHYeXmvtA%cN^Tw|0RQ4`N!TH@v-txZZD31eMfQp zsh;Ba-}XkhmH%&UFOFYyM}%AX-(_$s|A!5JEvkBde$L?5PhQ&>@wa?h3~u?{QvyFx z0$=^Uh`;6YfWfUiPaE9Ilf1JypY11oxaD)V z!7ZPs4Q~0Ior(BcJ`Wh&%CFS={{GoMgS5ikmmwwYj&s9z$~^vij;`9J^N=oZ_e@s1 zdyo6~{@wOS-mxcrD<>vg?V5zRf3MaJqY(G+)$Whc`}b-O#qlKy9F5`rz1r``aQ{B+ z$rwKUn+nL!RN>b5%fE+POT`%6zlR&d@aYSct~G}H_iFinD(B_-`}b<)10#d`_uofj z^#1*K|K7Lfu z?%#jk6T|)c?;|nXzyCfK!~Og3PsMQmUiowkuXOYG$r$e6E3Yh9d09-qO}qIc6~p~| s^mQ@ZzenE~!~J{o?J?ZHN6%kr;N{!(@6qpx;r=~({~ds*_wT>|Kj%;zHUIzs literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/obj/xsim_1.c b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/obj/xsim_1.c new file mode 100644 index 0000000..892e97c --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/obj/xsim_1.c @@ -0,0 +1,122 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern void execute_76(char*, char *); +extern void execute_77(char*, char *); +extern void execute_78(char*, char *); +extern void execute_79(char*, char *); +extern void execute_80(char*, char *); +extern void execute_81(char*, char *); +extern void execute_82(char*, char *); +extern void execute_87(char*, char *); +extern void execute_51(char*, char *); +extern void execute_52(char*, char *); +extern void execute_58(char*, char *); +extern void execute_60(char*, char *); +extern void execute_62(char*, char *); +extern void execute_63(char*, char *); +extern void execute_64(char*, char *); +extern void execute_66(char*, char *); +extern void execute_68(char*, char *); +extern void execute_69(char*, char *); +extern void execute_71(char*, char *); +extern void execute_73(char*, char *); +extern void execute_75(char*, char *); +extern void execute_84(char*, char *); +extern void execute_85(char*, char *); +extern void execute_86(char*, char *); +extern void transaction_0(char*, char*, unsigned, unsigned, unsigned); +extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[26] = {(funcp)execute_76, (funcp)execute_77, (funcp)execute_78, (funcp)execute_79, (funcp)execute_80, (funcp)execute_81, (funcp)execute_82, (funcp)execute_87, (funcp)execute_51, (funcp)execute_52, (funcp)execute_58, (funcp)execute_60, (funcp)execute_62, (funcp)execute_63, (funcp)execute_64, (funcp)execute_66, (funcp)execute_68, (funcp)execute_69, (funcp)execute_71, (funcp)execute_73, (funcp)execute_75, (funcp)execute_84, (funcp)execute_85, (funcp)execute_86, (funcp)transaction_0, (funcp)vhdl_transfunc_eventcallback}; +const int NumRelocateId= 26; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/Pipeline_behav/xsim.reloc", (void **)funcTab, 26); + iki_vhdl_file_variable_register(dp + 24592); + iki_vhdl_file_variable_register(dp + 24648); + + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/Pipeline_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/Pipeline_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern void implicit_HDL_SCinstatiate(); + +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_sv_type_file_path_name("xsim.dir/Pipeline_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/Pipeline_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/Pipeline_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/obj/xsim_1.lnx64.o b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/obj/xsim_1.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..dbb268f8aa6330de0f327233e98ddd26d59ee133 GIT binary patch literal 5640 zcmds*O>7la6vuCAg^GL>6jBA@AyrY}wWaNA@dMjJ;Sn3a7Az5PnD^ea9e7{POlu(~ zU}}sFLRezL!Uc&WZU`GCM#Vss=mH{%8$UNDm>7*g<3b{y|I9gWhT&Zny7MH{^S{4) z?ztZ`^XA?j>g(S)t)`|{vDK(`szwSC-&hwGx_#NL8q{pHBR%!w;I{PCU+JSA!096k z;Lbfjf388LkABdwSM;kF(xlT<-v?Eb7wdQJxiCzYoV)ZjMEm(Tl^+vS>+4(3bJ*Oa zhoybb^MmQhpA+e+>pfF{r;k1j&N*AZJV%AYJTkxLHq9y1Q@fiQHcWX<^`F%>1u23| zN1_u=ADNB1zSi@br#|sheVn7RuO!jr#l)_j7x!F1;Q!5VpC??qkj&Xm^ESI|)t z6K%=%#0t+GahnJF`ulnY`;4~s=3%>N<{wk)KE5$E2M1KmL_^J@Su^U7(~HnZd@*h!6NTY5$*WDkhOHjGYbtj{Bn{`2z=DKxv(nsBhwHKB8}?EPfn%w2M*jhEkJWPww@!f z?y!AyA%`p{7%U&_H=wuogQ4KgH>LKXK!)e<9=fExJb!6o)rNY4 z;UTJzT73L3P-T4Vh;QVMuHdGV&82G|UGe@0h*j(Vn*X^{>Uu1Bynkw5)eu*we!Cg3 zY$i}s8HG~IIp7QCQkF4YW&Q}q&0zm4^VM8#V!x0&M*ioxEbWGvzs!6Yd$`)FPY}3_ zA`+_C=pVjaWHT;r>U)AvMG<;Gs z{$m>cmWKbN$!}=*8G6X7Jy&0AIKBhb;@{NpF%4g$8P7`^{--9-8=ClMHSy1A;!kPT z^Q?wzcgv;BK7XTbv?jC4->jP+Z5#Ws6I8=v#q4(4JKFngqf&>$_-HO~4BL6j7&jf; z%#d)b5!>}FN4ZwfwLSZwfjF`nzL) zn(dV^oGlC-F5-bWy!1MDZp1Q1EN>{68FFmQHRz|qPmpyinw^oeTzjNgN$F$_k5(ve zWJ_bTh4<&q3n8I0&(4&p$j(r9g>r30cD01EHI!>Y8D{7R13JQhjxcX3OqL1*Qf-xF zsrFEYgG@y-gd^(=d+Q7{baqrSbcM;fA~dBu$1J*L)}zCk(W3lE%tv?%4QrfEKv^@N z&)}4^WvnoOgC#Ba=A1G%^>6L%={L4++&I{`-PqpK+uvu<3q^)~D2!eU|GIHAFy2P{ zUu1magg=O2GJIFt=n{_oC=C1wx`cNDlEwK@fN$$}H*>_}{|J&t_Al{qz7mOVkHq79 z3_~6q*Cfv=Kr-aPE=TygfMnokBm6x;GBE7*g?|J{hIs6LgkJz8Lpyc#AA;l{3}2*@F(dK{tF-(@?du*{0~4f?4WQ~7k&ef3>;f?;kER;2?Jk9m+(2v z(QiwHH%548gfES7oCzckN#9WYFl#v3hl9%=68d>L!FDevice Usage Statistics Report +

XSIM Usage Report


+ + + + + + + + + + + + + + + + + +
software_version_and_target_device
betaFALSEbuild_version2258646
date_generatedTue May 30 08:48:33 2023os_platformLIN64
product_versionXSIM v2018.2 (64-bit)project_idaef36ef3a0d94dac9e6058b656907afd
project_iteration2random_id6ef722b6-53ec-42dc-bc5c-9d79054a9923
registration_id6ef722b6-53ec-42dc-bc5c-9d79054a9923route_designFALSE
target_devicenot_applicabletarget_familynot_applicable
target_packagenot_applicabletarget_speednot_applicable
tool_flowxsim_vivado

+ + + + + + + + +
user_environment
cpu_nameIntel(R) Core(TM) i7-8700 CPU @ 3.20GHzcpu_speed3200.000 MHz
os_nameUbuntuos_releaseUbuntu 20.04.6 LTS
system_ram67.000 GBtotal_processors1

+ + +
vivado_usage

+ + + + +
xsim
+ + + +
command_line_options
command=xsim
+
+ + + + + + + +
usage
iteration=0runtime=1 ussimulation_memory=118556_KBsimulation_time=0.01_sec
trace_waveform=true
+

+ + diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/usage_statistics_ext_xsim.wdm b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/usage_statistics_ext_xsim.wdm new file mode 100644 index 0000000..0410530 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/usage_statistics_ext_xsim.wdm @@ -0,0 +1,38 @@ +version = "1.0"; +clients = +( + { client_name = "project"; + rules = ( + { + context="software_version_and_target_device"; + xml_map="software_version_and_target_device"; + html_map="software_version_and_target_device"; + html_format="UserEnvStyle"; + }, + { + context="user_environment"; + xml_map="user_environment"; + html_map="user_environment"; + html_format="UserEnvStyle"; + } + ); + }, + + { client_name = "xsim"; + rules = ( + { + context="xsim\\command_line_options"; + xml_map="xsim\\command_line_options"; + html_map="xsim\\command_line_options"; + html_format="UnisimStatsStyle"; + }, + { + context="xsim\\usage"; + xml_map="xsim\\usage"; + html_map="xsim\\usage"; + html_format="UnisimStatsStyle"; + } + ); + } +); + diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/usage_statistics_ext_xsim.xml b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/usage_statistics_ext_xsim.xml new file mode 100644 index 0000000..539a45a --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/usage_statistics_ext_xsim.xml @@ -0,0 +1,44 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+ +
+
+ + + + + +
+
+
+
diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/xsim_webtalk.tcl b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/xsim_webtalk.tcl new file mode 100644 index 0000000..1180ec3 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/xsim_webtalk.tcl @@ -0,0 +1,32 @@ +webtalk_init -webtalk_dir /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/ +webtalk_register_client -client project +webtalk_add_data -client project -key date_generated -value "Tue May 30 13:19:41 2023" -context "software_version_and_target_device" +webtalk_add_data -client project -key product_version -value "XSIM v2018.2 (64-bit)" -context "software_version_and_target_device" +webtalk_add_data -client project -key build_version -value "2258646" -context "software_version_and_target_device" +webtalk_add_data -client project -key os_platform -value "LIN64" -context "software_version_and_target_device" +webtalk_add_data -client project -key registration_id -value "" -context "software_version_and_target_device" +webtalk_add_data -client project -key tool_flow -value "xsim_vivado" -context "software_version_and_target_device" +webtalk_add_data -client project -key beta -value "FALSE" -context "software_version_and_target_device" +webtalk_add_data -client project -key route_design -value "FALSE" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_family -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_device -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_package -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key random_id -value "6ef722b6-53ec-42dc-bc5c-9d79054a9923" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_id -value "aef36ef3a0d94dac9e6058b656907afd" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_iteration -value "5" -context "software_version_and_target_device" +webtalk_add_data -client project -key os_name -value "Ubuntu" -context "user_environment" +webtalk_add_data -client project -key os_release -value "Ubuntu 20.04.6 LTS" -context "user_environment" +webtalk_add_data -client project -key cpu_name -value "Intel(R) Core(TM) i7-8700 CPU @ 3.20GHz" -context "user_environment" +webtalk_add_data -client project -key cpu_speed -value "3200.000 MHz" -context "user_environment" +webtalk_add_data -client project -key total_processors -value "1" -context "user_environment" +webtalk_add_data -client project -key system_ram -value "67.000 GB" -context "user_environment" +webtalk_register_client -client xsim +webtalk_add_data -client xsim -key Command -value "xsim" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key trace_waveform -value "true" -context "xsim\\usage" +webtalk_add_data -client xsim -key runtime -value "1 us" -context "xsim\\usage" +webtalk_add_data -client xsim -key iteration -value "0" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Time -value "0.02_sec" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Memory -value "118560_KB" -context "xsim\\usage" +webtalk_transmit -clientid 3468895090 -regid "" -xml /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/usage_statistics_ext_xsim.xml -html /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/usage_statistics_ext_xsim.html -wdm /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" +webtalk_terminate diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsim.dbg b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsim.dbg new file mode 100644 index 0000000000000000000000000000000000000000..5a8e1d222e983b01df72d2ef93131b068f10bc0c GIT binary patch literal 18108 zcmeI3YiwLc700Jd^C&IUEqw+`oF;9YHp%)G*Xb)+ubtSbV>@;pZlG+o_SW8Jz3c9- z6Q@EDi2_l-5XzVEswzq$MIZt~C87cq6;>5k&tkVku*JH9!JE71<)V-iOpZTQwD&TY8V zxj!zBG}l5fq6r_Mc9-CC2``S(ISlbErIu)(WegblT(|})H17lXTM#L45((k;>~po0 z{angcNgl%2xgHXx@aY#I7a*o358=yifNYoa?;tNj+#z`gU*|^1d!_6ZNRD?(@({kA zPeE>x^j{zsAs&)Egdg*#A%~>w4M>{su;d|pJD-7kK+%9hX zIpWt6n03%|pE{DjY=C|RV%Rpa^8(k2_T;=)Bce%nn@IM-{aN+2GuLCSNb2Z2s;BR0 zJCy9dH_)?;zQj6|Y<~<<>(g%ZBkCzxzlNxK)@NG#Wc|mD{wn0TcT#eEUq{sX96#5S ztWU}MFC(g+bK)8{iKOqT{W%{7+L!wp%bBmI=bo0N z=YE!?=U$ei=RTIC=N^`%=l+$X=lvh2r~mLiNYZo9iqq2vxc+f^t^xOkI6c>a`&5#i zdsLD>lc1+BB=t|fOefT*o_kVU|Mc4=`{`a3SD${HRA2X?xcbzuOBf&Z+;>he9|c(sJC> z*l(k3>P;do$9d>5;pU4vo+#(sG<0xig}FJBH)*{P<)e zdR3%tkHaSSG#we?m`KZU*yLvEun31lT8_gZH$=xp7!_$b4u@P59T=fmq~$mqa()Ab zSVD(JupEa&?lsY$is3jMa>wbo2q#3^_Bb4Jhk4*aI3m(=?1tQ49=Z@FMOu#Ckn7~Z z3t^K;%ds1B4Lp1y+$hp=?1tQF(VvOo*bTWCc~C<*Dblvb>g0~{u!iuoNXxN0xoIBQ z5N1VMj@8Na%3VJs(sHa$u8|KQxPEyrSV$9T{~ zI4;t1EGBnonaA8=k(OgIxzWam+bhy?EGE~yJmNY+%sEGBnK^rvGu zo^8mTU;^Pqk+waap~xL!0^z7g%iRhcxlSe!Hi@*{ZP5AgiT-p9w@SDZtbp*MNZTII zTE1UytO)v?xireUy&_kOJi>+%j*7J0?U8!kJS=yIaHq^XEVo8DYd_1a70x~fEyrgs zLnkMWut}ulQjvPzb9I4~pL$`~-+?>}Fxcm`k!WR75imS?#?JX&n}kh6wW@UEw@3qljiwrxx0k3&vVP& zEu4K$Tkf8ieix#g?2zdB&ctBbv)p|VHgCVO+(zN-{z8sH``zSou=_1hLia1nrIq8s z2tm((mg|bt^VZFB8R6{t#d6)k*>j2IdW1VJ{@%PbDzMyU;jF*N_BCghEyCGzljVAa zv*WXz3~Syw(Q-2EdG@p1{nD>Jhgq&)ID5Xb+&1CtdChVI!r60}d!D>p z%GK}oO5XNsxtwtJ9Ba9UggYe*rTe7i#)Px>v)s6F_PlGkyl~TU!%vIjTJB-t?0WFM zlR@n=5oPC{pDi~joLvvg6@;_%wcI1Z+4H#N_6cX7Tb3&dXV2}Hn-b2RuddL3Iv$qu?5%nv=h`F3?;#A-^NiVusO_;#+q(v72A1_9hW+v#LpgpQV5rBj zUFB}}RDF(fR8Ee6b=%|km0K0G$7fGCKAr)T)Aufp&vM)vm7~oV>TzsaIej0f$8nES zPS4xq_{@7ifYbZ99!KBQ_6{M

Ig_wDz|egA!OKMuIZkq+&0E%G{#;|bh#0r#r}?)rfHO~8Ex={URmKH$EJbU3~n zkk|3OlE864SMIL~+)V-ZM!-FbblC6GfO|86;|C7y_e=uEZ-mOd6>vX9I?gWd1l)5- zhvVa(r|m741}M1JaqV3ha6d`lt`E4Mf(!fo4D#CVGU2!<-Wt;$5&jm*K<+j~$+Bqf z`N6VP0mnT!j$0FOO$l5o;8ueR=dlcV&Vxu>z};@RM&!xyxzrhO>yQuITQ1x}$a{q2 zp1sm=doU`2pd$x3hjY4~|2} zHxh7m9vp{qqXEbIVS8Lhd^zA~zmQuOa8Cpr?H6*Xfcr+k zCE4$r0cY*k9JI%?0t4qk`$;txz32Y*1-Ou-{o=TzLBH01Jcp{CzY}n@YiMVlsg!#v z;8_0<;vz&A^BXX^(C?YIe%~$}>!{z8TZtI# zZtC~s?7DTLjOC%`IQvvjJ!A za&N%>DBx(9a6Rq|xZ?q5?Xpog+T|Ak7w6C9ei?9a{!H%qfTLX`i`2RMgWQRLqd&)S zzYaLgk7r!nH#Q+n`-T2Yz4hm`aBNEbnVj|Ku7FcNC1=+y6L3iSK#uEHZyqdDKP6|^ zts7xeW`>DT>v;H!GGM%sb3pwjAg8`@hLeAQ6DB%7icIG=B&xJ7G z-2Jv)I3lklaKi!jdIC2RaBl=$Bg(^m`L50R5_vO$+Zk|Y0&Y3V!}fLs+*<*6i{W+$ z+&ckBKaea^@7>q@!vcdnKT8?+rARJ*Fy^V5kBnc_a!KU!#fvY5?R{o*p_D5|#jOtJP4fa6*DhW%{!*(Hv+4dBrib&@Y`W-^Qgq{qiAKEXxJ4q`e)r0L;2YT|;5xOVZf+vQLL z-kE)5W@_5`OQ}4a&0vv>Zg42u-~TRVb+{8YZWwhx7ssa&n_=uEzv+SS|LpTaH5xUO0mtEQ^unaUXaqa{_! zA4uuuv2Oq5xJw`To~j!%x4$`9?EnQl!@m1jzYvE2UDY_%{I)%3}1Z%?{AH4bk` zp<*$GmQ&T*c(%2yfC#s|9h>Nb2)-Ois5q9a6Ps0+S8b0?FF)L%41JezxF zFH(=(v;Dq&!EG7M4JQXY&@NJ!=m}@Si_}>(KXi$s(M9U=J*QgVXY_u@cRYE#yD}1t zFG;)(FGP%wO62!n#=G%7k?}c+OYx%5_@u*OCz>M%K#C$J#K~v6Gl& z7c%x`7(2s^nYn!)pL;*|-tYHc=>2+}$2sS5UXSy`^L?0@{`aM){?%LlD>LQ%@BDZ7 zo4{`ZzX|*%@E3t}27~cu{F}#_e;5DCywXfe9KUM7uh{)B-~X}wzqa5Q6H~J@6H|Nn zU!yVZzj6>W$@9Ry^QukY&^jmsOE)~t!4l-ml`qVA3mqzA_b6%_p zL`=&hpTR@ZsEH3`fakw_XcY(`({2npg|&MCma>b7S3hp~-)PnF_COvdqO2@n8K+YP z$Bx06yn$}J6%0p5*Uld$YA`zsm}3y`qwjb$7WEQOVZ(VK<%R^aeMsJYZJUCu)HjM0 zY%NHM6r2rT|GxJf7c{GNMo4;Yjp$NPZE$0*N>V08JrCKR?Kb2#dwK8ZM}9DOz;tW1 z0Y$ki0}7X%0=$4auMR>bGi~i#@)gORPMRvG0=+T`g*)Sgz9~UY6IXyznds!%@uGbh zpwzb=`+!DDz^R0N=#Sv4fJ4l~>eX{3aVEJv; z$2I&2zUfgs(&)K#m=Y}cEy_Lscuq+kCN``wFRo5G8x^y2E2sa2#$cwcX(rIzWjycR zK&EZ)UQ$q_23|PNraa$`iTlsx2cU|K#h{F8S5DT6E&-b2i}e-%hMeb`D&~Lsp^~qQ zTXHyu!sFde3jCpQOM6k{=RTPX04BWlm1OrKZ)`_Iq(@#ng}q~P$Ml7MSc@%0DC7@! zg}kPg)H*4C?q?A}DHc^=$%`4?$|#7!$V#|Ng0a0@yny(!ZF`FVt`3oGExvqQh0X9_ z@Lm3i6|Rqw=F`v=QPxNUu>PHUmJj?wBKjT+wGMH`TdZ-5-jFi4N)bJ&?^ckkDBm&E zinyxtvgnq!*YR8%a5&;7_*`Poc>&6~;bq(VLL^&pW{V85^)gvYmF&}-vKQ_s*0#QU zW?~;VzaPb+K!Oapo$B7!YZ5OynJBpqJ8Bn{VzINjliNa9keqB?XxC=(Gbyz4A8yNJ zzPlEO0C)$`DjfkQx`jsrbS3PNyxvD=!6uMh)N%!#Ppq6CvyY795e9DR`unqCBb)V- z#YoJ2+9RR;Qx#iobNWHeorV|=?~t(rB*&-hwnYmVd~CJN38)GhI}#up zHr<A*tI@au!75^2%Y;is^i3I-nLtnBQghM1YA1lh#BR(@WfE)wbCs zIKIW@kzRcq2IN}#Y)u}tA4;2N36&%YjW|kl*sjznxjFH^6;?Yz;;@$?S~JvBgES{{ z*kZ!vSR6RoNX=6-GrcaDW-;Ov{-Q_FW0xQRwpuxI)jzZ$`qT-%OpTxxeMB3y&(bQ` zYyLSdcx|@mb&tveq%Nb~H4v!c+rCv$%4i*iv7x`r$!%$yiY^<3gJ19xx(6u3m7%`5 zMEZD!2oSXXtq+fnt5qs4_WADU+vC(B>%4!`ttE9%2N}M{q2Qk3uG!A!qhOhw@F^va zsqw+~v&&Xm_~#XtU3AyIRR`w0U4)M++hkwM%5%M}9cSDGX@KKBM9 zeJX4Ebc`3AE{|W$u&YCM0L)a4&SduLeDrMC@|z4;*pb^y+mAD%mhy4;;Tp^1H-0GW zjL4@=sL7FF$w7oc395ro)XWMxbwW*&1Pf!EGRXzdC%QWMa5U=TKHO=X%sJZ;SO#?k z?k97NB1R{1hBPlc%eZ2{9dg?;}CDyWT(eLK)TBRFe}HP5AJQf3$`fyM#zP)reaMuAnT-H*AXP?2 z51A!&OsP61N97wEU>zgLtJDwZjd+UUaG^(P*M{ssUMSzlLV@53wh?|M{sV&eWI6}EA!Ci;zO@$%Rx9_j&7P0E0mh+KIsDK1-?r5@nx-;ECq+y1zBEO1xBZ5G{$!3F#%snHPbc;+D!@UhN{tmT%KfvqROJYzaG$G}U^!Y(} z0m1X5GsAp(Pp#GWC#@pUQ<=7{5Yr;h(e2W3?M<@tFC=7QJnIlS)i+C#q-m^G4taMr zxnO*m$+TGJr{e8%>@m&xAxyQ+G^2znFekQVJy3I6# ze0~Nq8x>{1-b_n!{TU7U&ewM`lXL0VcUSo~{BezKv9^gw#14YY^PoK)WC&c7O^$2r z9VF8G<#CtN0-nxVdxN($z8MSn7=D>ke?PP6+_pUlp{)3dH(NN1bId@d8#m8nq6K|0HF6ug65jVe z@W2^gx22|hM(xMm4+KC6Z@}THz_CV4=PRF06Pdrp6&`WXck-)}bAHRFZ? ziX-sjS@!VT!qX~qbqXY538yjgMZxNOf)l@@SK?u1mV%N?bktXE<>qsj899|!Tce#P5$do`XYyUzC$#U&3X_i&p#_MU|(}u6r5f#?>67DT@q zZ@Z(MvzDcCfs&t|7(3aals+pXqP_Ug_VyE}>V{NjIrLH7n#3;77nhvz=~$6NZtYn+_vjC6r_zVAx%Y#A6wgal z-rRU~f4QPZ_Lwv*H$D1MF{vh}B%0vf=z_pg-L zpdO)3o~+V~0;`pQGuUaNjUSKG_1cju)Hjv-wbiD^rA7dsLXj&jqbvqJ;nx&Y14zRX z7B-WE<(vZ^>zJ&xJs3v!vKslZmSUS7NDbSc&G+VWL_<2XJhm49bpFy1OgRT(wf7jI z{BT{d%y1{oZf{%Kq{FKgLrjWL#Ak>%q2hp*V?!HWF0wJLO{o4g4#l^d(NP!1K`&?k zMU2c&S@33=^^kGpt-+Y3H`c_3&<48LyU(&>v`ewhAJzJQ>c>Xm{FX(MqzlsXuR*`; z#eQH5ELABZ%Gc)xl$lbK+I#{!+GHtJ!$q);eC$423;oit;j6xzm%xIZRSYfvHoNn< znI}h@1jA0RQLSK$@lEo|xG9>AQkB?jend3B&5j+Yx2yB4F6{Hh&O*>W+Y{A$$iLO> zILqvP?EpO&E;IPzHU#^rha~v!czj`8+OlO@3bbinY55b@if6B!iHFzaZZ8!S(~sXD P)bBi<#9#%{v{U~HQ@~A* literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsim.reloc b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsim.reloc new file mode 100644 index 0000000000000000000000000000000000000000..c10664fc78167c4b1e07095b2d80f439f415a1fd GIT binary patch literal 2192 zcmV;B2ygd@2mk;80001Z0lkkT`;HtAGSsw7WRs8o@K$|gJB zxrrCN#8F8cJ0Yo5Qc|i^sghDf3Q9_qD#OvdpQ2x>6Q1Y)&%9?2hr?lJ&S-+nJ6#EF zN?Xt#-#V$i_CagCx2;VcvUUcSa02_cOuvK|a0U->4IkkKUio(a1|H!pTt0020j}W@ zjvg_+pIe*20lb4}@Bk-p@u=Omgja9|hmV=Qf-`spAK(^F9=Guwyn}lD3A)GyH{R!N{b2xd<^ch^iIUGE1`W3u{Yj}jW@GP|PJ9rBpVgI)2y1()#8#sE=^cy&bx9|}j;Q327euR5C{EhX8FIyYIEj))0 za0*wi*!UdY!3DgGOkcxmxPfP{ntlV9@D}!8GyMQB;1M2R|FjYvb4O0&d{}-ox=5HhzFNu=hLb_ujPDhif>8`?t{l-db^c#c6Rx7YpbkN>{Sul);P?cW?ee;@e#ePEp* z1+4RI3Uf;OIkR%^$;>KZUhF3t0P8!8)H-u+FCzu2@e82S2pu z1|L~_WL;bFE$iRGns2<)AFlL4$$Z=&!n!|!Wv_Es_L{+Z-yGKaHn7gSHLUY)tMQMs zepu((24ByAalSm%|P3o&CYa>-@}NJ#PhTz8cnioj9_2Hn8H;9#(uA zV8xf(&Zdk^dUKElzDY@Oc6)^>0P zC%jkriRs1Rr#HES_5C-nzW*LpzA?bcH@wemKCRb>wcZ$(eav9l#{$-VEn)4~3Rb>S z!^&4WSoz2XRz9+amCp>Y@)@tP^($ZTVdX1JvEKW08?WarVLh*eHD3j5zBR1;r-haO z>|o_TJ*@oa04u*a!pd*rFKm6vZ)ULan+#U|lEcbh8d&+y8dm<(!`_eWIeWN<<1ej0 zW?hS~Zt@80dmdnY&)`osUin=JE5A!%ea|_p@0r1}ryQ0&HL&utHLUz>3oHNH!OFiz zSozxlR{l2s+Sb42y}~~mpYt*JoR7hJUJC1ZIjnrIfR*p9Vg24}Vg26P!`hDl)_w#l zTc7g55LP~zzysfR4i`VM`_{Uj_g?(P`nA7HSo>ST$}cNe`Q;kc{npDG<(0mHmG7-#<$FE6{i&^Mukk-)|G%@gfde@H zx%JQC0#0G?7p5=a5U$`B9^fVWRQ=8F6Gwl)$-4)QRp)3PGFDxqxW)Llt!=q~$GjUj zS3hm=o{b;X&-mlj_^`2YnlFYmUjoNGKWuu{RXSL8l;tC) zSKY*Wl=*UNJ6P*&Vbw`8p4;)er+_tn^_b1Gpud7uN2#yw@8B(Y%N8zaceD*{Mqavs zm$2$EqsDQ5Cr{XVdirN#-Z!AGqWAG()nx`)b(qa{-Q`J}U*EHaRd@0J!G7>NFn|wm z1nd6dDI0%ay*aEpO~Uwsb2q)3KYiNnkLjPo=Q_?arq}%;e6HhM=@0O^j`Qrz_u0X! z679jL&r!@jKSPhgEmUc+Z;O(FHtXd(^sbs1ReAK`OdhUdsX_Hc%M z?;@M8(>VBCw|Uj{#k*KNG&Hal2# zn)0sc6+a7Db(-`I)2l8My=kmEOb_=TSpN=I9bp|?zkauetY7;VU%gMt?GUj|3;PW}kIVt@H_BrQj&Pkn{3Fn~BNp|PIngi#a z3nP2vc9Q>v+)eU;yq)AN=b{_m+?xKmcKVz9C((1`XPhOcIWOdjGsX4CoEJ{+FXWbU z!1Y(eY$q>?$4;IRYn|*9XPrD;$Q$CT>#r3XCpjn1x&ApZ%gG_}$;pQcc}JXb{cB>B zlgn`WH(gF%+&+mXIll8B*&}ASG5ZU-BNn*+6>-4H88N`g3I6TmAe#P7my^fHNhWXc zQPKgB{;|o<{Hsq)cIF=uzn%GK zmGwLGpM7bvGx_CLCOeZ)zc$&Ke7iE)nSA$+$q%kTEh;73&HGE0h-yWmd*lUC zGFG>tH|)A?LQ{h-T>>kx$eI8fw7@fX1Rl5pO^XV+*8{mJI8P;@Dr2dEqXOUY^g3u! znx;!0<$&7%rNal=RzqK3EKs8N;fwq)oO$hFSOb9u4{!%cc4hV*TC03O2L~R|pUuN* z98W*@W_}emmH^wiQoy|iA5gI9DY?a;y&hq?!k28RumW{xIc=9i%XKED*yOj#Uz2t7 MOgARKO#Ybs1HFP|T>t<8 literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsim.svtype b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsim.svtype new file mode 100644 index 0000000000000000000000000000000000000000..6dc1deb65a85fafe2dcea36f677983510a180e28 GIT binary patch literal 16 Kcmd;KKm`B*&;Shp literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsim.type b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsim.type new file mode 100644 index 0000000000000000000000000000000000000000..bc603f6e9d6e2f5fce5f8956020b5b9f81aa1531 GIT binary patch literal 7424 zcmbuD3wRXO8HNu9G$<%22&m{r!2~3jkX=YbB&aA+xkLpL^MWTIjed~bQDX+95CO*c*B*d5~ z_Fbr6#}#$JS?>?!n_ywC2^NnuK2ca~eEuTi%^Gfo`0~w=pvf&Z`8np1Vw00&vI4X= z!90_l?=#t13D6!A!EOBB;U-w(=R8A9mKPV8U}%W(1+z>}aD*8O0SG~ii(yB@4R9m0 zgjR49#6T>xhBk0Bw1qfm2e*KA*)i?;oCt34KnLguNstV;LMONlI>YVI1yZ0Z+yQsO zUC<5ghI^npq{6*$AKVW;peOW#-jD_lz=QA*^nt$657Hq6`oqI800zP!7z~-e3yRpC~JTNQ3qxK-g+g8}`6=uow2hemDRJ;Sd~#BXAUs z!T0b39ETI|Bm4vva1wroU*Hs+hBHtZW6UMICnDb`m3o2j^3eOEnO-DBW30R(R{Q$6 zHjcvlGj-V1#Y*(o_@aRt^p=>)EazsKtH2)+~_#CqrL!wv8rd>P(_FULD@L%a<) z!dvkbcoV)7Z@`W5I@|=8yW%9=87Jdpd@J_gPB;PIhU0K& z+#27GTj4G^8mHhExGQds@4!v)owyOc3pc>sa6NoCu8Z%%wQzTQnnja}PvU#=349+u zhVRFRa1Xo>_r$w#FT4}?#@lfk{v1DmH{%EKM*I+7kNe;?xG#PW_roi3I$nk|@Dkh~ zFUAk!g?Ip-iwEM_co3e22jl5D6HmonJOvNIlW-QEh_mr{%%68N4(H%8cqkr?bMYvg zhfDA<9KyqK5zfa2xB%y2Klb55?8PJSKwN|~Z~*tgL7aw*aSt5AsrV7x4L^#z;*q#B zF2Tw8G3>#Q;{^N!j>DsHYy2c`g`dLFxD;QDN8_gWxA+SDJKO+2jic}wTpK@w&(t#J z_xL0pi;v@R_z3<3-jAQfyYV0K4m=)ziT{MR;6LMycmiIBC*lwAU+^mYSG)}W4VU3b zcoF_Po`?T|XXD9uCZ2+y$Is#C@IUb+{4YEKPsPvTf8%HH^LR9#hDYJ)xCGC@A^ZX^ z!ZUFJo`v)9i`a)>!e0C`9*Ae-4Ezf2gI~pIcna0Dz^(9`I2xDX7I+D6j^Dyf@Y}c%UWyywWw;(*j_cxga4oz7pN5ri z5>~+pco&YrYB&V%!9I8&cEbm-6Ut#btbxyAEo_DlVI!=A^%iRL5$IeWgZkV68k^ec z8a@GC>!+YO*#w$9ol|qX1#~YygXypprh@vO0$;!+_!1_cJVP3zfnOe_^rD zJn9Yj^ZY}NFAyjU@Utc_KNQe~5*i1O{&`WGtPa!y7bva)HM*vxDK5C+5Hr9#=(XBjw$vQhk}F8UXkBh z;Ilqz2-e5&c{JaV{W-5MKHTbTzv@rdsrC)Qd40Nn+OIZNlrpBL^jZ5lfqfm?*Y?2E z8C_BjS6U9Y(|)x*MV1pZBZ9^sRJqh%TV>35C_K`cym>-mpX9IHFY|#>V(~g zvu=P@r}gd(wXLdl5c@U0s@khQa*WwEY_G?%Cg;zoVf&#qY_Io>mdr)q413-p?{DOs zT|trRFLoC5IgmG0|8L>TDL#8Z?-grlo@=RdX)a_vkBUpc>Vv7Stkk+yUF0~}^x1S*;}+qIVWV}d_K|(++zOhU_Y7pQPi?EZezmv9sn5>PI;crM1y=j2`f-j) z@2~2{qifKd*w@0xa}nfIb#-c_V^!5@`BYb@_SMyeE>Opz@V%<4Keu&E=XHj&t#i)x z_eb~r|81`|Wry?p+ULyY(6A=`&8=bkc{OPNYo4*Qf57?9&ID=P&d_z)&wE?zKrGf= zw*<|J3$&*6ylIa0yy-l8-t?U5dDC;I=S^!@=)CsxHqGi+wa%#Seop@%?Zbb7DYolp z)Uf^a3_|0rZamIsP2+VzP1;9W?W=l@HD=AJJ$62xi{IY|Uf)M_{;ICqIj6mTWxHW~ZMu}U)s2@!=gmiT?KR(aIOk9MoOv57kL=H`cdk3F zA%zRH&hfDh+4XUJ)^~yG>Ye>Z*1M?7gas5=@F3DLKz%|SY8I{ zFc!+80%G|gRSFdldlkoFER?}saPbAXH4zDS$(R+o7R6*M z*EK3OMC4Iik3w^zNV4+GaK;>2xy#BY+qreVax2&TDJrbo?mw2f3GcW1O^L{Jtz7e| zD7S2ncQSLS(EKXOBl7SMLH29j74gioLia$CZsm6UgowO6A`ic?sk7TBagP*so*R)* zj>xxKx$eCpiuatihHa$H~&_Cu0Jc+8c|HP@-llqBJv6=x92aO^`fxP zmucm$@LtwQ#PJmo`B5v^`cpJxttqq?6)9Hk3TOOZV?@5d%I)zUjmYDzb!#904@}se AS^xk5 literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsim.xdbg b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsim.xdbg new file mode 100644 index 0000000000000000000000000000000000000000..915fc2b4fadc0598934f6e7f82f470875114e333 GIT binary patch literal 79640 zcmajo1)ODd{kZX^Q$j*QWC0PRq(K4c4(Ud^8$=owK}u;wN(``3Q9-dWPz)3U8v&It z!JsVu&$F|~-+jq_{NLB>nfcCn-!nU(bMBehnY*vq-48$N@MBJyy!%dvA3b^ZO*Yzm z^2)1By6MJ~4%%SSq)E@rG-=X8Yfbl`PGj3ev+?4;ZqIRZ)2y+5@_*TIfA9YPTl+qj z^^V+JuRL`%v0i&*y}`(Oi;?yABkSEq*87dD4;xt@H?lruWIbhMec{OZ@@eboczw;t z`r488btCKRr>&jkhLQEnBkNnHt$m-jjcmVtWc~S(^<5+Ddq&pxjjZpVw)TBKJZd^Tl;F<{YSTt?mN2O_cPpFKR)e^(d}*;-v4CQqc(2)=zT`l?leh+v!*L!xp5A{r&fazSm`B&%XA3uQb3HsJr?7sS zyt#eZsjG=~J%)#m*}D#ZmizAK25zp8o4T4teW%f8=oOjK0s& zweM%Rj{G1l82LCy|J>2*Yxr~j`~3`$2jA!L7;rsYgOgeNwl`m|JazT_^Rn#7_Wh=< zC-ZvPcXaKCJ6xx(FPQeZ+4Y4ZpQnf8$>#qY+i9b^Y0?68>wPbs-t`kF`Et@EeW=_W ztb7K{%4fu^oG(9{}cZ?|BwIY^MCp1{Nwf? zoqwE<&Ogpa=O5>z^N;h<`Je9-=XscagJUP=pC``$I3JyVoR7{w&PV4T=cDtF^U?Xo z`RM%Pd^iGrj(cL-{12Wvv32zNFCXSFALcI~<}V-SFCXSFALcI~=J#vxJCB?8`R|zL z&tE>wUp~xVKFnV}%wImtUp~xVKFsgeuZpHs7t&=AV4d z#MaU4-~Gq===|e+bpCNZI{!EyoqwE<&Ogpa=O5>z^Y8cI#QA@4%J})m`RM%Pe02VC zK05z6ADw@kkIp~NN9TXOFFp0~?zEoYc^;aWf6{->|I+{Y`d>ae|G51}=O5>z^N;h< z`N#R_{NsFd{^$F|dG@8>Lrr;dV*YuKo7g`3_%9#kFCXSFALcI~<}V-SFCXSFpO|#w zdyILWnwbBN^PWGy--C?vVgB-A{_&t2{G&eknF+r&{N8E)MEI0vC-%R0jQc(8 zojb1R;hgmT^C$k)(d(~#IR51`@UEz^N;h<`N#R_{F8T{nE&pWf1HobKh8(zALpaj?eZZK3pT^!|^E}j!*e;e9DL8Q$8G@ z^5OWD5A*votd)12n1AvW6I+MbeO*4xUp~xVKFnV}%wImtUp~xVKFsgWa37pD|E9p%V<{#&y^N;h<`N#R_{NsFd{&7A!|2Q9=f1HobKhI?o^RFE9kMq&_ z$NA{|<9u}faXvc#I3JyVoR7{w&WHJZj^)m2^Iv|##MaTT4@}<%eTd zegtOaM`Bif6lUc|V^)3)X646XR(>32<;P=IegbCYCt_CqI?T#X!mRxDn3bQ5S@|iL zm7j`P`DvJypN?7i8JLxyiCOtsn3bQ6*?50!dT8qH#k{P-88IuL3A6Hz8+Up}1w^5OiK z59hypIRE9t`7a;NfBA6!%ZKw{J`bnW%IC$b{Dqj6&xcw0{Fs$5fLZy1n3cZ>vvNN# zTKU45l`n!>`J$MWdtF-jVwjb`1haCt4~@HiC*xN8FM(P4l9-h*g<1JaF)Lphv+|c= zR=x~o<;!AL{&LL9m&2@ldCbaJz^r^l%*t27to#+2m9LCh`6`%|uZmguYM7O;j#>E{ zn3b=IS@~L+m9LFi`71Fie-&os>tI&CE@tKHVOIWX%*xlttb7B^$~VNUd?U=tH^!`d z6U@pt#jJcY%*r>%tb7a1%D2RgD@*U7_;(2Fe^V4v+~0*D?c2w@*^-SKN7R@qcAH!8ng0aFe^V6v-0CG zD?c8y@)IyCKM}L?*I`zE5@zME$E^Hh%*s!}to&5W%1^_r{B+F9&%mtwOw7v9!mRvk z%*v-=R(=j<UB-O7H)2-)4$R8miCOu(Fe`sIX5}|wR(>;Ps&v%*sEBS^1|hE58G?@=s${ z{u#{5KZ{xU=P)b(JZ9xzz^wd>n3dm&S^1YREB`WPS@{E)l|P7C`9qkM{|dA6Ut?DO8_dcd z#;p7i%*r3dto$*|%72Sl`R_0*|2<~qf55E#kC>JJ3A6IYF)M!pv+_S1ll)VEkxBV< zxRv`41gv}p%*toPtb8WS%4f!`d=|{gXT_|1Hq6Rr$E*YhYHsCT8XQYW7K1zBXp%uf(kURhX5p zgIW2yn3b=GS^29mD_4M@KMk|;(=jVQ1GDloF)Kd{v+}bsE1!Z{`8k-CzX7xIb1^GF53}+& zVpe`WX5|-PR(>I7zX!AO_hMH5KFrGBk6HN#Ff0EcX5}Bkto#F9Fe|?ev+~<9EB_>B<)6Z={0_{@KaE-WXD}=OEN11O!>s)Cn3aD4v+^%u zR(>aDs)4n3dm+S@}0GEB_{D<=?`r{M(q7--B8C zcQ7meE@tKTVpje=%*wxyS@{nzEB_&8<@aG${v*uFe~elAPcSS0DQ4wA!>s)0n3ewm zv-0~fEB_^CN-V0<-cZF)LpRvvU7O zRV(*@pt16oVOG8jX64IbR{nC#%9q2ee0j{uSHP^?-^gs`D`8gt3e3t^#;kl5%*t2A ztb8@h%2&s%d=1RX*Tk&c|FPD}*T$^e|B=MXUxiuuI+&IF8>g*&J^$E^Gc%*wCCto$m>%HM)n`CBn7zZ$dhw_#R(4QA!n zVpe_~X64soR(=C!~KZJ3qcj#>F9 zF)RNRX61KaR{m+s%0Giy`DZaJ{~TuJpU15H3z(IE5wr3;F)RNPX60YTto$pOm46kp z^1CoA{~BiHU&pNcZp_NRfm!)CF)RNTX64_;to$C#%D;nI`FAlZzZbLe?_pN{eay;# zfLZwuF)P0hv+^HdR{mqm%721c`A;z`{~2cGKgX>67nqgbk6HOIF)M!nv+@TqD}M;H z@?T+A{%g$2e}h^1!IA-Nf zU{?NT%*y|QS@~ZvEB_m2MY_Uk$VJ)iEny1GDlqF)LpSv+}hu zD}Nzcn*Tt-SJ^$E^Gc z%*wCCto$m>%HM)n`CBn7zZ$dhw_#R(4QA!nVpe_~X64soR(=C!~KZJ3qcj#>F9F)RNRX61KaR{m+s%0Giy`DZaJ{~TuJ zpU15H3z(IE5wr3;F)RNPX60YTto$pOm46kp^1CoA{~BiHU&pNcZp_NRfm!)CF)RNT zX64_;to$C#%D;nI`FAlZzZbLe?_pN{eay;#fLZwuF)P0hv+^HdR{mqm%721c`A;z` z{~2cGKgX>67nqgbk6HOIF)M!nv+@TqD}M;H@?T+A{%g$2e}h^1!IA-NfU{?NT%*y|QS@~ZvEB_m23d@^RW{}Py$ zFNsX?gM2z7A&P>ta^E9%kjQ#;kmO z%*r>wtb9Yv$~VHSd}GYYH^HoYQ_RXY!>oLB%*waGtb9w%%D2L-d~3|gx52D@Tg=M0 z!>s%@n3ZpjS@{l_mG6jI`A(RX?~GabE|`_?idp$?n3eC2S@|BAmG6mJ`Cgcn?~Pgc zKA4ri7PInwF)QB>v-15hD?b3U@&hp|KM1q(gE1>V1heu(F)Kd|v+~0+D?b9W@*^=T zKMJ$*qcJN#2D9>GF)Kd~v-0CHD?b6V@)I#De;sD!Ct+6pdd$jC#;p7l%*s#2to$_0 z%1_6v{0z*>&%~_!EX>N!#;kk_X65H#R{jRe%Fo5D{5;Ic--ucH`IwbofLZy4n3Z3I zS^347mA?tI@;75vehFsfmtt0a8D`~|V^)3zX6096R(=&`UkUyWJ$+b}D? z2D9>OF)P0gv-0aPE58A=^0#AFej{e(@4&45otTxs3$yZfV^)3>X5}|yR{kE$%HNAw z`TH;{e?MmBAHb~qgP4_n2($8AFf0EsX5}Bjto);xmEVe4`NuFT|2SslpTMmAHq6Rz z$E^I5n3aDDv+_GIEB`cR<)6W<{Ii&qe-5+q&tq2p1KF)RN&X666Dto)ytmH!K~ z@_%Di{v2lIlV;-ie=462v-0UNE1vE{n3b=IS@~L+ zm9LFi`71Fie-&os>tI&CE@tKHVOIWX%*xlttb7B^$~VNUd?U=tH^!`d6U@pt#jJcY z%*r>%tb7a1%D2R zgD@*U7_;(2Fe^V4v+~0*D?c2w@*^-SKN7R@qcAH!8ng0aFe^V6v-0CGD?c8y@)IyC zKM}L?*I`zE5@zME$E^Hh%*s!}to&5W%1^_r{B+F9&%mtwOw7v9!mRvk%*v-=R(=j< zUB-O7H)2-) z4$R8miCOu(Fe`sIX5}|wR(>;Ps&v%*sEBS^1|hE58G?@=s${{u#{5KZ{xU z=P)b(JZ9xzz^wd>n3dm&S^1YREB`WPS@{E)l|P7C`9qkM{|dA6Ut?DO8_dcd#;p7i%*r3d zto$*|%72Sl`R_0*|2<~qf55E#kC>JJ3A6IYF)M!pv+_S-@)%*t29tb8TR%3pz5 z`O27;uYy_ms+g6phFSUQn3b=AS^1inm9K?a`P!J3zY??ZS7BDZ4rb-+VphH$X63KO ztbBdU$~VBQd_&C2H^QuZW6a7o!K{2!%*r>ztbB9K%D2F*d`ryAx5BJ^Ys|{G!K{2+ z%*waJto${Ym2Zz(`3{(s?}%CXPMDSNj9K|En3eB}S@~|5mG6#O`5u^+?}=IYUYM2d zjam6Vn3ca4v+{j0E8h>Z^8GO@KLE4x12HQ<2($8oF)Kd=v+_eRD?bdg^20GJKLWGz zBQYyK3bXQ~F)Kd?v+`pxD?bji^5ZcpKLNAy6EQ1)9cJYxVOIWn%*s#3to#(r%1_0t z{4~tUPsgnM49v>U#H{=*%*xNktb7V)<>z2l{szp-&&90#Jj}}9h*|mhn3Z3ES^0&S zm0yHe`Nf!(zX`MQH)B?Q31;P&Vpe_`X62V-R(=I$eidfrZ^5kmt(cWxjam8I zFe|?Xv+`>(E58o2^6N1xzX7xIw_{d*BWC6Az^we8n3cZ^v+{RiR(=y^ z%*t=ato)Ohm46De@;fjq|1@UhpTVsBvzV2C4zu#lV^;nJ%*wxrS^1rqm46Ad@-Jgn z{uRv1zlvG;U6_@B4YTsEV^)4QX64_&to)mpm46Gf@^52Seh+5l-@&Z>yO@>Vi&^>i zFf0E)X5~M?to(YA-(yz(2h7UP-88IuL3A6HRz5Fg9n3XStS^2`4 zl`n!>`J$MWzZkRf#V{*>31;PsYyZP1V^;ewfm!*In3XSuS@}ybD_oLH%*t25tb9ex%2&dy{1up$uZ&swDwvh8idp$;n3b=NS@{~6m9L3e z`C6EjuZ>yxD={m76=vn@U{<~^X65T)R{m# ztb8-f$~VWXd<)FVx5TV`E6mEb#;klB%*waLtb9An%3p(7`SzHV?|@nPj+mA2gjxB{ zn3eB>S^2J*mG6dG`Rs&`n3bQ8S@{K+m0yTi`9+wOUyND#n=mVXGiK$NU{-!9X62V* zR(?5V&E58=A^6M}wzaF#l8!#(>J7(oK zVpjeR%*x-1S^2v#D}Og;A6y_l8153}<3V^;nF%*sEAS^0-BE58M^ z@(*KH{t?W|KZ;rTt(cX6472i&V^;nN%*t=Wto(M&%0G!&`KK@|zXP-KPh(d88O+K* zi&^>SFf0E&X60YNto)0ZmEVb3`Ij&&|1xIfU%{;WtC*GFg<1L6Ff0E$X61KdR{jml z%D;(O`L{4D|2Agj_h4539n8wVi&^=-n3aDIv-0m_R{jIb%72Ji`F)s`{|K}4A7fVj z6U@qgidp&3Ff0E#X63)Yto(k=%72Mj`2(1hKZsfRLztES3bXQGV^;nf%*r3eto#wo z${)q7{4vbRe~Vf9?=UO>J!a*9z^wd_n3ewtv+~C=D}MsB@;_r%{uj*3|B6}p-!LnG z60`EBFe`r=v+`#!D}NTV^1owN{twK`|A|@ozc4HRH)iF}VK&}*?tj{CNnWpf@Kw|M b=3`e+Y@BZ5)zp_u@$%jyCj7#;O~C&HqiZ=r literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsimSettings.ini b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsimSettings.ini new file mode 100644 index 0000000..38f4bee --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsimSettings.ini @@ -0,0 +1,41 @@ +[General] +ARRAY_DISPLAY_LIMIT=1024 +RADIX=hex +TIME_UNIT=ns +TRACE_LIMIT=65536 +VHDL_ENTITY_SCOPE_FILTER=true +VHDL_PACKAGE_SCOPE_FILTER=false +VHDL_BLOCK_SCOPE_FILTER=true +VHDL_PROCESS_SCOPE_FILTER=false +VHDL_PROCEDURE_SCOPE_FILTER=false +VERILOG_MODULE_SCOPE_FILTER=true +VERILOG_PACKAGE_SCOPE_FILTER=false +VERILOG_BLOCK_SCOPE_FILTER=false +VERILOG_TASK_SCOPE_FILTER=false +VERILOG_PROCESS_SCOPE_FILTER=false +INPUT_OBJECT_FILTER=true +OUTPUT_OBJECT_FILTER=true +INOUT_OBJECT_FILTER=true +INTERNAL_OBJECT_FILTER=true +CONSTANT_OBJECT_FILTER=true +VARIABLE_OBJECT_FILTER=true +SCOPE_NAME_COLUMN_WIDTH=75 +SCOPE_DESIGN_UNIT_COLUMN_WIDTH=75 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=75 +OBJECT_NAME_COLUMN_WIDTH=75 +OBJECT_VALUE_COLUMN_WIDTH=75 +OBJECT_DATA_TYPE_COLUMN_WIDTH=75 +PROCESS_NAME_COLUMN_WIDTH=75 +PROCESS_TYPE_COLUMN_WIDTH=75 +FRAME_INDEX_COLUMN_WIDTH=75 +FRAME_NAME_COLUMN_WIDTH=75 +FRAME_FILE_NAME_COLUMN_WIDTH=75 +FRAME_LINE_NUM_COLUMN_WIDTH=75 +LOCAL_NAME_COLUMN_WIDTH=75 +LOCAL_VALUE_COLUMN_WIDTH=75 +INPUT_LOCAL_FILTER=1 +OUTPUT_LOCAL_FILTER=1 +INOUT_LOCAL_FILTER=1 +INTERNAL_LOCAL_FILTER=1 +CONSTANT_LOCAL_FILTER=1 +VARIABLE_LOCAL_FILTER=1 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsimcrash.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsimk b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/xsimk new file mode 100755 index 0000000000000000000000000000000000000000..40040ceef8baa8a10b5a5ee155bfc886491afa25 GIT binary patch literal 58112 zcmeHw4SbwcmG_gTrBO>JAZSF85e5ifX#%AU^5LYU?NkEy^TS_PphGVlL??!C|4c{0-$ zara$+zdo9t=bU@)x#ym9?ztb&^9-L{RJ(XaL4jkgLg!M4Si`A`64V9b|E_NUf=-q5 z4*YwPbE0z;@R>qW%#Bcrf>u8deYvA=J&wi*@|wUU5~aY*`~@%p2~*%btev(&S*Vp4%YMQ zdVXEcz!GgQ1C2c?hJQPCxfj-;jF*Ar>6ikDMKllZ*e0dGvWYkDO>8Ih}d*`79igtKD<+^y?>i>{*hB-j#>`Kpy$a z^Ym*wY@AEaU+19*^2qs{eErR%=O6Rf?bbYY_UDoRp*-}9^T-e8;Xfr$yPwKqhsAmN zwKR{M59QJ4>v`yZ%46r3^0fPfJoG#A$p3L3Juk^4XI-B0GLVPAG>?4d^IY-ve4hUP zeIEP2nn%vEJp8BUu|qshzkZ&Fe{&u^?}VIF(6;y50eXp3>J&YlT{0r{cRP-U{%y_g zr8{$bd%EYgbv8A&&28=IX`EZ%iV38z{Mx10R@YoP_uAGqjm@2N&#S1cDnE~N%-pt) zKFlkfyzg#q4fnLR_qH`gJG;X-M7ld7ZJ2SK)*C>NHiz3fSGP8W8@pShE#cmdp4QbJ zk>+q~M>MiJ(j9K?2}f@1ZEO?nH7(6;;qFLRXLt1AwOujonB{s7lNU{`?v6A@Bi)(C znpz@FH-x(zJ61=+ovWZ#bEGfa+2x2PQP6u<^>#FcBWofZQP`$!Wn;pPbIWLOR+ zc2#3fG~5%3Qo{T?l_I4rRhpnV|QbF#2B=v ztGA~m!?~&z{a*vOH?G7@cVu;IkIR`aDl&fUZ9Ci$$cmuDiMNi`iOsom_xwM6(W zPI&3E%P}@hNH4qmvbN5S$TG&cQvHxV?`qRotJ$QD=9|%TnBGnpbgB~$uWo1Zh&Fad z!(r8S-jsZ+Ke?*kr}GJ_oGqZbk6fa{zMLLPcC1iC)*d@;XcdNWhpjnz$>O z@3cqSk+le#+PegF-Hc8*^)MlZ_g{gz|4)9)U7KUvkKnC?Q|KKz;VsM4oUrQhSMc;t`fTG({}+Ybarc6 z?+LAMBlo`n=VkW4X1^K?D0->h-x|83=|z*O+|UhP;-SwR(Q-WW^EKV?p|8~R3J-ng z^GZ&Yhu(0vq6a;=+n1^0>rJ~0@^x##BKIEY{T%+ilJ@nYMiazY2Pt_~>77so6F-0Ho&>OB- z^idDJHYGIP~g zbbGAVTXZ$zRgDIVjwQZ(wODj)UEHh7qU$3vDeJT7W^A({X3>#2+$(O;-{DdnXUL+@ zvFMvE`q37B*rFF(^eq;>#G;Q_bbH@5YSDS-WUg_Ge!L+9?zZUfvgnf*9lII#+GEj6 zU8>_uS@ic<^rS^U!J_ZC=5i{4<-&$Q?*7X2)X z-eu9xw&;Bp{Tz!Pv*_nq^teSYx9CF_eXd2{Y|$$$`mjZ>wCGzb`gs<8#G;>X(MK)% zJc~YV(cNVU%6D7z`4<1AMPFdikK7)yz!3`^vA_`v9I?O=3;g$6;AP+Y{t)WlR}@Oj zdh+YoE^HW&&PY#&`ga!XkjB#&9Q!YhlRoV@{Odb8h&$pfEK5zLah>*d;@n!KCIz2C zoLh?2xZr>I8gOnUQX_)@mN>T%sbRriB+jivYDn;35a-q@6%+jD#JMa@bqW4M;@mo< z8U+6?ac&t>b%OsZac;3vLBSs-&aFeLLhx@8=awPm7yPTlk0xFs_&vmni93RSj<}Eb z{x?84?eB?mtB{%!{C48pa-}8({}gd<8B*hd-$I;QxYUT?-Ndf}cX1OZ}81_zA?h#82)26Z?NGaX;}X!QW1tOZwEL;4_GGDW4h_{0|QT z=Mp|OBKU8KbLpNM7W_rxT(YNz1pftbF4a>p!GBJiOY~Hi;6EhJrFp7B@b41mk~~!> z_`ee8QalwD{88duf~P73{|51Mi2DWqD)DoPmk53j@p9sh;GZKtm-znI#s9>)v`$S4 zemik4sZ*1Jv;L|f;Bs+%Rlc-z;OUQt27VFh|KK4)p%vgB1_8Y50o=;LnN-NqT0{otfEuOdk2&`_&fdVPRpq{u zSrHp#bU*GNfy6WVGPx$WqM1g8i%wMo41i^Kdw)LTb+Ca$n zaIhW)UHEGmoH-8AZ1KR>wSk~AdQG6pE$JUeUuyythL8YbO`t7<(%|QWpj$=4IU6NF z%Y0u44L4###z3O0b|4xEB-RGn63YyGQS!@wNT*S=0jz%fok%fNiQ2%4x6dq!tKjfG ztRRX@@pl}|(hOLFKiUwp82XATMXXX22ph%VS#*0&;P%=;IF4Ibm5LaLi|>NXFz_&_ zmU~nIMfc&)4J_1y9pHvUaE12DMaurZ4If6*wB(~%6&tq)>-V-X^3uIWLCdv!XG4jY zYR<%n7)MMRH6m0Dcj+)VTg6EmO)9Q~Ry2T^R+Oy`H0Zv=Wd8*5w<{1@w{slW$9Ale z%Z;zlz}mop5ZtjpG_Wj?oLIQf8NCWY7=md*uLsT^_#1(Yoq!MGZwvnJBj+Bt=RT$4y{6_u*4zx|+#3%q%p&f&J-85#{1sA; z8V|Yjz2~n?-sx&-Gk6tK$iTKhg}|+WGJ%@{e!yMp1Cxj(UJO!_LjB_{%*9g{ zEfH)0=fp25s|IB8jpx`(q=|o-7zfB69zLE?}Da!V~1GRa>i_J{|`bv~U-!ZNR zXl=u|ZtoNC*D?k}X$-y}p$1Ac*aJ+2@`hJpTY$I8mw%T|gY7BY7pNYFnp6=DF;14@ zS247~BZ(Do1jNK9slJ^mh6Z*eUw=gnf11T8#mQBa;*C-Y5kRFh;J6p1c*DyWRvB>^ z6qsQ@0GmPYNI~^i08sEc01IHmVE|=B-ht%+fQaS|FB*@Md}po?uoipCX4%WlfXT1I z5bWg;iI`#7lh8nQUkH{`w5?(R^qlpQKc`io6Zw2)N~rIcSWK$x_!x|DA_{Ma?X*;1 z$vSd@SxO;;r82`ZN{rCZU|iZo?Gcu5R52t(?P;h>+p>m;z&xwg3IM}d1#>`I4+_9W zfa>ivUvZqrD1Yy%+}}U~B^9b-a4ETJ6@pn;(V+wBdg2|%EhpZ$>9qt$O>F@ZsxhLm zLa2_34wek!1{vJ#p_-*z44w$KpjakkS~U^m;-G}o?Q zugA>VjG0x}C`vAQ88fTmL6-xqXH{l zXd?j-i>p?2@|4kmaVyYdr(eJdI;yrRPi@i+yOQ$}0JLuxV=@U@7|W9Y$y;eUl>m}A zQUF?HIhG5Y13p&$akXG@*9)8-n9Z3kiUe*|X=GrNE5|E;c=obDmvr~Sr~7w!#HbVVxp#xn5d@!LrrV3 z0>yHzB{^IACn;g0m>BsP$z&}@y!QRFz}jT+HkmYlQ`M*@z}GK)1{lc!6)714KFIgF~shU6RJsFbQz2f zgJM?DoQz1umaGqRh7_T)IG00^5oWrEp?t_gHK*M@50I(8c@~M}}f<&}WqMj_+04Iy5^kktrs%D0IGc(A3 zO-fV(mF!DA5u>x9RgLLsLS?ZUZr6~eC4ts};bJDxAQWQMyUZgrHKvFv1WOIlqpnnx z47?;$1esB1ivq&{lv;-_@E&>p;u%_x4IsbN29WK8xRI2u zgG%*6Qn~aZ$n6x)C3J#_(I}vlu(49Ngnm;f*ZyU8i7{gN^KPOPC&D z-$rveXX`LS_^=a&eg>a`!xvBJHlx#|tZ8N)PvgBsEW znezjSY1l9&V)x-zcL6}fJkm)*pG2r&wyK)3RG*0P7IhfWnD1ECx8XG$d|BMCHnCCO zI==M*f zqQx+~COcRaPGupMKwRjk6BBp+GwuALx?uG3uYmh7&P$-vPW+8i2Ofirt9A4kah|Zq z0m=xDLB`^^w~06gsg`4qA+EnBsU3Q|Ti{liHbYo{iPnR+1S?;C6pA~^kzb|L7Z>zi z7aGKhgU36PfQ1Wcbh#Z(gm92mfBVb=92topVHc5&#l$!T&Bn}raUm3Vw{KHT;9dOZ zw7s(?@UMe>dRjs6M?-@vXx0@xg45^@|*{S`u{b^p6)T`t6@-1GUWFp-gZ%_#`mR~ zzz;t0PE!nK_yLE@d$CJUUXU7l59#giXyA!Ze=277Xs9V> zi^tFeSOi%g*aXakHYXT&b2yY$FztBZTI7buD5`pFD{l9ePhUS$bmqK4HMCLAV(jw< z()AR?Cb@*HC{#KzCiHoOvYmw&4l9+7;WzWMhgmSstLwPI^mp&_V zxFNx);&T@kqS5JgX-1O!5f$DfJj$L$$w&V0VOyucw$6$y>-12H$2ucyOj(DvF?~QF z;CNOo%yFX^<}z%og*kC7+)-R_Dkg$!frhBKT9wxW4RS$CDYAZ-T3t~@K?!EidMsr% zdr|V~DbY|?@?bAQ5{F7$dn^kS>4k@9>`DjBVFyhIyP5$eWx0-JL`G&1ONhi`sxn6n zmZ_BC+c2b;sj5-h#66b5y$UYVb+Z(~m{HjYsiX@TCukVdCP3>*z#J#gq|>Y5A=(MU zY^w@fl}mX5@fDWAtSzaPF#A|oRuCq;uML!KUk-_C7<>R`bQ|sK^Q85QpF3n)Pl3f9 z6}p=`t-Ht8i~b|ihzS?w4G9-FZ>T=&cvoX$E!Pq15w8yO z9ZaAMi2`(+cDC`UD&Sgz)d1)wt2RsyXYjFr@+UGJtNJz+>unZwMk@$=6j!ugiUVunRi@ybZ>O#{?J^y8-y#$C2@%O#A<3JbdzJ|GUS-b9?@J#)Fe{ zJQP@??C{1z_WKiu-VeUT{pU4!Srf6h7P$@?sRCQwGUNh37s;heKYU@v9~#_NP=!}0 z$ydTt5IzYjO%B67cuLNL)%9(X-oYOmt?b22K4=lDo<(U#|DuOZ@?>yv0H~HiE!YmkE-skClb8?oUKASRhdsjh>c1&Qhq?^L zALsHFVThLX^Qc5j(bkos!M&ZKF@F0aRUgw;RU7hv2Yo^*S}Ig6MM7izBuLmdX0!7| zE)kPMkip{$c+!J5)sx3iVkQWmVuM_fiu}Rt_0(|P1inOe9xZ~<R6R& zV8$*qRbKgFIz1YXKn#{vVg~xd7%vfTVGD)v1_DgN>|kh6 zubCKMIJ4nY%=elZY8AVJGjVI~=cI9IdJ)wg{SHlRHC4tH?Ko>d>TW_v^+PJ}d9k)1 zQcVlEp95tKU8FKlM0bQ_kf((8$$c~hM3qwRX7U3m3E_!nNFKulwK_(U#UuiNS8&Sv5Sq+^F=7OrJ;m)DG?KwNmLz&k&Zp8 zj;K+IDApd_&R07SPQz|Ey$)5;Z2!(utd43}xKH%LeqlfL!+s%22!kjXlc(qI_>Dqf zuJJ3({k!VSS?;DuImBBDe!M%1A?=ikdA@1<`uq6H~KL&egOmRYi~ZIGZhuj{<<_xR4(9 zaLy*NsIO#Zk*}n-sKj^7?L+9J@0d@;q~qG~gWIJ8>n7;lNBt0!4AJ#td}UiKapn(H zc(FZYCkZd22(L~qsR!cpnuHg={1e+v4U~^deCrckB1Xzo&56LV|pjpNQ=NhjDQ+UF;JV`{-gHTzmuDVU3B{dk~WF zFH`g!{3Wk}KrJj(kqA~9!=ZI~5Fp92N{2Q^`*ukL>n8keXultBQHfgw&aebB^l}QU zw**T3t(yqC0w-x`N$n!=q3^jG#$!-9AqPJ>#r9cZ``G7o6AiA|n?-Du>G(%2v0Fqe z6Kzhhn=P?*N^Hy(dy0tV+$h=>8nMdM2oXAJ@aVZJKIn1D17-5UOy+sPA@czZo|(_@ z1(r7-tlL$^X7uBobx%pi$a7!`)x78q?i17`01P*81qw$wK z0b<=Do`@HTNQS>P89pvmXmY9YtN){_NE#W8f6rbHP%~di?2`LAG}rNW7J;3su>EKn z*hvbTQrOW7n^YKO`AXu?3K)7xz~(;@9P^LkWI5*3BLW771*{ta;QTKZ8QeY&#StwG zpvoUU5`!`++At(DxhQ{@R$iNM3s}@MOk=_i`ZgRbRX%_ssq)%)GbT#JrD9=x3Z=3L znwC}FjG0gm>I)^@bLCc~Qj=TtJC9t=BVm@oOiaL@3|qlTJCs}vmoc-N?1>5|BE$Mn zL1oy*=p(b|6H|rY(PtHAMv`?Kr|A5qL&})*ND;&>svJ)~LW4=75o5ggWX2dOn(Xw+ zZ*U-*L2ji_D}UmAjmG8qnn0YdiLpP3)w?K zT~!Hr^&nI@8iSlrVOPbYTwguHf2zsiBtHeYI3@4J-%UuTH#xrbJ3)87r__<-TP-y+ zC)QKN!S#U#LRhrHw2VWSAcLTuPHV8{M3II$u_ZLPNgm=Qzkwh^Re3rBSc?kFvYhs5 zC`OimT~RxK1n%6OGXj-P*`1lAI>SX6o@rB+#LObB?6=6{E*&3(+q+n@Zej>j zwX(kvI!KJsC|Hff&?Tv(YC{*Krmx^E2(qYC|gEzkFr^VMPkozX%s{v~+oL_DX z)Qbah#}|&|t@vuu8Nv7?6JJ-u;&4g+_^O10e=YH~0lFSEzM3cAa(so595{1GAPjEb ztm3NzR25&xK!@q^^_N=z?flEF|M>B0@)`(yi|ap_RIUF+;0#OPpSk|~4h3?dAp#%T z;cEES)_)?FixCm~QA=!QvM>oUYx#Td^`D64`cK3b8nJI~{kNPia8xg^Ud9)9_FxOm znQl_x*1&GUF=ir8)yd(1r9rTT2YDjKZ>VQ59))Xg`);rx-_?PfyoR>7R5%g?Py;Us5%P6U8~A)1juNYdjKsfz#K+_$2Bs6s z@}V|nG-yBi6=_M+L6os=_!6;-S!il7J_ny?GwqI`N+L!KtPisHd0B^rwN$a*O;*mN z>O2RPi>M=4$wFBwZ#96)spYFXD1%!m9}^tw*5cUAXhv_F-FN>u78BfNAmihhMT;Gn z8NhLjKLT-pMU8+s@C}rrqP%ilJxUT5YB4$q$_$|i!ai`+f-^>lFpPta6CxS2!ypo84-5XzXtSStbU8EZny1dBr5U{ROxyUpjh zpUDiRdmdFoiB_G6aY`+;X8$fKvTkAoxea<172eI5m6Aj)i*_Lk| zf0$-RFZaX1wS)KRYcsk>bi<7RkFzkQC!vPNNkYn4QK7CC6?#x7!8sg05NkU} zNExvTb;T-lnsfHk=y1xz>?qR!@Y;d<+zFnz3}2cbPUKi*n(reW0T%;&lf(1|nAkH+ zw|v_krr%{7nNjk`hm{Z1FpVRc>|yFqk#!RcvgB{jiBv7l7_345IBKiMhRCDJjZbjp zDN$)<_^g5Kb>lXfxIAvG)u2_Xmb7KSKm=#U( zbFe0TRzV_s^Bpnwmye=tx2%JgNB(MQead6q#U zEYI?}6k@aI^I0%Io$lv&0OVxB%+15GsRDl0QNK(=kal3P-hQM@`uBO3x-^e))QvBgfgZIJ;W*U4qgoVc3!PfT>P-}-F9fALCA0Vf0fihgn zjS#|-40H5^C^{z*Bcx1rQ>dHW6zWNu?sM^R$l)Bcn~+-#C7!4eFQklkg}UMuIxTq` zx3oY|@>X;eA3CUIWX1%(_5X*Zog*-W?zlQIvge}R?4&+0iok*?nJqIjStV*8&Rj$@ zm{!cm1kB4JO|uTEesg4-ehVqnZ-u)3R;b6U+3P+mDO!|Rdr2Xrj97)bVih{gocpQ0 z-d!g@PJxIXLBHtJtd*{EMBabIOo^R9WDOr6mr55T|( z7MYu^G&Ct4pO%JV^bT5&6QY_7%S`JyrP>%6m#Q133=a*>Lmkt)NJb1Z_LH};b^cHW zH*t_dHU9Cn-$ODdHvk@L!WZ)Ziafp$n?cYN1yv#}CFscn1^o<6)E|*b`PLj;Q0h*n zjKBOd@364y>GT}3W}T7xX%Kmz3?7!2%Rs?wLa8`zU=1EOFaX#HE1T~scp{2pQNEf+ z%_(Uh*Zhj1jIylxl`mz z0o)!80G~Ktlg77E5Oa}%YtC~Qhqb!Ncv=i`8M>iiLqKb5P!)NppW}z zr;I?1iR|?0nrsh`a1ek~gkURDrXy<7r;swHQ>be?g?c>V&V~Gspi=w+E#6V$i5l@j z%7|B}D_)^_J;VPfU-CuUGZ{Ph*6&4Y$vIn;cf=5b@t0_6$(=mO|rl4pbCu{J80Kk zb$^yCj{Ya*IyFtXt{^$pe-xy>Kb!wgxm`zc>KgEm-AV?_btMNMb*CVb#C3Bl*Ii*; zci&h3eAlr@9@hyeV_Su~wpHlix^8^B>x7gMuTWRKLJ#h`X7tx`ow0*&{XcNj-F07< z>(14#8-*sE0XaDM79Cx;8_7PoDx3c#&F>j?B&Rlme{6%tb>{4af^$58U5&^P*S!wq zd>aDzH#Bx7l#zs)y7%y1H;TaFs59$L_6V-C*PBAh*jAygZ51lEMZH6=HwUxLY;^Xm zXAmamLUVWdG8n&Hn~h5x%giLF8ks87_hj*3qWL{$COK6J{;@%infKFh`R64E%8Hry ziJAXV%v@*8{3$ekxXI0HX7QQxbyZcUQI)TQ@v?Uy!^E-btwLGyZ{c$&dKYMV zo0eJ#b(5!lIm@@#=k_hhspa4w`>e;eQ}kAT-v-dE`1Tp_`8Hfi?y;+&gbbnkhyQ%v zvUeWe3MpeSg}Me)s4>_fhtP)*cb0F@!oZnO=Me>|?`04t^I+?BP(x#G;6C}KEX%fQ z%f_L%HOffF3&Mwb7QGQ}O1*}kC>{NRI3Tl6oD>JBQPw#RNC&@NaOj27`}#ws+sXc+~_IyT1mM#8fJ!-(U89@ zqQ{h0?;)s%7alux8c5v;D|_@)-zmGpB%g{0$r6w6g4eA3;sfG!6c`+Z}xbwM!oC2dp0A? z9S@~U-bmtpLhQ=x5n;Rs7sfbo<2bo@BMfxovI?;CVsNN)54=Fl2-`!ur~z>07i9q8 z2WovAJ_p`ux`lFt)+p<9$suy^jS~kd?u~9iOE=uRy5ZjFHVJlKwr(Nn#?K10Zl@wZ z5d9y-Ux$38)4^Y@>dHJ{%l^zG*Y{QYm2&CaMC?i2 zA|afQe@pO}e0ejS#}~{J`MsN#OYqSX0s`mFP}uoF^!{?9s}hAJ2!_^gv39eFhDbsW7Lun=C=x@D_QtLx$4wD^!sr>rNXZ~{e^;jsD{0s!X zwfx%1IFS4*0vB2W|GfNKA_AFTMc{A#-qp|=nmIo-IB0%-gkF;mvqkLZjM&4=ul@V* z1c|@=jkHrA8aoHt;r#`C{{b{g!tGN|ME9K8(55hp%YZWR6Mr>%XL;?cjwh0I4@YjoDLDn@66h{Qq>La>}8IvcmLJ|oGj zHZ_M?)EQLPb)(OI9X0TX)U>&q5_s0H8{xu)_&6ov6&9ep*vL2_8Zm7u)P3JVq0$KF z0g;a!CJO*88cQK4xe2|Nz1$c?^&XAI`t!IcNX>*9-DR!OYy?GY)?S${eX=`j&jS%HUwS<(hhC*FyD0G@Nq))KM z7HFHiZAdm^+__-EOiN(FEC#{TZD7+Z64y;Di!_**MrAz?i^OOdC?5kGEJD;+L`WHn zDAcuxLX|~c%CZQz){rh3uK#pWMK{T3aErm$m~!Isp?@K~}Q= zP6-I?pLt z&;44eteSb#pyYVUW;)2x6Xb+D66@Zia4gQ(d zdF(rMLhyE87VyxqLhD!p9eHnbEU|RNy}RMXz1GnP7DKP_3W-kRZr0>L3m zaFHb#_pV^vPwPB3fSY7poBZfTHK$-+S&SX?VnhPF4c|-eqw=3`VT4b_m=6p0`b^Ii zLB3dHIVPb#YO1!B$!-``o)&LL8TKOUX-kymnUDC(J~DBhkof;JAN?t7)M3|7busY% zN9LoM==J}q`Dhj<2zz!O*4FqRnU7u_$Uh&=K#X}tBgPB0a|fhgnO}MMi_S_%XW8Yy zdsccWo?}+h$w|#hub}51bJ(+z_xA?g^4xU1r~m(rbJPC6`-{v?dl(yq@{2xyg>%zm zx4osg$;R0Bh^e_x{qAAW-V;P53b{Z?#ALf_ z{d^s&iOYty%eYCG4duFtI7rH6mq2~JQ&<08XvANZzqoJ|e-(ZT{#s;r>2UmYG`i&V z*X6d5!|~T{G!2{N^4D@(*5UYTt&)|?U)S5R4#!^=N|xoX)9I21JZgzh7M|r4$w>)L zxfNku5WhIL_blT$hB$uYjq#qtapdJ#lhYNhZ`VzffutO_9&H=PW#x}``(*Wj^OhKf z8OK#04#A8UFNwj6?b1$D?R%V6m#*jv55*CPiJ zcSt#}LjlG&{(2DOJ!-r}DehK}IEk-AsP#1^ZVALiAug)K^-|mhk2s06Lx}sh630mE zg}7cNZVkl^dc;Yv9YUN>iDR&>fw(nF9Db>)di!TR;w0VlJG)WLo^<|q64;uzlrlK#At{rQUSkDucRKOMuzoCh5yCz<~EqQ$~K5%c3?!@|Az zNmNu;7*+-9kzk3^um~K<9jGbF$qxu-em^k#`RCYTqhHTj==Zf;`tgf04CDO((}wY_ z=#{JoGWw09^%<^y#PI&L(y!_$35PAz@6^2dWvy4PGWz}7->TDbjFb2t$iWZl;$QRN z>Wp4VmH73r__x>xd7U4J%HWPE!n%ezNR^BE$Qhw0kDN6G9W`LiGW9c=SX=J~1%#|e z&JZ=T2#=g8$1GWjuvS5Qhd|QvAMB9{i5kaQ9vXQ58tf_l7#h4_E&3fAJpOHG;|qcl z&_eRpuz6_kv62SdH}QVmju7toMZq$n{X2_3c768mKT;k$l|Q2qN5gD+PPyb&6_WHy)~vk-s}4q?^g^S4m5OI|~)Cx5T*eH3{s zn#3=`tRV^ib*_>c#BtHCnE_OSh)dWkB+X8<_VINBj&23O@BA$tcp~`;)EjFCZ*nK@ zAgEn+(~ti^22~6?FZ zp7|$q0RKIQo6*h_e=3ZgT>0<%_t|O(ep@^6Uo`{2s!kvGT&RCXLFmF~dtX$RG;jFB zqV9NLdpn>IA!U-2_>t>~1&&zYhy{*V;D`l|Sm1~Sj#%LEEKq>g&ldM~G(}rGJN)g9 zef~&CbEMgSQ){%Pvp4E*^mj+1z1)KhEH=~5i< zti36n=I5s8^rh25z-IuL0ltoHRg@6V8ioMZ;(^P3fE)1yYMgYy9|5-Ao=ztL_u;*f zIXDb@9WM<90O#N(&x--q0@eYp_*^>O2Ke9?u+alN@r&s+AArsoPNzoz&$%a^-U(QX zZ;hS-d=Q@yzQS^R1v&@2>T@1Ur~QD>{0RC1w*5GrZXx}r>GWE_r+%JJZvx!-Oghb7 z_&Lv_|A5>66M6!!crl&cNBZ7$+QAO=nSJT>ae#OKS2|q=`1*eIAMmN)Kt5pGZy_IW z&dZPw_zd7Cz;j-KzJRrWPXV?8CIRRC4tioExfXC4pllA|hk{$Kb_)7R3f?* zPWp-Xi*=>ba{-;2*(C%4{LRB(S$jHNfqpy1C5wwoKjeGIO+_*1gC|^c*7<=`K$ZF{ z04q=*r={*yin}QON^eZ3zs&m8#U)FMCky)F8#XY6zXzkR4InSl?!#ZGH=8yJ+Al2{ zb$tqdF~rNU=$EswxTLzc6ryMJ7v3?$VY~ZSjt$t$R7lz#o?+T8LZ6#){{Bf6@go0; zpiP1{8&L62xq4QCwi8bwn#qidde(yGM;scOAG8M0)`GScJ7?;&u(-6k*uSKBd`ADw zI|}cdK|8Mp&mO$TaWw5gV*rqTH*O{ouQr|SYz2Myrc683bvI~%&!*GQu`>ErQ(VWc zi5!lrB;IsfqU3X!h`tWaIfpV4<#|$suT3L2?I{i)(YKkk! z%ikGj!1y>`$rWDGIWAl9tdqPn%TI{kOJr;emef%ZCR z+t?qi_bZ@n`C&ReZ0Sv2=7ox%WOzyQgZ2!@?lA6Azl;5t2U^P>oFy{OmJ|=uwWQaA zKJ_0Me-@oGn#un>UjN5EWCTg$(}utc>GW5XUR|VZ1a16R>GU@>jWVfYTM7pn&>3xU zXi8s4LEnlTVat39v@Ya}P2h3+58CS%jWU_9;xDDs-&K4;0>%*YR}gvTG7@0(+h$yL z^id1@3t3i%vM9>zymN527KwbQ;2hRcUL`Ya% zTyp1(rNyOp6fP?E56)avT()r*{C3+>q2j9k*-MIp9mQ4Ei~&Rf;sH^C*eFu|haCT} z(`lr+Bi9iN9I?O=3;d5;AQ;e1YRG)e%L`|5j(7V&3O< zyaMjOx|&n*eMRE5#cKq)nHT3DUYs*|y;}iiiti5MGV~Tsh`5a2oG*Fd$(mfI{r73y z@cl8Z`UiUp=?#rnX?ZxCm;M`n?AQ3XPYL?H#!uFA|I)y({o<87->)c(HM~~CRT{3* z@HP$a((rx_AJ%X}!=GsQf`+eZc+~qHx!$GW=^D=0aIuEhYPd?nH5%Tg;awWuui?WQ zPH6ZO4PVgkRSl0iMYpfv=^D=0aIuEhYPd?nH5%Tg;awWuui?WQPH6ZO4PVgkRSl0i zRkyF<=^D=0aIuDp>+t_AnpC_qM-)6?!<8BuNkgAkcMY;2!Z`k-!Y99^V8uO}^Iipq zH4NUT@SzVYIK^K)!Buyqg2Ag4Y`8|j7(YD4HC3-*@M8)#T(2PiQ?R^-HEf70+}Wt$ z_@IIfcPO}D7L^#sOEsScOD?=V>p1&;GxVmTV)r)EuRbR6xeEz(-t6Do6+s@2xVLDcbY`SGe zF8T~-XHI&d!@a-vDs*N#MTK4}p5J2QZStR8TId|*m^_(HpY53ZkxeghOy0?+zs)iE zEt~#!HxFjhk#+qxMco(TzpUraNk7`D%Mr(g_%E?El%I>$Kk z9TV9e4A^bRW4B^WANSCi=EyGxB}#<+y8!!o**->oAFnG7wygbFGT#a8GpI-9|pYuvuugBkC`W#PDn5H(6?y1-$Q>8^jz}u z*^Oo|Ks?<2ZQOXSTymZhp6PM*ThI$~_?_o9{NTVFKkw0WuYJw|y#T8fZ(RKh3!oX! z^zrq0q2u_uI`PES1&||qV9_`8&mz!|0e^+&H`OlB!@ol4@UPD6vKNQA)p_{;R`ZYC zui7;0wkM$HvDUbrt5r&9els7)84b$r$|L_@^3ZpH?$eP_&j=m) zQ_tISZUddZsOe_j-lg??Adj4H7=8|XTynMt_+%b_&Red0 z@1??TQ`G(PJoIkRkFoN@%ToE`2Tl{gkX06>^pE7dzu0PT`-zelSZ{>=gXEncB{~P|KrdM2~Bsc2@ z-q7@tvlKy|x#0G=*~)Hp0Y&FH=XI9Q)xG`if;{wwJoL|K`DVW)=i(6f#XS5!%|kzs zhhA2s^f&u1GcL{-x~;joFW35%d`01UcsLguIofXW{0A)8i(Ko`u9Bi%$J5o zixzM)4ss;Vd-`=c=p1)ufBJX2Ql-#s3FuhkgPM(j=dJUJ2QyWu2z!!`hyDdEuNTbgMyg+teNHiT2`+ z&L*ch(j8gd+7pd*hokM`rnb(GNRJZ^H+P0tw{@;;YzsF>JG*2e*4X+Vqsx$MzdI>on38hYucTT z)fM=w#NT;&Uj*n}`8V#k6w<^{&DBnLN$r&j zt82qoE?#`iqGjP_)eCDEkugh!=4=Aqw&;#J;hK+JQGNN+%beC5TEjij_Gq}XgRen2 ztMJ0cvc{D{U(?du7LKezhn+}Yq^UO=30Ey}@R~wTBpM7Zsa?A8vhaE3=apZ87TQ`@ zHiesddc{&M`~36d9g2nBt<9?=;nk7obu!ZxG7WU&EsKuT;RrY&XLWmL2NZ&i z=(1^b{(Sd#!TC;WBoYaCg)eMe5Ls2(v@+b&yE2S+a!}`IT2a2EuN%7~;f~0Sy^U@8 zN_$#wiAY&fcLcAdgqtHht*bjsCzW#TjjbKoHlQV2B8^>Q{no}d3Nam6u)w{osu0o5 zU7cM<=jMtFFPL{>v+7ADxZH-3YMv8by6kfF#te;RmtV$#0e81)sc5vjDID#F`r)Qd99%gP z1JUlrjvhvaiiW1fwzidxO*cT^mGD(_Ye%@ZC(`V8@q#MR5hj4uO!M>4SIy^a93!(a z+Nk_~`2FkXjOsq(J)Pb*xa#oht`^sJ!>LVujSO0Gn8%|kq_W(DuH^`{3#4JORZ~l( z>4q>2hJf!}gw=GXH%pHFBs*JRe6}5K#!wVvz;AHjTW9A@7a;C zK>4TnW`q+WNW9x9uW5zZ1=nR&%!UXOoq)8mS>~uz+Ga9Em~n{c!7xLtW({-+<28s^ z##`9L0h(y;=?u3tb~GajO|(>H~3qNd)hj0ax2ZR$lT6zY;HAz5%`A~f?aLBJsBBQ=;_Ly9v$RHwFQ=HZH+zA z@S4W9-pF(-y8H;W9ASB(p=M>pc{!;{eR;{v3>gvG(c2#BMm(@%Za#^2B7~!n)po`{ zm}T;s`X z9Cj11B$a%UTpzZ#b|4Tj!y?CK;!(Ne&`}J_wMnjG0?XHyrL~?~b&xJ-TF}^Bu}UWC z%G`7Jf}BwbUNmTIs1qg@adb)7%^8e04`}`O4nbw&rtNn>DF=;nH*Q z{Kk>8mPRD0awJ>GQ!v`CO7!!dEF_FF49!MX(05(P^W~BP%W+%2x)W$L(uaS!Dg{%g zIJP{}qSv7<%@ATRRaGrWRSk2m^FMTAT$Cd>IptU&@l9N_qJB&8y{OGC1di$CGS8(9 zG|%64vGAIE!c*~wEu>rDJijtfZ==a7m)V~gd_HdM`sO*8fquiNp|^ew=&$0Lx6$7` z4>NF{u7_=(T-eTKU3?6Q({opU^W4n9VOnUtrf=$-=Wqu0X-=cR;WLo?V7?<@aPz#*Kz;0oCx6-cYq8EPxS>r=ee+z; zK=a;$Y2Vxb^}7B-EzrCNVW4?$g7x2pKW}|Lo~2EwpIrWI$oRA`um1;7XxI1q6}N%% zj;|%4MBf|8^AWqgdG2VS(btGDxPf2r)NkN`z-8d_eubNVO}hra7dLkM<~gQ;=KUGN z@9qBsy1wzB`@C~R)!>)^_T{br5DLj+>YL}H25vk={V`8{^ZeAnDNlpOZbqjkal>bk z97DX!bFY$Z3it9G+`u1$jjz6#`sR6B>0#FYzo^UDGxg2$HNURU_lbF#_%!VrKk~UH zO=Rku_l7Fuzr>2iZyx)Z`Ubw}sqa3o8%F^zPkqy_Ds-IvxS=f5zI*QdgyJym7=DjC zoZq2@>LK!DK`gdZg#K}}w;2-L_4U{PFnas<8v0SYmo1cM|j89Dez*b)fp zz*B6IC!Pgn#z~wGO_jz?)Rrdd)M3O=EK#U%5=u2$nMRYLsU}TQYl)_Ak_I=W@qFLj z=iIyBeec-F(4h(y5iL6+v!?oafRl+Ygrw?MRKFAh~-- z>i3cM-Q|me;UFCh?M&?g8sySA-;v77xvx$nlZP}9HKn$B2D$WVWcwkFM3ylpqh7++NV<6YlJk*;?<+i0Z z*t~ zwRy$hSu}fb>Y?UTTNbCVDi!e#7vH5jVc-#<#ywg<*8TW}frWao1Kf~HuW??vOzoc> zyylljju`$BtFiG=x^;d7BkxGx@z8SH{0UGZqvCvwP$xBMuVgEaWQ3;SSxOpNQH0FVDbECL9g);(swsH?r zZ2@ZTB8VA|EeVDi`vX`?v3+6zw4C*n-nBScr%aQlW-?OU#m8`VH?#1D*v@fHRu7O0 z#8L_#ER`9aQR0md3};0yYLBser^b+gsN({Pwq*@rfq7onDo9513Kj$5aF1jslE(d4 zqH{e>{`2ono|C3=$*Ek6L8W|k6@*z|ap*wYP5dJ`<-~vDB2|ICrnw9Qx;LV?LeRp2 z7M4uo1T#q3LR}?v`+P3ljbd34(E7PFC!Kco*lwBDIpwV39MldGGmsuu?Ad^+q!lxJ zCuVk6!_DkUi3+YKyeRdc1scP19V~86KGBrA8T?y7DdE|aYDPAo2$~7gN1&vuyi`n1 z{cKnR8io_MK9RW5hShn>oP-4^QW3HfOo7z0d3Dmf6tZWtd0d9ngp_0Ax8Bt0XkH4< zb2Y++3s)qv5_za81>Ksl23nn3oUJ(3b3h>ZFqA;b?4jr|f<}-dsgz+&7)B5^G(2bp zzf8?#m;>qKNU#POL6RK&AOEgv5HW!mM?+WbQxFwt7Zuvq!d`(DH?)xe$YfQDi*@N8 z=(m#Qk4_R*`_xmr9f2Q}SO!(uW6_tVkx1vo`KUjqM1okZa1OYo@itwsgzFW~4jj!n zTvSTBPlu7A-7?l-IAYZBAg{wnbr9Q!vm*x}p62ae;_Qq(WxqP}BzPsxdlr41c_cKb z3|u_n0X2C_?P%~3Bp9eEj6)cUiVtC+&7u&)6=|0J+>X+bdWhirFkvH$`JKoV#uRuVF-3K9yq0^j^J1}x$Il#uTJ$yb1(g${mJuD zLz>NQoHsFXpe7>@)U!x~wcD@)#&WS+Ou&BD&heRT!1#>8WE%nJ`_-v!O6Huvk(pd@ zErsz%9Wy0il^EYi4jA#2Qxu+&b@H5t22P>|TJ<~<9yomwN!Y;M;$+{()6Q3?OEV9E zPMXOR9#m!*QZ{#zsZQ#m%W!rCh+o0`#^RE&A9IEjp>h@^GG|ap-!ydf$D}IOAmkHt zlLZBEvUt%=7TQpq8Cw0!AlHjhq64UmzT%DyodvC$d`ojWiZ$_a5yP|$piLlH!2vW4 zg&6fA@fb~wDWU75wFOP!ImZ1Ji?B{y-g|OpTWb07$-zGr z3Ku%V0mhf+6h`|rPGm@TCE5xZ%B2-)Ue28!YL%f;fK*dhjWpqOWEZmu9MQvw&Gl@9 z@|95jbSUr0!wG;)D~2jy1jx=UPEA!*E}Hu{ur}B8)Vo~OKOs5zWD)h-z54J*p8BCv zFw4P4Hr#T)1-Ndcxf18QFhlsT5sa)nKS(>8Fr<+Sn&Rpm!w;>_4`^8V{Ch(6hO>-e zn!a7TbXl~2VTeXNQ7*F=r>+S|H0Cj!BpKiFSUJ|2 z1n)2goBytp6_Mqc)n`u$Rv2;xB z3Z;9qL{VN>uE`xEgo1~lbu+rhZt2l(b>tl9{nDPxj8l!!w#SYTT8I(YlFRTk9wDD{ zyE4`|VMhx)mfz*TaA)H}7ecJ+){miHqA+HERXpzk28+oc*9(1iyV7VCROc_^(Es*= z>p}by7*nDdldZ=RhzlLvu?g2d1^XY3W#iZ35@I3yHE`?m_)T(*;5N(~xjyImYns|& z{dGXneKKtZSbvGu!}q0^{ro8?uFYCe)^~F-j1>pBmt+7IDyY%J=#@+^z(!i@LltG% zvJyYSF2Wm&iOG;rIR^f-lDnHyXY$W`=8v1g-vq|f_muU0G#Fk3dk?MQ7NEaC77w^x z=dOb4ALz39RVPMYSdH2^$Zel-Q37w*N(% zEuEo_xog(MpqysN&*V}+VyURZm)TyBW;=Gl(6hnz zxeQmC(qOk^l+8c{NW_LaQoE7!q0I>uA40 z1?`l*7`xv<+)Y6g$t7fcx$4B2aQh8vJB)hoYw3Cn)^^b%_aZu@Y$syB zogJdce#4899#ZzKIm&(mDZywpel29ygI?I8dk5EZo8fvCaGltc+~150?szOavcD3Q zqTvg*WcmYD1BJ4E;L-ptJ0`gjO%6`DwS`&%d**%+vsO@hF31q-^00++TOuoMR&#_Q z!KmVW9~MGrpvfHPDt7B=j0fDl)+hO*J zc3DCA(S2L0ZvTzo7PNRf(Icm!p6H14$Nf1CJVU()p65)9eXqtg z-1ABUc;x_b=TuI;6@e&KM1ddjdF+Zw#SAqZ6o zy2*unIk|ilW}wzi$utKLm>3-ZqGaZflI@dFUnUE;UXb!NzNX$j#el-AOWu)Q1LD;j zK{%AOgk*9@x)~$L8VnAOJRCtoHKHVjnaV^1WNa!Y08zzS{cw0dIi4l%flY>?6GE15++c7F-D&12yFXMGo zg8h+nUhtxmDD^|>9$X201srknnhAQv0VL=U|Jfg$0spf<{F?WNMA80GW_sDe`a}Nn z8^^vLe3RFo9}c#^g4o-Ju?{0rJuY?YFc#EukzB*!hY#%32E)&kH5`wA3%Y9Vg78jQ z%|UeG1n!b^V|B;1GQ5L6Gk)q;ToU%-`j<=xUf8oGyxx-HNAJliu0d8fiL}i4(0zHu z)yUf7f&24{E0MLu>oKw!*@w307k~Z1yy6yQZS4;|npZr7aZ`$)9zBlZCEHW>cfS3# zu#DQk%@D>|En=({*g57p*4rv5U&ARy%_r4^p}%wT3k*9UGRrB`)=+ZTygu0eeEOE> zu%6KM>ND=P**@Jt#7ujbBW*4-O3w^VQpt33$N$3Bjop7Z(#fsCXWX{q3w6tWzU*7e zzAjQ$lqCnh>Mn-JYbx`vV3i0aF2dgv@N=qrNkKQ3`WRLN&tPT5=^CybH7h?qM?M48Q{6hEdD~LMbi4@;0CF3 zsjV{JemmG;=_F>LKR7y2a4y?T^73pu6HJ?IU@+`fOY}DOWH?jM?6N9&Azgt}f4-Qv z${b!vwa2IA3Lp|y#}(@&Yk=zkrr=r&t~}?#+O^>7CE$E9l<~N5WuS=eh{!Z|0b37B zRj{fd-#w&9raHhK%|JMfQyb9^IM!$A55e%ZR1jT@0AK9wz{@!dJ0}K1*@gH8>WqNB zDlzdsoKmJ{onWf-QRgW*%Ecr$${%Wko35-SmQAG80r{goOW<}e&q1`{jtnc}iD z$cdM78F86J)#>QyxCV6*H7*gw+Qa+#=mx@RBrlvGcKh=+Sp77y@D=LI>w#HG_vv-R z#D&P6grBr#JnJGyY21QT;x1?i%7c3Lrhd90gDP}^EKo^+#bKJIwX|&=Hq+9{Q(+D# zjzbF_*&t$2?`-^P@H-vUXJdol2v|hELX4dL?CfzUmj@&0Hc=uwNlE3|aU`Hw9E4`k z$C;AV6_v^A=E~~isSoXfNs_02B16ld->HuKvt%$ZMVmfVi*wOO{KK<6{~(mNp*ir6 z%ux7~IY4GQ##JYGJV6QWd0UKcn6b8jbl`;p;1t`-eiy?Y9J13W%VnsHA6Kf_k(07v zoaDB262sx0qBLquW!?&xVocx=cM5)@uVWU}=h6*og4L8R8|5k)Uu+=54 z4!3#D-(%ReDBDboE$5beZPnBWSvqR?lnZ%DGL)sqr61MF10~UZ`oJrP!Gitikfi(c z`4dDcU4&Sn!K3-`3&<6l4~2N(g$9brzx^TiNtIVNjpAG;z+WFVm&@=kQF8^O<_bj3 zb<%8AU@F5W)DbvzOBH_P1hu?+*-Bx_dCRlBB02aq(#ZUiS4CPloPEhor{tHc&Ro8i zy=)*+F1e+eI|R`vd#C1RHCLs%Y0Z&WvO4=cNp}5Ml0Cm6Qmc4Lss=*iB2 z9%B0kyN>KXNs9d^G4>T8%;PU`E;|Z5^p7lV?(RDQx9aXGo4=G{OR`)~Lr!kZ2j$}# z&|h{j@}p+M+zZ9pP#;yyq8t@7Ob~(NOwp1_$-4*?Qy)D7eS2iK?)Qy(-4Q6>iZv#0 zwiZ=#6_r>SkCsDK^la5`e-AA%FtrP4v@(_$V?bdwlR=X}@k;Ud`Tz>wczm_T_nh&d|Gxgz(Fj_o4%OQp#At4MUuk-%G}}Ua+!MWqYY;lzXb_~ z-7!?jWtao$<7|CN(h(u8QPRgq%9&L6Lr}SrI&zgP$klS!A4G{}KH9=o?i2pl`eEH> zY&&_)#0GAqv3)YlX&)N^&D^GNY=fjbWg2Ragf`R}Xz#J2ylGz?+93 zPjv%th7HoBgTNUmD-y=(2;IxV!|!Y!xCs@UOsZ({4|Xe99XirT+~*|wWOW%HA37-A zFC1t_NjNYy4fLsST!OwmsOUzXyv`STUHhGM=JLnfAop0R9el>0h($|_h;;q3pdY4D z8b%8{eJG-Uv|dPEw5%cN({u(@g_|BrwZaOFn|7oy4tXJNNXNMGT4>zp##KR|Ba2dT z(~Yv?al;Fqu+O2dRU;qkYXU{VqS-K5G^>4Wf6RIbGB4TJ4#9t+^_q`LIxD2kdMiZb z$5IW>W@$<1VKy>>*+@XMdGTtt65WklN|`MvX|`#`9)eZ68)BY)Bi6?Rip-|j&}^D5 z=wt0vedzmP-;&W5@i9huu%zaZvdzQjlhXEmsS#IBx@0wW8!)e+2r5k@;bx@m$vw0n zdU8L?L=X_aRhT?6ZEvd_&X=wjgMB3ybO zY6#?S4EU_OH{i2wBj4$a46Lv!1;`5qr&s>@^$SC-9?f4^1@u zn2fgmsa&@55DeTryw{DkdsDMCINDPOUlxwG^+4$zp@NpAI_hXk_HT5w&GS&$@5>_R zlt1o9+sCCDVFbjSg>i5iYQ&r*P-I2ThE~+^GP)2?)@dn1*D2OK8O%qgPV2VMe z-Lx5-$FWYXx7{#~N=TMH%ax}@CGNxtglNp`$ZTj0ia@bN1eF#~;BPY}MUWeQ(u-VM13&4j~QUg$#%Qm7RzntDjR z;GuTvWKf4g?OYbwiQ8ghCr#uXd$fxXPKL?Bt0gKvXvfZC7a>%0d@445OO(R`IwZv} zLRt|*;q=V~3zaHPS|_At@pJWl+KJ;M7d@5K@oSaHfeyBRJXH;(a2e$FIqEVzWPcb=%tuFt0|<-$akSS_$2J5JfWkyoug)%hqM`}SvU>O(l(q1r4}wakU#1)NcL}Z8qDi# zfw{tvhQR;U2N+ZNzzWxLV@%;lhB;coEE*>fBTzKDX*L|)G#eW<-R@{03czU;VgORueF9PT?GMMltNbdNiFZDx~ZW!6Q=l~mOHjL(E zw#=W&7BUyn3|>kuJ{RG2Y;8Atwr{sUQM)x8wp+6?v*xe+u%zf#wsuJ&P{dZVAzRHB znDY>|cURY9P7XgbJ=YZh3lTZY6X)`uzN~jR%YW5#(Qh$cLNCm{hDG?qy4YNlUf^8B zF)BGo7Qw_daCbF+(#Y`99>1U{(MP1g=;r2umYLgjI`8_Yv2>3{3=B3hH=AK-+OW+<7cv=o2hy`lQSE>sgLLdt zVJs1Br<6guzp3M;3unYIV_&87LlV4*Lu0ANXJ7qSaOUKOgqxc1#YIReg>STK5}Se1 ztY;)aPa-H-%fLkaF{xB)%`uA7a5`oD(UPiYd?hm?q;5LBfTVeQq_s4NJdXtri{v^W zm`%tP+YPM2?FI$_g|M=J?|?g^*cRoZUDTYMhKkLv=*lR|n_t6c9MRN0WC>eUgQ#$J zt07xl+_6+Tit3a8sitO3ek z659n>DCGw43_9{HjcXcjB`YSwDOV6q!!5q3JXm^GG-s9&+ptJN5}>&#Y%JP{dxdA$!f1^voVG z604da+1nwxV;<5%???|H&iR%Rj9pSkTgMQ-vnCq$+ z*y|P>*IoCka2;C|bDcnuZ8aO(Rjp>j=*ss3>&ZI~{$j*AbrE1Nw;vW3KaiFURV2uR*!wUssg^iDv$NexA$Kec9w9E^v(0vTZ*tKJ5b z9b_RC{j?^$J2STue*`zay{))!3D4aK`iW1+d^-y;Y~E2Ou9rcV9K4*=6CZ*SZ@LSO zfJw}^0!0SXY-ljedV?*f3mM8l4Q_h`|a_%*Zx|P>Z-tW*pWz@sNw42>9Fn1TM9MezV;|;q>DHV65 zGH79*mJafY`fO-WsgN$Mu7B3LP~*I@APVAdK!aEhH9`C^qLtU6{U(Bm%{_S3*uP(@ zIp;swu&KhCiv|hu(8-sW)Q(Tp|!goB^5uADNI5`g^47hh$J;-^4s__A8j@mP{OI`=S z2V9ebzYE#|-2&E*MgKVKL$^ucgO}XcQE~2dOB>yA9_og3uiG@pd05>7x%(tM!_JB5 zzXHEa^18()e${CcIs@kzA6LB>1rvEAPRMdN`(^P2KI>{?irD@XhjxY_BI0FYL@bTQK)hX<{4 z*>UnO&yFDhU>@Vv7mh0N3^&ISzI4YIjz(A$uVw&>p7ZnX(D?VI@H%i^;rmr`$sWF6 z)dqo{-o9T&0kM~5!uP92Q5L>NAa95kd%ucb@s`F-WxkG63<&SX-&65Bh@Tb(M|r;X zpw!pq&aeU=s{#}?S6^N&U|90`+DZnTvmY<+!H|ne%aCt?#Tg#!`Pw?ewochrGfe1Q zZK=G?n9o*i621uapuPx2*ElD-?~5E}!?B}(NYaA$pCl^7_kp=3bCma=s7%bI_WdV{ z$Ym(dzr0iI{ipA+g%~KvEbk@a(*S65T`69~8yA+7`0H6-(YHole+kL=e~;a;9JiQ2 z#uow8Tz--h-tw!VA-NOlIESw$1!`zsd*Rh2Qp}x8NZvILd3!~nN(Kz|B{`_#-|PK1 zN|Cp>3aW&g(0Icqe62-Qv@az^w{)`Pg`sj6Qw-zgb)*t6Z3;KUqr3)E@cT;YpI?2!dcH?P?$lT+lI)#f!@2FY{lc9neJ^)kygwB9UG zT1H-|nL#GJA@=|Aeb+qGi4xgX22Odl1xfzUtM9u8`UcI|Ni=i#j%%$3;2xk+PnQi= z+RVKV{2y@q#r=8TnfQS3n;(A*7{1x@H_p&>-J&ldEO7kIXS>kxm;UAWyGzo2sVvip z3t+_4y&=Pel+xhF2nHpNJfsan9PcHuDh7ylVTFMLWLQoy>o3*?@~b^Z;TKgtE9M6Bd*;V8j5| zYpDmo9q$yND-;-^hYA%M;oymYVT40Wp>Mb9$E-JkK#>tN8(ynuR*mqZm=W436HNK8 zJ%v;{BebdzW_eI8fg&SlHZ+1}3ydIbf)Pfctr!6d)SJN@312;)uf$~oPq<=bir0lt zMp9s#0AOUBcK_67zq3uo*(MD#UdI{R2o%{yv!QJ?tG4-Z%r*^_3ES*)LiRWz^(ur} z9#l)9$Tpe{ZKK%&+en*Wn+7n70^~w)PYzZW3y@kW6b49Wgi5DhwbQRg^<<h(M7M zG#eU0vucE&$Ba--nJ_}F6H@1dRH_hWy%7Y8jG)=j2%0T0g0u-nI8Ye{$O+($0J#at z{Amb~y*NqCpNTU<{E8M+P_O`j!vulD)pJ4M9Ok6sZId5v)*z7MV8ZSXgSTgM!DfjW<>~*WdwwbIU&6DOd$tV2(w;@K#>s5hC(!3U^!_S zEY}J~2hkuMU;Y8y``!jq9Z#doorNqie~3(Ct_GO`1I+*|X`njl39wsnQ+6f7qkOL}&SuaGONQh=bA)0kUq5)W11_K=gmxDAA zBIX6~MndBtjF=!t>mZDnl=YngbA6F#bAO7humW?9Q)wSh(NcCCGH9qtrZCi)wt-pv zlNtg=ZP0Ak2F*$v#(x>>gQJv5>pLO5AIt;o{7H&rbtsEA0}~AbUQ73oR$r$C9~cX0!60K zY-kG2swvKlnWCPOVTz0sl66ArR0y-)6aq!2&}?W5%@&wKS_V_pfsqfECE$%#BoRwL2gnhk|$w!m`IGFWaLjfjHi1aOyGi#rnGtTly9 ziCIf*5r$UGKv`#?T};^_zy=0l*6S`%q`PKA-8CCCP(QRWv(|_cGU|k|F%ZJ67a~w3 zM6;m~%@!C)S_T6JU_=r|%s*&C;~=1pshnDs3ZC~A>r!xm{)S~UL7SdTmaX7ok96VkvG`AHSREDr|#BvQ-~ zUZ!Tl9;w*^vq_s^wwVeWR})}WVh$TaQP{;IBaEUx<9>`O^cw{k+{&z1NTA3FnhlMh zSvA7BF(ZsnCL8U9@L>w(_oxtNc~C8ZA|q%vG=gRej38}-5xT+XAUPp0czXuP50JyHfj0(m^(oxQPi_>3-|556L}!)g2BN(Rm!`lZhq3K1Cc)YUHw}}6 zKY?Y}8vZyT0L?c(h?Ywm>v(XR9Qm&y0ao}JI3Kk$7m>?VuAt|s*Llg^j z2p7acEfd5-tJA;T>Cf*p^4wdcK3wZH;Tw*Ap(p1~{}R(rk7LZcHL^7f#yt0o>kMO@ zyV$}OauLJeTXfikLFLH*OZv{jH=M32oBJu+iv|CWkR;~*xme?|;pcUXHU1z*Scb$Q zrU;2@=*V-gW3|x{=b?@`k2O9vwIp2QD_!F&!Id_L*zpn!ZTgyp!iwu{j)FjWUS zks^D#dr1p>U!WAb|NMmPxWrcZI2Q`CO)MV* zGau2C4!lG@!nM3?{__1-Stjt-f|$SvXW_RTU*cMx=zWLO!Yz+9gz5+F{Jv@B{M&pX zn~DD(4u7>!KYNMJA>THvgLinV4AHiKj5GsN)qwJLy0WfAw$CcD&yBRtO_m9?T@Vx62EQ9&pBu}B*G=Sg zo8=`ATYy5~vjo4J!0RUEbu)Rbv%JJ_3*$w<-3(qgJHNHUZ>=y!F4IoSxbNILzp-#y z3TMJX^&3jWZwH*;TH!b8$$0C%&~M%R1ZNZ2$Na_rwk-13CflSp>aV*klZH3vulp^p zH|ehjEw7q|>t+0PE^D>p(SV1^3(vDu@-7@akx^ivyAqSsdxbX~#}LnDB;Lahs>*+7 zAdVv~I~*N1Lg(V$GeEHp2glj_ySV?B#qh)X6B$W+vE-O|U!E5~Ee*w&1{^^*_36)z z*K;{iK3<2UbjQ0xI?L9w`NsX9i}67_7sQA0jo((p_*U&Ny=3>r7(0ot1*ip9tMKau zyIy72M|NM1v6Dz!7(0#(ePGw8?CvJJCt~a**cQf)gTvimcek>`mlYfL?~k#Qcv~1d z#+!a&QOEUuL=sn&xs0qQ^T!7k&Pd@by=%e@B@$+`yN)pHN0=QZ4IY7rhuOgM3@Z8m z9JasG!0{YkB<74Z!LQ&%#J9b#%Wr?QLIocLjwMbj4=ie^8P?(Um zREBMl&oZy(+$xP3Wq)&9J8B9 zdy>6XLOzuVuufPsk56EuvgYtUjy}TDqZ-zO;vnqnpYzD;&oO&9<>~h~*4`3Auy^Zm z(v4e?lg@xh!sPMk64k94=LHx!{;af6$_~*P;P$(mw5bNju0{3 zl!8AQ@^{}PuIa6|{>AfEHNgbGgo}o26pXjt3hHuP?gd}k<^6TAITxHl6HM?aj8G82o865kYDNI=A9=9M5sA*j zr>x^A=kXzC6w32Q{pkK_wy%cmt7iM~{q)Q88RGkCQ<)h^!19^p!}qsCfk^;(B!_|< z$6KEB+QcZHV#$+Xu?VdJbkyUjzD;X;*KgibyRoCcwrf*oS7+@#>wCL5_x09x)NbkO z?c1`cc581(Z`a1IO}!^2BJ%Z{UKe>s|N4!68y8T;X)8g8^RoMHNR;(gm%V-Q36)%5 z*8n@)(R{ufCyiCrtE#5Uwqt^4fHt_YQI`^HE`qfe!FnC`fJ-SS>&{POr&?K6-B?uv zaf`N>KfFkE@p67ziE`0pWRch9c~{r-qwX^fLX9r<@*#dpXo~hr<|t)U~Oq zg-sJaBd%CQ*lLG;u?`uu2X+{V^zbds$JFFPuO|< z@No}UJW~GPBGEDH>U##potcTb|4*PS5kxk&RTU9T1A>qkQ7#=V1Pg7Nz^?Mz* zTQO;C)?u@X3Eg83`-a0PXUt(QE0*zc4mkWS#cLBww{&gjSlZjw-4-`UaI z!IGsLHm~j2kXX8Db8pwuRoC74n;3i6-syDbtnSh}@)Ywwocj@xnO(|b2c ze#>UEN-UMXl=&tPc6+vksXfvz^Lal&xIFD>57w=)fIDybyq?USqbVm<(sCH#!T!hN z4*ZBaGZK>H2Qj%GkIN!o@_eK9&qUo~@(()sUQaLI>+kb?L^rMz%j%z1ia?VD^~4IN zp#5#YDBpDroVjC2(?@;YrKGjTxyZyZmOtw1TUwsSY5jyBm%qo=pNSbZ-u_F#vsnB4 zpH<1eKHHClc0v2E1Qrt^6?=vyNo>?4{2SN*W|YKrCvm$o+_Y2p6ktA9SnmDGHx!G> zujl&?IBGcunIkUW6y=?gt|IZzNAf^qeu<7&CXt{e-kysfhq%xClpo(E?)#m`S-Tu1 z#g6i#Eh2p}PZ4Ki79QoY;aUv0T14gL;^8RIvyE`hY0z_IiQ+P!;64opV<+dCBhq$Y{)JN%HtWe!EzKRSGDtrE&SiqpdmZ&|0f%%eCh zN1Tv<&mD?;KFtnKe_U~yGjZDM@OJ!%Xgp-zMEZckt20Cs37I>QKIHJ}0f7@Tf8z90 z4zJ&#_zRjOMjW0PR6yoXoc@W!M;t!u=&L-R^$N(GkJBd{o_SDlnPYMKtixNJA!VKg z{$0-}tMqqiB9TV>_(Rsp$fWTj?&s@KM{twF+g%s%_y&hp!w)<>{t29u&!ofs8h4Mw zd9leu*3C$N%yZDUbCAIy>t&=BP*HF$c5rw;Z*%yp!)3jU@|>qXOUT1@@gF#R+TpS` z1_@u2A^(0)MfDp8P&bF@3&W z!k*8Sz!SZjdp2yidt+jKS65eCPury(%e&SsTYG!k*1p@@>J#hlT;Fzgcjtz-wcTB7 z?`+%BvFVPkw$1C>)?;U-zio3*(Q3?l9>+Nd07z%{yuiv_U(;aPHop*Gpq~49aZJRf3 zSied0V(d`7W$A3cj)q16P`n20*51yx4V&+P1|3_l_t)09iKJbf(MivSKGTGbEn7P7 zZCkr}Biu-D-KUZ}dk`_9$`^&Fm#7pm_@=&%U0WcCB118~o6$ddyY4_Z`0AIy6TZb_ zv8V*G?n{o=%&i+X-xF2Rt{}F_*M3viU47Va2<2W9oi>E0%e4is&$MC~6L)JjZ(7^o zx?L%QJ2SMc-`aM^mM-kNY6m&CgEsbUSU78KGOf4(#_KmZ*B_g9p<0_(VxOliQl}t9 z5E(v7T(BQ2QlUe{Z$T<=VE6R?U%o6leSdU%ada95$nq#a8Zi7V$ed6wYH}zPW0?=> z{OHUN&W8F>jfP0ihWABiq;f;l{~99g8;r_E=sg|ldqXCdnqbGEvZZ%D2J9_eAM0A% zo7i+mJ$}pZdtah$RrB>L8=Kp%zv`+FU%9$%b>qtBE87y6VV>oI{9fb#2ZG~L ztcQ6J=Ov`a*BJZ?gJ%qm+c_cq%1UH#kPq*jcs^SUzQ*8ZoP-RHxIRBCf&T)xraV0_ zX+8fl-E|B3SpF9p{I?DLWhL!JS`ROHacb!K5)^2AT-0E|&!L8jcFM%(1*JI>=1Ag8wHyZo`ga4kv zR~!6kgIoHy)_@2H`Co#c=fA?>)-Sgj-1_B92Dg6sj=`-R2H!@hh4J~#68IP1UYvfe z1fDy)IDPIN5#E4P-`+17-0Jx)gWqWA4;kFr?Je(&_*=UzH~6iF&vt`bxj!+u)wAlH zh`*&@V({NK{NG;!|Et=FkB#$d#bmZgzgOaCr|Tl$X~+|u7`a7%ykg%N+--rEgs=|5Ehzo9L@%G+^-qj>hoQLTYV~68Am)$e%s*I{b?5`=bvOm;0fn2)Fj>G`QtIU~tR-PYiD3R{m37h^WBN(*O4> zBe~XJXIvHGX`Fid)EV6BbFaaz{Xb)HEB8yQBK|i1|DMjkK|L+rO6716XH~DysKKqj z<_vEA^)Cju`d3gn9C7>nlfj!%?&Y3xjgS}6FE_Zg|1}1;cDS6A5Dv=Ci$jB_QRe0D zGq~0NI5r*!`B?kE+u&C2guxlAp8ww(+|uvht{=d}j6^dB#QzieiJ)LTZCKseuG>7UnzmlmB3fFMf@$Fe>b?*=dmk_m;q4Hn_EO!|f3t4pH7dLk73}ziDvG=eq{C^k=V)_*?q(4Q}bT8r;%< z!r+$v#T?Kv?|6Et3&t-h~xuFCed@Q2B3a8%A*WOtiU%#O^zG-7|d~{QUe*mYR|JOGc$A8ij z;Z~nl?<$VJb!%}v)mt3DtuMl@J`dbo9Dm}T2)Ft?-CrDk>E7b_SMMv1zw|p1ZuR-* zw&M7*k4HGCIB(C77~ICmBL?S^*3*Bx1itG2h`)`idkt>+f5qUI|IbR`=?5bImVRdm z{D{G=KIdg3K31PLgIoT4OW=n};420aa&R28e8vrK_4y}*TYc(2QJhat34EdiUbVeA zpIb}dkC(vD*%9%v`d@8utN#NAw|02N;Fix@2P6KL&-Dhke1;5emfR8%xxKm{=|1`~{AHe)y9rLjdZ zpPL~&LbsDl#5m)a=}ZQ%n3yGI6J6Xhndl}a#+~HoF&dq0Tqm1^ec!rJPnU4c?yvpM zDXPBbdGFt?+Olp8W-W;^b&*=&=Vf71}W+`D&}oYxS%*S{>>y;ic> z6ko0@)6+EeS({DT&`a^X;L21g-#h(`&327+47zAT2z{+Qls>vKgwCNZYQORG5IU2( zOuG&12RDWAsnkXFJzGNPcJtsH@V)8^zQ?FH5!J${-=hqC7b% z?l6@~sh;7=QG7dH_tfk?ha1G9>B)p{8mHo`@sh#@omb0nGP=A*3(LLt}7>c zOF8vQN=j0q2T*j>+E%fZ6E1e8RK1rs^6oK`&^(WqHVjaFTQtt66!>xqMd^rsqm$L) z(C`*d5z8yhY4o?dq1kj~1!49ruG`G9XR)LBVRve~wHhUd6G&EkGhC_Bj+~t6UJ{3) z%KOSGrkZ@0qUKN9F1ixw>POP9k!`2i$f_A8O^kkTU+F@8JY6w{51;omJGXnA=teRz zin}I8QmXS^;fimsnpCtSEIisVBis?)Yey}m5#4LEN6qa`E$5n3UN%wLQcCEtM0!>u zQkqB7V|%iOL#-5)hF-Cno=^YO)}~Z@c?3qDRw~2a<*_S?5<2>**xNrm8Wnl z*?hFalj7%CDs6VPm!S1-%W5x5>s`@$Kan;`wrV}L747hzS8uhSskgdY4Pt6)y>uk_ zW$H;uIwD?4J42n-qkhF5`xx%LHzqqw&i0FLS$TcDJaUvmYd5+}7nSNQuG18M(@Xx~ z`m6#;Ztw>`7&lCoU-Jk5K4H8hANB_`COTz#ieKbS%9Fgo{gYPOX&UaC{!nnxV=E9?M-j_gLkLQlH^nV;1p#VNe1^!-zv!q{33oPsf(ON{l(75BE;^Q zBCdsU5NUX+t-Y@RVi;X2n`Oy*Q>hT zZ}FC9@fP#*h;Lr!7w6`i79Apg!56&~0-9Bxm0&d?AZ9Ie%25F|swfh=UKd6-p7RUu zLd{0Hh%Tr}%hvT9L-iTHUPKdyr;s^-HQp4>VhZykiErNI7vB_U7GI|--dJ=}o~6mm zVww3=)gkW^j=03*TSnBZ?DC&fatqqguKfyW-OUU#sW)@(BC;r{q*7Z8%qfbK=6vz@%|j?;_aDs z$osbEZCpzfTFlnC#f+Qu0?vifZ) ztLp1arTPU|s#0A}=STCyBtnZ#8?{sC8_ATtTw@dYogvxR#QT?GZ)$pRQ@nf2X>YD; zKzVrx+U&z51D9y=c%B-T0vrHhU8NsT0H`KJqoBEwJcNF;uYUi#)|2l*kP$5soq8B z|GQLwLX{3v?Rv12RHF`Z4a>E%cbw|>N=HH*i_u#NErS`Hlt%+9b0TcE8$-ko?t!uV z$V1L4=4dGj&eADIOHAiDz%Jr@AHMP>7PNR7?j7L+f-RzJD9u%84 z$3-B~NBn7XOj3%)gjywwi9VuhRZLR4MN%zJh-8vGK`g3rBxPG9lRF5jk9d`APO(U; zRSU7n6Xd_D9NlhHNf5r2u|Uou>oV4Z;OgGLj00+fjX=@}o6FdvZl2mQR=(@6a5Dlf*TeBfQI1y_VCihkRt#1=*p zt#6It{3co1qC|>~+egsvm;>7fL}yaUl#YJZdc;TDC+0K2I;Bd@resp!x~R3GLkq?E zw_hp!Rw+Fd*e2-`6cwrUT+^l=8}!pjD`-XViZ@r5J{OPprbc|l4yQ(WWU;~bNl}1S zuiB%VgRZl*&v84!Qn^v7jD4zO?=g`ZmBSdnhI4yelPhB^jBQa1>R1dt$BQp^I!jY^ z1})_3*j`rAJr#6-t<|xA(d2UC>F&|7OB&{3hulP2O>57e(XZY1Cf2|7peWoG-~FOb z!&>``&AZa&o#o;SZ=7h|m25mZb|=fV}heI9;Crj_1qKb{s1XBhHChe+RZjp$2~f( zjyG}Zbi8c1CitK}g3s%C?FbEzr9QXAI(~$I((t?0)0f(7$=dy6TBMvn&I+x+UAx(?>UgV;t5cEu+ivPa?J7-DoxAkNtDOy{mTI`B zK+Bp6%J}Uy8m`VhdK{|br=QSpbq>>Gx{jBv)o^tl)8iz@-RxkUMl97UF+*3VEz@wr z!9pD`T(9Bk6sN}(I&PzXuHk0jPw99-$JMD$e1(pe(ZBXkjyBt+;DxEqXRFU&?tyC4f@bX8pG*TSc_lLe| zw&IH7l^e>7mygM`t$A|I^5;rdtzBOHT=BYcQCvSNGPRvgPZJ;R8z?T-M@gf^wfbb| zXp>4eA2Ug6RB&;_A0;_`tmqmTF180AiO$$a zj-w|-H!_Mjja?`%6N^!O$NQ?zP7^&}31#gu$W9=Zm!x62U#!V46i*S0F=qptCF+Tt z1-kR&Ox?la9I>;3Js!gTNGy)gc;2C@alYfl@K-~}=m4K2G!5Q{PY4cIZ_ zcNTWg08=+hTqYJr;asB0()hj>n(yU@k)2>+`wcYN1>z}Ud4n}FDgkz^sJF1MhOp-> z?9~wV$Jd-<$$>~IQOr5ubWa>)dYuXWRs)XJsRTS7`YVW5Qd52u;Fv&@iMwqWQACRB_r8Fp1CE(31{@vi103ZK0j|k&{i%Hm1S-h- zOO&-j(*Q^LMSx>KHvq?kC%aQS&Uiy7cpCp3JvD1U#hu{a0ggi%m!{cqvmqSIl{&$A zK3&GxKLa>s_HUiwL-+`x#C3_Gs_P61`L6CVJ90d3?ZL5&Sz26f`XA*cJlft^bd zhMP1#E2yy+hPwlB468fuWz?)*h60XJeXQZy_Jv{H>qO!44ATJy+5|Yxj0iSL&6>b; zz(cmL#Xw-j`v6DBhX6%0FLqj6L*J9u0NZEZ2<*fWbik@(Lp4?lu+Y9 zr_*J~D-#&KA3p=QcH(gTsXdr&D&Ucm4>%4@A>er8JPkMo>X~TT$3Xw&&gqyKFv-O6 zY}^4j`!X`F2OI-B1~>-vR~=WkFC3fxlTF7s1mgflLn9wG<#QpRO2DzA?*L8}b+>15 z9th0fGT`XAg}qVZu}8-vxm?s3#|q^FZrXRZwG{z@4t#)Ph5Ue{{EOV7#*SIU7@F?@ z=lN&g;Zv!@>yH)8h}zl~F+q)S9DHijtTq~a18WcIXY?_5YIpIOZBIA69`mr@`g^06>tcK0*>+t{DMr4<#XvW z5~~CphhXnab^p^8FoQ2a0f)doi_L5D=-3Z9FLlH5Nx)Hl)oj&_EsWo>`MfX`t{Q{| zA1dAmI^6^LJ)auqha+7CI1b#e0mp$m3^=CU1UQCU$I3ie*>MhCM!0{_2o*<#PXWh) zb8&|n+rhd{1|03A<*VYV{A6A%hT}XSP{Gp){yE_2_(#Ce&dvFzV|}V>leGtHQ{xO! zVO%HpKLL)8n*c{Ujr`k>nzhm`gsYR)>i8}wprQE%rXh6vEZ`^~y~vcueRH^phaT2T zO(LWcCjdvs{|-1her|Ecj*}j@;@W1>HWmmpR0lXZehYAvpS#5BK;4Ym1mGxt#SH{5 zr+!OKL+CgQa5VHO;8^N^SZ3P6ZEE;(4Ob6qR4C91>zvr#3I2X3_(O%JV+`~%;1rN~ zSU+E6Dqujr1sn}s=>%W2!nA|(Cjd7qP2(TG(p12={WXB2p+kV1hT7VW0gm!N0FLrK zR*~OCx~!$1$Apg06~m7@-DvIxuo&*-Vp9v{*LQ;N1Dw~pu??OBT(^_1PGVb0#}1wV z9M2t}=ylBL{svgg-oCnHYaYPS+J39%>pDrKV%7-}`{0 ze2+DzJSNZwaFkyHc*u{zCxJkPAmHfW9l%jO;t4Y##3uqi)u;r`@3!9pfetPKj)tP2 zG##`XB0Kq=;DJu?uL*avDW2eHX!%;x0R~hJI0n=VINAw&%Cv)co{76d9PcxU5IlaJ zX$S+F4LAnm1sol>-iLGjsSSAAbbt!$I>AqNf=8BhY{v~aCU8&z0yFp=a13b1deZ?K zdS2W)?sWeiSk$@>IKG?pe#W$h-e&`jcB%nKJ4ZGA{>8lfS<}H>dNfx5?*T{0UjjZ5 z9=^Rx=Yw5gCgw(aZ?l(74KZ%=?GP~>0Q_C5kaV-B4y-o$i2Lg=97F=v%CgOxBftad>| z(s>Ud)Ll|LJ^h5}7v6fW@Fotu_vvrDk4JPzDUTZ?0{vXj;tx7llxb`ghxxICFy%AyyD ziRL`cD;MLVWOHdeVNP-^69r`zbtR8;K^aGi9A4(Lo}3OYYj}Pa*JbpS47#ceOTA24 ztf@N6yxLatNO9d~&EZ(hkcnter#!O3OF>5y@(^pqL;Rg=Cf#~dm|2eC)n*aa+ delta 613 zcmYk2&r2Io5XX1cm|woFZ#S;HDWwJpRH?d+HE!A(5>NF|DLoW=38j>vV0sV~a?nC< z>7fw$UOZKA=Ah8Br35@QRw(4wLyt;N1^Wl|R_@y6s3$_ze{&O z(GtyIp8a<;q9yfQ#VQS>9FO26nk5AkE72I{*|*S$l@c-@2<)O4bCd72;PylHlJQSO zhd4^y;!(d6OVq;);dsl*452M-bOzdJ3ES%m2F(GR-r-5o^5nHW;th7BDO{ymufAMg zt3G!NI36t9`P>!BPybH~$jOYjdj)1^P?00*>^;1eqd1a}F{l?Qheh2=7kIxv=fUxF z|3ugd*wh`&83G+WOVc>jEpqT%f5?AQG#u>f0(B#cM;zPys>aqeYBYt(l$Fl2!lmj6 z`I>>Mi%QDUCIj%#$FXHv*iL;U8;e60Him@u?4s^BUdJZWeWuGHdIJYcPngz2^d?T3 q3R7s!5Y0g233E)hLv$2HrZuMR5Y6J1X{GO95I=N6)d%=#uKWd*h?GYF diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/.xsim_webtallk.info b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/.xsim_webtallk.info index 60f0a1f..219c3be 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/.xsim_webtallk.info +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/.xsim_webtallk.info @@ -1,5 +1,5 @@ 1685389741 1685390103 -18 +104 1 aef36ef3a0d94dac9e6058b656907afd diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl index 065616b..6c5c8cc 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl @@ -1,6 +1,6 @@ -webtalk_init -webtalk_dir /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/ +webtalk_init -webtalk_dir /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/ webtalk_register_client -client project -webtalk_add_data -client project -key date_generated -value "Tue May 30 00:50:26 2023" -context "software_version_and_target_device" +webtalk_add_data -client project -key date_generated -value "Tue May 30 13:19:40 2023" -context "software_version_and_target_device" webtalk_add_data -client project -key product_version -value "XSIM v2018.2 (64-bit)" -context "software_version_and_target_device" webtalk_add_data -client project -key build_version -value "2258646" -context "software_version_and_target_device" webtalk_add_data -client project -key os_platform -value "LIN64" -context "software_version_and_target_device" @@ -14,19 +14,19 @@ webtalk_add_data -client project -key target_package -value "not_applicable" -co webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device" webtalk_add_data -client project -key random_id -value "6ef722b6-53ec-42dc-bc5c-9d79054a9923" -context "software_version_and_target_device" webtalk_add_data -client project -key project_id -value "aef36ef3a0d94dac9e6058b656907afd" -context "software_version_and_target_device" -webtalk_add_data -client project -key project_iteration -value "17" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_iteration -value "103" -context "software_version_and_target_device" webtalk_add_data -client project -key os_name -value "Ubuntu" -context "user_environment" webtalk_add_data -client project -key os_release -value "Ubuntu 20.04.6 LTS" -context "user_environment" -webtalk_add_data -client project -key cpu_name -value "Intel(R) Xeon(R) Silver 4216 CPU @ 2.10GHz" -context "user_environment" -webtalk_add_data -client project -key cpu_speed -value "900.000 MHz" -context "user_environment" -webtalk_add_data -client project -key total_processors -value "2" -context "user_environment" -webtalk_add_data -client project -key system_ram -value "134.000 GB" -context "user_environment" +webtalk_add_data -client project -key cpu_name -value "Intel(R) Core(TM) i7-8700 CPU @ 3.20GHz" -context "user_environment" +webtalk_add_data -client project -key cpu_speed -value "2757.340 MHz" -context "user_environment" +webtalk_add_data -client project -key total_processors -value "1" -context "user_environment" +webtalk_add_data -client project -key system_ram -value "67.000 GB" -context "user_environment" webtalk_register_client -client xsim webtalk_add_data -client xsim -key Command -value "xsim" -context "xsim\\command_line_options" webtalk_add_data -client xsim -key trace_waveform -value "true" -context "xsim\\usage" -webtalk_add_data -client xsim -key runtime -value "1 us" -context "xsim\\usage" +webtalk_add_data -client xsim -key runtime -value "50 us" -context "xsim\\usage" webtalk_add_data -client xsim -key iteration -value "4" -context "xsim\\usage" -webtalk_add_data -client xsim -key Simulation_Time -value "0.06_sec" -context "xsim\\usage" -webtalk_add_data -client xsim -key Simulation_Memory -value "118556_KB" -context "xsim\\usage" -webtalk_transmit -clientid 2174300005 -regid "" -xml /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.xml -html /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.html -wdm /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" +webtalk_add_data -client xsim -key Simulation_Time -value "0.03_sec" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Memory -value "122660_KB" -context "xsim\\usage" +webtalk_transmit -clientid 653659988 -regid "" -xml /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.xml -html /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.html -wdm /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" webtalk_terminate diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.dbg b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.dbg index 46add036bad1f0b715e20e10eb9720bc3c8b1835..666e6a6546afc4a8c1f178762dabe92a415d222e 100644 GIT binary patch literal 18436 zcmeI4U2I&(b;qx**jAD{QNA4IqcNpgviu<~MNu^U(TK|riHs?cB4tZ;+OF5+N?eQN zGP_HeN*>~*57k3~x84|im@ z>>!^pd0aZCKffu<4&9t(4{z<%d_W43nLMdFyGe(eIAlncsf(A;UgGDJk#6az{J2F& zna>maP6_%Wrlqp?O1K7=y8RNax!caA@~)L0SV`xIz)&0xC-AUL3I zIQKWqKTF;&`Le_r^qrDv3CzDr6dKu|Nu0sX4#`Og%=abllzdy_40@-eB7ymlh2ITDNU?-@>nHq z`Xt2VYym?YZI^sfOg=WsliUt5LCg}n3u3VA$r;A7Uqb9Ii?PQ($DCm-iECo_Yyopn z^&gddwtyK`{Vz)B4{`0u+af0D56{4PG2DYEXNZZiglFJ)3K-_M9tnOX_C2{B;s!tO zko>+FV&9W9#Equg{5knj0rRk!Uy{%kKK0~wh%MUUS^BCNeCo*=V(Weh&ytsM-DZin z!4LS5c||7kxgEVzg8#f8d&I|X)6~0t?QYHO)O9mv}vM zF5`HQY5cS-@p|roeh{l@`gdO9^<0a;s7G`C?@7F#>xmoc(OmzcB&{c}r7wT{4R*xO#m2UNn z(f*%O&-_+&{b)fwaaq(pu~II$o_gl9ZteSA)~%knWgaW4XAbLDPh1y`kI!9x{SX1G zG;CT!pQr!0CoSzRbbT~hHIreT=Awr7wynN!jpUK2JWOia8H_!9L>P>@xUkznT{OIz-=Y)mA09V9L>O8wE8!?a5Mw=5)XpX z%ci3}E{A)L2SVw2(~;wHxJ4cerB&0B<8ruR9ss2Y(~;wHxa~X$Nq-KxW0oS&IzNf#e| zB%jCGOOfJyAGv!v*cJB?xqFR^_Yt}KjEnw@9D7AlTt6bWw}WM?yE>V5(~;{nF50tY zESs_VlIiHb$n_Z)*R9C0XCO^5fapUV9l8Ea@fGVJ>ltg6_iujz$DHikKmo_xM4;UBM>BtQk7uVm&J!o89&m;GcadDlF9D6iUY!@H1XYuEQy)r4< ziyZGnq&QziE`R96#rXw}M?wX&yhQATpW+cExNsMzmcmO7uUDQ zJ#AcEw<34OxVUeR+>&u|e`T-B8}YJiT%5-vw_;p;jw07EF8VKWtH#B3D{`MQF3ty$ zYZ@2hAaX6^HuK9i)%m>KF5uGr-)vx#QNbmZ2Jd(G-E zcHzz$_pD7w=S@d@pEl0bP0I92(4P2gjq7+fu&~eT+4Fbfe150?e#zE^#U7tWV2}5H z5_Wlo+sqziACZuL8h2+0%Q@a5XqWw|+x7d{op9`xdnC@mI(LuqB-&-oOYQLv>0Gb! zq|LZ3DtFG`FE-<7-#OpEZ^q#_=X`$*$6A~Ak22>DDNovrW3TU=KL?v}*mlm>bvWkY zv|aX4&RKZo?M99majvR7X)}AQiO!wMsm(do2g!`8Q?gI(;dcysY@@czwB;3CjaLi@izaJKG ztRv3-DB-@Od^f-Rcf$RN@@fA*q;t3Tb~8YnenryF-n$a+#R6`7!u_eZwA~Nu+}q`E z97xP1yCj>nOPSC2aJvh*U5UNB3%Gj|ZchQXH{qDm)B9j;;XXk2CEUG%`>1iu`-2JB z8#q47b-z4h9CO`Wf%}+oAiloA75R_9?;ye9zaELNTdaMwOaCSk?tYyE5)%|FyV1WX z37P}59fY6;fnmn-?NaoF8Yu7aeH4(IQng;%3WUHDD!dSI{Mv>5-$3My|DZF#e~Bz>3BSpaNkI{=$D6$!!O@VxNh+b_pOBM7SC`m zB^-XSQ{^r{A8>z}aKv*r?ynM#y*_^AS*Q3BNsMRqW-*@mtljPB@QKxERkP3FqrCd(n8mqsGy{m#yP) z@qWh=?v;cqx?i6su~+o`y8qy~Uo)@V<#?g&ACq?D{f?{N{pagG_If2eAo`cvJtPfx zi^S(Y_S7+64jV_49xrgwe-jDk@d6k9H<@sLzi>tV^LT-a@$w0?m&OYm{^MTXCZX?- zO586VFL2R+QwjGS^E2-d?59$DM~nmcZUJ{R;Vu?%(+T%_0XLIy-%q$6m8bnXmT*5T z;ARu<%>r&N;eMQOJ3_n16YgdPMG61yl%)OR^ApAcQ@14?ab@O}yBzl*wE$)8Uh ze%8lvi{F@Oo?q6L|84oT@`HDW{Wb|dvlqNp_TQ>@++X;N#5lf{{noil-KS;VD$nE3 zN_tyV@+r83x` z)mL=kYNNi=&L(HFBems86=WQmYxC`TV?{-c=DBRHT|Hgf*HM+JdS$HM$1N*FG zaKC4q8FV{C-rT^0ez|7`_?RS{XF#&1yq)JNtF>mmv5?Kq9oJZ$(P&f}Ywc{Rp2>q{ z4%4d~M>vdfki+wnL-m#FQm42-lTXVW1nW4$VKhIqn@g4Q)EfEOTB}lC%CmOuT;=GY zrRwQyt}=b9qet!hiCi-TWugPSsFD0Qdm>lmg@tCGVI(Wl>NSX&o~`Lh9dx0NBOFd- zRb8QQtvtQ9yqe{QmBwmiR3p2TP0v)OCM#o;+33`x+0=A-EE_+n+g-`V@JDrRJIAbWKXZTc)I(7nC_4$!{<} z96dfel~onlI)n1;k?|v0y@fBT&3b!rxz?`FQy?lS&}WQ`jpbUYxm2BRHtOr8k+o*6 zx>g#fH%qN^a#4NdRHHQ0Y&>0S@10XYZMoLkJE_9va1{RV zTcuWgxw5acQhTP<-k4Z=&n_-xrDvLFO6!XYiwi4_r_QXc_Lr6$Yb*8n>e8Vl#Ff$K4z`M9)fOnpu0`0BbhH#u_+2BmdQGlT-V zG~ZZWtuNJ%Hzqe3ru@OUVXdvLwCbl-n6?RD-@JAv`_8`L5TM7|7McVBkyO`8?1p*N^WwWPi0 z4Qi}&e`->@fg9B1PwbxaHuE<&-cL#P`j-D*eOV{pHhG_3=#YlVtJ26peO`%NFu6k? zR3N`;a!DFFsSh!bZ<*}Thn>jtChxdae_J4Fo4hWK9M=mN@^>a_A7%C@e!olKz1}qp zpNqcJw3oZ)b9vpy71!}OGM~rtH!i#b_DDG2CE+^`zH8)j8NSm~H}l^zb3QJ?9^VO4 z$9G#jD&YV2;P>kMCY|4%4@>y{IKL6^7sJZ{--q&DC${;HlJ6h+uB{~5tR6mPY4f0D zv6|}g6|~wzJc!&_@0380Qh{L&+hqLp1;4NzxyTLr!?>V M=iVd7_l;@#A4g`co&W#< literal 16892 zcmeI3U2GiH6~}J~9{~y&D3C%an?S$;l3jnqF|-ix`XhE?V#f}N%SVTecVaKGcelIi z#F3C1Rs9I+LnBqH@=&BaP?VOof`_8CRiz+QL5rx;zBEXcnio|1P$?39h$ zxtw@LR869ma+NdZ&b`0;G3TDSb7nT4D9@BD^O>RX@@%HBusM^ z=MG-s+=;85>$wB^LFXnq&zJW%ICpZRb4NDC(%s|SDae_8EUVEEr6#f++NS51Irkpq z&8y;?&q7i91w@rwhQl%*9K|_Iae-P(^qgf(nEGP63^@uDdCrF#mnFS9cO&wbL&&kN zUGn$IIaHK54ilL!$Z`lwu-HG_-vW66awX(d2>b1Y_;a`o=NyB~uOOW7(-5CoiF1xY z=5^7uqcR-(a}bz5V%~wK37>~3!+CxlG6Z2i??P5UegIL1`cB9ggv@&oEW3LVq73`F z3-Tm{%o)fv5c-MFWN^M4Lgt^4Yawq#d}bBS%Mdcl(eY=X{{m44*=T)fXEJw#xeoeo zkR+xR%=M`MAce_+xdHVbrZAmgT2Ox#bkbOlqK^J@V+vD1-A!QbNMQz0cQcqZDa>}% z@m|P6(~mUKHcVXa9E3jCox*$x^|wOuVElU{?qy+tYng@6FSmf9-8Cu0HK5PZFUP^i z?HXaqa1A;j^vkDG82aq(kT8YeJ;QsH^P$gb(y_RfoDcoG0){@TNg1wX9zy@#pTg`! z{TCp#Gi{+s`{8=i&b$W>f}vk)Qiki@2FXC!5B*w`GF)%=vkKyuDd%gh6X(zCqA#LL zcdzKx(44Qp4e`Lm#5%_+Nu)J=@SXSWnINhapzoqKA52e*}4@#@&{*zYEy(g`n`%YRt z_nfqP?l(#Gv|&!{0u^y&x!z2y=RT9vo<6|!O{!-l9#sb2REt?#BoBK3&I(naZm{$DJYY@~9$QN84Im!VwG zZGWs|(*E?kn+uK9BU;Oq)4^M0(_Sgsa@GS2J z)SpV=w3}meaHLm6+y11vJ4i=HIwab1(%enZp^=^uZ8>S~a&&B@Zqb&L=57TY9H~XL z<)pbgE%omwa8m4!GjKvWA=>sQ#qKbJC!`~yEhoipngJA2O|<2t*!3`oLK+lpIVpB4 z8Au^zL|aaZ-5JT8P2d=7F})?3lcH^ZjJKGMO6Hho%Q5C+nwQK$(UxP}#WX6J3DK5g z?8Ve7nVe|LF`kK%WLAi_9Ahx1Q&N9Afy+b`{9PIx7j64nCEOuta9FhERtxuxG?*4` zImQ-D-O`{(wB;DXFttd7m7*=TCdS*9;p}tTa$gkAK7TF8SeMB@&n?Gy z7AE_gw%nsJcHVQJZb6?>yD-LOvi(`EC!+9tHv5(3^1|8uMci?5+=6g+zp`Afa&!(P zjsGmy7gsyGZkFp8&c-j68xYRMC6?PP+$-Ymxh-*lBkr~Yc=Fw1RE824%^*M7$2-1cX= zap7!SXSp51*|^Sfj|*qxHOuW3&c-&_hsP@i{l&>ZMi3evwooO zC8xjh`{hKQ6wb!6mU~J#>j##5S~%;cmivluHtw`sAe@aSE%%IYHa@jnQ8*h1Nf$uq=P2HEJF5c^}9zCV&ReJj<1}? zpG)<}`75XK9Xalu{`^>`oW@T}af|_!>qnkxDUN+Bw=xu$GzR- zK1|{GNlW|VZ_rHid)psBX(_kD<6c5}l3lLzxF3P@=Xa;q-|Zgvtq|4*(P2nE)xcgGLO&-^p!tpmOCeCjy#J>)H!sB%i;cryP%!K=irF=ey4D zX^(pV0KUX1|v_&f1SLmCjG&Kc3Tm(hRwC@gK`{ z-N?~?N!-icxYmA*L)o6|_A`&8U41(<4pr_|k7N6T5Z=oy?tqZ<{hqOf^?QE9B*!-D z_vG$@_~SB$R8IY#ob`Kt!&FZFo}BgjjUK1=BbT;r>i6XAx^+u`zTcCxe$Q{6oF6&$ zdvezA84D|?eoxM>8^3ib_nNE+V>4?Pe(O}b9QQbDmq$GAmmWvE`0Meg$Gzck)-H_I zb$-9`xFmn3UEcJ#B!4FNTaTk%qPOS@j(C)r=x{j2sP$Lp2uk^89q{_Krw zuXhNn+E1VR>~B3gSrOkOY`z6z{e|OOf7vP=+o->gv-TVIIQ18D)_#w9oL(<=n*G#Y z$XS2cCjId~Qhy<5{bj`C)L+P1`;B_sRml5^-%?=0=>O>1@(c=+_)p7YyM1bh|4%#c zoyt$Vk1}4tg5;xZ4Tt}AKUwZw8?(IL%c1|>@(X1LoetwOCfb>?!-eYqliH8ki#B88 zI)0Mv7GEWPPHQ(;@Ig5h$|SB~>z;Z(WN z4C@VdE*G)w!uFMe{(1K}CXpY0JTB{ys{Z)^y}Q0*v*_u?(K-b~T`(3pPCf|QA8K37 zbxE!Re?S%2cI3J>*S45zZ`ZQ+#TFeKrL0r2uAG)=)dnruphI?b2-BrZ*9Ix+)~-AG zsu-n78rnt2pcdB4)e>yK7iJEsb4@n}GY^;XFUa#SQsc3}L$8C)@;smr#{v&gAxs>d z43sOynYg&!!HRhv0OMHTp*K1->oY-qc#iq8uo2{EqO7zX54H`=6sO#HFtR7sy>k9U zq-pd#{ek^b6{6$Vu1MuerFxX%+65!H93s;7ScsN5pdpS09(K7RTEH)ZXXj>XE;>}I zwV=0xNxG5IV0b9#8*;tFTiw|B4mUiK?{odzaNQNxM`IP|=3O)<9Wyc?#)Fq)ARG!j z^zwiqF&UKdScr~N)El)&4ZA^Aq;|Pok@vandAFStoocweE4bdsbgC$wmQr*3GuGXHNtjJhZaccd)@A8>3|Gy zG1fC$mxipV?!~dKF8XjoqZeRN8V7L0!lAR1)!ABkCfrdST4I@s^>TCil8rW3X_Tib zp?LAdx1)V3UVsZd=Z}@SSv({r1KgwR_rf{4*YPFw@+b_5V};mI7kz;iLy$`}UVMdk zI`_|Cq7nIkh#mtM-InpSA$Gu%_7V+=kr4b{qQT$_}G-VS$vQdtS+=>@k%C|)ppeYl0*`>TD(s~8H$3c#WTyrJ<#uL&M`71PK z2VNv8{@nOoPtTJy8Ge_$MzrPl{d1{)_Verep)#Tq}<{=y#$b= zv>?)ZH9`WR6M8T}K4zTvX1-<|aC-}OK2 zPXd1u_>;h&1b!!wL7`CoqyEn0;@`u6^$Vg@RCK2^*J_aU0rkbL(CtWZ;5HSTR}!81iPz$h ztV@iGpUb$CgNqMxnPhXade{%9WYn)sNH>J}3sZ?U*yJ|VPA4khEq-7hUKvR?aSyu3 ze<2tc!Tg--P0uQAFfg{*zf+JchB5dt4X0e4c2MpPNY7OV?RbwWDQxz}xxad%&uF^( zMAse)#!BO{55rP>H9ry+K9tcNmS>(>J5_G>e{UCPE3e2*w;s3dRruf%I%e{AytaB= z>U7B|Qk}Luw^x3OFI!NByWK?>=C{MMu5Mu^nJ!oM>|3HB#TWhq#5yo)&kEOtp?kDq z9U}kJ)vsqOIRFf>Zu%n9>tT%ZHr_eP={p^yw;DIfpS}sOYr<;~ADOBeWDw9Re|hfy zg(xmf&9W+!VDC-c-;ys_<){MljqFrrS?$gZ!_7gk!RxK^oB*+bMV|FHIEgK!LvdEB z!tF9wFF)X2C1!_3U(9B%{bJsxjxTe)?#mKjM7*}>C4(S48FE3z*^i!)LhFGYe6T_S z)9BS(1Zk>>o8zt+61XC>sM(HO9{y|{$Agpc+gP%InKr9OC*Do7nh)+P5B;#(j&SQ= z{RMpY%`w{Ce@l5VbF7Qr$Y^8^IRMpJ8XOjpmK2z=ihF3f+lpWPb*2R(rd2XrRzOeG zND>zv$a(U9)8QBe$?Gy(`cOKOV`P5+w zyL*?=2s1v2-oh@_N=C9^Js{M4ZNGkW%NsWD!rUS8dWa^0E)#@64jiiMF(R%0CSU(QO2lU+u&x)=?g-xB(O5IoCimEX3)#wH{i{5l zq~ZcLRwxuq&-}oD@cy3biQZXHR-R9S00WJ5kLtswO54h&HtqR?o1;$29YSvT$H?fw z>~amuk#(~CijXfXNbMgy$We)NWVtfjz7A420(e?SJ@#O6{Rii(>AYi6XV~wY+BzUH ze)&f~45zu-o9;nr?Yv55vi2KbSNN}CZfpURl8()DxNcs;;c$=dhNz+YCl7v-3!}ZN zfFI|FZN+bmFnz@o<|ErU)V-bI*IS6A!}_lTS8{e3!daAi4oE}ux3wpn*bG?tA6puk zZLL=p39p>H#P;Ak6U&^bbJr!FC)rnx9ReJum-hJ|J0yMad<2@^koS8XL*20^*)Q$Q zBtYjk;Nq1~BzTcB#86bAM9l>3_Nva+dq3=}P@my^C&88}OB{)iyZnxAKxpV{fm=P>)FucBfu-WA0!*O+P2Ik7Y_adX3S znI|jd7|su%o>8u$G=?9cbS_qzA1V71P2UBt%@@Rcm(QZMI>8J2Ncpm6yEq;atgtwf zz`z-yh6uNxP3Ckp76uNL->wXo_#|b({Ke(iqALk=+7P} zit{>Oe*x+i>kE%BuokGRS!?76PsaUAGgqNNiEe3*T?-XKhGl^5V;w-DIhKQye3r*# zoy->f^MZ=E}yL@;WqRv3Bb|CQJHPAqbf|>!;H{d zaJxO)W=DCvqhHNM>w>5ntFmHwoYIt@r{D?R6K}Cn9gVdrDHlpK)UKng(_RQOAG!_G z*f__Lv7@RNuC9_@yssj#Mi!L$$^Tw*4d=uAsPXVh22I#8${kx*zDYayBQZW$Wcgv; zwssKtj}?kow~0Wnv^hDnw#?l=So!{kzR7Ir!Vy!}`vO(AIi}^#a^yEaFqk zcv>)rzw(~Af9_MgbqItm$om*I6MD(=a9^NMFO(by%6XCz3D)+Vg0mb3sDAM#8_m@b zwmx}`O0guKA%4$wl+F^)U*PIm*m+Hfd(nA9f=oyi1y^YS$jutmL<3PqGO-agXBqKy zTj8>nsll=AdwQ%!;)LDrdTEO z@njM$kN^WE1#=Q3{ZTda%8PB@(D<^f%^xBf?F3ml_+g#Hsoinme0_^G;!}vRhaEIy zJdWF6Jcz(9$83`|#y)<*twR?7q#31(DrO~1&Codp;6KkjjS1)szJ*H1LSr$((kLkF zQ5{Q1`6|mpB+%-3S4i-I|637z)6DQ}Z}7`G?Fig9Oe+$%t$4#g6)W9xAM1vJPVL); z$gI6%Sh>s$hdD_lSC6G@eGaYbJXS%avtnpAHl>w$Sr6*$oWvyHWsC40#;r;X_QZav zp0csy{TA_%;{C)v-u;$WV7yWL%WnUjRW({^y}S4d39ZIh_tRf;!2+nJN*Up~g2uk= z3j)@h6szR?1L-cH@qsWb=bJrjn`uh=r{Z7t$!uKC@k+b z0vkpnR@1;@Hh@H95zRlZ^B&h~Cj0N@m8;kFeciiJO1E#O=h38B%{UQ1k5p{y^$H*7 z4VY1I4%pAz3z$JWiTOvSO1%mQ%yd6gy|hzYvm(XOfBZR|`9RtDb}!d>mRROeq!u9X z!FqBzFS7~PL0+jz1`@SW9i!Q`(8#EAep3v?+F6lu3rH!Nkv(fj(@wu(q=vkxVjs3C zr9F38FBX4C!&{g)ikYc(;}&J^&|T?iMwa2z@@xmNqd^4ouJD{b1movtJk2^=(tN9> z@MS{xT}T9Bs1C!A{^51OUV%Z$$SJzJsoMCOI3;KMlH#g@IrAVR4LD~TEZ#q`INxvH ztov$PB$=%HRiW@G6%r2YDv?`T*h*N(bCzh!Vn4|p5?6U1?T*s>heth0@P^A%W|$cGDyiC)|LvP?sO(JIF4T-&`R0NTpQ8JOMVft+ zvsF5^N^gRT$ZO1}1)5gb#huQ#&U4S@ul~{zZ9HKgqxn2o&3AKGjJ=1CHA(ilPxn1> z;zj3}bNC8wqaId=(gDdhh^2qV9^V1|$LLAn&bz$zPdq2P6_Ygv3nwM&b(SNB!Yv=C zS(a2l%qois9`(b9>mHUnL~fq)l#nvC}g+Bzrrqo{hD zDCdMLosby(;sst(6HvY}XF1g1QeV6Eh<-XsU^_Y%CjY8h*71ou3PcLLFSi19qZ zvE6E0w6|DbGsJebby-ys;!tzH*i4P^vSy*dwt~}xk@Y)%@l8)Poc4QnY|^s~%lL#x z$qAaha9p0(mYCDSp8Sw!*BSccx@RwDf}IG2wbz_o{S@xy9p_sv1cKF#p-A=@K|H!D zZUH{&uy1AsE+0%)3vQ%=3VXou7C=>#igQ&p?!$!^l9)ZWa@Yc>7^ey1{k1_~TPb$5 z5a$Ovucv`)(t?o3n?q!@(r$#Tzi63a&C!w|kx&$;*w9V_8xuu>fn*p8<^0YW+|>Da zz-Yf0pih?t_D<%dRk4x!T|Gf+@vIwl%ifdBque!8yM!3 zqSr%L(iw(p=D~K@UD rI=Izo^eDuTrq1|@I@Q}F7^Z;c%hr7?UoLg7=

6rlPqhO{NB delta 3540 zcmZ9KXEfZ4*2WXPMhPKA9U+Jkz0MF$l!Vc85M}h}C3^iM(ISWlB8=X9CkaLvM8A4D zj=^9s`d|!(H}5+4-Vb;G*4ocnd+q&vdG@AYjv)W7|EbSu{|vPMty?(_PZ-Ek)fm#$ z$Qf_nO*15a4s=`Iy5(2#&z?K|KN@s<&kE-LK)fq+{wq?tFG?D3QLboT7 z6}z~zB;Mj{NUM}6OZ2)cp@{kxh(TtE$W}70Cw(TVVN8z>a=x4_0rOXZ`)7g{w?D2u7-1I4FB4 z&qH-WPyX^S%a>md?y#oVq|y3~M~*7jwl)8L>o-Wna+ap{g2Y||4Zm7D#eRg00ZYTw z&vVl4F$m~PiH!{%d2=fsD|?tU=Ef%8_r9AgRso`TT`LaveRkpmIjY9Q3~)98Q|etC z0`4+`DnjK2&+DQrAj*l7cKzNG15HikF-xgfzFqw!pIt)@?YbzTb!ji-iaoy}g?t~6 zgXwX70;Npt2tMX^l3UfL?S-#H=H+7Krs!$AQfKWKRB|GSsiq-AI+7&EZG{?p)momh z+(sb-v+%dH@K=4ITI)GZARPjFr_noSL!AF=H>$%0V#DZn6xC*Njsr7$=`0ejF`{5` z1!KubQiZwhyJMWc@0KbtNqUgln(y3#mayyy*z#8UnrYQ(Xp=SOZhv}+YKO(RAvL;j zyl58s%`Ex$hV70&Xm*B%f`}HJxM)(@%7U^2&|pR zW4zHrz)(wKq^m<5FUwF(3=FtNeyp=a3yWPNCk!ycl0RF zRak%@KHM@d&#n=o21x!Yu4xgHNB zqp2y&(i7b%x5pXwY>KheX&T(n=0_%_^S*G`fW4vMRew9nl+v{ufvMbers%~Z_-+0R zRzp6)Om)c<(aMRs=H`n!O){u<%2^x@ixY1&j9M7E{+_u|4DgVfyyTI!xj4hKA9PL;29 zQwN!=@y=h|HxTQTl~PYhf->Ib%sZ!=zp5uhQ6XQ)&2wl*K2`;jsGrTB_h}7mri`ZW zlE^{UKsLBuV3U%cF^?;N*gO~43En-moum8#?$_$BO$i8Z#ui!epiL=5Bbu@3{#mU( zQ&Ovft8Ch7gZ#N}R4M}6Ug|ckn00fTbl$!uUJBxkCu#pSphVQ^NK*{kgKPkh&A;*X(&F8|+S7*(tB|(bZPK{$Ve8zjjCz^A3j7P*Q&>Ty!$6%2DE=Y(36sVSD35+ zlSMYpmXBAsm|+Nm&H%9-Ek0^6u!qv$H%V@Y>J8*^jere}`kLVgN0uBoOcKiu!{A%jx-3 z-@p(%9VJ?F_ARkW$)$#xj*5s*X%8(=xKgRYBHGWQB1O#xtkwYKXIwnRZzfih4K1vz zE;H|C?^o?>7I-7!@T{!+zA2_6wOgL;{&lM}bwL|Xo?u}7!7L+?NH^~6g=EPnPP%4Y zZ9_WgTU<|qgMyx38w??TylCPU1%(~9A8hpO}SYtjIo!#a#>GJ3NsZLOS^uv6h!h-nsP)QOx zuyiFnUe0`pR0T?opErk-<_D(JoE?uF+qM&FcfSLxirps%ktYWancGuzAy;Ts zPVjPYOD90SjT%N?rh*BWPh7F4)}9Bb;*$e<=58;`3nql>?t>jzEB>Hr5`kjG5=|~v zQP$@VS7)(%#8Ar%eCQew`P(Zr9$s|u%WaQ%`Ss+$W33ONy%Psq%G}fvD?0&H#x*g< z0SwFv-E`#>0apFF^b!;52OJLJ_8 z^iE>1E+Z00`T2B{GE`wGBUT%-^NR7{0$-KQ0cLaYd_nPXH>(3iJkv0hrZ zPnVZg**=QT?(m9h4X#3s;V&)o*^HyHR^d8N&qMpc_7{QCK`T7_&%ql0kgHz2EX}Mr z)O&0oM}E^jyMbmx^{CZ0X7ddOi$5ZO zcuo_9^Sb=jJaGRGJAqv37`_T;SL*MrAD)Mf68CwK@mDGuq;qqi40$){TtWC>Z`bTE zEm}6e^>xK^6&=3tD;}tzUR3-F4I6;J1Zzmt&D9Thi*8=UV9e-%LY0&?k@9`+?AgJRT3SFt=`Nwp;fa+6R3LOU$7+jJ-RvjV-7rwPW}V7e_{CALagHHQpZ!RHw7eF{rjTO;{-5km{s# zczNqz<8_UNmMpfnq54JUl^M@Z z8>deG%#KJ}+^{PN7=%4aPJ!yVjvD>#CGNVH?%InmIUUmXcO0y`3(-+>a9E(OQ4|ts zi5c$O2=VyoCX+M8TDE4|Y3Bp1ZMFB53Ivo(R@8$&B#FA)MPCYjMiyvsA#_0&wS<{c z0ca!AAvP3E~@sme}{M}~N?`-L~3bKa3SA*s~VOS`LgMABdT?1j!C_3JLA#uuf> z30kEjvT-@vMopT63riFEz~rFBY(_^|r_rnOxvQBg^ht=V%R`6`ZW1tald(dCG-N=m z>cR)yxK*BWEq|I*PISC$Kg5PbV!6Nw_~*-%z53#DG^Pm43tHg_$miD zHtep~%}QWQ*@hE0T=#l5(l-*Wj66zqB!205P5-$=oBQQvZ7>TxMUYa23;op1J=uJ6 z88jU8kyk9FLi$%dH{g7tI&Lq2>K?N*L;?C(e7O?yDp-%>mmRpTLuNfE_bgM@QdqrO zN?tznJ7wCWS=DTYZ~>0CrNj}jHag>EU#e5hAKvu)(GY9eiF)CVQ5h2=HKZ-ye`V%w z6t#}osdPT~)ANBfSyuP=8Atdm9!!x{lypJQqM7tB`?6-hfH{(auQh6fEy>1Ry6O+z zQ*hR_L?t*W<;A^mGHiXY)Ox1)QO`x}a^AoMQw%E73%?f1k-IWr;Ag!{$Ua#7NT>;q zxKt6)c^sBt*;&AS{75*Vye<5wtLl+&A~%th0-;`)`~4DwhjiXhi(o2LbT(EZlw_m$ zThkuaZA-KREsk~iuQRlkHVfkd-`#g`_h^skEocaVq|1R%bn)1G4NA7acq+Xj|xKpU=wf?ps!s9Qh`Tw#c z%Cv$`tK0x!85j|NDnm-C1^Y=w>r!sc3!%HWg$3f}L^pBSr6~rtlZ^{GV{b^A>kCZ( E4^QD2ng9R* diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.reloc b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.reloc index d9de023a4d54c63b01ffc1e69b64de27ca913f16..1fa45608c09ee3b744eb907e7332c5effdc6b931 100644 GIT binary patch literal 2137 zcmV-f2&VT@2mk;80001Z0lk<#wCq$B$K%I^04m|@5dOqnv}lvAcmVKL>DDN~wr_wPU48*5B4XaDv-=Oj7VXP-U$ ze9ybSf}dC$(WbQBPfcH6S=+;lhm8HVu)_hoc-Zv0?2HTFra#<>8Q;Phf0q5q#tpL5 zKl+*J_uMar6W{bHoWmLHKVtSRyn%Cggm>`rQSJkG@Bt1VGy4&);WIqI16+K^`UgK} z92~-{@0xxA@8Kn!e9!D_IEOc||G3#pID;$r1UGOTSpODo;U4y$F#8FvU~gmk9`@nQ zlcta122SARQ)bWL5?;aK(`L`%HC(_0yoVRhSpOP6!aW?^G5ZPL!@)01e}+Rif7bLV ze1J1J3(a1@JGg}7=geNiTeyL}@0-1YQ@DqFc!ZQ-ofcRrZ0&*=NXs3zHN_xbDNJd-n@I;?r(2%J>%tX z&93;H!ivAS>-=tDozE?-^SM|5H*EeBe1?|~Ods;xs}FDUIOFOg zv+MisV154Ke0Y~?>`&sehXOlTfv%d4QsvvR(?~$%5Q2|`Aq{Wzv*D* zFFmaM#V_pnl)nV9@|Pv7{3L~ypX9Len*vsT)4+?=yS`fZ2>TzK-sicJzue{{tnb;u z`kn)<{B4AlzlERJeEOadtnayme9QZ**_CfauiydRX6Ug!O*jKkR+*m;rL7X!3kX4GkpcOe77U}Msx9 z+0E-DkK6O;{kO2{Bunm_@V_pDJ9rIid=}Vz3HlUPT_s1KagLU-=8vDS@f-9Je5t!U zX?Betv0v&gGkXVL>Ml?5d^~>*tL{=fZFbdJR?ir#u9Dm_RvpEs4x+lrX;vrM^B%#w zHm-rSUWd^175Wxd9p#9A%m3znHs2X;n16&d|L!@PzeQics>1~THoNAJU_I}ax{HT? z1FKH6eBQ=i>M}1FuRpgogfDd&Soa%X)n$$^+Bnr=mfTnSD}%K^YW@es>IYxyG%wkF z8SyBGRj0`!v#Tz%(LC(ue0IO&W$V8}AH%BKc&}imZc@Xl%dB2CyW(R8t1c72hMn>L zUE}6`Yd!c*Rn~>*Vtd`9REd`W^Ar$y;KhlT+fDlOtl5lgAr! zN33%CnmFa;f*9rG)t%{Qx}2Omdo5nee)yGqx*@m31J}P|Z##LzzIO7GJ?i9;{psZ4 zhI~{#V$$!~W3EpgO+VA+*6my^BM-Ou#A(R45T zHTcG~4*24JvaRvEYWki_e9VnK-;gVO&FL5T+4cMr|39zdt*`DDy>0UJI$rjU$={rs z0r^{#Tyb`Ndy*5*1?SowvUBY%=YezWfY|3;yFqrY-Mu&cOqX-*E%C*<_ITfEJvF<9edchZRcKex}4h{*>6tXv)`OtvQM16W}i5D!9H&E`G%ab z2b{jc*PXnIrl09@vXAdNxw#=H_^#7OZ%#kc<>ccHd5ix!eTe@#`RlmWtYKf-?B~B( zo3Z}GC)}0q)ECA+-}A`#j%kAjW)Ja?!+qlgeze24a{R5w9~ZPce09W^SF|mD9@3uK P8!4^b#q<6PR?O13J*cJJ literal 1978 zcmV;r2Sxa@2LJ#70001Z0lk+y^y5|$hs9$z&I6)s9w?9ykz|9@xm2lA94K76;T)gC z5(I3B$b$$HKp~IgE&>{tDpjgWlC-T$qP z8#sVZa00L1G`}_6!WlfkIh;N;{~9jf2KL`F`w?EkC-?v_9-E){V;g_5S?#ZGOGK0M`3U z;Pdx^&))~G@7p{%96e#Ym!0=n$-XrE2*+CY2c{PB8`)XU4%Ycp z!aAQCxL`d8*nivR3qG>ZL*K!guZK0?cw?V#?7<&wKHVR}x<8hk_)KJnQ}`SY@Hrmj z|Fk`)hIQU`u+Fm{)_FFOPHQzxT z+B_|+{B(qsUrw;{OYld#Px&c?m7ikSiPuE-h259Kdfx@C_uarc-w*QVJRe}4-$z*I zxA!M|PWTfW7jOfoaLoTIJ~2OW_~|ttVAVSRd1YN)fIHq`ponz*17rHHIJ~q=Op_B^9w$=QT1I2tGVd4XZxuVAWqetomz&RliNJ>bK+zTYty@3coZy*JJRx9)tC~HLT}lubDwL{nioI`#8aRAHi3)KGlOEta>npk9^+*&VFY1)$qjs-h6F(z27aY_glcKFL$u& z%No}EZD76M9@hUEVEvy(Y0vLN8+};$e+4VQN3iny23GyLg;l>c@QUYl_v|_9v%CAo z>XR$Z3H8PK-_0ISzpObow&)XBeRA}q`KvEJ!s>%}PnlhP?}~F=eeM9C``TyB|M1wx z23B9YXC1O1;Er>ogA2xx&&9Cj%jttd^cj5an_pl(+@HYb zzWK##dkCNV=9jM5KVetj++$atT+;`uFD?SRUw!cAWn=ZdEBe-RpG%(_y=Q(4Sbgq> z|GB4cNn!ct@WA~i?oZGkVfDr3&3x6(eC;c?jspDwR^ME{YIgO>DSfZ{;`lYQpZnm@ zSnCg9^}#LAQT+F?;&;NoMn7sk`r4j8IM3`k9jrdNdfoKui%&Ptn{J+0ykY(W`W#l@ zynfT{>XRo}eR2KJ?8-kCtiCvT%k1idL;5iFy*)h8cXhD(wu-(;eUkrAn@{gAg!TRs z>Q)bZELI(hzT!MxatZf5z2+|KeyUU&W- zIo-(_`P%t!$<0o`ATK+)xsW&HW2g7Xy-pr3e<$9_89B%46Y__XLvn|crwh3!hd6y5%s=zxTC+Ebylf#Ge&wM$#yO1+t z-RTp>@hp!Qaz{Lu;k-6t)BncoPux|r|B{$1iG^A9f4j0r|9MpY*yMS6UchcX~X zuez|U*{e>D*`H26UC1T-)9EAjr<0Eta^My?Urs+>$Q}F5=?C_VlNismFI-@$E-qW6c;T_kV1wJAG*5mY8da!zyF-j9X&3C9Xrpg7`nOKVrt{ M(e=OoH+uz%vZQG{umAu6 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.rlx b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.rlx index c000a0e..13e922b 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.rlx +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.rlx @@ -1,6 +1,6 @@ { - crc : 747761180757353282 , + crc : 5669434041321685966 , ccp_crc : 0 , cmdline : " -wto aef36ef3a0d94dac9e6058b656907afd --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot Test_total_behav xil_defaultlib.Test_total" , buildDate : "Jun 14 2018" , diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.rtti b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.rtti index f07d63e44abe10c946ec8c792b783c62bd3bc761..e63d9771b5a24e77dcdbcaf5293c0da84e14af7b 100644 GIT binary patch delta 15 XcmX@kdYpBF6pOX*y4xG&Rx$wqE^P(U delta 15 WcmX@kdYpBF6pLwbhr&j=l}rFCyaf>e diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.xdbg b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.xdbg index 8aedde9d441688f94e846035b98cf28070b54ebc..300914055f3cef8cbab2c4fb34d551003b6a6d99 100644 GIT binary patch literal 79656 zcma*w1)OYk{kZW-QArc0tO-77Gfb5*kA!R7O1FTgMeZS2LI=| z%fb2SJih+(dOf?}J@1*>`J6Ln_wLT+vio629(MGpi|)SDVMi^x`z9N0zUV5ePP*ff zlMdWq(xge#PdjPSvTIH8VrQ`J;u&}|a+%^k)}tRk&qa3Iuzh&%_UUO#7hD#l8C`I3 z`|^`l6YJGR*6WO{HyT-QIkMhyWWDFedjFC2;UnwgN7kp0tj`}=Uox`3;)S)dTtBkD zVPt*d$oi%i*3NbF$ojUC^&Ky)z0bQww%2i` zo=ubY|8O4p!No72_doi1N6)X}^Zw`k49^E|J3I$m59i>btY;)IZXb@F>tS}+!~MHn zp1imo-EYHVTa@j?eU7euY>(4$8O|L){{LBz&Ndadx%a6@d^hQ_1AY7Ned&5?I`-)a zKldQF{9m7skGJ3N8h>?itb9St$``_{d|}MW7r|`2U;pCy?+nizJ8}Nsc?utJnPSrQFP{J9!}(u6 zod4y+`CmSq|K-E^Up}1w<9%XI-|Dv>H!=Tgr%r4izV`XPe02VCw;!EB@F<9u}faXvc#I3JyVoR7{w&PV4T=cDtFbI11L*PzEv zo|ymMGbV5PKY#uyALcI~<}V-SFCXSFALcI~<}aU^bmDvDWv5Kcf9H|^J-^>W$N4aS z`7nR^Fn{?lfB7(f`7r-@zuZqh`QrDO4Njeyf8R4FwoNhV@)ytl@?rk+VgB-A{_z^N;h<`N#R_{NsFd{&7Cc z@6R~TEdB={?xbgqn%Fve{+AE)mk;xo5A&A~^Oq0vmk;xoPfR*#(xNPGU1DPXYtR4h z`OAm-%ZK^PhxyBg`OAm-%ZK^PC%tg-XW;FZoS1+6F~`4rn7@3OzkHa#e3-v{n7@3O zzkHbApAjEhYGVFl&zsol^MAPLmn}WvmxXVz%!EG}{>%%0=ZpT(ef1HobKh8(zALpagsjPgMzkHa#e3-v{V$zAvf9_i+=D#!MALpaZ=3{Z~Hh zzw(JmCtd@(_4FrwxRX{n;lJniHKK7o%wImtUp~xVKFnV}%wImtUp_JE#A{Hq-M6L> zXVUGbPi!5Iz3+XEYMc-Amk;xo5A&A~^Oq0vmk;xo53lc*53lc*53lc*53lc*53lc* z53lc*53lc*`+Hq0_vbz$~N?E^6hz zE@b6y9~yW5__~AD?ft#9mHT^JEBEJeD_<0|^2IPKUmUaYB`_;r60>r@Z&~@$n3XSs zS^2V%*v<2tlUp%<{L6>q zUp^fF^5OWG568cJIR53s@h=~afBA6y%ZKA%J{oLM%*q$QtlY1=R=yx+baymcXrUza(bmOJP>NG-lgP3{wmDM z*TbxQeay-?z^r^j%*r>ytbAk4$~VERd{fNIH^Z!abIi(Ljam5?n3ZpdS@~9&m2Zt% z`8Jr9Z;M&^c9@lKk6HN+n3eB{S@}+wmG6vM`7W51?}}OZZkUztj#>F0n3eB|S@~X= zmG6yN`D-vMe=TO^`(ReSFJ|TYVOG9BX5|N9R(>F6RAL8XC^R z<=0?Vel2F@*I`zEJ!a)MU{-!3X5}|wR(>;P<+or~ek*3>Z^Nwo?U%0G=+`DZXI|14(Z_h43jFJ|SR!>s)C zn3aD4v+^%uR{kZ-%J0Lh{C>>JAHb~q%b1ma1+((6Vpje&%*wxxS@}0GEB_{DVPzl~Y>cQ7meE@tK5!>s%v%*r3eto-|!mHz;<@*iSW{s?B}Kf1heu- zF)M!zv+|!}R{k^0%72bo`7bal|0QPSzrw8i*O-+*j#>E=n3ewqv+^e~D}M^J@~1H? z|1DFX zFf0EjX666Fto(V*%7?$?Q9i{K{5=3FpAxfj{{w9+_b(V&`81f7Pm5XkbeNS-k6HN) zn3d0nS@}$umA?eD@|iI!p9Qn>Surc04YTsuF)N<~v+_AHE1wIq^0_f9p9iz@c`+-W z53}<5F)LpHv+|c>R=yx+_op_rHn3ca7 zv+}oKR(>gF<(FYremQ34S728DR?Nz;#H{=(%*wCEto$0x%CE(&{5s6aug9$X2F%KD z#H{=#%*t=Zto#Rg<1J+n3ca9v+~<9D}N7WI%*sE6S^0-CEB^>)Jn3ew-v+}=SR{mGa%KwI0`LmdnKZjZQ-!Uuy2WI8}#H{>Zn3X?|S^4CDykW}r zDKM+sPl;LiRG5`djam6Ln3Ye9S^0FBl~0dZ`3#tq&xl$1Oqi9w1hev)F)N=1v+`Ln zE1wOs^4T#fp98b3dtb7^F%9q8gd^ya@m&dGp z1X?F)Lpav+}htD_gJ1 zz7A&P>ta^^O3cb%g<1J}n3b=OS@{N-m2Zey`9_$PZ;V;_CYY6Pidp$)n3ZpiS^29m zE8haM@+~nd-wLzxtuZU#2D9>QF)QB=v-0gRE8hXL@*OcN-wCtwoiQul1+(&9F)QB< zv+~_BE8hdN@;xyt-wU(yy)i3)4QA!9#jJcE%*yx0tb9Mr%J;{t`~b|#55%neAk4}S z#;p7h%*qeNto$&{$`8k^{0Pj-kHoC}D9p-_#;p7p%*v0&to%64%8$pa`~=L(PsFVJ zB+SZB#;p7l%*s#2to$_0%1_6v{0z*>&%~_!EX>N!#;p7t%*xNjto%I8%FoBF{B@X> zUw~Qp>oF_85VP_(U{-z+X5|-SR(=U)#jN~&n3caDv+@sMR(=O&2*A7EDgL(Iw_ z!L0m8n3ew+v+|!{R{khv<&R-j{!`4#e}-B4&oL|i1!m>H#H{>Rn3ew;v+~C=D}MsB z^50-q{v>AQPhnR6G-l<$#jN~yn3X?+S^4iVEB^y#<$uJi{7;yb{~5FLzhGAWSIo-) zhFSTun3X?=S^3{FEB^;(<^RO2{9l-rKaW}YR=1xLv+}7hE1w#(@@X(D zpBA(7=`bsw9<%ZpFe{%Cv+|iRD}M=QoL9?SJ?Z znAPo<#H@TN%*vO>tb7^F%9q8gd^ya@m&dGp1X?F)Lpav+}htD_gJ1z7A&P>ta^^O3cb%g<1J}n3b=OS@{N- zm2Zey`9_$PZ;V;_CYY6Pidp$)n3ZpiS^29mE8haM@+~nd-wLzxtuZU#2D9>QF)QB= zv-0gRE8hXL@*OcN-wCtwoiQul1+(&9F)QB z&%~_!EX>N!#;p7t%*xNjto%I8%FoBF{B@X>Uw~Qp>oF_85VP_(U{-z+X5|-SR(=U) z#jN~&n3caDv+@sMR(=O&2*A7EDgL(Iw_!L0m8n3ew+v+|!{R{khv<&R-j{!`4# ze}-B4&oL|i1!m>H#H{>Rn3ew;v+~C=D}MsB^50-q{v>AQPhnR6G-l<$#jN~yn3X?+ zS^4iVEB^y#<$uJi{7;yb{~5FLzhGAWSIo-)hFSTun3X?=S^3{FEB^;(<^RO2{9l-r zKaW}Yq^bGua;ba@%*v<4tb8iW%BRMxd>YKkr^T#%I?T$a$E zR{mZ^8GO@KLE4x12HQ< z2($8oF)Kd=v+_eRD?bdg^20GJKLWGzBQYyK3bXQ~F)Kd?v+`pxD?bji^5ZcpKLNAy z6EQ143A6H(F)Kd>v+`3hD?bgh^3yRZKLfM!GchYa3$yaGF)Kd@v+{E>D?bmj^7An( ze;sD!7hqQYdd$i%#H{=cn3Z3IS^347m0yBc`5Q4Se-mcqZ^o?rEtr*Gidp$(n3Z3S zS@{*1mA@6U@+&bbzY4SRt1&CT2D9>OF)P0gv-0aPE58A=@*6QLzX`MQn=vcD1+(&7 zF)M!?X60|kto$9AmA@0S@^@iYej8@x@5ZeBcFfA(gIW1|F)M!`X65h4to#F*mEVC` z`3Es8zZ0|a4`EjRVa&=uf?4@TF)RNVX61KbR(>~Tf5{&mdCzkyl#H!&-J5VP`cVOIWa%*wxmS^0M{EB_v5PEjm&L4nIn2tJ$E%*t29tb8TR%3p?A`O27;uYy_m zs+g6phFSUQn3b=AS^3K`D_;|{^0hE4UmLUXS727Y4rb-+Vpjf2%*tPdS^0XHm9LLk z`39JkZ-`m>Mwpdvj9K|6n3ZpeS@~v|m2Zw&`KvK2-vYDpEio(K3bXR9F)QB&v+`{* zE8h;Y^6fDz-vP7o9Wg843A6H@F)QB%v+`XrE8h*X^4&2j-vhJqJuxfa3$yaQF)M!! zX63KNtb8BL%J;>rd_T;}_s6XK0L;n{#H{=v%*qeOto#tn$`8e?{4mVQ567(h2+Ycl z#H{=%%*v0(to#_v%8$jY{5Z_YkH@V11kB1$#H{=z%*s#3to#(r%1_0t{4~tUPsgnM z49v>U#H{=*%*xNkto$6z%Fo5D{5;Ic&&RC%b(oc3fLZzLF)P0iv+_4!R(=s?c4{#DG%zlK@)*D)*q24>~o#H{>5%*wxoS^2jy zEB_8=<=@4u{Ck*{KZIHN!_f5fc(Pnebe8ME@gU{?ND%*y|US^2Y=l|P4B`QI@s{|9E}|HQ2P zUzn9Yk6HPoX?gvh%BR4rd`is9r^2j!YRt-~!K{2*%*v<3tbBUR%4fi=d`8U5XTq%f zC76}Zj9K|Cn3d0pS@~?3mCue@`5c&)&xu+2T$q*5jam6Tn3d0qS^0dJmCuh^`2v`g zzZA3b1u-jM2($8qF)LpLv+_kTD_;z=^2N3P;Y(mvw_g&o@})2zxA!g+pVOG8|X62h;R=z1_<(pwv zzBy*)ug0u=3(U&5#H@TP%*waMtb7~H%D2U=d^^m_x5un}2h7TM#H@TL%*uDhtb7;D z%6G-Ad^gO>cgL)J56sH<#H@TT%*yx1to${YmA@9V@_jHX-xss;{V*%vAG7iUFe^V0 zv+{#5D?b>s@4J?KNYj`(=aPP9kcQ?Fe^V3v+}brD?b~v@^dgNKNqv|^Drwv zAG7k;VOD+tX63KPto%aE%HM!l`9+wOUyND#C76}J5wr3)VOIWT%*x+_S^1@ym0yNg z`Q@0EUx8WqTQMuY60`EFFe|?rv+`>&E58=A^6M}wzaF#l8!#)s5wr4}Fe|?qv+`Ro zE58-9^0#4D{&vjD-+@{AJ25MN7iQ(RVOIWb%*t=ato%KgmA@CW^7mm@{(j8LKY&^J z9hjAW5VP_-F)RNNX5}Boto$RGm46ho@{eIweivrtcVkxmam>m;fm!({F)RNRX62v8 zto$>Wm46nq@_R5VzZbLe&tX>ndCbbcfLZw$F)RNPX65%`R(?NbP-88IuL3A6H-U{*deX63VBRz53c<+EW{K09XRb6{3J zCuZeyVOBmjX65r>Rz5FgoLN%*qeIto%UC$`8V<{9w$=55cVbP|V5?!>s&p%*v0z zto%sK%8$aV{AkR|kHM_`Sj@_g!>s&x%*s!|to%gG%1^?q{AA3^Prs&t z%*xNeto%&O%Fn{A{A|q1&%vzxT+GVP!>s&#%*tPfS@{K+mA@Xd@(VF5e*%vmt$6b1!m=M#jN~F%*wCAto&-s%CEt! z{94S)ufweTdd$jiz^wd6%*t=Vto&xo%5TA}{8r4$--cQF+c7JD2WI8(#H{>Xn3dm# zS^2v$E59AH^7mj?{$9+=--lWG`!Os30A}TPU{?M?%*yY?to%cmm46ts@{eFv{!z@z zKZaTPU6_^Mjam7}F)RNBX62v6to&1$m46zu^3Pya{#ne*@4>A6Ud+lrhgtdOF)RN9 zX60YRto%!umEVV1`TdxcKY&^JmoY2<3TEYB#jN~mn3aDWv+{3XR{l-Q${)n6{9Bln ze;c#%?_gH`UCheAhgtbUn3X?_S^4)dEB^sz6Y&9?(P%?EWiW>!N5iV1+fqdu@DPU0Z|k%K@30? z-nAIEp6Ab5zUO(?o!{)w+2_u8@3Uu^IXriEKjP>kjy-+y?mHcE%;eoS*=Y00E3Y!? zlF6qWyuqYNlg^)J(xm;@oZ>$n&$f$a;E$2p6eDYw&vWzb==SOV&-=rDCbNC?;fC#O z{?Fso+Q(c*oZ2y7mTbg9a&%T z;@abI)yVqYBkT8!tgn7??JU=ftgjzg-|*tv$Gl}^`>iAE+eg-Sj;!wHRde(=S$ zkNL=pYv26;&V?W6qc7gyeMfKqzkR*$a33FM*x&WzBDc})gS&k)&EVq2+weG(d4Jem z>-w18z6^PDJ^K6^eO_(&!aZJkpV95ZarR>#j;D_~9A_V5_?W%VaPvM}zW9#o;W>Fe z>!(JxkA9r>93DRA|NHm{j6BW>BkLnZ)}znu(ff>UzhLD4m%h06@49MaJ^DN!p4;AM zc+Stx`ZPCibKV19SWT?27+DV=+lzO7LA?LyH8NZmZXdl~Mz4$EK0eNH?f77IE{5yK z5ANcTk8||z9lgGWzxV%soWt|Mk8OAkxE`*-(fd!u_Vdr0drIC+y60g3{O`5ldS3r{ zXxbP2>63hC!hH-YcLyu?@3r!&F)QcG#3U=97PIo{FdOgJzA!I5X+FCA*7;v}|NK+j z;@sCxIm}-^%wImtUp~xVKFnV}%wImtU+(k8%4fi=d`8U5XTq#}X3WZG!K{2%%*toO ztlZBPRz3%2<#S?IJ{M-?b7NLM4`$^)udUqeL*t&`^W#?gzYMeTmt$7G0A}S2VphHo zX5|ZGR=x;k;~jYM<}rBUsfp|VzSAZ)4%fbK%ZKZ~e7OG0hwHz5xc%V-s{>xt+ z|AqOkdwOF2wN4*D|2Q9=f1HobKh8(zALpanVA3S z|D6A4|MU63e02VC`;X2)&PV4T=cDtF^U?Xo`RM#F^@;O5%s=U$6Z6j>=YO1!&Ogpa z=O5>z^N;h<`N#R_{NsFd{&7AW0Y8U5^zVuJA3JMe>*)1gKFnV}%wImtUp~xVKFnV} z%wImt@7LJNpPQKfzN23{fB7(f`7nR^Fn{?lfB7(f`7nR^Fuz};Hh6ww{!7k&>HOux z{N=;^<-`2t!~EsL{N=;^<-`1b4Zd-qmpRU)yUv~1I{N%CALcI~<}V-SFCXSFALcI~ z<}aU^bmG53_Fs5n{-w_wKmRx%oqwE<&Ogpa=O5>z^N;h<`N#R_{NsFd{@E9qnE$aj z|Kogg{&7A!|2Q9=f1HobKh8(zALpaF^t0^!vuR-=p4m|HS?`Ui9C;e+rgXJ{n@ z#Qaah{NsFd{&7A!|2Q9=f1HobKh8(zALpaF{(EBnaXvc#I3JyVoR7{w z&PV4T=cDtF^U?Xo`7pmfLp%PLFJ3>#O>FgfKivEo-1ZMo`0gVChb1v z^;=&<8t23O<-`2t!~EsL{N=;^<-`2t!~DKRwZZngyfFVgXHIM#&b@EThxyBg`OAm- z%ZK^PhxyBg`OAmbZ_9_*Z_9_*Z_9_*Z_9_*Z_E9;tCjnGnU(u}yOsNO)5_<-tbBO= zwtRT~w%qH|%6;Bi`SAK}xvyJVxvxuEx!Z@vT_3(KV70$LH@0$rE@tJ!>$m0pT*Auz zzR}7jV^+Q>X61`vR=zl9>m&B}mDa^{3#;kl9%*vO=tb94l%9qEidG<1>tj~F0cPbJVphHpX5|}WR=x>l<(pzwz8Plan`2hK1!m=2VphHtX60LB zR{k2y%D2I+d|S-Qx5KP_d(6srz^r^n%*uDdtbAw8%6Gx6d{@lMcf+iFcg)K7z^r^v z%*yw|tbA|G%J;#nd|%AU_rt7wf6U4cz^wd0%*qeKto&fi$`8S;{7}rw55uhdaLme& zz^wd8%*v0#to&%q%8$XU{8-G&kHf6|c+ARAz^wd4%*s!~to&rm%1^aX17_tjVpcvAX5}+uRz3@6<+EZ|J{xA^ zed2t>lP1%RmrcAs`^9eY=Y9wN=loCR{c%2=|MKDdmk;N^d^rE*!}%{C&VTuE{>z8+ zUp}1w^5OiK59hypIRE9t`7a;NfBEc_CVu`?J_l~)b7EFL7iQ&iV^%&7X65r@Rz4qQ zrC53t(2hAZF!Ww^qI|X61`uR_^wpao6{vxOty(z8KrBd~wXmm%yz2 z6_}MTiCOtln3XS$S@|-Ul`o4~`Er<*FOOOI3Ye9zh*|kcn3b=LS@|lMm9L6f`D&Pz zuZ~&y8km)@iCOt8F)LpSv+}huD_;k*@^vvQe-&os>tR;@YRt;l$Ew81C zTlq$qm2Zq$`6if^Z;Dy@W|)<4j#>E@n3ZpdS@~9&m2Zt%`D-vM-v+btZ80m~4zu#@ zF)QBzv+^A=E8hvT@|`g&-vzVsT`?=)4YTsyF)QB#v+_MLE8h#V^1U%D-v_hueK9NF z53}<9F`G=|uKxqrZsiAJR(=p>%V^)3yX5~j>R(=#_X$_UH=!b-O4Y-to*f@m0yHe`Rgz%e?4a97h_g_31;P& zVpjeJ%*x-0S^1kVD}OU)3e3viidp&FFe`sMX65g|to)srmA?zK z@+&bbzY4SRcVkxm9?Z(G#;p7r%*x-3S^2e?m0yS1WEyw9CowDk6lUc&Vpe_=X5}|yR{m+s z%0Giy`7M~0--=oJXE7_k4YTskVOIWm%*wxjS@{<+EB_K^<+o#2{$s)4n3aD6v+_GJEB_{D<#%CL{w>VPzl~Y>cQ7meE@tK5!>s&n%*wxy zS@}JfmHz;<@*iSW{v*uFe~elAPcSRL7qjx8Vpe`1X5~M_to-MgmHz^>^7}C>e*m-c z2Qe%EC1&LhVK$k@UH`vgyOsYMv+{>AEB_5<<-f(O{1ME`AH}TvG0e(;hgtdWF)RNA zX61jxto%=ymH!#D^2aeNe*&}ezhGAWBxdD*#jN~qn3ew>v+{pnR{j)b~J7(o`U{*dSX618XRz5dos(}n3XSpS^0vPl`n)@`NEi$FM?V5WbJ?WqL|hGi(yv2IA-NbU{?ML z%*vOPEjm&L4nIn2tJ$E%*t29tb8TR%2&p$d=<>fSH-M+HO$Ia z$ED={ly3$yaIF)LpOv+{K@D}NPc$tb7y9$~VQVd^60-H^;1e3(U&5#H@TP%*waMto${Ym2ZPt`L>vqZ--g=_L!CL zfLZyDn3eB@S^3VGmG6RC`L39i?}l0V?wFPDfm!*Un3eB^S^3_WmG6UD`M#Ky?}u6W z{+N{?fLZy0n3W%dS^2@3l^=px`JtGVABI`^;h2>lfm!*Hn3W%eS^3eJl^=sy`LURl zABS1_@tBpLfLZy8n3bP|S^3GBm7juH`Kg$dpN3ia>6n$Dfm!*Pn3bP}S^3$Rm7jxI z`MH>tpNCob`IwbofLZy4n3ca4v+|2DD}Nnk<*&!A{9???FTt$*Qq0QVfLZw)F)M!) zX60|jto$vQm0yNg`Q@0EUx8WqTQMtt8)oHi$E^Gvn3ca1v+{RgR(>UBoF_;5N72c#;p7!n3aDN zv+|E&R{n9!%0Gcw`3;zre-g9uPhnPmBWC3{VOD-KX62v8to$>WmEVF{`K_3he-^Xy z+b}Et9A@R8$E^Gdn3aDKv+^%tR(?BX`DE>X_@bEA{)=H&zBp#({)@X-{tC>>m&B}mDa^{3#;n}maAW2E zi}F_Pzo=~G%VSo)0%qkaVphHqX5}kmR=x^m<*Q;=z8Yrbt7BHa24>}JVpjf2%*xlo ztbA?E%GbfHd|k}SUxiuudYF~J8ng2CF)QByv+@lwE8hsS@{KVo-vqPrO))Fq472jh zF)QB!v+^x5E8hyU@~tr|e+_2k+hA6{EoSB0VOG99X5~9zR=y)<F6RAL8XCI7 z<*&u8{36WCUx!)w>oF_87_;(AFe|?lv+_4!R{loJ%HM=p`I|8-e+y>imtj_ZIcDWo zU{?NC%*x+}S^3*BD}M)O!%*sE6S^0-CEB^>)Nn3dm%S@}(vmEVk6`KK`}{|sj3w_sL&D`w@N#jN}`%*sE9S^4KNEB^v! z%*uaH@_%4f z{uE~APh(d83})s3#H{>T%*y|TS^2*)D}N5N^5-!tf8m!iOw~RGX0`v6n3Ye3S^3nM zl~03N`LvjoPls9g^q7^;fLZyBn3d0jS^3PEmCu4%`K*|g&xTp~?3k6$fm!*Sn3d0k zS^39<%ZlFe_gXv+|WND_MV^Ulp_R)i5hx9kcQ^Fe_gZv+`GBR=yTyta^^D$L5)!>s((n3b=O zS@{N-m2Zey`9_$PZ;V;_CYY6Pidp$)n3ZpiS@{;2m2Zhz`Bs>fZ;e^`YcMO{2D9>Q zF)QB=v-0gRE8hXL@*OcN-wCtwoiQul1+(&9F)QBv-15hD?b3U@&hp|KM1q(gE1>V1heu(F)Kd|v+~0+D?b9W@*^=TKMJ$* zqcJN#2D9>GF)Kd~v-0CHD?b6V@)I#DKMAw)lQAnl1+(%~F)Kd}v+~n1D?bCX@-s0j zKMS++voR|_2ea~XF)Ke0v-0yXE587<@(VF5e=TO^7hzWZI?T#nk6HP}n3Z3GS^1@y zmA?VA@;72u{wB=I-;7!LTQDoX472jfF)P0Uv+}oMR{l23%HNJz`8zNxe${7iQ((!mRw;n3aDAv-0m^R{lNA%J0Uk{QH=d--B8C4=^kL zA!g-2!mRwqn3ewov+{c}EB`5G<@aG${xi(Ve~wxCFEA^=AG7iYFe`r$v+`eJR{juX z<-fwL{MVS3Ka5%VZ!jzWEoSA9U{?MpX627zR{lH8%72ep`5!PV|08DQf5NQ%&zO}z zj#>E=n3ewpv+^e~EB`BI<$uGh{O_2R{{yq~r!Xsj8ng0eFf0EjX64UfR{k%{%Kwd7 z`E!_+KaW}Yq^T$I0(|=vnAQGMVpcvCX5~|3Rz3}8<aX17_tjVpcvA zX5}+uRz3@6<+EZ|J{xA`vtw312WI7SVpcvEX618ZRz44AEvn3XSxS@}Ykl`o80`68H=PuBj2FN#_1zZhoai(^*41ZL&0z^r^p%*vO-tbA$A z%9p{cd|AxOm&2@ldCbaJz^r^l%*t27tbAq6%2&axd{xZKSHrA)b{2o6;SJ!a(>V^)3%X62V+R{jRe%HN1t`I|5+e=}y~Z^5kmGR(>^ z$E^Gc%*x-2S^3*AD}Os?U{?MW%*wxtS^3v6EB`uX<=?=p{7%fuzlmA-U6_@B3$yZX zV^;nh%*wxuS^4)cE594F^6z6-eh+5lKftW~hnSWB2($7ZV^;nX%*yY@to)~#mEVV1 z`Oh#b|2bymzrd{ge$2`rz^wd1%*ua>S@}blmH!H}@?T?C{xD|czrn2hx0sbbf?4^a zn3X?!L0nBn3X?^S^2*(EB`lU<MY_Uk$VJ)iEny1GDlqF)M#1X60*PR=zf7-KN_?0V=yZ}7PIo>Fe^VEv+@%#D?bsl@{=$tKN+*~Q!pz(6|?fwFe^VDv+^@A zD?byn^0P22KO3|1b1*AE7qjy7Fe^VFv+@ftE58u4^4DTkei3HnufweT^_Z1kj9K|5 zn3Z3OS@|0&D}OI$<=0|XejR4z@58M8{g{=10JHKBVpe`V zX5}Bkto*~6m45`Y@{eLx{xQtTKaN@XCon6&0kiT?Vpjes%*t=Xto$a-%5TQ3{L`3~ ze+IMiTQDoX6|?fsVpe_|X62v5to-wsm45-V@-Jdm{w2)HZ^x|s%b1nlfm!)iFf0Em zX60YQto-Ykm45@X@;fmr|0ZVTcVSlkEzHWljam73Ff0EqX64_*to&}w%D<0U`8}AG z{{XY{A7WPiBh1Qwj9K|lFe|?ov+|!}R(>C5=@;_o${wK`J z|BPAriVpjevX666F zto+}Yl|P4B`SX~SPnvcTFQB(ifm!W8C1&MQVOBmhX64ghRz59e<Rz5Fg`DE>X_@bEA{)=H&zBp#(OJG+13e3uv#H@TN z%*vO>tb7^F%9q8gd^ya@m&dGp1oLJ%*uDbtb9kz%6G!7 zd}qwccfqWDSIo+H!>oLF%*yw`tb9+*%J;&od~eLk_ra`uU(Cw)!>oLN%*qeIto%UC z$`8V<{9w$=55cVbP|V5?!>s&p%*v0zto%sK%8$aV{AkR|kHM_`Sj@_g!>s&x%*s!| zto%gG%1^?q{AA3^Prs&t%*xNeto%&O%Fn{A{A|q1&%vzxT+GVP!>s&# z%*rpoto%aE%3q6F`9+wOzYeqV*JDl~0FR`Sh5T&wyF^jF^?rgjxB_n3d0hS^2D(mCuG*`RtgL z&w*L_oS2o*g<1LBn3d0iS^2z}mCuJ+`TUrbzYMeTmt$7G0A}S2VphHoX5|ZGR=x;k z<&(Al;frEc`!9xB`Qn(BFM(P4D=;fx60`E9Fe_ggv+`vyD_<6~^5rlqUmmma6)-Db z5wr4@Fe_gfv+`9iD_<3}^3^aaUmdgZH83k*6SMMHVphHuX60*RR=y5qE@n3ZpdS@~9&m2Zt% z`D-vM-v+btZ80m~4zu#@F)QBzv+^A=E8hvT@|`g&-vzVsT`?=)4YTsyF)QB#v+_ML zE8h#V^1U%D-v_hueK9NF53}<9F)Kd+v+@HmD?bRc@`EueKLoS#Loq8q472jXF)Kd; zv+^S`D?bXe@}n^;KL)e%V=*f~4zu#(F)Kd-v+@%$D?bUd@{=(uKLxY$Q!y()4YTso zF)KdsJ<=0_W{yxmg-;Y`O2QVxD zAZF#)V^;nl%*sEES@}mWEB`2FS=9hjAW z1+((6Vpje&%*wxxS@}0GE58%7@^4~Reivrt-@>f?+nAMq2eb0;Vpje=%*yY^to-|! zmEVI|`42EF{~>1OKf1hevcF)RNmX65%`R{k^0%72bo`7balzaO*m2QVvt z5VP`MVpjeTX63)ato+xQl|PJG`EM{Q|1D2*C}!o4VOIV-%*ua{S@|C@EB_;A z<$uDg{Lh$`KaN@X6PT6%1+(%eF)RNoX61jwto-komHz{?@~1E>e;Tv$XD}=OCuZf( WVpjey%*y|bS^0CAl|PT!IRAg>#*g#> diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsimSettings.ini b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsimSettings.ini index 38f4bee..1d585e2 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsimSettings.ini +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsimSettings.ini @@ -19,7 +19,7 @@ INOUT_OBJECT_FILTER=true INTERNAL_OBJECT_FILTER=true CONSTANT_OBJECT_FILTER=true VARIABLE_OBJECT_FILTER=true -SCOPE_NAME_COLUMN_WIDTH=75 +SCOPE_NAME_COLUMN_WIDTH=169 SCOPE_DESIGN_UNIT_COLUMN_WIDTH=75 SCOPE_BLOCK_TYPE_COLUMN_WIDTH=75 OBJECT_NAME_COLUMN_WIDTH=75 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsimk b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsimk index 91c8cf88630cd153c6ff6755f93c86f63b01e149..3f8ae178674e18feba6c8b5639eebf13e219eb7d 100755 GIT binary patch delta 20103 zcmbt+eOy&l_Wn8NB3^ufiway6^_JJfN(IxT#urf1Yf+K;LT?JbrPdfKDwTOJiFFZ~ z&`pL;FPLadzF}CAFM!D)sTn#`In^{Y(=_*HQ&iSCO?AJ|TKk;K;i8}4AHVZ~v(I|g z+H0@1_Bwm-^LA@@TB=W2O6|QogB0FUWufGLvgz7g-BRKunG(P0qv7uNe$|E!<)LD4y}ZZ6N4=`s9S^@7AJBoc6GbhZi!Rfygx~(S|!c`IVrY>$88R?t7kMn~Y^y?84C{Uya}z#o|DhDI!12E^Og; zHHl?Y!;{om%*`Tw>QUZzXWcE}JTb&fiUrS`Lp zK0e;XmcAhhtZ_Ggi5S=vyJ9;F|4rM6jK?X~5d2eJ-g>s?oOQKD*aoqb;`!eHf;fAQNGc!m30 z-9}$3cgYGBAr%~VOS~!sPn{|8>JYplOX9U5xN@Jw8#>}{#qoe-GN75Mn#FNa47`Oyj9}o-;*Vs6vl}`NUI6&CPjGf zGvQGtyp3?mKT^`i#JFUtKqF&t(}x2ZGNXf_qNJRW1kD!uP|ZN938#_Y*jy&uZ81=k znI;C$OFA#hgzHlabFxjiK2Y@M;qtW5R1qc&rI;FyV0~yxG91eY=U#VlaT| zYY66^H{pFv@~tMkp9%Mw@B|ayX2Sae*Zo(NOD4tuQw2p&MvBlt6K*x(4ij!O;a8b( zy9vL#9mn}^V(4orW+$3(eLi74$%GGXSEQtv@M}zXstF%r!d;BRfATER#K<&N7;3_^ zO!&1XJllj{XTlem@as)@t_dF&j6VeOO^o5D3LX6eM7(a!H+dK=L_=~VUG7}!ieBnc=?Zy-8~=(b-0 z41SVm8s_}1Og~06O&I061W;mx1R^hBa*RP$#ueKXNCn)x%C9!WHfV*XU7uO*sBFMkr#R})R6mfyj2 zU!wa{s`_mV^df*pDZj#WH=+j;-S!JbKqJ~gbSu+8?Ey`rk-vrM?}(<<^EWX4CDAna z_^X-zJJB@g_$!$Hh-f#BHvUou&JjSPjNilbNup_V@#ixAHqkVw__LWlL^KU1{!FG{ zBbo*ge=5_j5>11LKZ)s=iN2m_2h-a?yB7^3z{bF45*SXj!t@5BM-biiGv@%&G${C6 znSP9D8Vvj`OfM&z1_6Hq(@Th^bKhSbtiP}nG;iNW4frWpEEp6$^O|Cuge5)qZ=hnF z6-6LsBEOEQQeKR!xXS?W=%kGZv_=i|kh0@?3Hhs7estfx;`E?? z+CO&-|DgWY{uMQpmDkO1tsD3DZXCLb{+ZXqRXnZdqSP#I~;P+cCVjiqoAbp4#XYSc9#Mb``I2rnm}DlrM+T&wdaH z=c3@jU`cgg!+-GQCT-br%whsLG_Ui9E)q$M} z()ZK*LEG-y)Pa2-z3=;2EW2%hdcP>WZAez(hY+>g55J1@oJqygosQxRr`=WbH{T&v zvc_pMICkGG2-jC(*mPMa66PAd3uIDJx-$vGctV2s2_{vx8J5_i@MvFXiYoBwRbF3*N9Db#f(Z#)+WBsV~z z9r=}xiUnW!IC+jZTe&>wJWpjkog*~(`fhFs1Zur=fmsT588WH3kOhlfDK3jEiHym2 zl@vNXs6sX;8Un5oS1NHGE<_>G9c4>Rjfz%X#d>?>u&ZRcGsX9hvw;9ACg9(W|2_~; zNDkBmpzsh)OTM>Z2$HAIvDPl&+whNc*RAk2P`egyEego=aFz5?T}6GIuHrH_Gs+fb zjk0A}#niictLMc7cg01`LwkrsK_mHpe4(hlD^tBFBBo_(;*7X&T59w%wCAd)`MD?l zeG|`!J=0Qc4n}EqX7pR}^Ry|^@4QE%DF(RhT`~Rc$mH&@w4uJM#Cyiv<)ZX!F3NwUB6@2Bi9~Oz7U?s_YfDav!Wqfhm{a1- z8U0nScz;H&_U38vPS!we%PFyF=55gtWMw@qZfK(QU3*eA&YX>VPlIO7ihui^K){>s zT#5hHo;A+ZN>pJZglfG)%z9AMPKlpq4OBl930XHo^{lL1ZS+Z2-RB+gWmb-M>>Y9Y zJ)^ZHCq&^rGvnu;xRSE(?|D#rxtWw{1t&!RtebRg@1J082b;vL_vL6gC&b?S?xP;Q zA3fYCd$`HyVOkaVCN@$JM>`m$9%l60=L!-ZFq#Ehq*+}~l=y+oexugtq;e#%2n?&BwvN(;q1A2=X6o<3_s+Bc}Z8?u>Z#9URxp%03F@J7q|3wWr;f^?SQQvpEj+_ZL zFsx1-n|qD+My2r2{g-xsoj5n|Zmq6T44L1m4L&L&7A({rI4YJcSR6C=DEh6?`5Q`b zUDq>*#WxH3sec#U7Y^;=I}!-^zej}XXI6^Tg~QZ+h5+m5ST3ra<3L~OHQd@ihnGb8}nRkC;iSh#JvwW zwAqzn%|pYq<8O-H4<&>Tex3S4y!%j`)>J9Jc<5Vg#_QrQ58tPqsT4Q<_ODv}K@qcb zg*NJSv0>>QTHb5oqoou2e_zw?<*e7p%UcaEYY&JUAMtDN9uQaMCTRPs#f03%zOO^Z z-_X&aW!1XX|JL?Zi`->7G2I~*5|Z-$;)`VtZDFObE+6I`b3o6kv7A*uhfx@AGg{ud zj}<1Z?Jw3Wi4gAPx!T@p@$K@2et+8w&IcG{XpZ1~L$cABcGxQtS8UW=`^6h8)@Ud9 ziBWk|v`zcP6M6sD-q<7ZSKg%kez&MuIU%7hRG?oIF%|mO?uIu*QQi0Xs~wsgwd!EM zgMbPfbT{&DGDYS~VOc#?Z4<**+qI>YV#?}a&P&k5r^)r~P(F0J>N5<7?)`(V{TflX zx^cv<5Dsc?7mF&qK&E6=p&N|Tsl@wrr8tlu)9-6I?H_LiKcOT4(@ODKeoT)~i604m z{i;f#JvvMq_o_&KG$H(@SAtDH`e>uJ{}nOev4pfuyE?QHxfA9VZFIK4V4CThaVBDD zC)mFZo$br%DF3T$^H*x~Zt&~ZR*IvK4bz^i5??)*5dQg2Q=2xC{`f(ya;Ny|@r1Mw zDm%10TyK|VQ&YPH`MQq|EEg8exbm@=WG{CH`~c;Y96U;n#G z@wX?2Y1unP=z-B4Hh3mt6v9os_dxb{>d%>NXWy6Q9008eB+J62oE zVHmuYBr{m@ZbR}<&v$6x3`xeSJ4ISya`a;zc`ve-?k|#-x1^;z3ODrH|027W?wl31 zejC)nw+ZVvXe(Y2r`9Lm(zdOG{(ZUwSeypW6~ZozcGXWaY&z1hP93+ap~!mbE^X2_ zvHPhU4NveQpT1Ws+bWhkeW!NAR&n_0R9p1#(O5lA^>mBChuO=U7TrMFc=^NNrgHIs zcXIS%M(L`N(VNS~LGS(Q^&)!u05PJd2Q2}MQl@_hH;pA=ljpyn5M|Qei}c_7ob=5{ zaIsw2dKy5`0x_yA-8o_9{jy~izYgMcpY9aRMNZAOO?+MCuw6s?dHu=~?}-5$`lV@H zHER9;NNqhWa+vx2miE?)UD;UDXYut#aN*xZ@>p^wiDxngiO8AVZRTY?t?I>ynX!Y0 zm;XN&@jaizve7BxHumq=<1Gw}$}PzaJ`ed?Pgm7!%=Tx+of{L}Z{mX8RphOL8@x`x z1pe`brB}RS`HfNk)@N~g{C{7uxb;hxD_^mEkDwtWSH5C72Sel)i>31wOBQvH#hZ!Z za#t*_F~wn4SJ9KzxCnC<>sMtZbq=ak&{Pie5QWd@h7s+TF}S7^1D?KB>r*C9ZoE^g z5tO>on_!1bt=?2+t`I%EsnMy7($byLXu-MbFC*EJ26|{Sa(9HA+H(~hah7s<(CMYJ z{(4CDA&pBm(||M=80LQ|Q|K(G!2lB`$p$YIH#)PZYDu9pn^i#^Vkabt>5XeJl!}SO zAaP6UL~0M*&fu|AvaRHP?WcPoV`|h(jbibUtGAM`x8jkl5J?-^#ZYRP(WoSQAigFp zH{vRG>z<<@*sGEKq1N1Ym@rjY=3yw^|VfA`Oce z;xM>bgQSCDkfaR57a?KDrbeNaF5#&as~(0d*$R=gkzEY6he49TFi6s^$Dut8>=nXL z1$%vSHt~cx3(7Iu>_BnB+9VGYthWhJqtuS5JzAvN%~RIgVq>p7ETH6jgv8EW@el0knZ*~wit6Yi!!k#CZ_RPXi? zP#?9PF55df<{*oL-5zwzsvBt24YW%Gi8Q*Mq4w;TWU$wXbe~9--Tq@p7;Mxi!r;(b zNz_}h%2tRp!oW~_7$g}CgCskJft@NTb32=H^7+O;!`H+ z1SuP22?=5#9jHJ$^toKrE&&Wi&3hizF+}q67t7#sG)l=_VWR`M*#>DOMTJ%5Ju=44 z;|!0dsN*6=XmG9Wn4hi*Lt9B!j!qc>>B22=X62PX#Cn0D7JZ zb!AUPWEUOz_u?9*Q$%XX>fni^-(B43tVJW#`3+Q}@2jN~MB*L2u zP=AGKqm(S_90nH|1_Lv71HIBfBJn5Z3C7wxQDB2KEYJutJmX^$k3JtcG&rNFxfKHt2w|g-VPQaY&U; zNwNsMV064*R6xFa=+PS`{CVUR1*w%vatG2dpGfk6Dl*g_BS{8hBuN>g$dDLiQPWV` zrZ;j)ZzL1A8?6vc8?{2%iS{5#G8iLCc8U@Eh8UGYkxvxqsd6V&MXis;zEdz#0m@*& z|Kj#&kewTy^az%Mk*nL8LN-a#2nIv#!H{Gy7?PC1hz$uw5;curcw{4Pr&n(!QMN*) z5e$afgCWUaFeKS280;H@kqAXTua^^M2y`Q1R-xbt=Z`p$6ONlO8h5CK2yu`}R9tDs zv4hv1NeWRGFI{R->@IadiP$N+S2nN_J0gwPF=WI}>|dTRvRxZ>uxT!`krrsP>)NbR zn>}27xO%i{iOD9x=UTMxi+oy7fUc0{sfDiVf>TQqh$~Dj?1V9bctbq%>YkNSN!g6l zA=ijDT%&6~WH0s{AxQ?)LXvui>8pHiKFl%AJQaE?{D2Fs_+%qQ8jUc7MyP#?oTP)T zNU~E}ux|*F3z~fYUe9UqFK9rYQ+SX8RDh!RfT98vO*_a3o_%;8dWGkq>(L>polYxW zeHigG9&>GU+I62CRKh1(ogi=yk@5H_ckO_X6Qr5kf>e@TD@Cu>AZrmx8+qP<(ll5| zGI)MSvQr?~NCe_My2n`jbkW-=CqAJN2R$yr8>YR%`K+b98>EKsz~&{A24qz~MBJMt(9RMyzwXYlnA; zK{{@f!Qo6@MHZ__cjlr#9nO@S@g#2W6oN zn(oZLqF>EWKz_AQiCYg@Fsem-TzH+k_Qnt=GRcWnUEZh5yC6@;w2>1olM^@&I)UR5 zC-PtwJn*8j?>`0TwRGncbQ#^9q_NuV{3q$;s{_L5+@umB#6VwCfxc`*5z?1kcHr0Xmkwh}%~BI3}X*u)Eu}gbdKRx|V!hOCq$;v7seV*Fqt| zu|7!gai=h(P#El}?0eCpcjS90!fWu{6?cjA@~xH9bcr%~sugD>T!wq1kHEjN_nY9CvcxPVQI1 zEZ=rFXF*qF!sHG3r;7w~96e73dY%Sh^gIVECM0Er-x*0~7dajbX zQtJJJrbNj34kOBZAFLd_ihu&k9 zy#6eQd$_5vq1fXhqxtoO=}zl~z6O(gDfW~Hne^@gUW;%Qhv7wrClk>J^l}KYs;-)3 z3Yu(y@D*a~zFI_4$fhV{x$0?Bk@!M$TO98Y3pLLZVti@5H49c3<0*@nQyL?NZ5}YN zSr1qi0>)=bK~r@wV1Io=94H-l-Ebos;&DV{skyDoMq}@q&e2$9u65aHEJCd-L}Tq` zqLCoQFBgrc%xzsZ8ZD2DuQ$cd`yC15of|8?bCZR4gCtO}$cmx&TJAEWV-m-VyCV3u z%ybgxj3ZgfI0|3|LmQ@qoM)AZ-fXZUd{(?|Dz(REdHvx5Ml0;PMWhH6|>9Y zyV5$L*pnsJmc@>v#agkamEvNGe7+uezD0=0=Ogl$NT#5vEg1QukBYNp1Fs)zM1I9; zM81g)p*N`zb_bc97!r1ONj^W@PInHJ;}IP5>LvxvnC`S)=*P9eRM-}wxf+7N(d|&peIDvzCe3j}kgG!!X>Vea z$JcJJPO-fdwsLB@ps9uIU5$42h+)i5p)s2~GtpH~7ycZzK`ZI;2Uy&)^FAuisg^L{}VJusl5A$r4@4lidH2!!@Sm4Cf+mK??ZP1B+ZGYZKuPO`<*u zx2v8W$Z_q)6=eAu$P}EY09aS?l!_}`5`x93*>hn4$3@;|FgXnQcsntbrY}2%!bT}# zb=8jt+sztRu*P(!waIfH02T!#P_i}~7Bm1zK1UCcm9*N-<1iitcyX(kUV*!knlC72~>EMCsN6y_bSrKc8$nh$F~9ktdFA zwO_LXpRlCOoLclj+Kj11Kcr1hEBbkct7KRi;JY)1{(?ALeePv7fvpAV=8AF)yc2B| z4sp0*y_;4f^fd7ErGda{9FKzHizw4k9wZvU>j7&&M{g#gETc0BWje-yOq3T<&PSPt zDI%ZvSge#&iF-K*QTD+Nu4a@@+zkAjaFmx&hT$G*4-0M!6mAX#k`RJ2dR&RJvOEx= zrG1~Rfj}P0KjUG0DM}|^6{td4hVlr?#+L$tKcoB{??L!bhT-iO8r0_F%^N$)M!ft( zGtTFD>n9y$*`Hw`O6U85z(&G92m~s^a9g7AFUWlWl^+EHEhy)I0!vZmwFLt7hE&qCRxGHw=a?WbE2*?_TD^X+e3hr^hgIi`gTI51sL6v zL=$aPP!n_t`0&O^Z_<$-nMAUDI=m1F#7J{IhWbS~__;z1-x)hR9j_kULpZD@DN;pe z%SI%xp(+X39$@9Kh~+y+y8E;Q0#5^?R!ICJSf#*@kSTi0R_GdgE)aN8st&g70N#ka z?-ycy3h>kKchr#qEdRqmAc|Z;r^cW_dv0Yu7`Yb$fnKt~OfrJ5n%8_42)rt>T*5X2 zvws~3)aV%LsQ}jaO(5`qG^~_ZBwGu-$9I9i2^_fH06R%Z* zEO-%uj*Ef7NgbnxXd&|nuumlBF&c6JulykpSSE1?rNSs+wjTq5NrWL4p3)}u44=5p zLOfbCrGrN=sxWUDF>y@Hhrx7#$sZ&d6@feO?*%X8M-lnTfbcyyZ2nPEPE6f1uvarCciMtqJ)Rbi?HQn1Pm67PhHJJ6 zaS~K`SMlYZ;n9vWQkJ4iTdNqlci>f76cB85ve4E{(Zyzr6QyGD-U({C_`}|Twpg8q zG3ybM5zAidEi&!5X!t3FG%dEqwXal-m^p}8in36g-JfE7scn3#enYin8sDNzN>Pk& z(2Z}-tG7#z@kzO-QqsmJf282P4lIijqZmJeVP;sASj9-Pj(8l#MKdCgEjUlCXT|24$??^C(ilRK zIMDDFL96(-CT^Tng+2XE)c!GFZEIBwLSvSbY zb4oB(iBs$$I8AqVVX4(k+%*;@m>#Hs~V_)*`&oSV^@!de8C71DqYYd<%63HlA)#(q}s) zZ=9J^EX-EuaU9{siOCZiSTsMwO|jY%t@_B4uwQ`Ch~rjhiOCjcNgX^%;*g#W6W%&i z;`3#p4A*hvB<9%=ycehqElLSJyKd6uTN0%L$^qK$WW0Bz(vlgjTOAqv69k0Gt z*6*cHdT04pV7}uvx*`Lq4M#}l^ylB5aq(}-RClq97E4$R$r&TG(dizNnEHaQ#h6%l z9fC&bX=Jq4`B!8P>hh^$rDeRh#NjD!^#bj4y2DzotDlBa5~~5=WQj4!@v;NVK{{?s zUafkC;X0nFpK=Ryd_4amP6qF1@;-<6%VJj#<`PqZYJGBz{bma zlv8xvm{oa63VfQ5XVYB+Z1ZKoUyM^LNqT-5mkw)n`CO+I+>Sh^t&DL+j5!*OpGJZu z_!tfQ_+NB+W0}FLRmfY#G03FxelG7f(>}h!D!nt7AG|_^{BGhVm+RUbde%>(rm-E= zYqf-Uaf)h*%sOjy=x-SPjoZYpAd!uzO=oO*wbX`FgSYNtS$$mmM7@7<^)`(eVH|PQ z8MIF>z`BFZ)zH530;eb%Yae6y6kPWh*ZoG9X}L+ZYDBtAH(A#+RN_^#Q2L4FhB!5u z_vy4xUZ6`FD`$;yIW50VjnRIS(&>aA{E2=uyg?snc(tBeoxp={9H1 z{G7a;IVWJ73(pWOuj)-$DHwG_99Cgw}n0+=W+88<;BxqH|y=RAaGcwqEOl&?o tKEirTa%YIo4)zvbopnZJ>qx$cKQ|`A_?4rr;vP`TK9!?Q=F9Mtgq${Py$A*=K#$+H0@9 z_TFpnea;#8aYM}uh9YxVT7Q8hyP64^?`|FRVi&tvL(N;yB{*h3p;9~-j4CZ+7C^V9 zbiq{0u5Ec4pQTJy zGsZ8^Av-bUK%6wK-``c*YEY$G4X|4p-Q%UN!!CX6{XBQnJ@3s3efNhE$!mJYgIBUf zC7~E8Bs=o#Wpo=v*ljStFbtA9nIYX^7H315AyOR1t~)^th!oetX+(Cy>A=Ws0cNon z79sdJ6F9&GrV#?Mfo3Q|eh`U+C%^_loy=kmqf$F%il2}IXgz6$Yn^OjB%|zsX7NvU zmmX*ntxWR(qQwGsJxltxb+(Bs8R=#fr6D~ZwssyO{=$l$?Q9l3aHaEoqR6D~XQsW* z?$IIeUgL+iEKqSzN8BM4ZBiL0 zIx+;yW)*Mt!&~xH{H!1D+@j(wez@&UO}<{u12LnYny~*{bc`+>$K0#KLv?to4)3nR zujz0KOgwo#>K#Qa69+Mu>+s^hA4O|o@{~#pOoUV9bht-{hwJbYb!z?0)e$;Iv#vm-4nM2IwWXSITXcAoPTs4-d+P93 zj#K@;bc}19fmpN-7wT1lx~sPi57Oa%bhuH6$LMgg4!0og;5>_t(U*gm$LjEYI^3qi zwXF=}Ch2f(8DO|whYx7i;}B#WV_-W&NY&vt>+pFxJXVLN>F_umzCwo&(&6b0cc|0s z79Ar~S75LXck1wX9iFGd6Lffy4j-b!cj<7OL&qr9F%orng$^I8!`(W3m=3Se;lp*f zM~9ElaOSBKI>xQK0?j&nWL09+2Z3OZ`eU1=tD^+NSFR^RQkv!L#-IjPMi~)SEC|Yg z9(WCnvEv=lD~RW7Q7{eYLNrA>Uo)d6qA9}pJdFO|b4XKU^SK%QGtm^$e5H*3foO_k z4qp)iE)qb&+~;KUIihKb_|h5uA<-1cd})k6Ni;<;Un--I5lu1QXJ_a-5 zXo_Gy3#0cFjgiYC_>2tLO8`YIpTOwdMAKs7YyAs>0o#bC$mMHcbOF({2>6;Ay^&~& zU_K9{pCFnR6`z~Yj}lFh%vZ|jRYZr=to0Q!U@-v{xqMDW-$OJ-EMGdKXAn)1%9qCI zJBg+U0`~XJPapqI+Zg=m7@wBcKn_0;78p9Yb{M zpX7lsqAf(XFuDuT6kvSKjFyO|z~b{T`hR?)LQ^4@0Gx|fKDNy**7=4mx8uq?aMjs=Z0)x-a=)*))Jn-2V{Tk5}2YePr z??-y-3JL%|BLnu508MQov1hEQP(oUWml(h$fG$Jth9v2I8mL@pyenZ z$K*%!dk!x5?WJ3Ai4Xd%0jtx3+BQEPCQwALiM)?1V0tmB?X z(dd7Dq9_`B5jsrA_GTb^T|4{GV;$IbB)xOn*$45Ytt*{`q&Mjk#lf}j+t7h;L(&`7 zUVLj#2euhW@Bcn-v+c^J4(v=My`Q(Uf5XFuu5u@m-dbcIspyHpPHnJwL3U+WZLV2X ziz~%y_Kt8DtSVLm!U&y@2oc%NnJ*ISL8WA-MYaD4@7G>{ARCA{xZTk?~w zHVo(XdmD#w9(1hR;{+Vur7~OWHr$Wdf0;Z-Wse> zuBTAK8oTcljX1q4uo;@i3cEdw;aSelqaMIc$qH(uzHC^Q0M}Ae#o;h{daCr%`|#{^ zyJ;&rO|GYn0BzE}8{db^)9pq(Lq~En5ys4zWb#p!^+_B&_a1DYG10V*p|tg23bNmW zOEZe_@y7a@b9(Q7x7|qDl^2BOxSV8^a|{l+f6t6?EY~EM`wR2x^y804MyS!5P(uF6 z!bcTE^--x-+({r6qEam?^%s^#$!3)zU7^OjQ!Fbw&$3-VF_C9HBx2g?hMZaBr2QwM zaaN-A*h%>Btlpv{(OAXKx#`mE zciO5Legdlqhui0+NMD_R!g(X4{Y}s~Z%)+SroU4!UH>f@THt%`b!+19~P|us}45glDXkr75#ZLA$@~&s- zxnpYAGnBfXq1nga()>lD1@2l9X9{GzWaJr1`}c3b<^{2)_ZvvhKR8Uubr_PF#}J_gFnP%`>B(9+ zxMXGc##-#Z9P4c~@HJf@9EKrFdx`yE%F?*5LCE+0f*z`$SOU(a@nQ^AF6|vyc1Tf< z=E3Qutb;@Ls8|1lB$H zr8KGvWnpF)aADSvvAB3K(Z|~i`s=bvv4^k`d-t> z;nM4apRI&}k65HRB_KZ%Z=LJbM%5TLs{RNd zH%#F*fA$JfnEqsMs9hNh^^c@W@4pI(k4E>3Ax;xUB3gIYc*DU)vF?Z)Ry?{zT2TqV zKDu7|;T6bOGf6sF2}jrbCVlcU)MSj3KHm?&WZWJ-`T%QjEH2pIy8YOiI4th9;vIUP zSDCT4y4Tfxijw2envpR|=b1q;VO^YPgooCdrG+K1d0o8K{Ib@d!K^`(A;_;^Rswfq#!CzK!6TW`ffrtEtNKu8qx8Xx;CMWG{OK1u)bSU2G=Gb=84afG z$O)W@81f1BWn*W1%R0*cq}KTp@n<5xesu}_`FOmv_azwoM0B7PrI|Aa!l6tfMJq~q}3o5Xb&J==ui*P;k zIy%ZHsHP+kKN0!$8%iMN$#}_G4pX0u4wO)u)wv^l2Ub5u#BsC}Rfp#K&^YAu+OQ+; z5(*){195Gb`RG@({j^O zq~(xm>DSo>VIMrlnwM;y8=AWt)neNmIRz5zftzv@|6$wRK}RtbrkyQrb=&3&VV6e8 z^)s+Av;L1~I+Uqo^-7rS%AGD{KLeL?7fF_9Vd|!Pq%*r<|E6iunqBbwCc80_DyyfZ zo*rm;arN3f-qb?c*mj74r+310nWb5r~=d0B+@dr@6?o=rR;-Haq~xuC|kcg^Fc0nGrpVp;qwzh?V&Mhj()QDBjA?wzvD# zC8x;-c^*6GUDt9v7^$ZSmkf>hQ4Tz^@KXhyoVr@+;Dl$F>kdw_>cJ@mE61k&{lV!L z^!MMmfK=OMS1_Jj%xr{ITVX*#c&{FZs9pa^^mvQ0uv|~iKUv>h04OYo4uAa*MDz11 z&=GXnQ4c$iwn>&U00wQDCUplI#HQ2a1UAm-shjuMHb~iQH#r$fn|g*mvW<=6IED`8 zXwPjpisMITUrv(q*W(<^&%h&OeSfSGR~o_l(N-FR71V!ta3`*X9#zD;YG~6b8)|C6 zwzr4l{cUWGO}55l^k#e<-YqELa(YQjJ0%(~_2Nt$y20g4B4@N8A!pI)H2@Ek8wK=u1rSE zbJd(B#fF+@2@>~qANnWCVaQFkPFmZ!DcL$(yfm)vyuKJdY`DHy?;0$Ig+}6UHlB`V zi>&kFcvW5514r^Kbb;#0Qq7a|(33?Zlhu@mkm|`Nx56XkF@tT~S2LeRU;U~# zog06(5E7*b1Qnm<-7*tDk8>~;?CdYWDo4vL{~>=rZzfTf>qCFqUU$fL%pn~ z69}oEUr-2JD`EzZb3R=ROB8NME6vX8H*E8Qdt-YZ&LuvoX1_@)8Z=OQf0#y}>2 zc`+N`O3X}^^M98MM_eXD3&S5Dc(WiJGE*hfNJZfh;{v>IK>OsZ1{v?^iFb7#-1AEB z&ShA}Tbc(OUWp3HqI(PW1#T03`S8LkF)5ETl_S<-miXZzRO$52CQA#)t|Z}=>U}od ze~J3B;CGwI()m_nGLFEY;{jGIgjS8++!>6OeY*dI?D{2S+i)z4@?Yk`_)7D@Vg2yk z#+=FdpNyY9IsZT7XN}MQW42rvZ$)@!O5C3~#)7;`Zk3}lORTa-IRm!2cNwsW(CW6p z5qGY`iC!FnYQNc_DAjo1W22&cfw>j)Jw#_K%2mu8v3?^iY8hC&6>}?EO`C%=nA7QF z7J4j=__!iCDc^v4pu5pCbtvC~^*?Ud+pD z6=g8yv%W~R~021^B(^}gE6nhJQ?$xUlnB@=1cyI6%dYj5$0zwKY;mF%uisx{hFd&#ysFR zMHvnszt+FE)2S%b2SRdZIx4Zx+UQ6U`y|!?;Z^t&0VQxx(3WVBX5i19r6^_CXkmPa zaZ*ULn2nw#6*S%toj9~sNpZPYom&2bQU3Y0ghHj-ZSeZMVX`)F2csM*3&rMg2Uzk zq=n=V3n`>A>qYE4s$o(H4N)db58OQX2&_8+$ssn9O~w27Dk0(Zh@NK-D$3o&Lffbo zVpG}ZYFPMsM8uLe+DqDybpToEHBj*SP{-vKMcIrHDJA#gU>8<=g=3Zs&?*W-l|8=3 z;iopB%_s}fd%kOzrSiiOlf8;^9PhEboiLgw0X{|9uj))EjOI+~5BN&4N(0H{bOci_ zE6M`3DMiF0;XK5*|I|_G9>h{{>~BXtH{Old7k*eHVk=q|<)kXdJ}Ewnm=)*CXToq+ zn4$|y)Nxml=|$!QLU6!tk|uNuyd#^!kzm9L7X33MycyAtCadLVMPc7JaWNFJ;7>-L z^=DZ2W}mPb_4=~`RAen1lPuxT5zgS;+9N-QMEB)GCkD5 z#45quSPQFa`$*wpI@*O2NL>-OkTSP}TOc=shlF6T# z_%xN_?xVMhW_adkjA=K$q`-tT{$a{{Lt@li3BPI{FGW-()fI_wwm#9}Ar^LMDa(Xm zTE1AzRTM`nJvYO|KQ=W!tN&f*DN5!VSTK z4C9YQN=4n_o?{cmR46+ZDW>5y+z~494(wPI`=C^hsP_hZ9K=5w*K-Ycl=tk_BV4|F z+c(I1t^ub%`vw`e0v(b;2p9Ob>UxGjh!FVb>4-;SKk5gP2mi*#A&DLp z=6zMpxRHX{52rOn6e0zS2QD^FlyY8zL2nOnl&OXJ^(qs(zV%(0t=&~Oa$(GSX*mD% zo3j!S7jNL**Kt-$0`6ojsw6#=Vd+N@W2mm4+-+9s0aEs-E86`pG7QWtb|7l zk&B+hD#FM+T1e31g>VHm5uT>?0b8N5RG}vCCU;|EOBd$l8qTLqIF_gMD%Bz$r>pdZ z2oK}%73jqV>E_dktv)FGE5|3ZqQVk26S`sSA$dN%*n)w)%_J{fKO4-NygOD^Kz&9j zf#Kal1h-8Ee$je68Mflz6&ADW26ioC*H`J9*r2K7p@ywDsNfVgi>(!cz}6isNa}oGyBs*Kp+~!Bid)GoG=4S??j5L*}o=o>6*9~eQBZmx}j@;9vKk*WBjclZ-y7={)R?7H{ibQD)^=}hHA@O8n z)KoNp>Ma_iGQ;q&L}>~8J4G&CqjqaDf?prFnat!0bWJSQ%JTW4N(#cuTG?i;?MpSr z5mxpccKwK5&(k&PCrzHOC2S{#Wqu>>3AE>Z~;cXZ^F0ziSI{>Gw2$XtI6}# zo9)z4zKF@Z!>&KDYftEVDl#e-Coa>!L)Fhm#W3O~S~P8?yHxH3H50~YrQCk4m!(b^ zHBFN5rjBcphAwLDHf<)*H;t5ns@`gLiZJ)X2~{tA7$Zs}N5YYha$(Au`(l=6F1>$k z#?t#nBnc}YSb5)~2Ujj%EpYJGVesjhSQz^8958*4YwvKOzyz&JL_v z{poV49UBT~KKqwA3d(|ShS6QSRTZBLlOR>>kl(I@u$F`_d}}7}hC5qE2A8TugihDbWBtiaA>J17k(Zz8Pj+1V`KiN*5+a)w^50J{eJqE>LYK%4SfxtoNPfRv zlqGA#^qh&hH#=1yt2z_aZCl5WTPON|k_UxYY^aZX65NcRI-gJgnv5 zfopoT+swJDD+R-N!nXR?0E>?8J=gG>mqkTMcN?g3s#a(ve9D3a7h*`qtoxY z$Jd;@U?kB$nWE5|Mmt5sbN8v)npe$@)t;|U09J0Nu2tVAThUHqSobBhT-Q8d1&DpUL6m;Iy>8X%_^p;5nJX{AI``ZTYF@?^rSPHtAgyy z^(=3hvdiGn{-B-5jU3r3%7N7MS$U?lzfGE50qtIEZMRw3r6*wdkEPe`yyw={@>y-n zlj2^e1$nUpX5lLoU!(X2#kVNFL-9R|ODKLo@goS4l!M@=A&USS=?38afMo$-QhtdR zB-8SwR&c_QpMgq#4kFR4Nysm#({3;~6l<`#OM}gzs%dD+lOQir*DcuzmOw#i$Qw0h zjRu65$OFd-*NNi6kaz^3su{N8!G;u8Sm!+;mKKkB+B z*jj^zNK;hqicu4MzK8~dgxXxZ9R~xxFhKCLkZ8M8wN+g27G|U+kXXD!5$S>@3Tq{A zm-1b(P+=utQ!8<~h-B~9xObKEy30hNZ6zuSHp=d_JkZ)-d1n^1+#P}OURa0~ZCurF6 z$3u_<9z}5Q5B9yv4p5Lw(&^}L$d9jvfK!@D*SP1p9)8BPCT;y`V@tQO zM_#~D61|EjyQYC>5G#(WJ`g8psyG`qs5oYeKsY=cs5z>CmXq{PnpW9)9;JubaZ^RT zGj4Wq;shxzmz|a#oRPGIXu<=4lgGfnD&T#=KR18xfhlM*pc5U1f0CZViORycbDcg5 zDezhF4`%e4F+;C#hK00a0OZSN%Tx)QXeFpM+gBs^qj6ynD^9HW3agQQ)6fP$&n~<# z;5-fJ{tZN5P3jTn2=@N!wC)CKwKckb_}FzltPdPJXVlyHlUka`X(1JWgBA3AVMti zKx4|Ch!2A(Q`QLeX=1t&9zsee{cR}V#~R|tAVMedK;y@qh#!L}Kh_Wn5Ka6xAv4k> z{9`uY#~R|tAVMedK;y@qh#!L}Ki0J5w^`$dujcXquq8^Y3Gc^YOWl;gvKRhV{2voH BvabLD literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/alu.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/alu.vdb index d27c05da37fb43b6dd2530f2ead8a26aff9248c0..6e25d8ad923dbaf919cc9c0f973921ab906f7e60 100644 GIT binary patch delta 50 zcmdn%v)4yNC`X=|lYwCY0}wbosgh^rP@gkVq?gfl<04fyCiOX+PqO7Qf@lj4RyhDu CvkiFw delta 50 zcmdn%v)4yNC`X=|lYwCY0}wbosgh^rsArfc(#!aN<04fyrh10WC)sitL9_)2s~iAW CZ4GY# diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/datamemory.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/datamemory.vdb index 054f567fb44cde2ecf1032745932f50d0c642f88..87f0799c077dafcc244e03275e8e730990b5dfa3 100644 GIT binary patch literal 4253 zcmcIo&5smS5P#i0vpX|J79ol;B&-2J68ykVB3V-%c3^vOb`Ro(Q=48kGYuhLNQ}lX z>p)nOWeFlEDA+p-qKk_}E`CI_2fsx_3pH;fsQb03HWJj1 zeR%G8MDMvXr#_BqUj6BE=vD)N=h(@kHLvQ0wLKpk4ZR(H@P34yWx$SF6qM(qy6Xk? z6VXK34@TV4Ao8mI_Gm3*Cgfl#btPw83brC3+iA|t7Ak>T8FRzh<~PQxJAU5tN=MY^ zm%|A!y!2=8r``Kr{e-5x@QW7bJkKjHo4+(W3nS%We-tWV?c~MT=T~01Y&&S4HHna~ zgvmA;1{=Exu?$TfYE4bQJ(I7_Z*!krIH>iV8`=0TjtGO{o-rv^!UU?t+v508IOxSV znccZ30)L!Iw}66>JAL}}Nfh9yMG3S~0lIk>i{Xq?b|36VwRN$-Tu`aFM2^ELhq7}J zsg1MUqFC>)Sg(KP&`@Kp(Tsmr_SRk>Yun?2J$@Bl>}7F7tmDkhw(xzRx7OXFb@<4n zbNI+Dy|p&RT7|Ig0~Nb8&ZO`;>LFY)Fkiy?T_W->kp&``iM)qIIZ#EoZHV$n^KImJ zA`j<~b>JP+V48=6M!J*@Z;^$INUXHPg133lW2n9=#)&%j8f=s*S7=z< zm#ONrU;?*Ar`?A84Tz;tH}K1Q-R<7CPV)rj@^h*=s!8~a2rkmZi(_xH=`AQ5z91oy z_92`=L*!c`*NA*axGqyM_oDsq}x0KjH63m7=6X;@|%uR`I@0-!RsmRyusmy0y73*YPRC1X*8jU6`QvQ2JEeD-{KA%ayW7` zf~H!+2k`ewd>x?m96u}Y_yreLAHlgOU!*NqwrBlKkAv)clmD z=(0A*EVI;$OyvMQWpRd^$nMzeQT(G5-a5d#vX zN_d{GFnlQr^xY$wtO%Xr07i142v15R^<*D4EYm6zo-GTLnz_ZRQA8=dQs51HA>P&f z&}iZuZfLns@y3SBwXu5AfTu7Adeadf$sN+wS1i&Pg!O1N$GNb&^t+1{j_j? zco|O$hmtG2TPQPWeAK|Fb3dIU*CDLo@HMl%jl&k;#%l7!a4zeT5X4v3$8j6r6p4iK3X|DUGabejD}#6&7bd&KiUg7HqqkT@LHO{t5vRz~ zx9J3*NT6Y;W#D8w419?NI)H@9;uDERhq&nE#`BEv$wvs4DPQy%A3+jHaq`ehM~@8Q zXI7`BKQOd~4aN-lD5S{NObZ(g4D@{hyQB(4!ci6` b6VyzH5oH;~RUk|@$|C8GGJ;uwe@y%X6>fX! literal 4136 zcmcIo&5smS5P#i0vpX|H79ol;B&-2J68ykVl&q-^JFq=CI|uQ?sZB4NnT8M#ni!2? zmVvM)%MwITP_TCw1Q!>HT>OY;4}Ob!(wJzBM!k6P5AZNn)$8hcy*+{+?4)a7y{cFB zt9sS--t5xdE1jZJk-`UlduF9m`0lSKM~Jgjf4_o1KfLlD)YPStTD-YD91b_!ZC(Y2 z%cG^8fnW8iZs=D2xctPg-#+!rAnZ_Tz8ycX8*Nm!>^jVPr=k(B7EM9j8wwhJJ*p1{ z^~3L;J`&M;`sDHVqq^64yd1jKz~5FocBt-Ey|BLXokO9w)eqi|aI(A)C+bm9o{bu= z7c`DWqh&uBa)*P+tNL4_Rp@UK0asutbtz|C3N|4i+iA^A6)J&Sskvc&!>c3Jtv~L3 zu_Nm7%i*XOUidxt{f^x)eLx}4{iMY?&-2QQXD>`m!BBb7ABIX;KXz{F*=1)h+76m$ zO(NtgVX{Mp!NwLLmVvST)8iB8C-c?W&F<54d$qpvPd5IGBf?;~XG}_!a0Au+jd6Y` z9rR+J%<0@Cfj`1zp@5Q*J8|N~F%;mcc}cWU0eW}}i{YeFb`R`9wbik|Tu`aFM2^65 zhjwQ#QX8Y)s95jTSg&_-|3Gu5*^2)z>#n^f*0x6id*m|g>t=CntmDi~P2+oacdgq* z>%hS==fJ`1yKAkBwF+Uw2P$?MoJ`?!)V=t{z-$TQyFlbkB6CD85_t=Wa-fQE+YsfE z=G(|`Lmo~e>%beN!88whjqOr4yiOL*A+gdD3(oVRhfsZaj1zV4FxWKHG$dS(OxOyT zvIQl-fK?m@++Y}Mx6wTij+@drgk>BFL%xLRhC^iL*J6$pr;rhdY6ropGy-86LBbFM zVdR6*c{U|U*GL8`&t7FId9|crsx~J77BswG5a>>1{ePdfU4lV$czf)yc&0f$-5i)0 zpGZb4beXCi3r4XmI_);xWk4(qyMbTccya9YHoXO9 z!zUyp5w+ZapOV~?x3AUkm{9^g&a&YJHU7^8nql6zg@?Q9iGW|J`FNl0el!W>UJ%D$VqyQO@)clgb4~M*6odY^E!3A^`@4ecEf;$&_j|GY?6yP<) zAX|lX`3)t$Y0MR~pWj4WR~F<@aRVCER}|eD;-i|rwfI?zM3B(#`K9}O7b(4bQ0Opi-dvZq`12Cf-Do;2_7@#|VLKVz zf#f;`6R3b^p@3)O!gIESiBIQgeM%-WJ@Q zmkpT(ucWv$28S&iCJnySnvS2AF@zSP$lHB=_9os@izmE%q-=DnD1zD+S)57uL$)hsF>ir7uv7 zr7RaJUTv^kuQieZJcc`^+lH)2Zh@}8B#}lxtU;qW-Z2aHX?q_uA|{W*vqxdN#3G`U zo)LgCjAQA#A_*ZG7_rETe-jJsmgq0I*|zwGsCwXL)LEUx8{ZxurY1y@i-aQ*Cez$9 z6Gpd_Mch$^$#y%DAQFCbw?hZ4}j9`}H{|NpD DllW&* diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/instructionmemory.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/instructionmemory.vdb index 67ea79acacf6242688aac233af5f3f7b03a069e0..e5ea2c5f57cd3f0ddfbf1495ce60db1a0a6743d5 100644 GIT binary patch delta 742 zcma)4O-ma=7@pbGBr}`csmX3N8|_X^E}CG{f>3P5A$TYV>M2J(^x9ugC|JCR{h;AJ zw9tc5ym(Lu@#4jb;;j@scohZzfM>zI6S^ z@xhP8D@QeFlTI}DA_grt4-C&RDo4%JC(58j@MEC8omh^#MWj&8qv{Vw_97wsMOf(Z zGGEjo28jBpBN~8zT77Hhv+Nz}GL&h9}{#9fPXd3)h_4Ss}%6S7Ob* zsW1a|_7*9hlD8Gt8G|3r0L)rZsBtGDMWIW3tg&M&1-r=v6u1c@l?ZIdme@e(_5Uhx z2&9O@3u^%8%y|EjT8a>28d^z+T(_hKzpn?Js9V9l&ND+$#vQ!0^n4#4TzDfM&V<1$ z^G)toXtz{tguGUZbe55a=HMhvL+|sCeN?R@subLVzK=!i!b!&^Rxt8a+s3liTq6e0lJ#GjsO4v delta 1819 zcmcgt&1(}u6rb5mx|!WgH{E>HwAB4*53NyJE5(8Zry?S~sNkXW(n7EL4|r*H5sSoP zc?T7tZK@tr6s3X}DWzV;|G?6NXQdaf@y%qDY{CY5s1D4>n|WXJdvD%6I(=|CXWw#E zM#v_?#(&;%)RgP2X@g8HNl(tHU;sF0)ln~u`U zgzT1JKH1k_=3jAx@TX!&FkqkHtMc4qi>PPA?uT9TDy~?%Mi*h7&B&7>7}LApbH;{E zOM@?lD|h>lp8>b0lJR;x=D``ADSiMq>?|y>B$Br8Tb4CqDHm$iLtp%0tI;Y@$@p+F z-35P)o?afPgcyot4O(tQ4h}P+muh7TC77q+RMv~`uvO};UGTbkA>FiB+i|*wTiil8 zJXkPO@ZHSIOJ{mCc&7K_#eWz@_@(PGs%xN`cDNppki^O~!qRH=G0VdYGo<@seVggh ziBk>lV`36(ptl~;h=Ix$-t;^>nXJgw92@BNT+518UQd8x8FZgOZy@DGhb zGg`y((ULjVLCui>Y4`Ei!d=8{PQm-kB@E=W8F>+U6J5Aw=P{2H_%Jk#oe8tH*`P)I zN|;0~5VyPguOLOI|3eg8a6yytDIqfNXfN1@4W=amKd8W(Re)W_P6Xk5$6UqcMc+a@ zmd6trk(PBDXI_YG@K;e{IYOxSAKVq4zpWScx{~D5i`A7kD@i$`zADyB zeh-HfCup%%e`l2k8RVUeT4XL$vGbck;T#TW!n=@Ryy?CCVdywK$vRV%NdE$cAKNeh diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/ip.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/ip.vdb index f291d35e5dc5f452d46b4b490098d31b772f1506..a7dadb14f56ba05ee552dcf9feb1ef3ab2ff1312 100644 GIT binary patch delta 62 zcmbOsGebsHC`X=|lYwCY0}wbosgh^r5ZYckQM8NEVdH}Btjs{p<|wuVMlfS9`$5LZ NCR}2hr*O8g000M`5;*_> delta 62 zcmbOsGebsHC`X=|lYwCY0}wbosgh^rxc0kbqG%Um)5ZncS($;H%~5O#j9|uI_JfR* NFLH@(p2FF}0sv?}7A*h( diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/pipeline.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/pipeline.vdb index a912e011c5c2b0963c76fe4f6849f732f6731a12..5dd2bf494333c5a5f5c78d9da1da7e0365c98799 100644 GIT binary patch literal 30143 zcmb_lX^>q|W#g@4ys*i}#tsbWi9A>{Ml+-WRN}CVG_n|t4fz3C zfKbdxLo_y?Q50cf8*DT)#+w2N*&#M0MA<6|Nx*Eztd+1Q?5WCL&iTIXue2vz@cTS(~zV~+bc;n6I_6#%{hZ=UdufOHop0(e6{8x5@YBtV0ui<|1@lSlz zjW>?0Yn-xWcx-xX_t5ntqi$??*V>Ws;jxh&!#hSFee&}^HM{d+cSECb?5S|MH<*Ue zm)x{JNF6=2b7cI`lA9XYI=Opd>d@5I$*KL1-E-g&{@rueyFYelYGn8J;pw4mlM~mE z-@Y(4vTbB~>c&SGrbn)um^^yOGKZaIOdXmWe(2Edp^?em2M_HUo|xP^G&Xr?WZT3` z4qZUZK<=82#*v;@v*BK7kXk)^SC-a}P7aNZ4^2;Pe(%n0*L~^6cO_Q;#PIa4k?F(V z=zZdbn}6pIVe$vQ;@Q0;BO}A7Jal+z$!#6Jc4EwpPEXx_|I%Aed;bSpUao~&SO7`= zE%}W`zqzq-?CAj|K;fUE&9i%7IyteENjn2jdhfjR&fAS)rH;wm*bcfm+Gw;|@c*$3 zRoBYWa?cf;FL!%-;GMTy3fxyWs#5RRH{0`$eH#j?M$;|#S-BNU8ZEcYia*u&l-*r# zcRg+i*-U%g+cOJI;2sovpC!#Ir|Ax{&`+EAnO54`3o_5i$SN_Dao8wKsKw>Hwzg^` z8^rF;$doNTq>#h z;0aQf1*zWAp^35HyAB+=r!9Pf+_QpQYkZ{jzB}t>o~;@e=l1q2&YkAoT?yBq$%2Gl z>Yd&_F^c4_id;|Qc_v`CKjIDki2bwvCt!p@FkQbqWD53^&Qn_@OYmkSbfhI%^;-qrvF4rL6 zLj&!P8pKKE(p|1WEdvd79yJV@ed5q5BGyk%Om7{zDUF#$2AizuCUXsM%ZN2>L-X}> z;qaUj7!7JzYfN}qF#&vNSNC!h13qzxPecKy$jI=i5K)LI@rl!pPrck(SjHZ{Zft0B zV)&+^myB#lw+9U}dMSrecNBNlp^t4gjb=@w-$LE(80OE>+q0N=Uol6=L&?D4Sm#1IvpV6rOSw@X@H)0mVt(F5TrFP;P_0wZ?77~lxjYmAFvZ4HmLhVRJ&Ck3fJl2PQOxxIsP`v&K4 znORvnY3{Vaxifih;a&vh00Z+yp%GxbGt1{t3xHBl#YZ?<4sEk{=@Z z5t1KU;=8KaxZ%D7M$m(pEw>}8$Jas@Ub-_K5>XoL;l3+K5>XoL;>_);WOsVmN4m(qG{`m|Y+mC#R{SCn=Dlq}qIYO|XcS5H6)(>8V?nM5uc#|a z?cXTU%{AgEP=JpnM||QCpNIlZk&)q3A@&#%B|dR#@hLcgkF62$i9>uM z3OGeZhEIivPeh4NoLYS95WvSkiF1-TX(mc{`JAK<0}YI_XrVZ?P()!+k&#)bLbOms zIcAAdYoR(S@Ua6#3&lxxrMuihbz*2>?}-}3N#)XAu0b6f8rb#Xydw^MB%*Lhk&*dG zh3F#@rH{m^^^pb_;KQhp<{fc}PecKy$jI=i5b=p9@rl!pFU~s`+aU1@<6?fa*RbJH zdkq`T2WS79my6-8X>KpxnkpakO2OVO(06b(eZ5;Dc1I_%R|a%z_Sl4I6|dQN%lze? zs+RD;o_#ZmbGMrKz((9{TCG6e1+y^ff(>UUCngW#X&6~K|RbO&nE6G(~awmf1@x0`2m)2EZ zawjnZ54aoA<#r)?9g-;|yOB&Ixe3Y5NM3J=pRm=&4Yw1Fpa&DS8WCNYdgE{`4!g35 zqURPFSyxsec4ZM|R~E;>@}dS!*a{xtqsbAUIK(HSfKy~-_*97aM3nf%sl}(D1wOV$ z#3v5%i74O{85uqmB0do%K5=UCsj~nd10~`UhxkMkaEgo!p9&G5h!USTwfNLUfsZjv zd@~lA;-o1u-Q|;|x-T@a1H{Qv99k%%FsR7LEL0&{D5A7boLUPtXaFDkX2d5B@rfwl z6d4&l6(T+nB|dR#@l9B+ULrYcM10~9pNIlZk&)q3A>tEJ;uEJ4E^5#Spy3hz!-$q1 zHpC%55e1wgBg3ac#3!P}Cr&%Q%EQKu#%e1`A*;YB=3 zyj~Iu&2#D{-XMwlnpf3J{IVowo7I(O)Pl>sA5-dDcR%9r0VEG1c?ij2B)^N~14uq- ziJyGs`i8p?jG$tZHHv(V(5A{bIE%y37EwfUkwNGAFU%^$&=ygKwm7g37d5Q4U*JX6&h?B~tyIg~MEi|Cl`3VA6h?B~tyP%;mgul_egdzMS8zcw!Ew9Yl z2z}B6XRgQnhEC(O3a!InH#IV8!?4{Ru-k|02IGqjmx}0R?(n=`<~qy;ZwkQH+%mK8 z*2Tdk{BC;2;AU?GheG#PCc{c`qledj* zGoQGTYi&!L5qHBYARaZm?Q_r?&OyHximtYN`s4pyF_V5>bptMMgG2sSpP!5#<0S4h+LZ4Vr+|z`#dCBR+A6PecKy$jI=i z5b=p9@rmQnwc%4>0Uz5S;uDAXL=pE$Mn6wbiM@F2b!Yk6_ffSB&` z!BwFS4UDZgg^5E8MHB`V8JUGDL<>cf7K&4Ap*k4wG3+BgafnYu0jJ2w@Tm~-i74@j zQ;SdC8u-v7)7y(U#3!PFQ)FcLREYRQl=#GH$5)y9W{r!fuWx41wqw~&kK5+YW>xL) zFA~H!Wg|PbZ(vMM`ybP*jzs(RQ0K;uI`@Ri`*%)K=OYhOXW9asbnXqJYq2H>VcZ<( z2tnc}V^0^B=upInBOvZtwb;5XV?*P^*Q3z7gSdT9Z4pd&?+C!w-8ea6;;75t-i?~9 z;my01*Db%n^*2MY)wZzh3vz1~=LTn1X7+~P4jWJBgLG@>WXpEDCr4(lakm7S?kkeF zN~(Uxby&B2XOQBKIhd}S{DXV8b?y{IX}?=Py|5(+b(JlCzVTgxdufSG_c{L z260lkbeC&Tdo5lhq-d#pH&B@spF6d748 zsSsmH1Tf)BBZ@da-#Gb*q6P*&8XED5Lwq6%I7LQ=Plbq2M2SzFT6_vD;A0y^eBuzF zhyqTLk>OJz;uBHg6Q>s6LCe*M%zDGn_ZQ5aNYWEQFr zEfi5&C{C?~>R`afu#fn}AwCfWoFXH`r$WRhqQoaoEk1Q?;6sm0qqR81C!&B;WMueM zi1c89R@3({ z^qqd%;Qs2S>9{E@O4`!9Nxtx`RVDi|ckGz3pSztsd8eoD?7=Q-wOs|K)%Fy0s{cI! zX|H{r8S4GWovy?7I?46ftHI!mrDqRzSR0UJuT679y*9md(D+`}JUr^Q^xl4#J6Lba z-I?50$aPpjxJN#>FLjHveP>>N#WOm5!GA46Vx8NL=-Yv063I>^HzL`E zZHb>e6c`OR0S1-z@msmPZVN!Q%HvolK}NcWBCv~$EYekokuCxdaizIL9G`ETd@fN# z;RH*Y-4Ur|h*U%YtjNfast}QiD3OX&i&UY4F@sGK@rgrxA__P~Muty?h)+a`Pn=qO z3Q^!=v_yR35TA$wPLYw}Qz7CLQQ{M)7N5EX@F9@X=W%h;T$k>`tQSYci|zSn+4N)n zvk5!VQnv zn7!6UuiNi&NApqJqkn<<_Jcuu-SqhI#10-m%WjS7o|Q?tMFs%_OC@oVcE_-egr05E z9|}4tCL%n`&-z26GnrWA@bI*Qh9kKK5%DzZs6W669pdFD{|wCIeWrk#KAdY3VJByC zdUmfrs0lsdRNAdVxLaX5bso)iiU^-Gmi-Y{=qxxmA?`IioNEw)bHC#w{`e`%E5EJj zXW8_(MKq7(xNl@q4;WyOzy35Z|q& zI8a`v6P5WHOPxqW8$Xug77;&34f#`wz%5?KHvVX?Nkoh)o+gBzf|KIyW4Q(qI))j~ zV-EXsh0rA#9^xBw-(t)1xF!Ag@u07NiK|ck2aci_#dRM_UQ}N7$X`1CrvqZ}+#ls= zMfkULocr^K#s)eGW{~}gy)Bp529Wx5GuC;%-azuY@bVMY=_No{nB>rF+5Q#@bA__!e z&_^QJdZ;%Z02K#laOH^p#ONmSW~^2b`WAv?lm0MR&P`*u26MN-9t)rUX|7L1jCvm2 zg06y-qW;fv4I=DAJdRv4^@q2hMO=$A+ggmoy{g}ev-STx*CWC{zC#aFf5-}Y#I>+6 zJxJVZqMpCV^@xaHVt8uPABTb_@!>&io<{0k9d-R>u1iFp-|5QKA6$Yaas9Sw?45S6 zr5>KiWPkqapzV~YN&Ay4!`F^XvR_B?;qWER_istNFQBn}gDWo{KuSlEmUWN?t@<~i zCPs+}9YyjdlKcS|#IE=i;WpR$J{~2}qeS!oon0w@#qs&ZLF0rz{t3&B@hhS~9DMRW z{%8x3iBDuA+8peWiOHTNqC_T6M202`$o@7*CZazav+_UwPz#WWPh=u2qU{k6iTh1! zGmlJ6A`?*}6DJ~rhJftva%3XxP}hV05X+3=QEo4vGLg7j5H{>tf1j5Z5s!S0`hzUc z5_x>2MdIe8mQUtdM8xNnXPYDGjDnM%S3Z?%5D~{39yS4rf|JG?JkZAI5rKnbL)-mP z6O>neJed$qD7XpsNA!)@JKcd6~f z)6O0{rm;r{Hr)2;y)WeYL}arfcaj5@cr_k`bx-DcL{#Q7?)iqMoLe(ae=*l2B1RT> zWH{ z?`k9YJgR(meu{PFao?_OOVjpIwEjQls6^Pl-5I}`bS4w2_0ZSz@*|;_&0OiBAQFhCBKmp+LT+}c&9yh?o(0M zw{l$~nv2avzv&UWl$&p`!$v#z>8Rz~xfT)4h310a_y{e^r55eHvtRd_sO3Ak77@++ zn)mt5kImNDdDJo)lj6D;rI=J+`_8_!%};yG5GCKwv5Mf}%f#wTQ?@VD1is z7V$Lh+TO}Negw82{dn}?4|9DYDkCTNb3tRytr;7Blxq?ZM^5g#f|i1lM$RAS8bnk^ zPVT&dmW+#SI^3+|*2E`_pX8cERK`y3k%Fd-3r*aj;|8Lpf5|n8Xd6Abp9#8hzAaEd z4C@qYsg2tW8EO9-bgr=qxrfERXxQ&*md#ib-@QD=n(~TmHQZsN{UC_5f6Ebz$i8E6 zj|k9;SJ^_uy+rP$X#cp?vLv@|&UD zx`^pNb1fp`^CNd)Ku^I*&yW9=YY-7*l{+w?q2Q!g{qJ0Z2<`TX+kQsnTxObfZShjD@jR6L{hKjcRw{=^YUT(>(#iSpWZS7ci)w9b!6X$JkAuSH}d64(BL zT|D2 zfZ_ZzQvSOHSCyeje0UG55J=rVdYW547-`o9jji2VTaVq*2;-1)-E4|5<;6AN>WVNa zzZ|%ZZ;V@WtRljSFxQ3sA|c*{#bp?YD877&!M9)$=Ne>O>C1sQXL&~D%a?eSiu(N8 zGgH4HI+J07-)i0#YBi;`X)ICub=7uVHS=e!uD-B#jTLOaIGbxin-}v8Vtyp|x(L6z zZO`FDex(zdBCqZ{nwa#Hh`9D`E8u>SxJ^&_%%Y+dJz-_smdE`nbFQVsI5V1CC8F0p zk8NS%S49y?;;}*zMI?#AND^^s!(Sp5K4$2I}1vvHAyYXtm|$S)CmMdLFu zA67UQakyx<+^7108ma$N!WS87==I-`3AP94BM_w)A2&+WCO>0XB0CuHt5Rtx>xWQfvS<p9=}=|gd; zSH$`gnIKe%J`_>oB5BJFCCf}oJobtLk4gTM&vOWARz}Hm1 ztI=QLQl*F!@|OzHUm{9>iBsn<@w~qh1%HW>zeIQR*VCicaX__@)FN`DN*o}D0xYAS1;Xf6$CF~^Ae3y z-fCWYFqOsjDV9~hh`DRon}i6~ZLsLFWDz>oWuXq= z+@iWISo-*SPAI4N65V~_G{l&Mo9uX^h!y>g9gjD5%Q>R2bt+&Z&?J4l1foG@y7*2a z#}^H$_p2O-G!e&D&+&{hr~H3Z6vvh<0Lx(}MxBiKUs$A2TQ%yi4buLz2N*PusKRYF zc46kYye-y5cU!CoktR`Jk*Hz~jKOq8sOJApz$j^}`4XJQItiJ>(p(U4JCAkG7gW?F zqH9eOI==YM;_k}4DiK|)k`Su$mkmSlHW+nY8ir!zU6ofQqH9$WLS(0^bQ`KVzox1e z+gXrmCy+szh|HNqVh#BRngJ?zH?I`j*6em7?nVmbmQiBDy+3LTK9Pgm@dA5FeBHlr&iJfv9rH!dvay ztQK9jxE(B(NR!`xMODB5VvZ7r){5wAt%S0XGrJAe#;Kdu4n=G6FDMyMQJE8@87vDo2-!AQ5E zo=uy=Q@wxM9rmY9DC5|x3=Zo@+maU#GZO)rS(xLV}B zjwq36#TIu1-e)j~h~4B%tEfsP#mZQedRwO8+=jo>i>buU5(fuiT1K>ZHuXZgGdCjj h|9eaxQJgWA;&glM-jvBf12zb_6VYJe8|{DH`ae|MLQVhx literal 30040 zcmbtd36z~hm45H_l5}7o31Aow2!i9VsRU#ZrnTD8kk^=Q9X&JZY)v{~r@{4{(K9-Z zuam|Eq?^e^6i`BU2Vx-NKtM$uJAUG7_NI<;r@X{Y|~Hc<82X{XiPk3asmA8})~ z2iDY%*)%*lHM)K1s^*9r9lmyTb8L9DxpjE!5BI&`lOJf+A9t^))gFB^T<+DTVdRSI zZV6I{=eIS-<`>*#bMwUZ@yYqg%@dQie0blUdHmaV$2&hdKiS-V_3+ftmWlDJ#%`UR zY;I{zO{Rp0@rlFpmN~q`GA8FIhVPr-KGdApzIXoG;qi&hL!%S(%`M|s%%5&G zt_JX$tJi7|^fc-8T_1zV_kYc^dz;PX@G?-^Qeq0Vut<-+ue8#;{V4%G}rvx;b2{HR|yHqi3kD z8+J|i+^}n%+tCB>ywy_RzQo$tE%sSf!)>v=C;OhXyQ}Q3$1Nb6X|H>02HOPo8Oz;e zNxhv@ck?WCsfll}(rk;mdsara6RnIxPcxwwm-9Lrpo6RtyE`FM_T-aRy#o$8$*=;4 z$aVsCiKAxmR_2@-LGi{~6t$`-YOSmD)Sk2|pJv==7?dn3>qj0ijX~Em1!o2Yjjh9t z!)Sa2cL=n@aCkr4`m7*k?L6Ic!iLVaKCecpvn92EVX^0ejpuhnM36csNcD~kjgR); zvFCw()pF+sxyD$tao6qDGS5_vb2B@8=4Os_?`(^~P@^>tz0^CkeS8GT9c^+wwew8C zY=6ib`~mxMA4KvYB#$8ZFp`g0QggqTxvl0N1|t+a$vE;90+@=PXC7t1i8C-gbK~r; zLF=9A&RbV=&>$~D15rc`;-qrvF4rIrLjz5S8pKKE(p|1WzJ~_dA2o=R%B8zpgIWd} z=sao|F#E)zQ$(zt7@ykQye1EHqrdrlBqclYA# z&AV@&o1NJ|eQW7X~H06C)!-NcLoaFm8p;dXW%SPb)#{ z;vlseCQeO`4eKU1v(boM`?Ka7n|MAC7kvTA7m>lfEbD6Sb6^BX^h7~MjId;kVR0BE zB8reGGO`#^A;yS^GDgHPu)L^2F)UXBAB~E=RGic|(_P+6k~y_4lpnWL&4r5H#Xe6$BngzPz=oHNp2J5_AV*+e93Kw+-*zBxgghf z%k1FXOy4c149*>&S(bH)p&-fGw|vqHUE&4O*Bab^aJFx)rKhy%Lua7HnV3)~v&45*wQUK&IZdw zf(Q6$a>OSN@rfwl6d4&l6(T+nB|dRHx(<8_THs@AM10~9pNIlZk&)q3A>tEJ;uEJ5 zpR-&{X~4%oiTIr5fkS*E3OGeZhEIivPeh4NoLacr+E-l^_!z^)*RseICk?>qE+2~3 zeW8IJAU=?YLkmR|1{E2Zg(^e~MU)nbQ)!_F4d7$njQGSMJ`n|+A|u16Lc}Mc#3xQA zzRN9F5yD|3;uDAXL=pE#BHhBJH^(b7~aj%!)Ch$!F`85uqmB0do% zK5;tnwN14)m(q_m9S)D$bl5)KK4DxwI7$q&*UT==UNakr$bSKFg<$U{=-a!TzTV9c zyRAwLv;0*7-N3@)?)?ihH|}1HclIc|#0>w!P^f>%;o-A?4}O+-{M;hhO+!mRwFAkjNCH8g^x{Vg>mdVv(j=NkuK z7c`?QP=JpnM||QCpNIlZk&)q3A>tEJ;uEJ5pMn$k*cuU^IK(HSfKy~-_*97aM3nf% zsl=xa0elRUIAe;F=E-!I&zR~k(7-5*7K%d)MHB`V8JUGDL<>cfBdR!+7OJBHA3H#_ zP@H5}y2~w8Cx!<0o~S{bR4(1+8eU?^G%K>}#aU4t`bb3Kk|HDXkqXgAB1#{LQ|TiO zF0c@zLYfuDAwCfWoFXH`r$WRhqQoaoC%(2>@nyk}m=#AbE9Qf9`kW#$&WbxZE9T!M zOF_(vBbXJt>%*)#f?2Uz?BxO7svR~V4$kacwf@H4=MT1h4oy`{cwon_*4)fZCO)tp zcPA}Zpzri)6Ye{GU6mjv=idl0jykm41j8%%p%YDfnOE@NOx_*q_6q)6l3V5#{I?~y z%q#fs1i9s3!N-GS_gC<%rEl3+@U46_%>->_7(hn!mTw%WMnCcy}LFk!0^(Uqw;4#(oKD~l+4Zjq67Wffvq7EyL( zaSSXkYS4tO-~m3G9Px=md?E@sMMj2Cg@{i?iBFtLdU;Zq^v z6H($5rxKq!3-B>eB0h15PecKy$jI=i5b=p9@rhH3Z`^V<)iH*NuVs-bPMRXqT|QZ= z`@%wYfH+x-LkmR|1{E2Zg(^e~MU)nbW47?321T$24d7$njQGSMJ`n|+A|u16Lc}Mc z#3xQAJ`GjC$6+Jl6NmUj6mW`+44(=SpNJBlIFuyN?bu7dWkVfywir_UZX zOzgHQG0gH)0bS3*U9Fx=Hmo&2);kuBSM$a3x*%9@)z@aYvX|8BC9$KvzFOi{L1Ojx z(IGcFF}2xl_Tc8WYWY`7<3fF7n?(Hh{TfNk)t~A+e|Qm(60eoSZ2gpKiPuTuK>ea> ziPuYFy57E6j#_ZJdoiW1c83s$??ZAwlJ_I|V__#z-7m#UVZs1)L%y!>2;T zC!)kBP9;9|D&S-I5?{*#Mw~R|rn`LdRj-2v#(vZwPAZq~at-R0(7=upHHeeSrMp~% zdMz}d*ZBzoR)~|zrMsY^Z3usZc?na^Q8q{p?poYGZ6owi2RE$6{ko;bX%$+7!EUlS zVZ*T9?y=j4ss`gT4VQ}OW$w^!z055!7rZe5TXkb=*G+SS3-~?hse>E65gZELCrpMP zdE10%z3@$^9EtFB(7f(QgbxJaiztyaM78!`nFr zt>PT?rcl(T(y?xDmT#8aGH;f@ALN#QW3<7Npu4{@{(WtICXg8geqzK-MxB;P>tO(g$liJySf5;gZY7@;&KAUPUchuY!*Dh>xI5yf~^ zWMl)B3UPoEQ4Ubzz%X3Ypb1C~416>+;uDAXL=pEw>}2R;QB@Uaad zK5>XoL;bxOT-oI^vIv;+BI@8wVr1Nb-bT!rlA&i?nOG1$N(b#c@B{~!_ z;sFqMbQX(KsEV!GG&(dkd=(0<*^AqEcNTff@Nm1`?f`7fH520|j=KEqov6u#I;T3n zNB721Y`G0~HwC#>b2Edj{jHthH~&tZy`sH6NH?}kG`2mIJtbr^HwT&SE0VL4>bfF1 z7o@nK52ouT|MHz}>|2j0?RUr9k2?X$Q;=*xaw3vnw8YO{a%;^U2SzA(l8s>U9C|(# z#j#f$_AC)aM=CP1o~1(USt5W5S6XTm$LAX-Uusl~0UvFQ_{1ST5e1wgBg3ac#3!P} zCr%|k#R%}x{c&y(C(Q`yE}t6|LD0aqiyFj9<?BY7IW08O&WU5M7d2+74r zE4N#pQ{+thpO9d2)R&GVVu zX2>n^t$!iN_1QPIx#_+Q=U?#DCBC&!pz&6_YY->bB6%f}NhI5mOd+`r$@P}_!Cehk zbKAh6iav+IUH-;_k`9$|n34>GP{fLYP=y$TB7hE88s^3E`NqkId9@ABU?yuLNU;f0 z#EL+w5J8G4L5foeQelCf%!Y{g#34QrE5fHj#3!P}Cr%~4dCNr)20q3}#5Zqw;1HjP z72#7M;uBHg6Q>rgwnkPr06v6o`e-dqnt{??n2X|Ab*2UOJ*FP^*yCYy!qy$_nKBIx z>BU)Mc&*wI_JY`@ZtPjSy}83t|HtOl%yTPH6j*Je+3={1rmJnFx^9;h>XZBEl2)v_E~? z%ETgvr?MS1Jd|q?5s%4^_>+;)Azpr@(7=4U&lFJ8!?`9Ab|e?aa(DU@pwJ^uTf4Op z?q--yogc_`iU=PW7X8`SOH60v44gL5WB=Ssd!*rmxdst9P(0T3=UQ7k{D)G25xB)$vW-8MYZ4KoiYE}Er{JV``*^NFgpO{;Gn~Wz zEFyGCh6n#f-FFyoJfcZI{%O$HzrZyi|MQ4t(u?A{2a^|-*M3Ye9U0W2GI;LKaSB~f}jE;yz*bWjygv1{v10wN=NJN213?dT2)@mNqgAhf9CC1};Z4>b{)2ptge=Y;&R z6U46gZNeR{^?f`_z9lQx19Wz!_?4K?Hx3#n^znbN%ox8S`onP~|Kksw0GaqiCZfZ^ z9+{ZzSt3eg;zVRSf zgNA_Y(>XE`cGT)#f3&1!c$C}Ar%WX7?Fbw8EIbBApNohGu}1vy5@?A$KGGs_H$^R< z&9#V#&np|vk#t7E`3z?@MCIpl4I<)L!y_O-QE<{&^Z8tZ2psPky4s(;LV4xK^8(@A zfZN9Y2qa&~^@wQL5wJ~H`-2+LqkNqV!1(}oEp?pD6wf+e4x0M+`#G9FagG+(^}+w% zLCR}CN081GXxBM#ekEw9XGK_q+5E#fnmf^3nV@BtBP-V4V*88Wl*+{K7l#({wBN=K zPwdb!3U^KP-s8DG5!Nj=D{_A~^odvDL0I?IT#tyhxr{rpp(*E9jMHDsHHnCk#r@LI zQgBjaeLdG8qHPxAo@r)bfK|i-`Jceb#SBgcjvei}u6WulrKe^21zxJvuo^k{6r412{%fv5MBB*8 z{ZPP?aj|)ZTWH)-t4sl(Q~oX2B%*EXgwkA*k?JJ%+HTV^KwjtJ;bzV;^h zElTc~i0OZFEh6IcBlkr>Pr*sgk5A?rM8sI-z6fY2I4M?Z_3TMVg!bUX%{U`|hXiy< zrgL9Z+9c)H(n4;yVVv}YI{NSPBNBh&h$ODNE=7s*I`%wd8z8j$j!5avZ4i--NL-Nz zcJX{aD|%aBu17>RCUNB)dc?~&d8PecZe48Q{#>Jowo!?z-Owp+=xpI9^f_3$D%T|< zwl!C!p{L-awqBiU5YaX+ab+4>#5FJGFK~WT8p!pCXd9Kdf($+4niow^$NSToT#pDC z&Obfnze{kf7n;O}_pl0q)ZIu=b0Y>Ltr;{nwr_5H__kUYhm`AfrU+AB=Q^#{Z2bb@ zYL3DqIZhE_otCS$epwK2!Qyg?5K(;f5`%BRB2G29xYAbxaZdD%wy$2|wN=#TSA?1R zh0j)o2|lZMQ>fIGR*bQ5?AK2_bydurSLL1(;a8OHx!E4&ti6ah@~Ym>#B7s{>&>?6 z>{oGtN1_&|cnBEkwgm&W`WW6Lm!+hyH^tLC-Z z8m?z?F@xXY`N58F{CpMSvnL-4IOelk)*J3qeL#)W|1IEW7)d8K_(zRJ>W}K0?3kfa zcK)}Hvp<|$rw1jZziPZS-qcQZAGI3YU8ZWIDy(y%i+>$dc~m1}?Mn7yW6O{Gs^y8u)8Fqdj^iR)v;p-+)M1!n%ikf-Mt96u=ISZg08JQ zJWS9ydCF?~mY5ha8{tdFehaa%`<%ypf zXt=mET*QhDS0NfMqBLBbD#OL|h9?S!i<04@moyxq{zlo{%THwd!o^QZ{7OYzCDLtz z_?CP)BYi#moWb`PevY6I#id>mEApWV(T5^RABt1uL-D*16Lq(_LzH|dx~mUwkj-_z z{G~$lmx$6|;#B!dJnyeW-JQXgzr*Vbb$z~h*x@A7AG*;o-V}mE=Uwy zAWAL}y`&3Z^8u@6HEODJb&|#@Z@G}UH47*y!5_Q7JIu`R(Z>ny+4)3{t$Xtc~m4~MIKfm zdRRp1VQ~xtuiV4pc@HNF9u_4Ji(bmZ>)jsMb^ys?B#$HMH-EdQBY8fOUq^C1l0~cH zjPTNExabDa`Z8axlGYmT!mOZwD^oH1E|hOtaZfSHr|0jbB8o2@L?Pg547IRf#gY^JPxj zeU|Ko6OMprWnyqo+eew?9%;&alHC^WIqd}V5fGd$IH*TNmwF_!X6M3UY=(Fn>N!)* zaEyx;os(B2qDw^*v5HcA$JFOGOefcP)C85Lmhm6`hkRLS#KN)Zv?3 zRJV0aA7AH%a<$N}M0a0b4KXI+I{SktVnuggf8dSXaxCd<91GZJW=S6@foM>fF20k< zkwrra29@LBBI1bZIg-wwMV)l7yrLLtSpas4y8nAc)@1BR%SYYDwx|4BjLxtT*RqBLfHV9-G)X=Q$ZYpE)rDK zB%*6g5<0T@&f@Okyebi0tCA3^@|OifybVU3mWH8d)g^gVBDz*3Aw+hnO1Gh^r&Uz- z+`K9gU8|B1+9y^OZ$nk5S5$RrUX_ThRY?c~qOXfRD%^&u&PY{Z9KS5o;sae&*B-*Y zg%d;etb~gri|D0RsB8Y|NMgP^QPurQ+&2AP9iS3u*64tE8ypa4Og{P9}TNDm;;2#fmaNrP!){5wAt%O!!?WSlgz=&#lYz|}R6&;p} z?(NuX8mtuCTPdndF|8DbR*Jy*-L~GD9_l25kY0Y0V2fVaW}~P!hntNvdeDDyhoPdE z+CU!+mY9DO5yhskfLmC=&=oE{ikx&(codN|ogiY#B`5C;w3kHNY;mv9!@k&czQl=Y ztE5<7i&AgN^q*SuSNdQeu@l9?ib%tVI**T@VRwEo@c%!iLq}xA;&gcpHOck%f2#g3 DVzeq@ diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/registers.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/registers.vdb index ccc548215b6d1f58576eb45cfce470652e11014b..6356d14c3ec0ce2201015641f49ff0fcbb6444bf 100644 GIT binary patch literal 5862 zcmcIoOKcoP5bc>+e|E{*i31qHA%=V)AtJg!qF)0y!apd<&oOJt2V*2RL)#zEo9r_4arsjyN#V)^v4!`c-vx zPj7b|Sr??0I;Ze~KaZ^oTCZGvVVF2ib#*ED`^)Q}!+^S+QEk2X{&N4J+}=VF`tt|V zwXix<8$VeMN3Q*|vuE(sJ#av&rMh|GIdn00YQ9a>y0dfD>1RjW>-|MS~d%~W5V3fE<^bG!#TYT0mneRj4| z4QD&D3*%GaXk{YIRwt)UW!E!m!VaENms7r{U^im&gRz-fYq69o4&=%s+utAF_vCNS zzR?gh59Z4U3+08sQokHH^7a=L^y2SYoGKIw`L_9mS`GH(`v&`=SRQ%vV(q217cTh$ zx@S!yG?r!JO@_hFZb2+PqvK(9a(23D-+XWG#l_=VKe&;P|1uC^aE510N@Z~h)za-I zKa>voVV>OX)KjIwVJ6E3l!VmTvuEE#0a`6dq8l|}Tir_eX*h~X8_a0UIVcs2x#rXK zIt_0HG(X3Y`WWvH#kw0sw^!?)SwVM`=niY$t1IZ)X;sW=i|2Ta%txUywyZ5gXL47km4R`-L7myHSwroN~3zRSF1WE z|88`=O%UiU(EI=P6h8xfIN*b3!1Vb_7*=}lb6{KDy0TTX2M2LK4(fG4Z3{8epDPXK z59gjJ^fsDja4x^1nxmS8YeaBwu%iV2R-b;Y%7?E>NW?tQhJ!@aQ)7$g#+W1;bjAz< zzXkobXy=$x-mJ8LXi3n{pmxS+Cm`}}YC;18N@ocfL0j2MaI~+d~}P8rmYn|L<}-jSeHLj+AB_5e>eO0xFdiRT0VrJsh02od|x)_5L(aX>A@cbd@LXa$;DXCk90tFq^i3VrpEg(0G&5bDuWE`z*J(}B$G#O54j6Us+C@G7 zu^M%ExC5rU(gFTpKRG;rB&%Q&6&`JXXXnE6j0j^63ZecSTjy3BbM8Si`bGef7%xjO zc2VSLg-Ohd2qT(KGMuK#OQMBMhiy1{5eqrg>$AN+i^lT8^#UgFC_^a_NkZ|6C`h+s z@wBJmX<35Mg@?Zz`%0Rx=hvWxJ75y{6F5K{rysW`E=-+%;n;yNiRl+%MAON+(=_Q% zw6IyX4JZ9#krZYu{TMY>UayG`qUbm+oGtP(>{)O6x?nkMu_ z3mdU*IH8M0v-yjtU-}PFEOaiAJ`;G9sbES(l2CafTB-6x2V7rCQ(`MmERw)CDlcyU z>+}o9qX?6jei24Aot!&Olm0{tn|0f8(k~WCVaC#rairl+O!Nj55pApdfAujBtB|&2 zkuYXqV&|t=hjXA3BR;eV6X1aKfIU!&ME9eF4^;Rjd>gSB5fRmuWbugvDvnwVPOQVh zXFbqyQg^f@o9HB6=fMOj^gkr=I3KKtaizG3^&Dbjy z!WSzxLXkA$YP8%4w>Td7R>pb|NyDzuay`g>5#@-lboR?C5((!>m{>|;9ZrtKh;t-N rJVzo)%+XdSLb`1Ni%%qsPnZ~-Scij8j2NFVaeN|Kjt{|f;0^b`g0^}b literal 5762 zcmcIoO>9(E6u$4h>CaTAg`(Ezf+{}>5|OHrpH$DJ9c2b-7vhFho2jG>1YNi=7{k;S zJ4o9E5fwGsc?Ic@MNn5Jn(puie;39?V_fLkjXM|PIp^MU=f1v2i3=y0JNMl4bHDT5 zbML$J+K;RY(n?J${J6XeTTAp^9AV3 z9ZXll%1m|aWF;KF`uEQ6fm3(E0i~8|<^#`Qh|yE?tzy=dnX61?I=ictk#KghnyHS2 z)z%#yt26ZNSbN8=OtmsQJvLLG2q#~ex_MQ#GJ)~WZ(lW2d3iEim%+iY8V*!5;n@1j zY`GH7wr3W`Cc}~Pc$le7OrFZD$0;)eU4f_6<&^I!*o~O{V05P1TqtG>{n^s+_IHN% zJ^t&{uhmtJ1G&<{d}-m&)XxWwyzv<&z4)6}r}FuHu62H)T7^Bi-hn*m`r`PIxJ4=6j4qDqG4H zsCg?H;bvnO%v3}Cb|pvKB338HM}iaMYm%+*HCBFh&_8`8GGCw`)QoHuo1Q`cOx#9! zFyDeaE>QCUHH*|-qUJ+taKkFNLd{3mr~vk1_BEJpz_y`=@?MnTT@(YDBMWXD;J8yK z%7^zU!bNPnsK$d?cG`vJ9R@1e+~!S!nWCT_q1R}^z)I7TydtBL$YT#MLm1gzi ztX4H7|4t0NO%UiK(EI<+6u$*}al-q}gz59;Ff4cDEqP3$ESn%+Q&>-?qXN7h z*#cxVQuC{e1EoFr!}Xg%Y=8@Bh1r{Aj%~|lx43B8n&CafAWMaH`6JO@cE;?ct8c&1 z)Kvf}G<*O9>bsFX8O$Al_L}*$;}aROX!;Ni7*hp!XRrfs!4=AmfX_O5-i_$Tj;m>y znX1fJbc>j4RPXd4ZU%)DM#H;)(TKmVM%x|kgz3(7fM3{80S{o4Q80l957)tSaFLlU z5zIq8)Q?IV+^W-U7`!bFPfBnP8ZkBk5Mnr-$ae1(d0}vU-gK-zcsnAUcO*Q-VakzP zMnm%%b-FN$I2!!6Hh(v-smB8z97E5Y1`{W11!7VJy!*`Q+ks6~RK#GEMV%-Lr7 z{UUSLA}q_=<9#B^h-FJL-=U|}Zbdjd(#%?a;`+IZ4{fBG^p28K-hk?w|XfA;I zN8f_TsuMP0;1sWgx6H?0^9p=dQV?Q#k2>iRb0X9IEIY`zDB2siFRV&q7emfgghXCM z8L@Q8aJq(~MK4vFp(=){ZJ^GFow`U7uf)_7EuDOwu1P)7!)9zdPU_;(X#T$GAN}Vf zmO2+muSq;Al#vqABvhWLR;oO)0XJ6ClGw@$c<2FCIy0#^}d9(r`Nxy}@Ke$14Aqe8j^lq$620j9G-(-6l5RTz15XFH|A~ zI3az&UUo#I$5F$V9sDJH8*x-$Lp)tc7N2OK?3l&i#0DIEHUeD*MTp}QO(H&d4?+C# zKX8jrG>lJ#7@XLEgHN28KM~^iM3ab5-hB{0emTJSh%(WnN5)Q0j(6jmpn6ZgvCu0Z z??xyBzge&uiiR^3A(l{Vz{yaYI71QQ*J05lX1Ezgr9$|nhs{tl^}OmmH^VJX1b$;; zBZ#K%SMRwIAEX+X8WVlP|HH2hp3Cuuf+O E0JIVlo&W#< diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/stage_ex_mem.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/stage_ex_mem.vdb index afa0fab805bb4a3902b5c00b410f60c11a8178c0..586ab08d5d9c0f3c52f5fa8e0cc7642dddeea8de 100644 GIT binary patch delta 52 zcmca9c2i7LC`X=|lYwCY0}wbosgh^rP@hveQFI=o!^SO#nVEr{$yF@pLG)%HR$ev$ DeRU67 delta 52 zcmca9c2i7LC`X=|lYwCY0}wbosgh^rxc0kbqUb!vrj1(;GcyA@ldD+HgXqmZth{Uh Dxw{g> diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/stage_li_di.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/stage_li_di.vdb index 4a478b3bc85013db7abc00a735ee32c904da390c..ed7918a1bf26306112478bed4a3cfb6e30f838ff 100644 GIT binary patch delta 52 zcmdljwOdM5C`X=|lYwCY0}wbosgh^rP@hveQFIQY?Z!>AEX+X8WVlP|HH2hp3Cuuf+O E0JIVlo&W#< diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/stage_mem_re.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/stage_mem_re.vdb index 08522d2ad6a56da6c89cd8941b97acb3a245811e..985dcffe9780b98648fd9d76e19001ffb3b044bc 100644 GIT binary patch delta 52 zcmca9c2i7LC`X=|lYwCY0}wbosgh^rP@hveQFI=o!^SO#nVEr{$yF@pLG)%HR$ev$ DeRU67 delta 52 zcmca9c2i7LC`X=|lYwCY0}wbosgh^rxc0kbqUb!vrj1(;GcyA@ldD+HgXqmZth{Uh Dxw{g> diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/test_total.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/test_total.vdb index 2f523d1b13c6dbeffa4cf11926380be6d15b1cc2..4b2fd1823d775d5d4baa9ca2dc50bd011120f465 100644 GIT binary patch delta 896 zcmZ`&Jx^3Y6rGR9?!H)TTsIg|gVkz?zy={~K+Tm%A{I*lzhFZ_Vdw}6fjmA!tjWaK zDIW=;pa295K9pFgl|7xY^#|B_&iH0|g!r;E`|dsGo;!2zeZPwbH_D|~?KW0wP2rJW zv+cGpbF^B1t7UIz&X}1a*I{4kyqmT?_l-;`b=Co*#MyslF+qPOT za?k?rp*y#CwQ+y!P)XV{(a->REvhoblW0<(xUHxwc>qfH{}aeLQ}u)NC?%PC!_@cb zeVFmeXC#MjA>%8Ij1JdF8pDm|i|mtS+tu{g9?3aH!5F0^c%cJNXT~G*8Dj!8K3*-vn8{K6f!gp$XZzh-AfB#$K>~ YtX7cp)e1%r^78TL4bIEeBFfM1FCaUiQ~&?~ delta 532 zcmdlY{FYxtC`X=|lYwCY0}wbosgh^rXj7OdGM%w$KrZ$DiTFhRIY?DiwjTjjx zpJO&qWOUfV3Q}9iz;Hkl$Y2EP+zb?R*aVVboUFp)1k$*QC4+J2WMNi4eRH5vBdAg) zza%EVO+b!=4w9(DZje?6hX#)u(ZEpl&utQK}SX&!= z+Z!RI!!EEh!ESN@xxj3)C!0 rst, + Clk => clock + ); + + Clock_process : process + begin + clock <= not(clock); + wait for 100ns; + end process; + + rst <= '0' after 50ns; + +end Behavioral; \ No newline at end of file diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd index 2bfe6a4..22d8155 100644 --- a/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd +++ b/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd @@ -25,43 +25,49 @@ use IEEE.STD_LOGIC_UNSIGNED.all; -- we freeze IP on the current instruction -- we insert NOPs in the LI_DI OP while there is a conflict in order to let the problematic instruction finish -entity ControlUnit is +entity AleaControler is Port ( -- get the current op and variables from the 3 pipelines stages that can interract - Op_DI, Op_EX, Op_Mem : in STD_LOGIC_VECTOR (7 downto 0); - A_EX, A_Mem : in STD_LOGIC_VECTOR (7 downto 0); + Op_DI, Op_EX, Op_Mem, Op_Re : in STD_LOGIC_VECTOR (7 downto 0); + A_EX, A_Mem, A_Re : in STD_LOGIC_VECTOR (7 downto 0); B_DI : in STD_LOGIC_VECTOR (7 downto 0); C_DI : in STD_LOGIC_VECTOR (7 downto 0); CNTRL : out STD_LOGIC); -end ControlUnit; +end AleaControler; -architecture Behavioral of ControlUnit is - signal alea_DI_EX or alea_DI_MEM: STD_LOGIC; +architecture Behavioral of AleaControler is + signal alea_DI_EX, alea_DI_MEM: STD_LOGIC; signal is_LI_arithmetic, is_DI_arithmetic: STD_LOGIC; begin - CNTRL <= alea_DI_EX or alea_DI_MEM; -- either a problem between the 1st and 2nd or 1st and 3rd + CNTRL <= -- either a problem between the 1st and 2nd or 1st and 3rd + '1' when + -- read after write : Op1 other than STORE/NOP, op2 other than AFC/NOP, R(write) = R(read) + ( + -- check Op1 & Op2 + ((OP_DI /= x"06" and OP_DI /= x"ff") and (Op_EX /= x"08" and Op_EX /= x"ff")) and + + -- check Registers are the same + ((A_Ex = B_DI) or (A_EX = C_DI)) + ) or + + -- read after write : Op1 other than STORE/NOP, op3 other than AFC/NOP, R(write) = R(read) + ( + -- check Op1 & Op2 + ((OP_DI /= x"06" and OP_DI /= x"ff") and (Op_Mem /= x"08" and Op_Mem /= x"ff")) and + + -- check Registers are the same + ((A_Mem = B_DI) or (A_Mem = C_DI)) + ) or + + -- read after write : Op1 other than STORE/NOP, op4 other than AFC/NOP, R(write) = R(read) + ( + -- check Op1 & Op2 + ((OP_DI /= x"06" and OP_DI /= x"ff") and (Op_Re /= x"08" and Op_Re /= x"ff")) and - alea_DI_EX <= '1' when - -- read after write : Op1 other than STORE/NOP, op2 other than AFC/NOP, R(write) = R(read) - ( - -- check Op1 & Op2 - (OP_DI != x"08" or OP_DI != x"ff") and (Op_EX != x"06" Op_EX != x"ff") and - - -- check Registers are the same - (A_Ex = B_DI) or (A_EX = C_DI) - ) - else '0'; - - alea_DI_Mem <= '1' when - -- read after write : Op1 other than STORE/NOP, op2 other than AFC/NOP, R(write) = R(read) - ( - -- check Op1 & Op2 - (OP_DI != x"08" or OP_DI != x"ff") and (Op_Mem != x"06" Op_Mem!= x"ff") and - - -- check Registers are the same - (A_Mem = B_DI) or (A_Mem = C_DI) - ) - else '0'; + -- check Registers are the same + ((A_Re = B_DI) or (A_Re = C_DI)) + ) + else '0'; end Behavioral; diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd index 8b5bb43..d4cade3 100644 --- a/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd +++ b/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd @@ -35,7 +35,7 @@ use IEEE.STD_LOGIC_UNSIGNED.ALL; entity IP is Port ( CLK : in STD_LOGIC; - RST : in STD_LOGIC; -- rst when 0 + RST : in STD_LOGIC; -- rst when 1 LOAD : in STD_LOGIC; EN : in STD_LOGIC; -- enable when 0 Din : in STD_LOGIC_VECTOR (7 downto 0); @@ -49,7 +49,7 @@ begin begin wait until rising_edge(CLK); - if (RST = '0') then + if (RST = '1') then aux <= x"00"; elsif (LOAD = '1') then aux <= Din; diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd index 4b9a49b..eed9e61 100644 --- a/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd +++ b/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd @@ -40,14 +40,9 @@ end InstructionMemory; architecture Behavioral of InstructionMemory is type Mem_array is array (0 to 255) of STD_LOGIC_VECTOR (31 downto 0); - signal Mem : Mem_array := ((x"06000200"),(x"08040000"),(x"07000400"),(x"08030000"),(x"07000000"),(x"08020000"),(x"06000200"),(x"08040000"),(x"07000200"),(x"07010400"),(x"01000001"),(x"08050000"),(x"07000500"),(x"08000000"),(x"07000300"),(x"08000000"),(x"06000500"),(x"08040000"),(x"07000400"),(x"08000000"),(x"06001300"),(x"08040000"),(x"06000200"),(x"08050000"),(x"07000400"),(x"07010500"),(x"01000001"),(x"08040000"),(x"06000500"),(x"08050000"),(x"07000500"),(x"07010000"),(x"02000001"),(x"08040000"),(x"06000800"),(x"08050000"),(x"07000400"),(x"07010500"),(x"01000001"),(x"08040000"),(x"06000200"),(x"08050000"),(x"07000400"),(x"07010500"),(x"02000001"),(x"08040000"),(x"07000400"),(x"07010400"),(x"03000001"),(x"08050000"),(x"07000500"),(x"08030000"), others => (x"ff000000")); - +-- signal Mem : Mem_array := ((x"06000200"),(x"08020000"),(x"07000200"),(x"08000000"),(x"06000200"),(x"08020000"),(x"07000000"),(x"07010200"),(x"01000001"),(x"08030000"),(x"07000300"),(x"08010000"),others => (x"ff000000")); + signal Mem : Mem_array := ((x"06000200"),(x"08030000"),(x"07000300"),(x"08000000"),(x"06000600"),(x"08030000"),(x"07000000"),(x"07010300"),(x"02000001"),(x"08040000"),(x"07000400"),(x"08010000"),(x"06000200"),(x"08030000"),(x"07000100"),(x"07010300"),(x"04000001"),(x"08040000"),(x"07000400"),(x"07010000"),(x"01000001"),(x"08030000"),(x"07000300"),(x"08020000"),others => (x"ff000000")); +-- signal Mem : Mem_array := ((x"06000200"),(x"08040000"),(x"07000400"),(x"08030000"),(x"07000000"),(x"08020000"),(x"06000200"),(x"08040000"),(x"07000200"),(x"07010400"),(x"01000001"),(x"08050000"),(x"07000500"),(x"08000000"),(x"07000300"),(x"08000000"),(x"06000500"),(x"08040000"),(x"07000400"),(x"08000000"),(x"06001300"),(x"08040000"),(x"06000200"),(x"08050000"),(x"07000400"),(x"07010500"),(x"01000001"),(x"08040000"),(x"06000500"),(x"08050000"),(x"07000500"),(x"07010000"),(x"02000001"),(x"08040000"),(x"06000800"),(x"08050000"),(x"07000400"),(x"07010500"),(x"01000001"),(x"08040000"),(x"06000200"),(x"08050000"),(x"07000400"),(x"07010500"),(x"02000001"),(x"08040000"),(x"07000400"),(x"07010400"),(x"03000001"),(x"08050000"),(x"07000500"),(x"08030000"), others => (x"ff000000")); begin - - process - begin - wait until clk'event and clk = '1'; - Inst_out <= Mem(to_integer(unsigned(Addr))); - end process; - + Inst_out <= Mem(to_integer(unsigned(Addr))); end Behavioral; diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd index 5e0f95f..7ae32ec 100644 --- a/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd +++ b/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd @@ -43,21 +43,18 @@ end DataMemory; architecture Behavioral of DataMemory is type Mem_array is array (0 to 255) of STD_LOGIC_VECTOR (7 downto 0); - signal Mem : Mem_array; + signal Mem : Mem_array := (others => x"00"); begin process begin wait until clk'event and clk = '1'; - if Rst = '0' then -- Reset - mem <= (others => x"00"); - else if Rw = '1' then --reading - Data_out <= Mem(to_integer(unsigned(Addr))); - else -- writting + if Rst = '1' then -- Reset + mem <= (others => x"00"); + else if Rw = '0' then --writing Mem(to_integer(unsigned(Addr))) <= Data_in; end if; end if; end process; - - + Data_out <= Mem(to_integer(unsigned(Addr))); --reading end Behavioral; diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd index 9709371..b4233f7 100644 --- a/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd +++ b/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd @@ -32,22 +32,21 @@ use IEEE.STD_LOGIC_1164.ALL; --use UNISIM.VComponents.all; entity Pipeline is - Port ( Clk : in STD_LOGIC); + Port (RST : in STD_LOGIC; Clk : in STD_LOGIC); end Pipeline; architecture Behavioral of Pipeline is component IP is port ( CLK : in STD_LOGIC; - RST : in STD_LOGIC; -- rst when 0 + RST : in STD_LOGIC; -- rst when 1 LOAD : in STD_LOGIC; - EN : in STD_LOGIC; -- enable when 1 + EN : in STD_LOGIC; -- enable when 0 Din : in STD_LOGIC_VECTOR (7 downto 0); Dout : out STD_LOGIC_VECTOR (7 downto 0)); end component; signal IP_out : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); - signal Rst : STD_LOGIC; -- to modify component InstructionMemory Port ( Addr : in STD_LOGIC_VECTOR (7 downto 0); @@ -55,7 +54,7 @@ architecture Behavioral of Pipeline is Inst_out : out STD_LOGIC_VECTOR (31 downto 0)); end component; - signal Li : STD_LOGIC_VECTOR (31 downto 0) := (others => '0'); + signal Li : STD_LOGIC_VECTOR (31 downto 0) := (others => '1'); component Stage_Li_Di Port ( In_A : in STD_LOGIC_VECTOR (7 downto 0); @@ -70,8 +69,6 @@ architecture Behavioral of Pipeline is ); end component; - signal Li_A, Li_Op, Li_B, Li_C : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); - component Registers Port ( Addr_A : in STD_LOGIC_VECTOR (3 downto 0); Addr_B : in STD_LOGIC_VECTOR (3 downto 0); @@ -85,8 +82,8 @@ architecture Behavioral of Pipeline is ); end component; - signal Di_A, Di_Op, Di_B, Di_C : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); - signal Di_RegB, Di_FinalB, Di_C2 : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); + signal Di_A, Di_Op, Di_B, Di_C : STD_LOGIC_VECTOR (7 downto 0) := (others => '1'); + signal Di_RegB, Di_FinalB, Di_C2 : STD_LOGIC_VECTOR (7 downto 0) := (others => '1'); component Stage_Di_Ex Port ( In_A : in STD_LOGIC_VECTOR (7 downto 0); @@ -101,7 +98,7 @@ architecture Behavioral of Pipeline is ); end component; - signal Ex_A, Ex_Op, Ex_B, Ex_C : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); + signal Ex_A, Ex_Op, Ex_B, Ex_C : STD_LOGIC_VECTOR (7 downto 0) := (others => '1'); component ALU Port ( A : in STD_LOGIC_VECTOR (7 downto 0); @@ -115,7 +112,7 @@ architecture Behavioral of Pipeline is ); end component; - signal Ex_Ctrl_ALu, Ex_Res_Alu, Ex_FinalB : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); + signal Ex_Ctrl_ALu, Ex_Res_Alu, Ex_FinalB : STD_LOGIC_VECTOR (7 downto 0) := (others => '1'); signal S_NFlag, S_Oflag, S_CFlag, S_ZFlag : STD_LOGIC; component Stage_Ex_Mem @@ -129,9 +126,9 @@ architecture Behavioral of Pipeline is ); end component; - signal Mem_A, Mem_Op, Mem_B : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); + signal Mem_A, Mem_Op, Mem_B : STD_LOGIC_VECTOR (7 downto 0) := (others => '1'); signal Mem_RW : STD_LOGIC; - signal Mem_Addr, Mem_Data_Out, Mem_FinalB : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); + signal Mem_Addr, Mem_Data_Out, Mem_FinalB : STD_LOGIC_VECTOR (7 downto 0) := (others => '1'); component DataMemory Port ( Addr : in STD_LOGIC_VECTOR (7 downto 0); @@ -153,24 +150,24 @@ architecture Behavioral of Pipeline is Out_Op : out STD_LOGIC_VECTOR (7 downto 0) ); end component; - component ControlUnit is - Port ( Op_DI, Op_EX, Op_Mem : in STD_LOGIC_VECTOR (7 downto 0); - A_EX, A_Mem : in STD_LOGIC_VECTOR (7 downto 0); + component AleaControler is + Port ( Op_DI, Op_EX, Op_Mem, Op_Re : in STD_LOGIC_VECTOR (7 downto 0); + A_EX, A_Mem, A_Re : in STD_LOGIC_VECTOR (7 downto 0); B_DI : in STD_LOGIC_VECTOR (7 downto 0); C_DI : in STD_LOGIC_VECTOR (7 downto 0); CNTRL : out STD_LOGIC - ); + ); end component; - signal Re_A, Re_Op, Re_B : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); + signal Re_A, Re_Op, Re_B : STD_LOGIC_VECTOR (7 downto 0) := (others => '1'); signal Re_W : STD_LOGIC; -- to control jumping and where to jump - signal addr_to_jump : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); + signal addr_to_jump : STD_LOGIC_VECTOR (7 downto 0) := (others => '1'); signal jump : STD_LOGIC; signal nop_Cntrl : STD_LOGIC; - signal OP_LI_DI : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); + signal OP_LI_DI : STD_LOGIC_VECTOR (7 downto 0) := (others => '1'); begin -- instructionPointer @@ -178,7 +175,7 @@ inst_point : IP port map ( CLK=> clk, Dout=> IP_out, Din => addr_to_jump, - RST => '1', + RST => rst, EN => nop_Cntrl, LOAD => jump); @@ -272,7 +269,7 @@ Stage4 : Stage_Mem_Re PORT MAP ( -- DIV x"04" -- COP x"05" -- AFC x"06" - -- LOAD x"07" + -- LOAD x"07"OP_DI -- STORE x"08" -- INF x"09" -- SUP x"0A" @@ -289,7 +286,8 @@ Stage4 : Stage_Mem_Re PORT MAP ( -- Mux post registers Di_FinalB <= Di_B when - Di_OP = x"06" -- AFC + Di_OP = x"06" or -- AFC + Di_OP = x"07" -- LOAD else Di_RegB; -- Mux post ALU @@ -312,23 +310,23 @@ Mem_FinalB <= Mem_B when or Mem_Op = x"03" -- SUB or Mem_Op = x"02" -- MUL or Mem_Op = x"04" -- DIV - else Mem_FinalB ; --LOAD & STORE + else Mem_Data_out ; --LOAD & STORE -- Mux pre data memory Mem_Addr <= Mem_B when Mem_Op = x"07" --LOAD else Mem_A; --STORE -- LC pre data memory -Mem_RW <= '1' when Mem_Op = x"07" --LOAD - else '0'; --STORE +Mem_RW <= '0' when Mem_Op = x"08" --STORE + else '1'; --STORE -- LC post Pip_Mem_Re -Re_W <= '0' when Re_Op = x"08" --STORE +Re_W <= '0' when Re_Op = x"08" or Re_Op = x"ff" --STORE else '1'; -CU : ControlUnit port map ( - Op_DI => Li(31 downto 24), Op_EX => Di_Op, Op_Mem => Ex_Op, - A_EX => Di_A, A_Mem => Ex_A, +CU : AleaControler port map ( + Op_DI => Li(31 downto 24), Op_EX => Di_Op, Op_Mem => Ex_Op, Op_Re => Mem_Op, + A_EX => Di_A, A_Mem => Ex_A, A_Re => Mem_A, B_DI => Li(15 downto 8), C_DI => Li(7 downto 0), CNTRL => nop_Cntrl); @@ -336,4 +334,4 @@ CU : ControlUnit port map ( -- in case of alea : replace li(31 downto 24) by NOP OP_LI_DI <= X"ff" when nop_Cntrl='1' else Li(31 downto 24); - end Behavioral; \ No newline at end of file + end Behavioral; diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd index f132e6e..c137e3f 100644 --- a/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd +++ b/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd @@ -46,13 +46,13 @@ end Registers; architecture Behavioral of Registers is type Reg_array is array (0 to 15) of STD_LOGIC_VECTOR (7 downto 0); - signal Regs : Reg_array; + signal Regs : Reg_array := (others => x"00"); begin process begin wait until clk'event and clk = '1'; - if Rst = '0' then -- Reset + if Rst = '1' then -- Reset Regs <= (others => x"00"); elsif W = '1' then -- Writing Regs(to_integer(unsigned(Addr_W))) <= Data; diff --git a/VHDL/ALU/ALU.xpr b/VHDL/ALU/ALU.xpr index ffe552f..4b10f19 100644 --- a/VHDL/ALU/ALU.xpr +++ b/VHDL/ALU/ALU.xpr @@ -3,7 +3,7 @@ - +

fitemxOLtvNe9QCQvSb?N)DFls>#VeoCxo@oe{iPg54DWE-!b$wb912Ijq#Mi41wfU^25E=YOC5*f4pavu(;a+h0^KRth^Nz& zR?^+3#oze)6UE8-hP$IZda|de20ok% zy^AgabYfP|Yd>#${u#+dp?fU~-SmS}!!~Dj1M4w6Z%$;A$&1cn;`Z*`wB>&Q>cIci literal 34063 zcmeI42Ut``*Z42%s(^`t#1czbEPxekhz*t|5b2N8;7Aga-0wlubXtdbj*jLm zL#WBi-8A|C>(LS}q@qnn3)0xtT~pXRL6c9OtjW7h)#UfRHF;CV`(!kmWg22~cvIw` zTmJ+G&=FPYYV^K3pvf<2vZjyb9ET?RJK7I)ik5~)G9;M}E`}6bNIRKVSD09l-$XAb z(O6eEm~fc!QSJxsM@`oQE01yE<@CsTTA&QfA}LII{o{OOQbz zlR*4I+(2AF2AFZ!xg6wu(B$Xp?!@WC366Az5)PUap;Tjd60YQaCKDQ^Med@&~k_&rx%0 zQ~`9DekFzT z|IVw!-qGF}0)8lm;r%4L!#?Oc(<+ z;oU=qtmb>bIbPS5ZAjl5`!E>54god$!N{ma) zsSTKS0>OE21%j&^_Ki;m%AmdvK`cO!!TG>^1_+<&0_SKewq=1}Y{i052JORfjs`*I zA_%&9H3(&}FPLI(EC@3BAh?+A1fdM(cn^7kAX5y2>()0QltDcvAORrAJOgP1@*N0e zFz*2(0YRn;cQB;zVKe!4-ER0Cdy!J^#{RwiPqtD?@Aaq=m+#6t}CEYy|!cC0|fm?+fg6J zhbhUio$9By;&r%&Vm)N{B8$oD0UXO8u?U>{BdqDW@ScXTVx(@9-%4 z5PChfV;tbzV2=IY2jRElJmK}2k26xiu#_-XpC4>6+M-|JCyclccR3*FtUW zxDIM-$2Cw}JFb7)+HvjE){g65Q|;(uOX3&E)Eu%H516nW*Sn^!r)ynP?WhOWm8RNp zj)wdayWT-dJI1B9{xMeUv|NwvxSloDKV8e3YR9<6bxd13u3=5JV_a*SAG&tY z>#Z?hA&E&2Q?E`hTbkiA@-|uH#6l92oaN}bc)Et8M_P~i1W<{BiPcBzUGB|O_uB%P zh6Lak`&bUwEaWW7gUp)bEQjkba=N$(K{6*f%VBrORg-r5U}HIS19G_6$8?9}EQjVG zx0^iJ4w0PYush@u$-^y~e`_D~q*bQjR7fwiAUVrnHFD;-xrAg%a+bqt*jIm_W*6uGHbfHafjEQk9k@R;o3vFVT5Djifkv| za#n<6{lap?3CBLCSYENYc6~t(6YbYlbIP&n70ZbU$KJmzXGb{_aCAS(a`uE{_b)8xKsa_^ z!g7v;`WPSk8@b?0${q+zH3-!&uIviGIoU`0ah}*@Qlpqw5E|uVXoHqL1Czv78U# z*!>#I`4W!Zud$pT;n;l`%Z(=-yRT!p3DiCkICn_Sa{h#4 zA{@I;vfMPvG3zAD1rv_l@3P!+wLzZ%Vpo|Io#b+L0AHG9UZXlE~y^CuB^^~LU7w_V*f6CGQ`@1;w8|CQ! z7&&~_^6kSq%8i9Grgw3;*QXr458lP0ZpzW;I&!!c^ZUg;6y->Gs{3U*j1kHOK^fD# z^x-p+ax>J_yE%MDP>!ybs1NUbzJ0i6QjXqt@8Xc5+yW?LdKcFY>M6HeO=&n>OK99- zHUBt!XUO9+wQ%iuTsDtGUpD2JA9&nCDD&;Z zHG|rBO$+w{k1No^b>MMjJZ>A5`Tcg}aldHca4ny^V8XSeH^{sC#X7p)BWJ3G z>&??=riJUvHKlvvjxY2$RHlqm*Kh*4%dAv9ygHT@S|Mnmyv|SwXPS# zeMC4!=<^LZZT`c*@4$o{`cDKxpIi9s!+y~|7arFi&Jo0zz-lPIwU7Q?8Lo-={N?+_ zgm8F`Hy$7%$Bv^1)KULU;Bhz(zCP4XIsDrZOsEgX!RJsv<)~kf(-sFoJbmVjKD2}S zC4|SZae#JE4*wiOe9J194oaCna6!#P92YP19Ev7E%?&~845 zc2ExgMggEj$kG;rn?YkK4-QaQ~MG(i#M-aleZFv;?7XkI!y4?kx$2*U-2} zt``WuU(}EKkfU*r92@t8c^r*<t7Im+Xjif81G^SGws8MzZY4*fz-q4eARf!s+Rhw9$vW8)e1Q;x@;Dl&$l<)bYaCcd;}kh|-o#Lc{i0uJoFd20 zn;nm%af%!p&-OfyK7Vm9%8u88aA;o+F&sH|ypBBX0*})+Ub;@AK5h3e^&fILUZNgK zzr_pIUE=l2j@Jp=ssHG6AN36c!5akrsr8P99J!Vtbp69UH5)I^gu^arydcN=&xOa) zctMW!pDT}}<3&!J|7g4*$HvRYL?1t1kVF6BScM>H`#2El7aA|fvHo-8aW{#d@f`y9 zQ+$2yghS+>7S4mm6=~r-d0d$m&Wp!A<8dOW=iBGa<0`dqK0K~k3+Kz@8hD%u)2|PZb#@OK}tQSE=Q+#v1#&6Aj`2W)nO~o^faTO`3?@u`V)90}T9T>^+{>A6Y z|Fr(k@&h#o_uH7z&$t)-v-JO`>Y@HZpJBpz{7?3qW|S~aQut4~AO9)wDT$OvaDGx{ zBorcpLOFZs%%EtQTmeNd_$P~y!q3X3oI|KQc$OqWArF=+l^j+{BvyktSvXu6A(z3g zmR-F#_(iEJRB(7uLrx_VkM4)X9wg*;TM zAY&M8ZB0ufhLX|{%fW9;RD-B7B|ic&*|lcr&`X4oK7V>N^p zC}TzSSkvx?j-Z#*!chE}gbT(A;S}I)bx9H-RmkMiIUip?n5$VZ8xna`B4z!0d#qrLh_svOkULkp^bCUxe|`K#lQ^MU#B zw&T3f&=4j3P{p2eP`eKN<;!`%FHt9P4xB=&RO1pb%V&XE9~&xUOhnd!NbqTjSGUvhBKsS(cQ_NlPS>`K?+&q%rI%BEEp?*;#I(Bj5Fn7 zQVT_BP_RNSi?OheQb>cMEQZPy7Rp#~kt}?M+`>yC|5O?|z!xf{VN&G)SEy8k1x3nc zM@f|y6I>kKEa2`$8K_hQD=n0=Fv%c`aOoV2$hQm2!04INIg2@pSr#!fr_Y=oE}u3l zDq^rjm^><6791395d#+~YiG|Hq>DK&GtBvc+E3ziHTWHl63hyE`;(3I{0)8x}*Ne6$$e5&U~ zN#>M36Ewd1%e!;+`V%Ha84D`}1hhr4JS;*MD)p1QzGIryH^$%ATU5AG77{KcQT*5Y zk$out2?PBt9>b%;-~kjYfqNA7{&|7UQ~b9^xq26(*{Wz9^_Nf3+a1u~)SqUAuoG~K z`I~yg2ZZ`D@R$2iGd9?)z%%r3>JoZ_z31Q5nPz;@CF}5v-?-KBP0{jjDKi|jC?(uVZ{QEn!cL)fziC?DnK(hz_Ru444KmM)m zZtkqv1I-?2_CT`-nmy3$fo2b=JrJWKy*I1#7vFdJp<=de55v;_dkmMhH)$W#%c)mh zA0Hz_SKU?HmYOd~_4$1IHpf2edmQ)h{kTtWt0z0YKDy55`iPxIJD)e~Z>PLcJ4H3I z{L;z%O;uZ@my?_~ozP9Vdh)q(yMS|NfB2v}xNqyUE;kPSDETq|{Z7e0soqodDQatb z=xNdFxOsL1&w3}EzL))F@|hPatLi$5wsNnwO>e$SLR zr}=_K7F`XJyXU8HPs(oS<*t;ualSkBl5+RB>lIxbDaflDY9`)&eS!EFhY&;27KK$( z^|~^HoS|XvqKd^zy`p5ExjsfWWroCFl=ZDy;>5@glX54W(Jh(~=po9SZ7f(+c2Hz+|D>_b z_0Rh0<<80aoSSi<6D&Q`s(sFUt7LKI)>*m+@ty5O(XT88I~JVN%k}JH$N4IHCFPzv zV3s&4`h%pB)?)psT1NYrHVxmcNlp{3}<%qFPU*4q*J9a+YGbz!}5+OB7&;=ZSri0cau>P_7nKTcHf z)eGICr}bh{Z0Sm&u6tGc1^4PAduu)12YP|&i`t+BD_>}x|o z-YNa|qWAhZilXH~f*la&agVkMEqy;Xbm>1Ol?(UK6XdO1*-ISYw^|(f?7GmU-$jFD z+wI+SE^n$7wx4Y5#8r)jFyGS8P!u}qGx0B_Uvf{5K$y3NFt0h>H!1gD5aw<7c!*+s zAk0^r877}OWvX-i@G;$7i~a7LQqf(obmi^diL)a6CYAW5bB|JQ=;mfUOyTwf_Y_pT zurf`2a(9uqco&3uD+u$#VP@hJU$smMkhvxfeH1FFa6Z~2apSE`;%Pgrgb`I4y;I_* zjS?)9LX?Na=;&M@(p@(<;};k1NESqS+bOz551)T5s<|F6)E#xwD7pKO3%Mto$LZ#- zd?mDOIk&yb>7AW)0xKR0SBJbY5%0dUUi?daywK%Vol&x6ZU-H=h5Ph+*$hkJ4tzgV zkXL@dL_E`Wt@xMxAfd~c&tSS^ggS1jRl@dFfu7v(X%OWSXCu+_Ho|GkzAzDQ8uX<& zZt-lPWx|6O)pfH1ryZM|8L`q$loBX!uXFZko^aY`PZRN6S>L4U=o@-dk6#w0+?j8x zQ{X(uz~%Xvu?^hUch3piO9Jh=+i$!Dd7Hm%FA6-mTpSv|oZE8^;#{&{l=$Jv)#BoZ z5a+|cF--}q?ju;#2jYCd%l6sAT=45p9s4>a zotfRQgI7x1*v2dgB`_EFraaT0!$xqQUIo3wf!7SAGYFa(7kgV81rLM0O+27 z&j$wj{cIS8>f;WeJ1}B2(Ba>JT_?uv^)=D2JU&TQFkstAu7lUquRn2LY@RG_1rz=} z?K|iuuD}5F_5TP4d}vqTI2fhbXK44DatNlEXI;Q2qpMnhC1Ks1z$a6}VQ6RTl3;e` zt^5i`Sr&}5vuQStQilG17z5E+r0)*HDal&{-FrQ?g6_ABSq!6$?g9h0wces{Zh0&m zLNB*;2n^wNwFh()AKnpeh)EB?z(ZYUV9?$egLC;X5PE;L31TdHR8LUd>I%l}i%u9+ zH(LNP`B%HXTZ>*K{M!Ar)%ZiI&`Q;3g*qAIig(87%-_^z_k{5s&#w&G8N1!p>)S3p zzgfQA>)R!(79QK!W?iJ+yw3N|<)rnR_|;UGP96aZ21|p74hx>%uI0SW1-ln@%{Ohm z`ND-BCi4P5miD^ixS*rnk^Ja`$~X7wY^%hD4bNX3c#*9t&wgW5Xt;Op_VuyLUi`NtFiTC>t_aC za-JH$;k9?e*pEjn&T`r}!n8E%S!3?vI9c1LzL}4=#;*;r*;D$1*|FM9lLMAZj@n$= zHEz4^-tflDXXEb1FI{^-zWn$nb=zWO4Y5Cj_#d=6CP|3)47mMRT+%qQZ^W(jb1M!O zJU%xfckU_Ak>R)9Pdu=l;`rUv^=qEq&)OY6z?I*uWaYOu~Wj0rQc=0o_PD>tzB3BGq?Er%NxA*`Ifx-RTh!uUSirh?eof` z7FVylTHW|u6+icGr`!E*XGLDVcqD31sjPA7if8MU$>m?$j9Q=h=;VQXcVXQUmsp!l zrB5Yg+X`wQ)%o1K_Hxd_wC?%Gl)WnNo1V)w&!~w=JN$5ezZ%Ezt5Ys689nDg!1(jk zAzPjfnZ3I3p!Ky2!_uuKK4~>CVn#|DQ}TBy*DAYg`9A&Gi(~hdI+YF=pLMHg>{t%Q zdS`BFQ&=_aOy0&T=EG|&G-@LXlMQ6f`BE8ee3QQoG1)PpMUN6$b0^qL-uE6Ry5cu%Cm1|#8%F4y!^@9kSS9} z9=YtRNFIG@&DzaTQrq*jLx(>IHMf~FUb3-kf;jd|&r8eVwymf**Tza2vaaFV_+v31 z|B~M^TXjD^^WcRW^`$q>J#P<-+g-P?e`kwZu4TPP9@sef@ws&G@HgXq4%P@`A39D- ze|@XsRba1?vg~a;M@D2h8;6};U3-1>+@6)57r#hoOi{c(SSN{%HlA7To4$H;epzg7 z!WE0E7Io3`hpnm>D%NZ+F)F{&?fQ#n+Z&WYr#DqScN}r^Vp!YL^58R%4W^$MRJzgl z)7X*DcU9hISDcTo-PJfMWA)ZE_2=ibP}Ps=n)&+LwRWraC2ZMV8Mor1Z+fwPtj+nS z<@0j7)x=i*IL+F*6vDcMs|jgov(7CUc{^`}*Or^v^>>Yrz|G$R?u&a>#aj)|D%1TS|uSJrI!e+f21PKGG@e zu+0_sxV;`vCC~jY-Wa{};gpQ_r4#F%_SvPKmiN3?*{gKMl)EZ`2D~Re`)Ofh-uQM0 z`Y6|A^|)3ki(Tcp-?L#+yuZ!FH*LGF8o~gZEw=}=3$_Vb}``&3~cjHcE6|_4&WS{XT1K%uswX>kav?h1%_G^vn zHpdlRT_0IpQ*ztgJbhB^an=3W9_hP--Bdg~zjg9-hBBe&@ku#}l(+RvgLbIDe^U>2Zs!gdb`n zB|Bd~Ir&+;sE4KE^W$FM$h=_u;L3fmP4|ql#mZ%`H+iO%&EGe=eBVz`VnZa8(%8`Rs3gaxr$y}-qbIMg-#mvnvO^|R8@%r;&hx{B=!T}c9dO;L5|)YGH! z>xlgr4Q;3#~J! zD8~(KOgLdUyE6M~>(tjv28dG&^fEe)F0p++FgMS05?JFod69MI!#dzXEbLP2uZ#m* z7GE+js}d(%Jty4c+$A^f;Ka5tk_^FY#pVfL2G+N0d>{Ha*edn)oC9{L1rN>Id{n8b zk>%#84tI>mw+-)JT;ehJtCHe`;#Sbd1L#BYM!&eE_LEC7$=TtL-xql_t{BdRH1ytV zXjb*(R2XsaWCOG8d>DyEm{V%Or*1viIR`*K)H>5W7?xbqd7W#N70kCml@|wv}yNgUzyW*Ww z>uY<02g}EYWfhj%xu?ksOS5+t);-c$(I7WYvaXpjCh^D*Sw9(?&52n1$Rw_&vAb2P z*_CF?Tc*DD9Mf{PqAYciA}`@yOm1FPnhuO=e#hdH$DVy-E+jn4OkR^P6{akE8cbQ* zi9;pDs?24EX4$flMf+86-pkEvIA1z?`PRF4Vb0FroT;`fwa)B0AB;VJWo&A_>utf+ z+K?$LtutSibr@ZeaMwL~&5KhlBl5F@zz@$)p806hp=6zUPV)MiTLhXUbBVMJ*nW)O>ki4er;k_{YK`VQB#Gm~MhQHPfCU+*fq)fn zYy&sk2IE+rGQ((|GTL!E8o%iz;dW8^CYAneUtP<=%bvZ?C=9+H0--=j?ON%?GbAutfq?2n}76-XKa-K^!?>=5OuqQQ)g5#B^bpt<3EV3>CaW5Pt;ZA{g9AP2(6)RrhqgI^v%x4K;7GL#@&oBRSWoQMq>1G%oIf$mf)w=1J@`$(d;Fu2bxl?S)9T;RKz6W=IjJy*)r$ftKb7w$_jEeIyLY>s4-JXTUaF+`Mn3Qp{g(el( zAwvU*X+_zlRGVu%O!isudq9(*@2Lso-u>hzIl}k~26m;62XM!HFt9E)Gr49>V)PH8 zM*!0TZ%j?mrZZ@<#KbYgO&aLa_#D-^dCC(s&?KWEXh7j1d`7_IDWnJSIe^b2q@03# z9#IuP?Q5=K&HZrBr?bJDJaFoP^cpzwU!L^+p_sm(`cJ0sFa9&>+mIM-{ELOf16)jZ zPk1*^sGG;VM7i7ur2A4eNRRAE9YZAZ zU?(9I?4Ic4Trh30T)GX&_kf^66^QW1(Ub@ZmMW(UUBT|dQjqAaib$$aHg`5^^MnV* zO(RWKDaYiwp$3rFKjGxv`}>Z^U~mGR|68bm5|>ONCro+5BX>B((;1$F>}e!r+QML? z7SRwBfQ5w3lz|=T2*BJvsXj&(gMlMT1Nk(ISLz_jL@A!AQjg#a99)8URHb;r!y8tO zI1B`4m`6e};7$b9e~API&mmy}j5v%$jmR^Q97iJU`Ac}TS>8O<$k2v z0@NHLh#8J82?iQ^16WG2Lt+86ob{C6wK!R;Oq1tkGE&{e$6$6Jv+#!4&T&mv^^pq1 zQVJd{l^LE<;*AgtW<@S)kFb2N#*l!h;{uAdWes70d0y8lNQUzYmH^>!k7O^BhOxJx zb3IP}bFWTbl%{dXsa%UerF?Z2gjrv4=s?^}{KGiq#DAt+%dpopm0>{lM)Xz)nmN$I zl4+b^1_@iJtAtLU&!sz2EDHi!KbPjD)5ad#DbqTqob{Z8+8|;I(!+{fTQHThU}kT} z%3B))Wx@w<-s7Sl0(7qP-3aq%HjRZg@t5RI7OYcCx zl{9~JlBhbQp4#UK{HVk-sLCFTzI+UcbY7ev@aL3B5X%+L0q<^jk1klk^$KSPj^-RL zDkMFm!^prs8EY^cF=}{_*Kwpeh#kV&iK7rt^Y$)tc1E7Ee>L?OcqJ}*0)3o$Bs8cD zTs+|cHF-+yXz*bq7^o?XLl}#S4`HCqq7cIsX;wUIN9jmC#+Im;!Ab8cp&m~VQN59F z=(>pVU2_#juzo~oUJJ1@M17bT@@QHZf*p+qPU)!=I95!o_~vEnQeD#A)qmfcybLv@ z+3d!76B7q&GU7lzjWpP}3oBqO7dyoS>}PEppVU_irq86+TOj)PB&Ocn$opP-v8 zD1ej2({8fRhU(1F;%5fAUX&6YKxOn5cVy@+Xw~Rjn$uCNk(Y}Yrey$a1i>{NK+{l& zQ6CbI(A1bB>JcoB7#>}vaEc%?>KxEy7zw#HqX|66xPQ$etP@vtpPkv2T6KD||F49? zh0b7r@uew+(LRk68PZ*ewnBz-X+@fsb4x?5GBgU1DhjKXCM-pE37fzXJ&f2~*Dfeu z0p*uMc}E^j0A#v&U@?pU*|{aD$;B0mW`6_L=6arbm#g|`B>NvLqJEoKAKu7QKXeLa zIoQaCTh6xt*Nrq+;xZRz2p=|rk(K8MX-6Z5G;%>xT)k8Hp~d+D4J)5}O{m^rmN87z zw`rFyi}o)J(Xc1VWgfw)YXTCDc?>5xw-chlY^wUc)RN2a6m=NUnD1CM+5fBObiUJA zj%XMirX(JXeUOS_MbSzY2Fp_W}O9U>GjPH1?9P7*i z<@ID%ocsOcMU7IQxx)U-EgrQ4Wi$%)C39RTZMzv+|2^$Zel-Q37cK`P@TRO#Yn7d|;49a5+`KjEQ zR|k#BPd28$aqmlfF^HQ|6WH9I!&QQ*F_lZR+x;`Jf949fl>bjH?_tRMzzdhxaEtE9 z$fX2)&b^Re;E?R7(C1u(WBEm^@Sybx<$Bvd{4F3Z5$mE?J`Kq1Z;*naG=Wq|eAi&g zSU~q7P5!c3B*^}bTQB&zjRnc7bcaG zYa5rcWQwJ%#IV#I%*q&Lb{JHbh88Rpb@(#d3({=IE*N+s*gc!!DpMNlc8szahyaP$ za8GI*rPW5Vq>sO>Zc5dF;z?_R&EjI5>WF<9G0i`M4}%1DASm z*)zeFXtICYtu53F*faNon6-l1b3uksmxnEs+Y(u6vzj9e2}Tv~`>+r~3+>X5LGD{r zc%RUyJ;V8VA=YWL)>(f_>qz{nb!Z#k1_T1OXLVtY6SpvzZetea%wyq>;uc>qm)?bJ zK*e<_(t>Q73tDoK^}E#S>P8e)VFqo%Qr3}&t8!V%gS-NRI8@@=V_mAkEj&btsP9Sx z{ALGD2fO+XCS}F8WrRo6i6ulb8LiA-gJmjtB>RWlGF64rCgHXW&NaAv)BREeeMUzo zEK$2K;-o!+UIaKDnQ)AgG=Dgi$?lK_u8yVA1_a7xZ-d##J7opoNB3Q++OeC!G3o}P z(B9q`KCIJ#zL{=VFW9z@Orzt5giAPXXq#QUYoFM~b%fqxc40mPG2sBAp?7LCV~l#S zW)?lf`Gsc2>PNRf(IbyRJ<$>82mLt>JVm|xp5jc4eXoXA-1ABUc;+Z^=TuI;qmtJ> zj>$D$(pIsp+vyu-IhsSv-M&WibjM0AY~*SRfUDFUZYJ(c$<6@e&KM1ddjc~+Zw#SAqZ6oy2*unIl24|%s{Q3l4%Yg zFflp+M9It{CA%k}zDyQwy&&c5eNDZ6iUEaJm%Jmr9>nW7f^aBl4$0(>bQ4C9^%xu+ zc{qYJgOoyWmBb;qDb=Wx$QyliL|F^h0qSC#TyH6Ghz*_XeL5tXaV^1W zNa!Y08zzS{cw0dIi4l%fll_(MGE15++c7F-GTl`+C*yTgg8h+nUhtxmDD?yBE?fzG z0UUAjnhAQvQ6%UP|J5Iy0spH%{3q`ZiK6|X%=EH_^@sfDH%@&$_#&@A-x2J72C=sb zV;x4MI$Y}3Vl1fPBDtEw4NZBzXq038@L(57^_)~bq#ioxsLU= z3d+}WN>TGk^_^J}*+;PigWRqiXX&HBNAXD!w2D!xmK16|BaSH#zu@-9B zH<CvBSUQOr=nsw#6r9U; zlDs_I#st$Q8yF0_)e^mpJsHjvG`p+{j-(gk)SoZmtulvKQ0>vL%N0N*s+KF(3DyAD zqfEiI23&d0gSBhG)l0zn5-8(w;mSY}-4T&#?gF;VNL8?^Cg1&}N2V&k9nC;EjZz!Y z4mj3l=nui*u2c|RivVBZ?ZC@93_E8A1KEZ61?migy(%&OTAWg*W}Rgb|16kV@PI z^+9=1$KKRS7i3U{E|3K(39vX!v$Te`t;J?qI(aV4;ly!hp(E=>4C-BoPc=SEL46@M z2u^@SLt~s_HUlsx8zV*8_8PXm%C;@Ww!RqK1Hx99v^w18HGjWh+pKIeF}9pr^0ie{ zBV_5Q!E>(QCCNaR9+!SpD-V=J`{{kp90v>br+G;a>GLOuRJsVULW4*1;ir)+HXjOc z-;sKX$-n&}_eqskHjUz3Ccw9knrmhFm#DdpQF9%l=B?6fRbVp1C)5!*bW0^ZR-a0OqaObp| zWt~B{2Z&KjMoHo4p9zcxN_i5?jg`XLkCg!{a3eZCRwe<*$I5a$R*EDHtZAL%N}CQt z#*)V>!0!4L6CzCqngaW2G|B8Hya&%o>+HrLm(i1*0X@X_4|W~df07jYPh#xrK$yp0 z;9T|q@X$Z9xVf|E4BV=_t88vH!mwNOR)t&J&Y+B}V)-C8)iwVByXxWQwc!Qei* z$t7k)Rk?eBv zGfWVHqfF6~3CX(%6jPr(0)2aAw(j+fdEOBy-ikFQZ?+aya*HdlGCm-;gIs(Jj&;!j zeUn2#qm{A57y}BcnGBi)if4+)*Bepz!sDwg9$ziLi}-58(h2)}Cyy^|S<90p8eg4m zMDYIjulULv;hs-?1sEJSb7=IB?brCK1A4Of+WpLbxb+{05b2S+M#;VC^>L}Ck>!D;- zlt75tlh55Rn^Vk9%Orx!G(BYM3ThKxJM*M10<4`HbI;bzo5L z?RhxWMS4t;v{-H=3)-N3B!eXC!15N&7SJX8PX|>n{$|VZaoG_82k{VZ9%E*Na-*LY zNe%}nV}z&6W$L&eH<(@W5+oSDrBxZ`Kzfi?EJ->{17xa|^kI^6M%H~5RIZ?oTx|<- zqdYwTqC^uPkzp$j34d%Mv2GK#ue@er1Gi$?J(1?}0UHTT-0pB}2NtKWEy64L1k#EP zNU^b+ss>wmw7FrFkYDgYnIVXvMS4Ne1j-CkgmV@+c5ayE!Nv-dUd)~oW!?UbFRftE zk3Jf+Y=%NgnyVM3Id9qSlah7{sk3Z5Ne2$5X0Q=T+sz||?Z^SPV<~OtWvlIUHr~xw zzpU-ZA}C?I<0va`yB1LZHiVJrf&ENj%Vxp<2h2x=2OCcmdtcssnhnjTSur0sP9#>* z7zQd=m$hJY*}$1WrL&kuXX}=$;sMbl5y_6Dl~FRMABQ z*j>Zw(2+*seks`}tIF^gQg43mohD)5WE;>gh2tCa?LtL2p5%4D(Cgaoq%)U4>;}t+ zQ*G$9qhqmXX?8tcZ4mE=X_SW1!cHHGDCn|vLh7PrJxL#@GoUKm^l+*LR$$z;A%$_s z3voj_#*NoP<3=~Y3i=#bl!}{9logMg8mi*^9Qs-n^0B@qP!ueh4TD9q+Sevy)=Q9i z$-XuZ|Ap3TIw>h#9gbqxh{_MA>YdHflFq?wWCF91fM)aJ)odlY8@ZG+TTs$$#~6Ds zn{+qC`~>o`J|<9PHqC}+(`-Q>YoqD|KMebpjJAl65z2!lHII~S9z>s%wjW9jyK>Sc ztFUW5$y5-7S6N3K-V09j|4_Ek+PYd$H^vAc7SC6ueop@Z$cx&ZvN%`iFAg(kXAXx za?!Q4&L)T4Y=SNZo-V?r_o0SB{sx23x_b;h>uw0R$sa$o;4Y;Y0i3KjymGRtQ})by z_5wxhH5;b2l-?04 zXi2J*j<#g~LPy&?4~6}{EOJiyK{whSlxBnx5OWsB!DCP(<|Kh4D{3~hqGnI(BshoN z2W+i#1d7;dHe{>W0_V)r=}ja+4=$s^y50!3^!8?x1Gfg9V{8n>behuk`X@Kp^{_)7C` z$VF%-9M1AWA7YR~t!UBIMd}3)wUcLqIvi?ev&fEbijAE#k$3FL#!gO#$^IK9D&Atp z&SDoKRCDy}vFTf)92U?aDZcn=K?sG@Hy12asyJz_kebEMjbpSE$44%DDyZX^E06;n zY=1CS1*C8pK(M_|l zLDStP-pp`lweleuF~fl% z?5Q%Ap^;r^61_|d`GD3Ne|z=u5v<8rW^VuKyz8Ip(mfh6Fxbf4Y=)s@hHWmokjcS^s8TkGbcAB+|-0G zu0&EHe4|y9*bI!OJtGNv5<$Tl1}5r{NTpJ1jsqwSr&GosEvbseS2Dvw>Xs*$lQeIS zw1x(e=epowkz5M|vkAFkyMZ;h-M|2#5LWi@FK|Z`+oF6#jGB|vK(YB1T^VJ0^K1C5 zB$~RPEMcoE5EagD)nto{JC@3<77Tn}&0n~iMo!ObVypE5KYIz_0{k z<8On>7CL-}CYyp<48Uooh^GoQ9kYJ;6eu#CW<%3yHs+CVE}VDlk304WWzVcb222@D;W~BRIHN<6!}cEq0cm1DkOB(iCM(4pHlX=vkDZk*KEjMvn4~K6MW+# z;q8#zb8nH5IR7u%Q0!*phXmmTdMp_C5@vsj$ce|?=}tf_BnU59fRF0gpQ3%^_r-#v z3eECE(8(_Smzd!A!{0@4&}OmV5GeAVW<&33cA>#Bq3msN2o$l`Y{*`-&nq~*9g=%4 zEfO3no$p4W3FmG%UJzce@4STBzxT08U%3J4;^M(Uc)NZ4!af?DDs|WL+@!;yoY+vcP;L9PVU)O z#E~~UJ0E~j#*u^K zk?;6jIFdGtIZ~j=k(v!1soCe{$ZPl`DSr$>$^PYNd_l|&qbO{Aba5gxHfE~~XKuG3 zhN{f^6~=yQAE~O@P*u%(RrydK4}1ML%sj3+>QOesLMVzCqL}dB*zEoI65RCaO~r$O z@PdQEM_b67exJ;=K#fJSe*&T7pNIvBtXCEgAm2mfWdB|G4#wA^B^*0MN`cjN%JMr? zC42fX7{mfZpvdZ)4Xv(OZ}kQBbQ2&O5g?Ol{j%B9VGox{ME54|02++vMZa;+oz6X3 z=xqiA!V7j!0q@8?b`vZ9DADY1esSW&=x4-pZW2J)$`am9FS##@TIFBNKs$MW$p5f` zJVW%fIPW(wK`?$3C|m>UhF6`s(=jdc~E7igATPJzFn|ZINoI54u+-n$hYOkTZBcweVw)N`af$Z&W5Sm?F zsGq(IzJM_(gBIp#iSdY#SJY=igKBAMb^Y`Dg&OCLL{aqLTG+Ks^nYBUUw(%oT+k2; zLtpzlo-309<+SknCm8rfFfb{uj0TR`>XUZ0`&?IxEq)0ypg zChs0?<4>Gzb5phNAl>)IhR%@XVD?e*AAaN5%nQVxpY!qtW^WFiyUXW3SX%mI@#rD^ zJ5vBp+J+rmW~bBA5-?%ko^AOFUM-8g|M^Z>-t;#-w~41{t6=%uP&K~gDcTzDH{x9r zzVLZ~y`*n4Tq(;tYSks*UmSmz<&VcgmsS8kTIJFuOoJRAh|FbWAzYrFfd~NRwGDm2 zumbOFOgL5eg5fZ0_DvoD6g`hC`rw^8|9&LeTU+=(WiMM1zE9bToTs<%Q&K?e<+$*D z$^$41UmKD)!i&96$zSc4#!Y6vf>VqyufzAb_{`v=MZrm)r>7}sc{X>775XN*q==fU zFRK?YEcraW1cqbCO*k;5)h)xTAScf7RL|4bva33_waS(;WZAy9fUVjjeDU!kaNE<=I-WxZnWJAQ{PL}w$j zydMoX4}f#5zni143}+?`%j+5bI`Ph#+K9u8DFv$4gZ<-e>fN5v1pxtkN-E6B5!LJR0(eu;f=oVH8xez zzJwS(29zZ)%mzbDF;kh>4@WP0~ZD7N+Bu!+uM>A;Yzz(%?BN zE@ z0^3NNV4Dgs65B|Cd;#2({Z+*RWS9yS8exjVECOVjDfHd1`Z4Q`AW&ok&4xzMtQz6B zF(V97CYa7UA;+DNtO{Y)8$qDR2$~IzpxFW=NSj~;&I&$2?gwuK$hVQqoreH3Ob|F+Jr@K{FDOHsWjTA{?ek+yaIde^HLA-sstd#jA7;Jf1d1%D z+0b&Db&Ybvm&}R~BFhK}$v7cdC!|w_FzbZ~6baF6C`7XbmXnsjaOP#5&0YYTAd{j6XRC`Ds0DN-4RriW6e6?|PWNWjomsEDK#}g64RzOS%s_HERi5pF zI`l#nnL|jm6B4KpX1x%BA|aX$g=n_GK+-Z8XcUd`p|uvg5jZps!iWiSv<||ENm4(6?%v80M z38uqN$N?v$MujlTgK7yB^+C;seNeLnhLSeHPyrZ4k#rHbmzb%ls8Bc%MLSPUzZ$1s zh3dzwH-bQs5i}bbL9=Rv%9s%nlu64vA@xqkaYPX;&8#tN8yZ2g1xAoI!3a|o zQMCLDyb&Lb5G^wmPZ%joG2mQ)q#!_M7}ef3@gH0{0Okz!5vFJicUGZ1v))1iMHbR* zXd%t2h0c#zXo`}-bixTa>VzCqASJ1Ord+1 z>dq|wq@F;L?wSpC*KEu{A~Kq_x}1<+C!|w_FzbZ~6baF6C`7Xb1`;taP&K&t(7GMG z5jZps!iWiSv<`AikmHnvppuzO=CF%P&tWZK5E?ILw%@&wV+61#5fbvmX zT?g(Z=CC>{P8nhlMh*#aX-n_z@`F!Hg(6(Hh+zcCVG2SPAc&Zhn!`p>AqpaP3j|RO69iE$8-qsiA%AHkh+#Qqz2!y-MV8ZS zXgSTgMwOVu(oRU=gd9*I%z7aLMM5+i3ejwV<)me>+>C$zOXjf8g1gLNlQ;?IuuW)i zi8*XPN=h1Nly|ORpb4fNGC&LiG3#{~DAHZCq3)WE8K_si(B-^v%n6xtLb57^SuaGO zNQh=bA(|~PkhBa2>II`2@MOf?uL+HVFk%9b)dnK}(yX7@@h*i84&k ziKbv4#>g~N+$G>EAUyZl1Y!4Knco$ab8YWiW9?0|z4MOiamTeDTzSrema8}I#d+9X zoENmWneE+=${Gdu4>fQz+X^>Jlcn+Z0VvUUxg}I|?Co@Fb~!a`peE0K<7-UgaUMDw z=i2z2V~xjqID7N~LYOq`xK@EH&v~%%Ri^Pc4;zp3g2vaf@wKSz8=pku*;Yh66XY1+ zFa?uDxBMezwskU-22ehi^qyBhejAJBxVt;V9^5w>a+ljE+}>kz-JYH`EpgF`}d?4ZaJnQRDVF$-?ylkd$})UoAK{h@vVjWGt>3B*~A|% z=LH4tDc8d~Bc$n@l2pOi}crLEU)T?>t+0PF>AHqiN4R1 z7v4Rr;9YQdBBMaxQCNQNQsE89F~oBj-5uo5SLZv9wCr$n+^{;1`&fmJv(H!H{&yC` z5AP3VB;}kqzB12?k4i%ig#?|{r#Ck$6L9$`=i|~H?{?`dJIm%8#>QfN(9Q+%VSMAW z6EVJ1`%5?3O~%+sd@VpNuv&{xH`sM6yB@OpdW@Y!+QQgzWat6A9%XkY*?l|4PJ(S= z>^L~w33hiXJN%My!`Sy@>?Gb6#*Xo(zi6!EdM_d=3!mjOL->x34=k)YjfK_tw&OZV zWPIr4J}<%yOQ$ipTIic>29y_OeNQo{BI@A}p8T&XIo% zY^A7=<N=ErKM)^vL zmN(2X%7aZtfxa0ua_$B`T}iL<=}MPk{fHWDmHr0^Tx%Q!0wC|{O0bemoc3YT2N zXg%!5=Lqt;rY^an-&^1PObh#GGb1(###^jC$If@QlF~F42~NEpm3Y3cyGF=it9fcH z59Rr>rf&9rU}LYe+?D*)+-0?UQIB{ch0nx?;a3GL8mj7_976xin-ALZ>~XDa%JZ~`L_|ST8FhC^XlV7kTpH3;&t}-*!qwiG&SleZ!Ax!$$zc!lvHMhrR4?UF>h2 zbQZqkUpctvnX9|2?0AmnnXC0bYe2S|a&*2G;>xj25@3%(W*P91ANA({H0OdcC;z5Y z{+H3ZRE;~EfiX~@M@Vd4d z*oBVfzi~I9p|Wai<*~BeSXgs(u6JbzTuQ8|2-a2v>vq@&T}nAwcYYqiC$Xlos-dzP z;uh^Le{hlL;^q9J0_CF1@FK6vQ?9P(N8P6!b_TsE^wXj4zjS5oE~W0=hv(t38k}F~ zuwC53flg~Gs~ak7)>cj|+P(O}@((Yf?b43s9NLHmLPDJL2oH->PM5>I9O}BqVG~TD zYhz_In^nW8-`CVg!YoV?Qx_q^+Xt#erVa%9jR*lkT^&6>*EHI;P@mGx^YhpKj; zvG?@B(;i&>;qvcWrsfVV_{SwlZwX_ZZ^@_ETAGcz8Bo&jo&p7PgSOB?fBNuW! zzRR!1$_&ZnSiYlU%ZBCM9lhO&<+ttJnb3UuhVBhKTfSxc#tmB%%eQUs?pVI|tvCGv z#;%QbIHHcu)=fJ$Z0$%a-`Tmddq?+%+i>R7ySGYy$9A$xESGOD^F^c_HmEyOd!$`v z;G-5*KUjoyohdAw7&)lf^s6<+!baq97~&x|M0z_uK2oK%*pq9dih>|pXVDhah+H;{|%)GG)YiTT$zZjggjqN$s*r%Ef&c!K^`59NgI@Y*&`&XiFj6?+0ACn|e;|ke+KH25#`}SWC zEbcqj+`pgSiNsb-!tc2L9QWe7lQ{dZt3shTu&fdv#O3pcSc=Kd{yA%5{N@~Hj<|eN zly^$H#fkrWA`e7{|16?RB0)>MK4&@H=Y3k~aE?tpJkIm-qMj#StVP6&d5SnAv+yXF zdoaatt3^~^E*_5ZJlhKAoCiIJmnkmuF-~isBJuf46_@!KrPR41g!-pg7( zKDRi0WRKFzyo=KhI{a9_;xhN*^Z|!woPsj{0{@u9(*sH`b1+W1Eg*i(DSkwg#9unR zYp()+*m>09RfCF8JNlP7yvB8GSvR2U35U-+dYPwzKke}Jhn0cM)j0i*!-t0ym-!l} zKXmwr8#rao2L2O||B=$myp2;14{Vn|WX+CD8Xw|*-EhDW@I7_nZLTYMe2c@m2g<|a zAHq55CminA+8o}9r=b@QS-&IwDbLBD111hxwn)sB%k2ze{=qTrFs^s5%k&x^98>iEr&#UtB9*56!0>$C^^GRFs>3U5*Ug^ex zX@^gMj>mat6s{?Ve;yw{<}vS&3(y~n;r|GNVt6y;7Q^2Oe-y)4mf-*D5`2DCLhe^f z;G-q*hY?T3^l2!8FDs${JtgpGOYr%N67(s=WifreT7u8}O5n#z*ln-`ep?B-kCpHX ze>kO>o($t+_=*yGzPAK_-ZU%5rw;L33~w%h^Cv%x(f5|%|7Rs|KEP3o&z=(a@e=mr z?+O;<^Q{tgd$I(c=-%G7Wy_sg6Pr6aI$FD0S8rI=v1!G|+gf+_+}2u`*nG$4);l}f zx3q5T?AUlm>y8cEZtrN_zNvLHc5-@Kw|5n-wxTHd+M?*|ilSE*MXwTdHf-5^`!+e- z+10bN^Pa@kj;%X8x+8?Z#;&^sXeWs)DxvMlqOw*MMeBu?7^LG)Y*@AKgf1Jlw03Ra zbzgVKj;MUghMnE5cW&6y(@}&-ZQWkC`l>5ex2vsJdx3fA3b>#M@d}vOduB&R*Y+J~ zelfDp=J`xRqqncxxN1Xt-6rw&iYpV{aPZCzvSA13(~eu=Vo2Hv|F`#SL4@qszOe(B z#i4k$11^fU+{P##YOXHcNE$c5LkF?r6OV3WVx!-nn_(?X4Z{w|A(d z?ycRe+qZ4myiN0B>`=UA>1@A=hDHETyaw#f?)KI#+i!;k8+Kr?v9)I#Njuu3lddg2 zrU@H%?AUO3>&ER{;YNDv9+lkQg@_4NzA`*rsZzw?+j_Qk?0_JO48?SBNB`*VxEa;jFdEwBiC7Z{Frye`?x=YHeDH zeWBJ!oq`ZSWcVm?!G5Ypg$@zF1*yD+-P8Mj)r#o!wb5x5%&VdRS+y!6SRJ0$>zKD7 z(NXKY{CX2<`GJ}rr}dGZ^^ut7zk`LD!1zTV(#44yG~tHIZ}OLFpQF!&CGzsKM&#j^lB;`;ou1pcpQNA%n?@_L@{ zu2aaz^1s61X+wW?3H*l!w{|#<8#g$}-`e3~gIhcN$l%rvODctp@UeQT2Df&5$txrN)^4i|Ztb?);8yO>4Q}6aNi zZS;Iy3HL9z1`Lt{5pegDuMTxz#l1rKUo6* zVF|o!S)`}cr^evxjGlqPt^IE^_%(*U$KaO!BL=tpe`W9%!{_&EBR$_@@Ec0teI@Xb z5_s7q5&w4@{x3DSl^c}6Yc7rWG#NhcF?h4VA2PU=`{xFKy`ev3aI4RmZaMDdOrRy`2%4ie5~9%3~u?{V{l7<*x;7_ zYX-OUFLC?l)Zfy-%HWp%y#}}RcN^T&pUp`H2l?Cf-ez!1|FIJI+v|i)fqnW*;4fVf z(OZA5G`Jn#b{qWNsOtUlM+Ucg{=5YKrq@Pt-)8uH)!i;=|TRktmD&k}1zS-bb?yn4P>8n>pd@TL@4Q}%C*Wi}^euG>3FB;s^PZ-?N|JvY|zT)*!yDa@R2Dj^hcNyH$cXQE$gX78D z@$vQ<;pB=Vj$ihM2)Faj`wedAox=uyC#rh>M-5KZJpLVnTRmsk8F0k)xhO4g0e-*1 zt)4$Ocq6KMxu zo|nFn)JkvdxzgZP|LYBIvPcHmj71_Zu$Sh;MP88TrXm!y_Wu8 z4Q~B)>kSb-o8tB9GPu>}34>ev|G?l@?$6dn{B8VSc4KjT*x+w6`pg^L`fCM=a4g2h z`s)n_xB533-0HcCPQpPxRN33%CWBl04;tLs|Dy)C^!L%|ILMzQp8u@Dt^J=fxYhqH zWQv1)to`pWxRraxTZLTc83JDJYYcAbpEkIiAK%1D7YF59{G$ec1Ij%A2k9gnq_=+m ziovZP&*G$ugY?#q=NsJGp~m1=e-Y;RFeJS`FQ*bX$lua88r=GEufeUIKWA{u=TEo@ zz(M{2K3?vq!7cr1yja2!Z|}YF2a zEBA7PTl%{VZs`vj-0Jxc2Df~^dP^kN`fVTZG6uJL ze!}3EPr5adYyJKMgWK`^BW=a$4;$R_KYK$&Z`*Z=!7cs!4Q}ZlGPtFG*=-Sji{D{z z%jc|(5xs5KXAExb@Lhvj{iul{>#Jde{`8;lL%jc^GxAaSHkN8{qR~X#VZ#1~2-(he|{~Lo_ z{omdh$+h%-CGdj=XP5H*?w<^9`BZH#&i_G!Uu*b$!Ql4#{W*i%eUh`^Tb%!GCGf8p z+{*nw2DftGa!179+WCONtv!EjaBGLFw-o2|CWBl0y#}{(|J2}?{(l?X(*NAxmVV9F zNFR&WZ;S97aq9j1hV8}icXk!W-}AoW`2HOcegjTD|HpO~$A8!z;Z~o2=_!t%c~^0K zX>W1-t#?Pb)o1%X#qrO)Kf%TBPH-3 z8Qk(e^TFc$HyYgXzx2Zqy>0J14Q}=Pu)!^#pOnDw7%I;HF@szFKQp-Hf8|FaJ{Et( z;MNXbF}US_!r+$Ar~WA7Z|(3igIhkY`DjFcIZplfbECnnJ}m~feC{wfFJ(L*<*x54 zo#``3E8KY*QsP&*d{qqR+K5MueCs;CnILKlgt;hWqF8<%i8swg?~U({_Op*3e)$Jnr8I z;O~-H+`kXt-@EYiZLd3tCFXI6Qy@>LK!DK`gdZg#K}}w;2-L_4U{PFnas<8v0SYmo1cM|j89Dez*b)fp zz*B6IC!Pgn#z~wGO_jz?)Rrdd)M3O=EK#U%5=u2$nMRYLsU}TQYl)_Ak_I=W@qFLj z=iIyBeec-F(4h(y5iL6+v!?oafRl+Ygrw?MRKFAh~-- z>i3cM-Q|me;UFCh?M&?g8sySA-;v77xvx$nlZP}9HKn$B2D$WVWcwkFM3ylpqh7++NV<6YlJk*;?<+i0Z z*t~ zwRy$hSu}fb>Y?UTTNbCVDi!e#7vH5jVc-#<#ywg<*8TW}frWao1Kf~HuW??vOzoc> zyylljju`$BtFiG=x^;d7BkxGx@z8SH{0UGZqvCvwP$xBMuVgEaWQ3;SSxOpNQH0FVDbECL9g);(swsH?r zZ2@ZTB8VA|EeVDi`vX`?v3+6zw4C*n-nBScr%aQlW-?OU#m8`VH?#1D*v@fHRu7O0 z#8L_#ER`9aQR0md3};0yYLBser^b+gsN({Pwq*@rfq7onDo9513Kj$5aF1jslE(d4 zqH{e>{`2ono|C3=$*Ek6L8W|k6@*z|ap*wYP5dJ`<-~vDB2|ICrnw9Qx;LV?LeRp2 z7M4uo1T#q3LR}?v`+P3ljbd34(E7PFC!Kco*lwBDIpwV39MldGGmsuu?Ad^+q!lxJ zCuVk6!_DkUi3+YKyeRdc1scP19V~86KGBrA8T?y7DdE|aYDPAo2$~7gN1&vuyi`n1 z{cKnR8io_MK9RW5hShn>oP-4^QW3HfOo7z0d3Dmf6tZWtd0d9ngp_0Ax8Bt0XkH4< zb2Y++3s)qv5_za81>Ksl23nn3oUJ(3b3h>ZFqA;b?4jr|f<}-dsgz+&7)B5^G(2bp zzf8?#m;>qKNU#POL6RK&AOEgv5HW!mM?+WbQxFwt7Zuvq!d`(DH?)xe$YfQDi*@N8 z=(m#Qk4_R*`_xmr9f2Q}SO!(uW6_tVkx1vo`KUjqM1okZa1OYo@itwsgzFW~4jj!n zTvSTBPlu7A-7?l-IAYZBAg{wnbr9Q!vm*x}p62ae;_Qq(WxqP}BzPsxdlr41c_cKb z3|u_n0X2C_?P%~3Bp9eEj6)cUiVtC+&7u&)6=|0J+>X+bdWhirFkvH$`JKoV#uRuVF-3K9yq0^j^J1}x$Il#uTJ$yb1(g${mJuD zLz>NQoHsFXpe7>@)U!x~wcD@)#&WS+Ou&BD&heRT!1#>8WE%nJ`_-v!O6Huvk(pd@ zErsz%9Wy0il^EYi4jA#2Qxu+&b@H5t22P>|TJ<~<9yomwN!Y;M;$+{()6Q3?OEV9E zPMXOR9#m!*QZ{#zsZQ#m%W!rCh+o0`#^RE&A9IEjp>h@^GG|ap-!ydf$D}IOAmkHt zlLZBEvUt%=7TQpq8Cw0!AlHjhq64UmzT%DyodvC$d`ojWiZ$_a5yP|$piLlH!2vW4 zg&6fA@fb~wDWU75wFOP!ImZ1Ji?B{y-g|OpTWb07$-zGr z3Ku%V0mhf+6h`|rPGm@TCE5xZ%B2-)Ue28!YL%f;fK*dhjWpqOWEZmu9MQvw&Gl@9 z@|95jbSUr0!wG;)D~2jy1jx=UPEA!*E}Hu{ur}B8)Vo~OKOs5zWD)h-z54J*p8BCv zFw4P4Hr#T)1-Ndcxf18QFhlsT5sa)nKS(>8Fr<+Sn&Rpm!w;>_4`^8V{Ch(6hO>-e zn!a7TbXl~2VTeXNQ7*F=r>+S|H0Cj!BpKiFSUJ|2 z1n)2goBytp6_Mqc)n`u$Rv2;xB z3Z;9qL{VN>uE`xEgo1~lbu+rhZt2l(b>tl9{nDPxj8l!!w#SYTT8I(YlFRTk9wDD{ zyE4`|VMhx)mfz*TaA)H}7ecJ+){miHqA+HERXpzk28+oc*9(1iyV7VCROc_^(Es*= z>p}by7*nDdldZ=RhzlLvu?g2d1^XY3W#iZ35@I3yHE`?m_)T(*;5N(~xjyImYns|& z{dGXneKKtZSbvGu!}q0^{ro8?uFYCe)^~F-j1>pBmt+7IDyY%J=#@+^z(!i@LltG% zvJyYSF2Wm&iOG;rIR^f-lDnHyXY$W`=8v1g-vq|f_muU0G#Fk3dk?MQ7NEaC77w^x z=dOb4ALz39RVPMYSdH2^$Zel-Q37w*N(% zEuEo_xog(MpqysN&*V}+VyURZm)TyBW;=Gl(6hnz zxeQmC(qOk^l+8c{NW_LaQoE7!q0I>uA40 z1?`l*7`xv<+)Y6g$t7fcx$4B2aQh8vJB)hoYw3Cn)^^b%_aZu@Y$syB zogJdce#4899#ZzKIm&(mDZywpel29ygI?I8dk5EZo8fvCaGltc+~150?szOavcD3Q zqTvg*WcmYD1BJ4E;L-ptJ0`gjO%6`DwS`&%d**%+vsO@hF31q-^00++TOuoMR&#_Q z!KmVW9~MGrpvfHPDt7B=j0fDl)+hO*J zc3DCA(S2L0ZvTzo7PNRf(Icm!p6H14$Nf1CJVU()p65)9eXqtg z-1ABUc;x_b=TuI;6@e&KM1ddjdF+Zw#SAqZ6o zy2*unIk|ilW}wzi$utKLm>3-ZqGaZflI@dFUnUE;UXb!NzNX$j#el-AOWu)Q1LD;j zK{%AOgk*9@x)~$L8VnAOJRCtoHKHVjnaV^1WNa!Y08zzS{cw0dIi4l%flY>?6GE15++c7F-D&12yFXMGo zg8h+nUhtxmDD^|>9$X201srknnhAQv0VL=U|Jfg$0spf<{F?WNMA80GW_sDe`a}Nn z8^^vLe3RFo9}c#^g4o-Ju?{0rJuY?YFc#EukzB*!hY#%32E)&kH5`wA3%Y9Vg78jQ z%|UeG1n!b^V|B;1GQ5L6Gk)q;ToU%-`j<=xUf8oGyxx-HNAJliu0d8fiL}i4(0zHu z)yUf7f&24{E0MLu>oKw!*@w307k~Z1yy6yQZS4;|npZr7aZ`$)9zBlZCEHW>cfS3# zu#DQk%@D>|En=({*g57p*4rv5U&ARy%_r4^p}%wT3k*9UGRrB`)=+ZTygu0eeEOE> zu%6KM>ND=P**@Jt#7ujbBW*4-O3w^VQpt33$N$3Bjop7Z(#fsCXWX{q3w6tWzU*7e zzAjQ$lqCnh>Mn-JYbx`vV3i0aF2dgv@N=qrNkKQ3`WRLN&tPT5=^CybH7h?qM?M48Q{6hEdD~LMbi4@;0CF3 zsjV{JemmG;=_F>LKR7y2a4y?T^73pu6HJ?IU@+`fOY}DOWH?jM?6N9&Azgt}f4-Qv z${b!vwa2IA3Lp|y#}(@&Yk=zkrr=r&t~}?#+O^>7CE$E9l<~N5WuS=eh{!Z|0b37B zRj{fd-#w&9raHhK%|JMfQyb9^IM!$A55e%ZR1jT@0AK9wz{@!dJ0}K1*@gH8>WqNB zDlzdsoKmJ{onWf-QRgW*%Ecr$${%Wko35-SmQAG80r{goOW<}e&q1`{jtnc}iD z$cdM78F86J)#>QyxCV6*H7*gw+Qa+#=mx@RBrlvGcKh=+Sp77y@D=LI>w#HG_vv-R z#D&P6grBr#JnJGyY21QT;x1?i%7c3Lrhd90gDP}^EKo^+#bKJIwX|&=Hq+9{Q(+D# zjzbF_*&t$2?`-^P@H-vUXJdol2v|hELX4dL?CfzUmj@&0Hc=uwNlE3|aU`Hw9E4`k z$C;AV6_v^A=E~~isSoXfNs_02B16ld->HuKvt%$ZMVmfVi*wOO{KK<6{~(mNp*ir6 z%ux7~IY4GQ##JYGJV6QWd0UKcn6b8jbl`;p;1t`-eiy?Y9J13W%VnsHA6Kf_k(07v zoaDB262sx0qBLquW!?&xVocx=cM5)@uVWU}=h6*og4L8R8|5k)Uu+=54 z4!3#D-(%ReDBDboE$5beZPnBWSvqR?lnZ%DGL)sqr61MF10~UZ`oJrP!Gitikfi(c z`4dDcU4&Sn!K3-`3&<6l4~2N(g$9brzx^TiNtIVNjpAG;z+WFVm&@=kQF8^O<_bj3 zb<%8AU@F5W)DbvzOBH_P1hu?+*-Bx_dCRlBB02aq(#ZUiS4CPloPEhor{tHc&Ro8i zy=)*+F1e+eI|R`vd#C1RHCLs%Y0Z&WvO4=cNp}5Ml0Cm6Qmc4Lss=*iB2 z9%B0kyN>KXNs9d^G4>T8%;PU`E;|Z5^p7lV?(RDQx9aXGo4=G{OR`)~Lr!kZ2j$}# z&|h{j@}p+M+zZ9pP#;yyq8t@7Ob~(NOwp1_$-4*?Qy)D7eS2iK?)Qy(-4Q6>iZv#0 zwiZ=#6_r>SkCsDK^la5`e-AA%FtrP4v@(_$V?bdwlR=X}@k;Ud`Tz>wczm_T_nh&d|Gxgz(Fj_o4%OQp#At4MUuk-%G}}Ua+!MWqYY;lzXb_~ z-7!?jWtao$<7|CN(h(u8QPRgq%9&L6Lr}SrI&zgP$klS!A4G{}KH9=o?i2pl`eEH> zY&&_)#0GAqv3)YlX&)N^&D^GNY=fjbWg2Ragf`R}Xz#J2ylGz?+93 zPjv%th7HoBgTNUmD-y=(2;IxV!|!Y!xCs@UOsZ({4|Xe99XirT+~*|wWOW%HA37-A zFC1t_NjNYy4fLsST!OwmsOUzXyv`STUHhGM=JLnfAop0R9el>0h($|_h;;q3pdY4D z8b%8{eJG-Uv|dPEw5%cN({u(@g_|BrwZaOFn|7oy4tXJNNXNMGT4>zp##KR|Ba2dT z(~Yv?al;Fqu+O2dRU;qkYXU{VqS-K5G^>4Wf6RIbGB4TJ4#9t+^_q`LIxD2kdMiZb z$5IW>W@$<1VKy>>*+@XMdGTtt65WklN|`MvX|`#`9)eZ68)BY)Bi6?Rip-|j&}^D5 z=wt0vedzmP-;&W5@i9huu%zaZvdzQjlhXEmsS#IBx@0wW8!)e+2r5k@;bx@m$vw0n zdU8L?L=X_aRhT?6ZEvd_&X=wjgMB3ybO zY6#?S4EU_OH{i2wBj4$a46Lv!1;`5qr&s>@^$SC-9?f4^1@u zn2fgmsa&@55DeTryw{DkdsDMCINDPOUlxwG^+4$zp@NpAI_hXk_HT5w&GS&$@5>_R zlt1o9+sCCDVFbjSg>i5iYQ&r*P-I2ThE~+^GP)2?)@dn1*D2OK8O%qgPV2VMe z-Lx5-$FWYXx7{#~N=TMH%ax}@CGNxtglNp`$ZTj0ia@bN1eF#~;BPY}MUWeQ(u-VM13&4j~QUg$#%Qm7RzntDjR z;GuTvWKf4g?OYbwiQ8ghCr#uXd$fxXPKL?Bt0gKvXvfZC7a>%0d@445OO(R`IwZv} zLRt|*;q=V~3zaHPS|_At@pJWl+KJ;M7d@5K@oSaHfeyBRJXH;(a2e$FIqEVzWPcb=%tuFt0|<-$akSS_$2J5JfWkyoug)%hqM`}SvU>O(l(q1r4}wakU#1)NcL}Z8qDi# zfw{tvhQR;U2N+ZNzzWxLV@%;lhB;coEE*>fBTzKDX*L|)G#eW<-R@{03czU;VgORueF9PT?GMMltNbdNiFZDx~ZW!6Q=l~mOHjL(E zw#=W&7BUyn3|>kuJ{RG2Y;8Atwr{sUQM)x8wp+6?v*xe+u%zf#wsuJ&P{dZVAzRHB znDY>|cURY9P7XgbJ=YZh3lTZY6X)`uzN~jR%YW5#(Qh$cLNCm{hDG?qy4YNlUf^8B zF)BGo7Qw_daCbF+(#Y`99>1U{(MP1g=;r2umYLgjI`8_Yv2>3{3=B3hH=AK-+OW+<7cv=o2hy`lQSE>sgLLdt zVJs1Br<6guzp3M;3unYIV_&87LlV4*Lu0ANXJ7qSaOUKOgqxc1#YIReg>STK5}Se1 ztY;)aPa-H-%fLkaF{xB)%`uA7a5`oD(UPiYd?hm?q;5LBfTVeQq_s4NJdXtri{v^W zm`%tP+YPM2?FI$_g|M=J?|?g^*cRoZUDTYMhKkLv=*lR|n_t6c9MRN0WC>eUgQ#$J zt07xl+_6+Tit3a8sitO3ek z659n>DCGw43_9{HjcXcjB`YSwDOV6q!!5q3JXm^GG-s9&+ptJN5}>&#Y%JP{dxdA$!f1^voVG z604da+1nwxV;<5%???|H&iR%Rj9pSkTgMQ-vnCq$+ z*y|P>*IoCka2;C|bDcnuZ8aO(Rjp>j=*ss3>&ZI~{$j*AbrE1Nw;vW3KaiFURV2uR*!wUssg^iDv$NexA$Kec9w9E^v(0vTZ*tKJ5b z9b_RC{j?^$J2STue*`zay{))!3D4aK`iW1+d^-y;Y~E2Ou9rcV9K4*=6CZ*SZ@LSO zfJw}^0!0SXY-ljedV?*f3mM8l4Q_h`|a_%*Zx|P>Z-tW*pWz@sNw42>9Fn1TM9MezV;|;q>DHV65 zGH79*mJafY`fO-WsgN$Mu7B3LP~*I@APVAdK!aEhH9`C^qLtU6{U(Bm%{_S3*uP(@ zIp;swu&KhCiv|hu(8-sW)Q(Tp|!goB^5uADNI5`g^47hh$J;-^4s__A8j@mP{OI`=S z2V9ebzYE#|-2&E*MgKVKL$^ucgO}XcQE~2dOB>yA9_og3uiG@pd05>7x%(tM!_JB5 zzXHEa^18()e${CcIs@kzA6LB>1rvEAPRMdN`(^P2KI>{?irD@XhjxY_BI0FYL@bTQK)hX<{4 z*>UnO&yFDhU>@Vv7mh0N3^&ISzI4YIjz(A$uVw&>p7ZnX(D?VI@H%i^;rmr`$sWF6 z)dqo{-o9T&0kM~5!uP92Q5L>NAa95kd%ucb@s`F-WxkG63<&SX-&65Bh@Tb(M|r;X zpw!pq&aeU=s{#}?S6^N&U|90`+DZnTvmY<+!H|ne%aCt?#Tg#!`Pw?ewochrGfe1Q zZK=G?n9o*i621uapuPx2*ElD-?~5E}!?B}(NYaA$pCl^7_kp=3bCma=s7%bI_WdV{ z$Ym(dzr0iI{ipA+g%~KvEbk@a(*S65T`69~8yA+7`0H6-(YHole+kL=e~;a;9JiQ2 z#uow8Tz--h-tw!VA-NOlIESw$1!`zsd*Rh2Qp}x8NZvILd3!~nN(Kz|B{`_#-|PK1 zN|Cp>3aW&g(0Icqe62-Qv@az^w{)`Pg`sj6Qw-zgb)*t6Z3;KUqr3)E@cT;YpI?2!dcH?P?$lT+lI)#f!@2FY{lc9neJ^)kygwB9UG zT1H-|nL#GJA@=|Aeb+qGi4xgX22Odl1xfzUtM9u8`UcI|Ni=i#j%%$3;2xk+PnQi= z+RVKV{2y@q#r=8TnfQS3n;(A*7{1x@H_p&>-J&ldEO7kIXS>kxm;UAWyGzo2sVvip z3t+_4y&=Pel+xhF2nHpNJfsan9PcHuDh7ylVTFMLWLQoy>o3*?@~b^Z;TKgtE9M6Bd*;V8j5| zYpDmo9q$yND-;-^hYA%M;oymYVT40Wp>Mb9$E-JkK#>tN8(ynuR*mqZm=W436HNK8 zJ%v;{BebdzW_eI8fg&SlHZ+1}3ydIbf)Pfctr!6d)SJN@312;)uf$~oPq<=bir0lt zMp9s#0AOUBcK_67zq3uo*(MD#UdI{R2o%{yv!QJ?tG4-Z%r*^_3ES*)LiRWz^(ur} z9#l)9$Tpe{ZKK%&+en*Wn+7n70^~w)PYzZW3y@kW6b49Wgi5DhwbQRg^<<h(M7M zG#eU0vucE&$Ba--nJ_}F6H@1dRH_hWy%7Y8jG)=j2%0T0g0u-nI8Ye{$O+($0J#at z{Amb~y*NqCpNTU<{E8M+P_O`j!vulD)pJ4M9Ok6sZId5v)*z7MV8ZSXgSTgM!DfjW<>~*WdwwbIU&6DOd$tV2(w;@K#>s5hC(!3U^!_S zEY}J~2hkuMU;Y8y``!jq9Z#doorNqie~3(Ct_GO`1I+*|X`njl39wsnQ+6f7qkOL}&SuaGONQh=bA)0kUq5)W11_K=gmxDAA zBIX6~MndBtjF=!t>mZDnl=YngbA6F#bAO7humW?9Q)wSh(NcCCGH9qtrZCi)wt-pv zlNtg=ZP0Ak2F*$v#(x>>gQJv5>pLO5AIt;o{7H&rbtsEA0}~AbUQ73oR$r$C9~cX0!60K zY-kG2swvKlnWCPOVTz0sl66ArR0y-)6aq!2&}?W5%@&wKS_V_pfsqfECE$%#BoRwL2gnhk|$w!m`IGFWaLjfjHi1aOyGi#rnGtTly9 ziCIf*5r$UGKv`#?T};^_zy=0l*6S`%q`PKA-8CCCP(QRWv(|_cGU|k|F%ZJ67a~w3 zM6;m~%@!C)S_T6JU_=r|%s*&C;~=1pshnDs3ZC~A>r!xm{)S~UL7SdTmaX7ok96VkvG`AHSREDr|#BvQ-~ zUZ!Tl9;w*^vq_s^wwVeWR})}WVh$TaQP{;IBaEUx<9>`O^cw{k+{&z1NTA3FnhlMh zSvA7BF(ZsnCL8U9@L>w(_oxtNc~C8ZA|q%vG=gRej38}-5xT+XAUPp0czXuP50JyHfj0(m^(oxQPi_>3-|556L}!)g2BN(Rm!`lZhq3K1Cc)YUHw}}6 zKY?Y}8vZyT0L?c(h?Ywm>v(XR9Qm&y0ao}JI3Kk$7m>?VuAt|s*Llg^j z2p7acEfd5-tJA;T>Cf*p^4wdcK3wZH;Tw*Ap(p1~{}R(rk7LZcHL^7f#yt0o>kMO@ zyV$}OauLJeTXfikLFLH*OZv{jH=M32oBJu+iv|CWkR;~*xme?|;pcUXHU1z*Scb$Q zrU;2@=*V-gW3|x{=b?@`k2O9vwIp2QD_!F&!Id_L*zpn!ZTgyp!iwu{j)FjWUS zks^D#dr1p>U!WAb|NMmPxWrcZI2Q`CO)MV* zGau2C4!lG@!nM3?{__1-Stjt-f|$SvXW_RTU*cMx=zWLO!Yz+9gz5+F{Jv@B{M&pX zn~DD(4u7>!KYNMJA>THvgLinV4AHiKj5GsN)qwJLy0WfAw$CcD&yBRtO_m9?T@Vx62EQ9&pBu}B*G=Sg zo8=`ATYy5~vjo4J!0RUEbu)Rbv%JJ_3*$w<-3(qgJHNHUZ>=y!F4IoSxbNILzp-#y z3TMJX^&3jWZwH*;TH!b8$$0C%&~M%R1ZNZ2$Na_rwk-13CflSp>aV*klZH3vulp^p zH|ehjEw7q|>t+0PE^D>p(SV1^3(vDu@-7@akx^ivyAqSsdxbX~#}LnDB;Lahs>*+7 zAdVv~I~*N1Lg(V$GeEHp2glj_ySV?B#qh)X6B$W+vE-O|U!E5~Ee*w&1{^^*_36)z z*K;{iK3<2UbjQ0xI?L9w`NsX9i}67_7sQA0jo((p_*U&Ny=3>r7(0ot1*ip9tMKau zyIy72M|NM1v6Dz!7(0#(ePGw8?CvJJCt~a**cQf)gTvimcek>`mlYfL?~k#Qcv~1d z#+!a&QOEUuL=sn&xs0qQ^T!7k&Pd@by=%e@B@$+`yN)pHN0=QZ4IY7rhuOgM3@Z8m z9JasG!0{YkB<74Z!LQ&%#J9b#%Wr?QLIocLjwMbj4=ie^8P?(Um zREBMl&oZy(+$xP3Wq)&9J8B9 zdy>6XLOzuVuufPsk56EuvgYtUjy}TDqZ-zO;vnqnpYzD;&oO&9<>~h~*4`3Auy^Zm z(v4e?lg@xh!sPMk64k94=LHx!{;af6$_~*P;P$(mw5bNju0{3 zl!8AQ@^{}PuIa6|{>AfEHNgbGgo}o26pXjt3hHuP?gd}k<^6TAITxHl6HM?aj8G82o865kYDNI=A9=9M5sA*j zr>x^A=kXzC6w32Q{pkK_wy%cmt7iM~{q)Q88RGkCQ<)h^!19^p!}qsCfk^;(B!_|< z$6KEB+QcZHV#$+Xu?VdJbkyUjzD;X;*KgibyRoCcwrf*oS7+@#>wCL5_x09x)NbkO z?c1`cc581(Z`a1IO}!^2BJ%Z{UKe>s|N4!68y8T;X)8g8^RoMHNR;(gm%V-Q36)%5 z*8n@)(R{ufCyiCrtE#5Uwqt^4fHt_YQI`^HE`qfe!FnC`fJ-SS>&{POr&?K6-B?uv zaf`N>KfFkE@p67ziE`0pWRch9c~{r-qwX^fLX9r<@*#dpXo~hr<|t)U~Oq zg-sJaBd%CQ*lLG;u?`uu2X+{V^zbds$JFFPuO|< z@No}UJW~GPBGEDH>U##potcTb|4*PS5kxk&RTU9T1A>qkQ7#=V1Pg7Nz^?Mz* zTQO;C)?u@X3Eg83`-a0PXUt(QE0*zc4mkWS#cLBww{&gjSlZjw-4-`UaI z!IGsLHm~j2kXX8Db8pwuRoC74n;3i6-syDbtnSh}@)Ywwocj@xnO(|b2c ze#>UEN-UMXl=&tPc6+vksXfvz^Lal&xIFD>57w=)fIDybyq?USqbVm<(sCH#!T!hN z4*ZBaGZK>H2Qj%GkIN!o@_eK9&qUo~@(()sUQaLI>+kb?L^rMz%j%z1ia?VD^~4IN zp#5#YDBpDroVjC2(?@;YrKGjTxyZyZmOtw1TUwsSY5jyBm%qo=pNSbZ-u_F#vsnB4 zpH<1eKHHClc0v2E1Qrt^6?=vyNo>?4{2SN*W|YKrCvm$o+_Y2p6ktA9SnmDGHx!G> zujl&?IBGcunIkUW6y=?gt|IZzNAf^qeu<7&CXt{e-kysfhq%xClpo(E?)#m`S-Tu1 z#g6i#Eh2p}PZ4Ki79QoY;aUv0T14gL;^8RIvyE`hY0z_IiQ+P!;64opV<+dCBhq$Y{)JN%HtWe!EzKRSGDtrE&SiqpdmZ&|0f%%eCh zN1Tv<&mD?;KFtnKe_U~yGjZDM@OJ!%Xgp-zMEZckt20Cs37I>QKIHJ}0f7@Tf8z90 z4zJ&#_zRjOMjW0PR6yoXoc@W!M;t!u=&L-R^$N(GkJBd{o_SDlnPYMKtixNJA!VKg z{$0-}tMqqiB9TV>_(Rsp$fWTj?&s@KM{twF+g%s%_y&hp!w)<>{t29u&!ofs8h4Mw zd9leu*3C$N%yZDUbCAIy>t&=BP*HF$c5rw;Z*%yp!)3jU@|>qXOUT1@@gF#R+TpS` z1_@u2A^(0)MfDp8P&bF@3&W z!k*8Sz!SZjdp2yidt+jKS65eCPury(%e&SsTYG!k*1p@@>J#hlT;Fzgcjtz-wcTB7 z?`+%BvFVPkw$1C>)?;U-zio3*(Q3?l9>+Nd07z%{yuiv_U(;aPHop*Gpq~49aZJRf3 zSied0V(d`7W$A3cj)q16P`n20*51yx4V&+P1|3_l_t)09iKJbf(MivSKGTGbEn7P7 zZCkr}Biu-D-KUZ}dk`_9$`^&Fm#7pm_@=&%U0WcCB118~o6$ddyY4_Z`0AIy6TZb_ zv8V*G?n{o=%&i+X-xF2Rt{}F_*M3viU47Va2<2W9oi>E0%e4is&$MC~6L)JjZ(7^o zx?L%QJ2SMc-`aM^mM-kNY6m&CgEsbUSU78KGOf4(#_KmZ*B_g9p<0_(VxOliQl}t9 z5E(v7T(BQ2QlUe{Z$T<=VE6R?U%o6leSdU%ada95$nq#a8Zi7V$ed6wYH}zPW0?=> z{OHUN&W8F>jfP0ihWABiq;f;l{~99g8;r_E=sg|ldqXCdnqbGEvZZ%D2J9_eAM0A% zo7i+mJ$}pZdtah$RrB>L8=Kp%zv`+FU%9$%b>qtBE87y6VV>oI{9fb#2ZG~L ztcQ6J=Ov`a*BJZ?gJ%qm+c_cq%1UH#kPq*jcs^SUzQ*8ZoP-RHxIRBCf&T)xraV0_ zX+8fl-E|B3SpF9p{I?DLWhL!JS`ROHacb!K5)^2AT-0E|&!L8jcFM%(1*JI>=1Ag8wHyZo`ga4kv zR~!6kgIoHy)_@2H`Co#c=fA?>)-Sgj-1_B92Dg6sj=`-R2H!@hh4J~#68IP1UYvfe z1fDy)IDPIN5#E4P-`+17-0Jx)gWqWA4;kFr?Je(&_*=UzH~6iF&vt`bxj!+u)wAlH zh`*&@V({NK{NG;!|Et=FkB#$d#bmZgzgOaCr|Tl$X~+|u7`a7%ykg%N+--rEgs=|5Ehzo9L@%G+^-qj>hoQLTYV~68Am)$e%s*I{b?5`=bvOm;0fn2)Fj>G`QtIU~tR-PYiD3R{m37h^WBN(*O4> zBe~XJXIvHGX`Fid)EV6BbFaaz{Xb)HEB8yQBK|i1|DMjkK|L+rO6716XH~DysKKqj z<_vEA^)Cju`d3gn9C7>nlfj!%?&Y3xjgS}6FE_Zg|1}1;cDS6A5Dv=Ci$jB_QRe0D zGq~0NI5r*!`B?kE+u&C2guxlAp8ww(+|uvht{=d}j6^dB#QzieiJ)LTZCKseuG>7UnzmlmB3fFMf@$Fe>b?*=dmk_m;q4Hn_EO!|f3t4pH7dLk73}ziDvG=eq{C^k=V)_*?q(4Q}bT8r;%< z!r+$v#T?Kv?|6Et3&t-h~xuFCed@Q2B3a8%A*WOtiU%#O^zG-7|d~{QUe*mYR|JOGc$A8ij z;Z~nl?<$VJb!%}v)mt3DtuMl@J`dbo9Dm}T2)Ft?-CrDk>E7b_SMMv1zw|p1ZuR-* zw&M7*k4HGCIB(C77~ICmBL?S^*3*Bx1itG2h`)`idkt>+f5qUI|IbR`=?5bImVRdm z{D{G=KIdg3K31PLgIoT4OW=n};420aa&R28e8vrK_4y}*TYc(2QJhat34EdiUbVeA zpIb}dkC(vD*%9%v`d@8utN#NAw|02N;Fix@2P6KL&-Dhke1;5eXky^ptG)fTGH+pgHChL(MU_VB1b;oeh? zgOap`5B&+61vxTw135>=ZSONPXApC#SaF0Yf);0^AZNzbJb(+%G&NCiW;|J!xi#v* zN9UCL&Y-zGT6eqN5dA^ReUh*H>Au-Nz(u`SAhllegb1@jM_bnE9WHw`tnsQbP^FE| zD$lDj_c>1#RiW3LB1H7XNKxAu>)yc^WJ^up)6hkl!mFVXITe#i<SC&qr#O6ei4jWw9uU! zXX04oGrA=^hXuZ;;TQ6-May{3y32aVq7U(zRSyW+_(FTF1`24%vxa)aaSbunGV3vm rHgJt~jWul1O)RtSu%58!4sw3&c)Kgc>$Bat5jy_|N5gaY5t#o6^^~#r delta 757 zcmYk)KWGzi6bJBoXD)y5O74=}AB%WFr77B`wKjLPCb3gHbf}w(qD6uQL0VDTL7~AV zi;((V)G1x0W6L2H9BdKMMW{=$;G$C~3S9&TL0_82{(f-xd-r+oe!q8r8vR>m>N(0S z_2!RuOOn*&Lz;N^7W8S>DUwYNSLfcpfRobJ6`(q^5%JkwPrl z)xMO1Z1gqJSM0=kcteWcrd@2RZK6 sI%`(Y28f@c$$CQ2RSdG)rs|v$bmJgyy`(-AP0J|?*)1Vk!YlL6KiyKVWB>pF diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/.xsim_webtallk.info b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/.xsim_webtallk.info index 219c3be..a8a0d21 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/.xsim_webtallk.info +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/.xsim_webtallk.info @@ -1,5 +1,5 @@ 1685389741 1685390103 -104 +147 1 aef36ef3a0d94dac9e6058b656907afd diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl index 6c5c8cc..fe1417b 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl @@ -1,6 +1,6 @@ webtalk_init -webtalk_dir /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/ webtalk_register_client -client project -webtalk_add_data -client project -key date_generated -value "Tue May 30 13:19:40 2023" -context "software_version_and_target_device" +webtalk_add_data -client project -key date_generated -value "Tue May 30 16:24:18 2023" -context "software_version_and_target_device" webtalk_add_data -client project -key product_version -value "XSIM v2018.2 (64-bit)" -context "software_version_and_target_device" webtalk_add_data -client project -key build_version -value "2258646" -context "software_version_and_target_device" webtalk_add_data -client project -key os_platform -value "LIN64" -context "software_version_and_target_device" @@ -14,19 +14,19 @@ webtalk_add_data -client project -key target_package -value "not_applicable" -co webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device" webtalk_add_data -client project -key random_id -value "6ef722b6-53ec-42dc-bc5c-9d79054a9923" -context "software_version_and_target_device" webtalk_add_data -client project -key project_id -value "aef36ef3a0d94dac9e6058b656907afd" -context "software_version_and_target_device" -webtalk_add_data -client project -key project_iteration -value "103" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_iteration -value "146" -context "software_version_and_target_device" webtalk_add_data -client project -key os_name -value "Ubuntu" -context "user_environment" webtalk_add_data -client project -key os_release -value "Ubuntu 20.04.6 LTS" -context "user_environment" webtalk_add_data -client project -key cpu_name -value "Intel(R) Core(TM) i7-8700 CPU @ 3.20GHz" -context "user_environment" -webtalk_add_data -client project -key cpu_speed -value "2757.340 MHz" -context "user_environment" +webtalk_add_data -client project -key cpu_speed -value "2576.095 MHz" -context "user_environment" webtalk_add_data -client project -key total_processors -value "1" -context "user_environment" webtalk_add_data -client project -key system_ram -value "67.000 GB" -context "user_environment" webtalk_register_client -client xsim webtalk_add_data -client xsim -key Command -value "xsim" -context "xsim\\command_line_options" webtalk_add_data -client xsim -key trace_waveform -value "true" -context "xsim\\usage" webtalk_add_data -client xsim -key runtime -value "50 us" -context "xsim\\usage" -webtalk_add_data -client xsim -key iteration -value "4" -context "xsim\\usage" -webtalk_add_data -client xsim -key Simulation_Time -value "0.03_sec" -context "xsim\\usage" -webtalk_add_data -client xsim -key Simulation_Memory -value "122660_KB" -context "xsim\\usage" -webtalk_transmit -clientid 653659988 -regid "" -xml /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.xml -html /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.html -wdm /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" +webtalk_add_data -client xsim -key iteration -value "5" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Time -value "0.02_sec" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Memory -value "122664_KB" -context "xsim\\usage" +webtalk_transmit -clientid 2613593652 -regid "" -xml /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.xml -html /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.html -wdm /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" webtalk_terminate diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.dbg b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.dbg index 666e6a6546afc4a8c1f178762dabe92a415d222e..fca23f894577600c5ddcc05aca5d7ae234a9a4ea 100644 GIT binary patch literal 18988 zcmeI4U5s7VRmV?0nwW&9PMZd|w8_{c@#Mq4!c^kI-gxFS3Z?xv%9kF(w>%#k7U^uNypxnJg%b1 z7fg;w$MBuovh3yCv+UV*t(=caA*vz|%Fb@n;WiE#l4a`RRkWx0IcbCsv{Zgn)fqy7 z-(>n{O-tqN-6;(R({1t1b}p3%+9AN~klZ2JF7f)&ACqv+GGF#566zh4I73<5?vlWK zQ$l|~D@mD8=)6|~^M>g~X=iBrlal8w@2{ormi&gq8QSWQXn68^{!SV*|Bl2N>e(n6 zm(bQfN`6Z66^S#{^N8dn3CzDq?vdap&Y&Na9GAfSNFw*i{z~Eu^T z*3EY9lh2yjF5lP7cKOU3*2BzBW!d6 z^Kh3~$P`{P9qYpkaOZGjh4ZE(N4MdQ+70iR>B!L?xGuY)?KB-Zx&yb)Cfa(_k)u0s z?^ym1+i-LT?lKcb;dRroKDq;Ufr+8;s_Dql4BRXZuCQo2ax??ihodWun2sFHz-_?c z6*^2uj%MKAwfrk>IGTZb({A){nU3{Q9PT0$LE)0=$Wa__nN6?4In$A&INUfJUxlNl zBS&$#PBy;^U8W;PakzW~EVYh}utMZ04)?a@zte^z8SXVU%LBx}`x09Q=LZ9i#<@b1T>v_mj*kC$xBvaoHE&ts%9Q!r6*NG^+X*$;T zu=3zuC8BWAbmTge2e(K>VYwq$tHxualHo>(D2$tq^=(ofTn7<_PScTN?*wS??HPexMOnGqUD4=lObmTTG5AG-h6popWT&MEj;(dX2 zn=sx|`JR_(T<;_Igz@ouBlo0n@p>c2UX>8-9JwtmY}I`$a$Ak-!axc;O-HWO%3f6; z_bI|VKN{JK?&6>j?H9RiEo{|&Fmm0-tz%#m){V4uM-2wOMLA=VeUPq(mD>ssV?85h?fID)s^m!GX(*CN+%T%5m=8!#^VbL0k%i~FI- z4H*~rIguMS?vnX?=V&V-a=V=i`$T-6;Oo_%*6FH!Smdm^Rr|2WS$9^gr;*DS1+^XR z7rA}bzqqf9+?a83zZSXu#>M?#ET-^Uf?x=CCr!Vby%z+~Jf^oCvIE$tu z_o8vpukNvQUpHQ|^SIBB+%I^$+$H@)pCdQp?b-zM=j6y$ygs`D`SX6{P8b*W*^xVGT%Ro< zeKrvyH)~uR51u;-?l0BW>8gEuH1<%<8_m{=i>8ke;k$c6sxIRa&Zd|lqMp@a!bZVKZx9E?osgvMa?f_o z_X%rp+{2tRH_qFQ^|7Wq=l8F*>SK*_&hHO!%>A@~__lMt&aB13IQIp`32Sk@OLXph zPPI7Jl6L-g;X1ijuamoYo!q7C%CmS-JfvZD&RhxaNkb2Usb%F z{l1%UzovNFKh_lQ-`^H+4CZ+`lB;R}@d%eK6tvy?|pa^Zs2a;5H=Oe<$2m z6>n#k+bsh>WvtWweN5-x?uQcY4-4vhAmP4Nz&(_3Uk~kmJZX1x!u?U;cxUVV>oJbC z=~0QDDt2uhC++Ekdrar;xMve?a{BIQ159QTp2 zgxeZ8?rCn9apPD!9|_#U#)0^K6|TsBhm-oSUx$SI5Xr=8m;UiP5d`Yv{!1o4M)F39 z_wTrItg+nB)9c-290=UAuY>xXyI>sW*nu%aNTz<$iT`%OVTY8X ze&>EK;kdq(dn)0+ns7z-`-6mw_S=%w_w|J1`s`G(AD`zwZuFPyYsbBo)EDiyRpltp zxcyneaeb+sc{lFdHxdrNKPS0cLNa?fxDQC&@7W7Pzo#4=W!&%K9+9N&cI(_Z_j|bL z_dN;ceh(M@zBl3AesD$O=6(+s$BjLy+r|AJF8ckBgmb@#i+=xf!nxnW#c|u2aNo4? zU=I@Q!aH=g-(MtLvHhl_sDmvHX)aQMMm{Ugo&9xnO;*X85ueh(M@U?Ab# z@8RP77)&_7pR)&!*E?h!{rd;&I9$Bm;e`9=ge$sUUw5gmXgzTI!EwD-y<*qKm$ZLP z+Ktz{TlsE3-$zj2RtXbMKY6`-q~TJ3q5bGDBgWCB`wLvO-)O?QzraQN?M*npUUZTD z++X0LzwER6n3wJ^aM+J)y;DNp$0TkS_ZPTmzx@e!#q7+p3;Vsaz5~XA{7(UQFyZc` zlL~9qH?DO0M^*P0aL*^){R!8h^t68y3HM+DH<@ssDBuny+{T347}`CYaE~S2roi!j z$FKLvgu}1QoQhrZdys7j$GEM<;m@DCPHrUO+T9nZ?}h8+?iQN{-hnYD_)Z!~?@&TJ z{wL`zyZyut?=3sD^KUx7x}xLysV-LZ zceIskaIQ9es=QdQO;;L?thyk6u~uDZW~1ZT{>uEQ5;6|;rRiq1wxFb1{cJYboH|+A z)>7rMYI&&IiceHdRvXPqy^&pu0XKLv{+Bq4v%f?@>ut^Sno>ON{OCl zJgs*nxA$pJ+wN`jdVw8Y+e+5sQp%$W}veCSYE8u ztF;-8-6;)Ixwh2I>Kdl8Y9{mcb2zxjae%`h2aQI4vb(x4HP=e+&Sda@4uW+Y;4qjU zn)SJI|JV}oiAtl~KbJ?X>}2`C?zyRx*<|_PiIyI;^A~fycWHjHoW~fcew>IFPy_jK z;z+LgXJ+bogaI!f)HQ%uBNG*s)IkMx9N=&yn^FlmbNOYpkmZMk+G2T74ltJ;950WJ zmWM`L7vHKT8ywr0O-vrj#t!xmWy1%Qw~!5Ct*NEuEN>sr&h4kR<>qQshXD?Q9Mrrz zrc{mt`O%UF^YY4}8fE3`h(6eIHQ9tl6;`SP~X zLgh@Uxw0^AJv}>pm6m5`W@i>^$4@OSc9-UBOAFQMsnexp6>8L$>eH1xS{@nh zA1ckr$|V(?E2-X6qd8OV?&{j9$kf8jRDI?~*4eBqYvH>AHC8K?ig$CSJXbqeoi1cfSD_dd(jfmgaS{nl5XOQtyp(^rYfj>gD-% zrnTp38S17F(8^Zo7PZ&9LhTxcmv2#v+#vGXz)iPh>)N!j(S7t5HK~@giM>UQwXRQ1 zYDaL3n*6bCc;05df#CU;VB6PhUJ>LClaJ_u5UH8)y$ji;_n^onlLz&p1Nn86tJ26( zy#ztNVbZCWsK~1(_uQr5ZICog{z)1+qz5?UFHO=u@(R|^+i944B_EXV-ZgUkZjmly zRXV>*#Cu+TCx&OpO?JLnns@blo8-ODE{VFC4cj^2JgARvrhE_Oe--fm2>71^{9l1S z3I88}{{b*5;cxl*d;MdQZt*-n@ST@$xO|`Wdicgk-dg$aDa-d&`Zd^wr?0yudn6+g z`ph>NzMJq(gzp`E%iuc&-yrzDz_$aw3mlU0KA(5>FGyaL(C;3J_brY4bzrZ^@trgc F{|mRfK$!pl literal 18436 zcmeI4U2I&(b;qx**jAD{QNA4IqcNpgviu<~MNu^U(TK|riHs?cB4tZ;+OF5+N?eQN zGP_HeN*>~*57k3~x84|im@ z>>!^pd0aZCKffu<4&9t(4{z<%d_W43nLMdFyGe(eIAlncsf(A;UgGDJk#6az{J2F& zna>maP6_%Wrlqp?O1K7=y8RNax!caA@~)L0SV`xIz)&0xC-AUL3I zIQKWqKTF;&`Le_r^qrDv3CzDr6dKu|Nu0sX4#`Og%=abllzdy_40@-eB7ymlh2ITDNU?-@>nHq z`Xt2VYym?YZI^sfOg=WsliUt5LCg}n3u3VA$r;A7Uqb9Ii?PQ($DCm-iECo_Yyopn z^&gddwtyK`{Vz)B4{`0u+af0D56{4PG2DYEXNZZiglFJ)3K-_M9tnOX_C2{B;s!tO zko>+FV&9W9#Equg{5knj0rRk!Uy{%kKK0~wh%MUUS^BCNeCo*=V(Weh&ytsM-DZin z!4LS5c||7kxgEVzg8#f8d&I|X)6~0t?QYHO)O9mv}vM zF5`HQY5cS-@p|roeh{l@`gdO9^<0a;s7G`C?@7F#>xmoc(OmzcB&{c}r7wT{4R*xO#m2UNn z(f*%O&-_+&{b)fwaaq(pu~II$o_gl9ZteSA)~%knWgaW4XAbLDPh1y`kI!9x{SX1G zG;CT!pQr!0CoSzRbbT~hHIreT=Awr7wynN!jpUK2JWOia8H_!9L>P>@xUkznT{OIz-=Y)mA09V9L>O8wE8!?a5Mw=5)XpX z%ci3}E{A)L2SVw2(~;wHxJ4cerB&0B<8ruR9ss2Y(~;wHxa~X$Nq-KxW0oS&IzNf#e| zB%jCGOOfJyAGv!v*cJB?xqFR^_Yt}KjEnw@9D7AlTt6bWw}WM?yE>V5(~;{nF50tY zESs_VlIiHb$n_Z)*R9C0XCO^5fapUV9l8Ea@fGVJ>ltg6_iujz$DHikKmo_xM4;UBM>BtQk7uVm&J!o89&m;GcadDlF9D6iUY!@H1XYuEQy)r4< ziyZGnq&QziE`R96#rXw}M?wX&yhQATpW+cExNsMzmcmO7uUDQ zJ#AcEw<34OxVUeR+>&u|e`T-B8}YJiT%5-vw_;p;jw07EF8VKWtH#B3D{`MQF3ty$ zYZ@2hAaX6^HuK9i)%m>KF5uGr-)vx#QNbmZ2Jd(G-E zcHzz$_pD7w=S@d@pEl0bP0I92(4P2gjq7+fu&~eT+4Fbfe150?e#zE^#U7tWV2}5H z5_Wlo+sqziACZuL8h2+0%Q@a5XqWw|+x7d{op9`xdnC@mI(LuqB-&-oOYQLv>0Gb! zq|LZ3DtFG`FE-<7-#OpEZ^q#_=X`$*$6A~Ak22>DDNovrW3TU=KL?v}*mlm>bvWkY zv|aX4&RKZo?M99majvR7X)}AQiO!wMsm(do2g!`8Q?gI(;dcysY@@czwB;3CjaLi@izaJKG ztRv3-DB-@Od^f-Rcf$RN@@fA*q;t3Tb~8YnenryF-n$a+#R6`7!u_eZwA~Nu+}q`E z97xP1yCj>nOPSC2aJvh*U5UNB3%Gj|ZchQXH{qDm)B9j;;XXk2CEUG%`>1iu`-2JB z8#q47b-z4h9CO`Wf%}+oAiloA75R_9?;ye9zaELNTdaMwOaCSk?tYyE5)%|FyV1WX z37P}59fY6;fnmn-?NaoF8Yu7aeH4(IQng;%3WUHDD!dSI{Mv>5-$3My|DZF#e~Bz>3BSpaNkI{=$D6$!!O@VxNh+b_pOBM7SC`m zB^-XSQ{^r{A8>z}aKv*r?ynM#y*_^AS*Q3BNsMRqW-*@mtljPB@QKxERkP3FqrCd(n8mqsGy{m#yP) z@qWh=?v;cqx?i6su~+o`y8qy~Uo)@V<#?g&ACq?D{f?{N{pagG_If2eAo`cvJtPfx zi^S(Y_S7+64jV_49xrgwe-jDk@d6k9H<@sLzi>tV^LT-a@$w0?m&OYm{^MTXCZX?- zO586VFL2R+QwjGS^E2-d?59$DM~nmcZUJ{R;Vu?%(+T%_0XLIy-%q$6m8bnXmT*5T z;ARu<%>r&N;eMQOJ3_n16YgdPMG61yl%)OR^ApAcQ@14?ab@O}yBzl*wE$)8Uh ze%8lvi{F@Oo?q6L|84oT@`HDW{Wb|dvlqNp_TQ>@++X;N#5lf{{noil-KS;VD$nE3 zN_tyV@+r83x` z)mL=kYNNi=&L(HFBems86=WQmYxC`TV?{-c=DBRHT|Hgf*HM+JdS$HM$1N*FG zaKC4q8FV{C-rT^0ez|7`_?RS{XF#&1yq)JNtF>mmv5?Kq9oJZ$(P&f}Ywc{Rp2>q{ z4%4d~M>vdfki+wnL-m#FQm42-lTXVW1nW4$VKhIqn@g4Q)EfEOTB}lC%CmOuT;=GY zrRwQyt}=b9qet!hiCi-TWugPSsFD0Qdm>lmg@tCGVI(Wl>NSX&o~`Lh9dx0NBOFd- zRb8QQtvtQ9yqe{QmBwmiR3p2TP0v)OCM#o;+33`x+0=A-EE_+n+g-`V@JDrRJIAbWKXZTc)I(7nC_4$!{<} z96dfel~onlI)n1;k?|v0y@fBT&3b!rxz?`FQy?lS&}WQ`jpbUYxm2BRHtOr8k+o*6 zx>g#fH%qN^a#4NdRHHQ0Y&>0S@10XYZMoLkJE_9va1{RV zTcuWgxw5acQhTP<-k4Z=&n_-xrDvLFO6!XYiwi4_r_QXc_Lr6$Yb*8n>e8Vl#Ff$K4z`M9)fOnpu0`0BbhH#u_+2BmdQGlT-V zG~ZZWtuNJ%Hzqe3ru@OUVXdvLwCbl-n6?RD-@JAv`_8`L5TM7|7McVBkyO`8?1p*N^WwWPi0 z4Qi}&e`->@fg9B1PwbxaHuE<&-cL#P`j-D*eOV{pHhG_3=#YlVtJ26peO`%NFu6k? zR3N`;a!DFFsSh!bZ<*}Thn>jtChxdae_J4Fo4hWK9M=mN@^>a_A7%C@e!olKz1}qp zpNqcJw3oZ)b9vpy71!}OGM~rtH!i#b_DDG2CE+^`zH8)j8NSm~H}l^zb3QJ?9^VO4 z$9G#jD&YV2;P>kMCY|4%4@>y{IKL6^7sJZ{--q&DC${;HlJ6h+uB{~5tR6mPY4f0D zv6|}g6|~wzJc!&_@0380Qh{L&+hqLp1;4NzxyTLr!?>V M=iVd7_l;@#A4g`co&W#< diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.mem b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.mem index aba48d266f64608a57bbb497ae99b9ad33eb5676..bd35d3a8fcf5434e90f819f8b9644c22ea27df20 100644 GIT binary patch delta 3737 zcmZ8jXHb(1vlbB%L4ruH(xn#_K?ohB2uSZ;@Bq?76OtFDcN9EQqzTeVLh$`#9HimB7&F!Ip1E&yifcn^4uY&DlSo~6p4Lf2R87!Lj z%+n>q@S44MKAVs#Ke1oqes|Xv{E7>QL_)u%q1kUc;e_)dQ)jJBVlM8mSKBw_$sAq? z;k=N}Egqwn#;CUR8QdEEYjkTA9_<&j4hU@?E|f=%sZ$oNuP=69*HFzG#RBXVQW;rK zdpa*0Kgg1d&AS`TS0_Q4yhUXY@@<8d6x?RAjpEHW)6+2DHmW?{ynvA~`wah0NxjBhcg=&I2QKE=Re>y>PuFDjElxS|_ zy33oA zw&ouju(_x+BIm+#WyMEBrlGcYq`r&O!bq3=kN2bn@QY<`g-V! zKr#X->r$;2Hw*0;MS&3=jP+#JB-K{zV+RSd*E*E-%xV4QIA_;T1}(w zitXWuY1M4@^{F2s{>9OvI{XR#c3bT~qox&HXAZ*TKOWp`Y0qs3$yFROyl4adIvqc$ zM1XP_WoCADF^q!3kobGJvo9j!$NzQJgz6msfdR-rNH(+Uf6}lq72f}(kMC9jySk48 zD;nh%rTxy(|LOl}O^o)kqzv!v-^X2iiq8~Uhpocqn4@l0smyso3iZ#|rD*!#so=UF zZx^M-NHqA*_~D1I@Hp6*EFL^ONJJRIdX0G>F5py_WX}yhxeX05E(J8*X1K=xqjO)m z?zPJXWqQ$8B7xAF=KJOq^8crA?Bo(!rOszD#SRRnzMMBW5p+Rpopa-H)E=mteH7*A z-!7pv|5W+sO8Yv2%$C7K;79Sf73hHVj;8Pb~3;r zmfrSkDNE=~#NOn$Z?$V&Ypds_Pj!b!j>l7J&;Tz~z1Y4A(?B|_aCuP$e$)3k$(BRu z>PH^qOIUlqk32k~Wp-AQ&lgpZ(A0ch@lcg{#*VHc!NkPBR(^dRQwjxL^+?E8amhEh zbTziag3SMS=llUHQW^&dN;-KSn}CjUGaywIdc|8b6nNb z8yAk^3o5-+UJG{##!*@P3+?jN&C1eWj=2=Fa@;h5wHrsJmC9qTSLh}?A*N9e>zP@X zUV})_X3;0S5+;X-Z!Ib+GSAHR!Yw@4;coSi&{RR&?$VMhw?z7`_Aq~aA4hAT9TQ}G zA#`Qxu`JgLzmU~kE7Ho;PMd4s6539XE6|mW^|YmLO-tsJ_Sn!7qNyAGIvj^Ifk@S@ zG#9|HL2Oosou}PproNPy;Hs)HpT89q6877IWwlp6Q}5Jiv#$w% zEX36O4K=7ZPiM$9!Py7V9j&_o2$*UX+qca5Vh+3xPz;${t=uVB&y@PEnbM>|2eS;4 z_jx*tH?ayQTSDO)r5xdfXmQ`G>mvnhLe(Nx^$rQ-K?Xc)#N(X>=0fR~MVlgWqM%Mn zBt7!SkrAad&3C{>N%k9t5^N}Y z-r@&|*zVC0rP+;t5tG2Bv4!q2+w?LGYsO%^Oql{3OwyO}%#O!=gqDUSoL-NxRceQg zsa_AXNnqJbJFs~PIZiZuh1Re&+34{28bYyjA>5MQ?=pifKFx#WnjDtKcecT#l3_hn zT5;@OK@YT8PLF&8kAa$G7{Z$Ih)^%SM(O**HSO_khWKurm}@|TC+%xo+l)SSXeMh& z?bEK4W5q>|QzVXqPu{n|xRYSOYb~OMagY8p829%%Vyj*caIc&qUcH2S2J85~5pA+T z3Hh1mkX+A0k37ZZ%z_qx>rIQ7$~;iz`U`!BDg4`CB8GwfDgyXd)Dl}w`fvy_qddGI zqarqKE7!puM*{twahx2#r1c0x6mEbCi#^()T#!D{`V_Xwh}!B=qj<)gxWJBMPWw(W zBQKxOt|_OHtlMu3%hful{p>u8S7(%|zBK?ppj}}t7XKK*BJf>Me1&qwsC+R|J7;hg zE8#2j=|0f7w-+bce{|p23#;@|9c0}_?G<9L7ZmiCx7N5V8g^Aia56fhij-Rt9Qg&I zKDxKV0-||pR%MPL9A&(7<4ak!*LzSC3bY|Gnm9hHdUkBMdF^CJEM@*8FsSQ@R-k!;geI&%EVhg!M<+iV;L zbI7b=X9=YyY6_y^1b5=b5O6|GMadt*OD|I;K=M;2;BiwQ!2rU5)DI-aqn_#Dn32k5)+su)1y9U z=?L`&(w*c+xTKWO(R5#ysBE3&0MK55oE%}6(!CTMjOi5VYjG%<4-3YGk~jg4*~X|N zmYbfgk$fAlPbPDh*P~fNWN^0RF5{$eVrKQ6Pg4Fk=nq)6v_Ef^?Q<%s*WZG8=1n?z zOlyp3Mb{$9P+TC4Iota3?B&r)R<@i#l#>ixW1;*y3!`g?8psD(`&;%9z-eYIqrQ5O zU!1aw9IZO>6@Dnkoyh!ZJGMLFZxe`I7+>n7O2mC~C_V(^gqL|ZFQ@ic_Q${ywYnK& zl9{o&5h^L2vq!s0gq#0;M`F&%Rcx7Qnd%Rq@R)aAYQ}1CDARA!v0PcJ5(jE|=|vrF zCTQw1Z3f_G8d*19@Iaf>l%DGp#F=x8)&xZ&$oHr}Xk{J@3+q^zFhyNINm;QwbwGgsw-uxAVIjY0~Rcm|H4<9SMt0Be6sm5Ln2CX09Qa;EE|etk@CC zi47c|SZ>MCR_oGA>8_g0;0V;W{&agX=g4(#x z@8({3+$DHtYImjRTA6tg6&+IVl~xFS{FP9^I+3KUzo}iIFp2-5yUEe5L*h4$8w9Ab z1t)r&a^}Be3yh3ET3!R+1$Ced{M-B#bMe~&ev1v$t>kd9!)N#Qi=BbIwXae3Em_WB zhvD^x*s9>>nQfKld*8fchulBsWSd(Uqg|)r+|?x0dOyYIO&_eBo!T1=EWwx9t(Ez{ zX4QMg?gq45%PTs!6)QX3$cP#m_5(1vxpAF7qR|k@vk0H6m;51k$q%;?mrcg z&7nxkEdHqU0XOOS*YE3IVo~{315(YaP66EV22gmJ%i95@=Fh*!oXw(|gY5$Ud{_B* z48P#>6;_cgc{n;DpD#Jgs&}$CUBP!m4QrVsV^)y!ai0pEvF%J^HrEtgihuy+4oCU< z#-iLw2c~e@BBtWu?|her6x^gAtTn8TIg!QI>pnTR2i)|^lkXt!Tc4t5kzgCjf*0OdB;-Ea0pVxQOw~i#qT(Z8tCTq@APTk&m!;ZB zrjZlju99(&)}|nmmSRKqFo1W;Z$GTk?=U%ESIeIgmb21vA1B)7GN%g!1)4Gm?{s*G zVlPIhH$|@IP$6rtCD$OMa7QH4)dj5(90h00w|0?zE{h*8LX*PL3eJ10-xB=8&suta zRcn&)y_2Cc{oF9)gTzJF)drj*c<+w%4IjUb-O8(Qo|;1XK_ExUK&Hmla%&I-QJ1 z93XI3c*bcq8ukWlxS~jYcc^2@!AJ4+{yuwf#0>tLMLsFYK2y2lgT2kTPROW|tFiB|~%X?Z-uzTMlES%!U&s2zkQ3smqdm*0Q=o()_TP!8ZLyMBL- zu7VFu;)TY;b#PjSNPpWkc$(c^_v$W@f7&4KmPp}L2^{s(e#aAp7i literal 4966 zcmeHFXH*kfmqj8?1Ox=6C7?6`1q4K-geD~*y@&y#^ddqew1)%~382!O(iM>=y#$b= zv>?)ZH9`WR6M8T}K4zTvX1-<|aC-}OK2 zPXd1u_>;h&1b!!wL7`CoqyEn0;@`u6^$Vg@RCK2^*J_aU0rkbL(CtWZ;5HSTR}!81iPz$h ztV@iGpUb$CgNqMxnPhXade{%9WYn)sNH>J}3sZ?U*yJ|VPA4khEq-7hUKvR?aSyu3 ze<2tc!Tg--P0uQAFfg{*zf+JchB5dt4X0e4c2MpPNY7OV?RbwWDQxz}xxad%&uF^( zMAse)#!BO{55rP>H9ry+K9tcNmS>(>J5_G>e{UCPE3e2*w;s3dRruf%I%e{AytaB= z>U7B|Qk}Luw^x3OFI!NByWK?>=C{MMu5Mu^nJ!oM>|3HB#TWhq#5yo)&kEOtp?kDq z9U}kJ)vsqOIRFf>Zu%n9>tT%ZHr_eP={p^yw;DIfpS}sOYr<;~ADOBeWDw9Re|hfy zg(xmf&9W+!VDC-c-;ys_<){MljqFrrS?$gZ!_7gk!RxK^oB*+bMV|FHIEgK!LvdEB z!tF9wFF)X2C1!_3U(9B%{bJsxjxTe)?#mKjM7*}>C4(S48FE3z*^i!)LhFGYe6T_S z)9BS(1Zk>>o8zt+61XC>sM(HO9{y|{$Agpc+gP%InKr9OC*Do7nh)+P5B;#(j&SQ= z{RMpY%`w{Ce@l5VbF7Qr$Y^8^IRMpJ8XOjpmK2z=ihF3f+lpWPb*2R(rd2XrRzOeG zND>zv$a(U9)8QBe$?Gy(`cOKOV`P5+w zyL*?=2s1v2-oh@_N=C9^Js{M4ZNGkW%NsWD!rUS8dWa^0E)#@64jiiMF(R%0CSU(QO2lU+u&x)=?g-xB(O5IoCimEX3)#wH{i{5l zq~ZcLRwxuq&-}oD@cy3biQZXHR-R9S00WJ5kLtswO54h&HtqR?o1;$29YSvT$H?fw z>~amuk#(~CijXfXNbMgy$We)NWVtfjz7A420(e?SJ@#O6{Rii(>AYi6XV~wY+BzUH ze)&f~45zu-o9;nr?Yv55vi2KbSNN}CZfpURl8()DxNcs;;c$=dhNz+YCl7v-3!}ZN zfFI|FZN+bmFnz@o<|ErU)V-bI*IS6A!}_lTS8{e3!daAi4oE}ux3wpn*bG?tA6puk zZLL=p39p>H#P;Ak6U&^bbJr!FC)rnx9ReJum-hJ|J0yMad<2@^koS8XL*20^*)Q$Q zBtYjk;Nq1~BzTcB#86bAM9l>3_Nva+dq3=}P@my^C&88}OB{)iyZnxAKxpV{fm=P>)FucBfu-WA0!*O+P2Ik7Y_adX3S znI|jd7|su%o>8u$G=?9cbS_qzA1V71P2UBt%@@Rcm(QZMI>8J2Ncpm6yEq;atgtwf zz`z-yh6uNxP3Ckp76uNL->wXo_#|b({Ke(iqALk=+7P} zit{>Oe*x+i>kE%BuokGRS!?76PsaUAGgqNNiEe3*T?-XKhGl^5V;w-DIhKQye3r*# zoy->f^MZ=E}yL@;WqRv3Bb|CQJHPAqbf|>!;H{d zaJxO)W=DCvqhHNM>w>5ntFmHwoYIt@r{D?R6K}Cn9gVdrDHlpK)UKng(_RQOAG!_G z*f__Lv7@RNuC9_@yssj#Mi!L$$^Tw*4d=uAsPXVh22I#8${kx*zDYayBQZW$Wcgv; zwssKtj}?kow~0Wnv^hDnw#?l=So!{kzR7Ir!Vy!}`vO(AIi}^#a^yEaFqk zcv>)rzw(~Af9_MgbqItm$om*I6MD(=a9^NMFO(by%6XCz3D)+Vg0mb3sDAM#8_m@b zwmx}`O0guKA%4$wl+F^)U*PIm*m+Hfd(nA9f=oyi1y^YS$jutmL<3PqGO-agXBqKy zTj8>nsll=AdwQ%!;)LDrdTEO z@njM$kN^WE1#=Q3{ZTda%8PB@(D<^f%^xBf?F3ml_+g#Hsoinme0_^G;!}vRhaEIy zJdWF6Jcz(9$83`|#y)<*twR?7q#31(DrO~1&Codp;6KkjjS1)szJ*H1LSr$((kLkF zQ5{Q1`6|mpB+%-3S4i-I|637z)6DQ}Z}7`G?Fig9Oe+$%t$4#g6)W9xAM1vJPVL); z$gI6%Sh>s$hdD_lSC6G@eGaYbJXS%avtnpAHl>w$Sr6*$oWvyHWsC40#;r;X_QZav zp0csy{TA_%;{C)v-u;$WV7yWL%WnUjRW({^y}S4d39ZIh_tRf;!2+nJN*Up~g2uk= z3j)@h6szR?1L-cH@qsWb=bJrjn`uh=r{Z7t$!uKC@k+b z0vkpnR@1;@Hh@H95zRlZ^B&h~Cj0N@m8;kFeciiJO1E#O=h38B%{UQ1k5p{y^$H*7 z4VY1I4%pAz3z$JWiTOvSO1%mQ%yd6gy|hzYvm(XOfBZR|`9RtDb}!d>mRROeq!u9X z!FqBzFS7~PL0+jz1`@SW9i!Q`(8#EAep3v?+F6lu3rH!Nkv(fj(@wu(q=vkxVjs3C zr9F38FBX4C!&{g)ikYc(;}&J^&|T?iMwa2z@@xmNqd^4ouJD{b1movtJk2^=(tN9> z@MS{xT}T9Bs1C!A{^51OUV%Z$$SJzJsoMCOI3;KMlH#g@IrAVR4LD~TEZ#q`INxvH ztov$PB$=%HRiW@G6%r2YDv?`T*h*N(bCzh!Vn4|p5?6U1?T*s>heth0@P^A%W|$cGDyiC)|LvP?sO(JIF4T-&`R0NTpQ8JOMVft+ zvsF5^N^gRT$ZO1}1)5gb#huQ#&U4S@ul~{zZ9HKgqxn2o&3AKGjJ=1CHA(ilPxn1> z;zj3}bNC8wqaId=(gDdhh^2qV9^V1|$LLAn&bz$zPdq2P6_Ygv3nwM&b(SNB!Yv=C zS(a2l%qois9`(b9>mHUnL~fq)l#nvC}g+Bzrrqo{hD zDCdMLosby(;sst(6HvY}XF1g1QeV6Eh<-XsU^_Y%CjY8h*71ou3PcLLFSi19qZ zvE6E0w6|DbGsJebby-ys;!tzH*i4P^vSy*dwt~}xk@Y)%@l8)Poc4QnY|^s~%lL#x z$qAaha9p0(mYCDSp8Sw!*BSccx@RwDf}IG2wbz_o{S@xy9p_sv1cKF#p-A=@K|H!D zZUH{&uy1AsE+0%)3vQ%=3VXou7C=>#igQ&p?!$!^l9)ZWa@Yc>7^ey1{k1_~TPb$5 z5a$Ovucv`)(t?o3n?q!@(r$#Tzi63a&C!w|kx&$;*w9V_8xuu>fn*p8<^0YW+|>Da zz-Yf0pih?t_D<%dRk4x!T|Gf+@vIwl%ifdBque!8yM!3 zqSr%L(iw(p=D~K@UD rI=Izo^eDuTrq1|@I@Q}F7^Z;c%hr7?UoLg7=

6rlPqhO{NB diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.reloc b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.reloc index 1fa45608c09ee3b744eb907e7332c5effdc6b931..ea4bf548cc2aac74882bfa59c13a4538eb295104 100644 GIT binary patch literal 2278 zcmV)^jLE%!RN|$K<_xl;!v>a4;?sxBKW;AnW=K2Q}P!el7bgd#{5FxQBhu>|Sc+9QNS` zUckX4Rxg4pIDtoa4Hu7E{Q_>`4V*k?_6pv?HN1G->>ZrLJ?uSU_9MK4XYZQ+0Eh7W zNz>2a29Dv`H_X0*OE`mjIEPc;>Tls1-oeXnntcy%;1&+PW%dDH!y`Px{tvBQ^puqW z+`=Zpa1-l+bd3g zacTGNUvhQD%U_zk;{1+boyR$>^R|F>-qx_@yMZ;|1FZQTVa<2=!1~vE&S9-*3|HI- zD>&l(&)^;NpTmpSt=$$5=r?`e^x|30_tU-ytNpp`%+Er0>aStlHz}<9W&`Jpr-Z$^ z^*h2nOW%b?qJ=I z6|DQQg>}Dnueb$`YmT0c48wfwcQ`io)pm%?fpa`SI?ozd=g}V4 zc{IXJXyZ7-r}OMLrq})nVeOw4tocr0&G!aYyimf57iw7XKm#it=;0u?aU9?ZUVdcy zfN>|kz2xH+mj!m#dkZUmYGB1Ldsy+y0oHg2SmX76H@kUszWT7v*9ENkieSxG3M>A} zV8uTrtoUXNE52!9#YcNs@zDWR{4>Ccf1=;p_*1@X@dsn|7s2W;fz{p`R(l1kcxnSH zo~mHQQ#Gu3s)H3T^|0b4?~gV<#Y;Y{cxeeM9*SYbLpiK?s(=+w)v)5F23EW@z{l^} zI7WE$hOz&#l|3B5>6@m{VUO?He`0#^`cId9gykQzjoIZNA*}dw4lDkQVfn`jmVach z{4McCg~V9#;H!gcU!|KDF^Heq6yF-#P!Y*^Ap&F5pu<2&=yYR)0CH zc(Z^NZ`QERw+7bvHt2os&r$Dle}J$4{J~F&&VV7)H~9i@=zi5 z_uS(PSnc<`@1yVFQ=W=El-{qz@7g#vk&V1?-%Ho zu<}+7`jR|Ed(~bKceFpiYCn9z+ON@7)r2feJBdokw`=Y&n%7fje zy@yur;8Py#&Sif&tUTC?d;gU8Vm#WvOIZ7F@shO{pr6C1yjW=VjJ(VYR$i=!l?O|% z`b)3+YhJeYV)QkvJlWYwspuNuqWB3OAa|E}4U_Zr|+p6fNU*Y8`ohfjHz z*G;ecXbUUvQCxjrdG&qE*xt|3N3j04*61tZ+3*dkzeOLw`X4cS)9fDgkJwNDBe0kF zO9!9g%(v`)#R17ZW8M2R?rq)k)z!Ujwg3Bf3c@2Y$(`Q+p!XMvLg&H*QnXL8H_ zclzC(>1TSJoU_lJykgHfc^*zb)8phBd&|lFnOw8SoPNXpa`KwJQs!#&iza773cm7&QRz69kO%(j9AOLzt27C+`mS4 zF5f+vex}E{e8$=3T>h9l?QG^;e)Zvvc=J~z{>WtK=4)cN8#gaZ@7#PzZpXQK@v-Th zo422s?A$!%+;Og*d}?~<+RbMs2UD?nXtHzd<>w|l*KWTs*|~GaS>W8cV*fjL&e^w4 zUa@bT9Jzr^kCT1&o0Eq#dCz`x`Yrp-$sPO0$qD;WgI>;WeS_`Q?I zGr7TEoqm09`k5Xld-$l6>oeKe|JP5+e0t1rz`Pf%MacRrShJFKj9FWc^=|QpIi-)U z1o+P$pNjCa?F0Lg{Jxbv{u)tk@#Q1Fo=`UIgMcz;zx3> literal 2137 zcmV-f2&VT@2mk;80001Z0lk<#wCq$B$K%I^04m|@5dOqnv}lvAcmVKL>DDN~wr_wPU48*5B4XaDv-=Oj7VXP-U$ ze9ybSf}dC$(WbQBPfcH6S=+;lhm8HVu)_hoc-Zv0?2HTFra#<>8Q;Phf0q5q#tpL5 zKl+*J_uMar6W{bHoWmLHKVtSRyn%Cggm>`rQSJkG@Bt1VGy4&);WIqI16+K^`UgK} z92~-{@0xxA@8Kn!e9!D_IEOc||G3#pID;$r1UGOTSpODo;U4y$F#8FvU~gmk9`@nQ zlcta122SARQ)bWL5?;aK(`L`%HC(_0yoVRhSpOP6!aW?^G5ZPL!@)01e}+Rif7bLV ze1J1J3(a1@JGg}7=geNiTeyL}@0-1YQ@DqFc!ZQ-ofcRrZ0&*=NXs3zHN_xbDNJd-n@I;?r(2%J>%tX z&93;H!ivAS>-=tDozE?-^SM|5H*EeBe1?|~Ods;xs}FDUIOFOg zv+MisV154Ke0Y~?>`&sehXOlTfv%d4QsvvR(?~$%5Q2|`Aq{Wzv*D* zFFmaM#V_pnl)nV9@|Pv7{3L~ypX9Len*vsT)4+?=yS`fZ2>TzK-sicJzue{{tnb;u z`kn)<{B4AlzlERJeEOadtnayme9QZ**_CfauiydRX6Ug!O*jKkR+*m;rL7X!3kX4GkpcOe77U}Msx9 z+0E-DkK6O;{kO2{Bunm_@V_pDJ9rIid=}Vz3HlUPT_s1KagLU-=8vDS@f-9Je5t!U zX?Betv0v&gGkXVL>Ml?5d^~>*tL{=fZFbdJR?ir#u9Dm_RvpEs4x+lrX;vrM^B%#w zHm-rSUWd^175Wxd9p#9A%m3znHs2X;n16&d|L!@PzeQics>1~THoNAJU_I}ax{HT? z1FKH6eBQ=i>M}1FuRpgogfDd&Soa%X)n$$^+Bnr=mfTnSD}%K^YW@es>IYxyG%wkF z8SyBGRj0`!v#Tz%(LC(ue0IO&W$V8}AH%BKc&}imZc@Xl%dB2CyW(R8t1c72hMn>L zUE}6`Yd!c*Rn~>*Vtd`9REd`W^Ar$y;KhlT+fDlOtl5lgAr! zN33%CnmFa;f*9rG)t%{Qx}2Omdo5nee)yGqx*@m31J}P|Z##LzzIO7GJ?i9;{psZ4 zhI~{#V$$!~W3EpgO+VA+*6my^BM-Ou#A(R45T zHTcG~4*24JvaRvEYWki_e9VnK-;gVO&FL5T+4cMr|39zdt*`DDy>0UJI$rjU$={rs z0r^{#Tyb`Ndy*5*1?SowvUBY%=YezWfY|3;yFqrY-Mu&cOqX-*E%C*<_ITfEJvF<9edchZRcKex}4h{*>6tXv)`OtvQM16W}i5D!9H&E`G%ab z2b{jc*PXnIrl09@vXAdNxw#=H_^#7OZ%#kc<>ccHd5ix!eTe@#`RlmWtYKf-?B~B( zo3Z}GC)}0q)ECA+-}A`#j%kAjW)Ja?!+qlgeze24a{R5w9~ZPce09W^SF|mD9@3uK P8!4^b#q<6PR?O13J*cJJ diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.rlx b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.rlx index 13e922b..06987bd 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.rlx +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.rlx @@ -1,6 +1,6 @@ { - crc : 5669434041321685966 , + crc : 4961576604248800900 , ccp_crc : 0 , cmdline : " -wto aef36ef3a0d94dac9e6058b656907afd --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot Test_total_behav xil_defaultlib.Test_total" , buildDate : "Jun 14 2018" , diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.rtti b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.rtti index e63d9771b5a24e77dcdbcaf5293c0da84e14af7b..ebeb0fd86d672898149f4616238fe7feee3562e9 100644 GIT binary patch delta 16 YcmX@kdYpBF6zlgjN7>3Y%CBGo06J9$rT_o{ delta 16 YcmX@kdYpBF6sxuGy4$52B1wlXs1x3Xc zP*E{>*ShC!oxjfVo#$ES{LcQ&%$e_=z0bXzndh?Gp@$!OBY zWz|Vvo$qR(lRqnn)HUXr}nP-jVggBkRYeuD#DE zN4EcBWcyPi>!(Mq^UTQlxsmHkT~7HlJdfUg-Oup7oZahraXszSFGjZy?sX>9%r2&0 zhVM0*uZQim_CANlKYE{TUzWVM9zCvBow9Xe`$i+{(d&$EANINTKe}&+eePWhkNH0z z!&W2rzx&kn*?gX5>J|U(a{kwg5%u}yAMch7m9u4P?+ego- z(Q{|Gj`uU1U*1_gN5i@1fpXQz;~f3Iqvzo8egE@u4#$a)Z8$bu59jG*)-#hAx34;7 zHL)IMcl&Vvu9qFT&i*6o;jv9-+i;(wYaiRwG+c(+{rdm69-V7Cu7CE$*H7#1Haysm z|30@}&rfH6{e~%i-$`znaPPy)y@8eceXV?Y%*toLtb9hy%4foCygxf-UU4kIp~t_M`KU^U?Xo`RM%Pe02VC zK05z@`(FR&`QLE9iTUsQ*Ym&E|9Sq$`RM%Pe02VCK05z6ADw@kkIp~NN9X@z^N;gk5BM|WzJ(^9|2xl` z*gAUrmk;xo5A&A~^Oq0vmk;xo5A&A~^ZRG$*$YoS{*V0c`OAm-%ZK^PhxyBg`OAm- z%ZK^L`@heB|BP5=k%{^DJA0V_-{*h%Fn{?lfB7(f`7nR^Fn{?l|9GF6(~pzsy7eaJ zzwTVO{QKPZJeW-5e3-v{n7@3OzkHa#e3-v{n7@3|)Qi6T`V;d{I&Wg*==onhI{&!a zkIp~NN9P~sqw|mR(fP;u==|e+V$zA{chUwE^WPcI|2Q9=f1HobKh8(zALpabFdt|1bZV z|KEQ{DIcAG-0er_ALrBaeXZ_)bpCO-ADw@kkIp~N`PNe|e*gU@-9F`b_+HFE&PV4T z=cDtF^U?Xo`RM%Pe02VCK05z6pE~}O-=W<(&BXjq9W$|Y^!)R8aM#T+;oFb6*Rk?p z|CA5=r+nBy<-`6dANEiAuz$)Y_Qa$~OR?B~#)lFuR}2hxyBg`OAm-%ZK^P zXXLS2`7nR^#H16yqn$L<)cKE|*g87Dzr!8p!~EsL{N=;^<-`2t!~EsL{N=;^OS5=< zi%+kFk{@$Dvjl`;FTeAs{G!~QED_Fwt1|H_B` zS3c~&@?rn@8rgL_P0T;X3IEK4t!!&YajfJokPsALcI~<}V-S zFCXSFALcI~<}V-KA5iXl1FU>_e?a;0{($n~{Q>2}`vc1Ty}yi0<-cZF)LpRv+|`eEBEgOR=zA|<;!7KzC32-D_~Z> zB4*_)VOG8}X6373R=z4`<*Q**YhYHsCT8VpVOIV!%*tPmS^3(Sm9K+Y`MQ{u zzXG%J^)M@6AG7ieFe~2>v+|8FE8iHi@=Y)+-xRa*%`hw99JBH*Fe~2@v+}JlE8iNk z@>gP3z71yOufnW+Tg=M0!>oLJ%*uDbtb9kz%6G!7{MDG1?~GabE|`_?idp$?n3eC2 zS@|BAmG6mJ`Cgcn?~PgcKA4s7i&^=8n3eC3S@~-)D}OC!&%~_!EX>NGDVIh0c;7xxOzRhCUod6Ul-HG} zVQJ;wz{;n?tbBUR%4fi=d`8U5XTq%f1(=o3j9K|Cn3d0pS@~?3mA??P@)u!NK09XR z(3FdQ_I?x3|9<~^{wKcn3QN-Vk4$_$?WxOn1Uug{kc$4B{ae3TEzNBImq4l5sy zkMiO8C?AfG^5OU>AC8am;rJ*Yj*s%;_$VKakMiO8D4&CUYvnJ-to$XImCuP;`Aab? zp9{0{xiKrB2ea~dF)N=Bv-0^dD_;P!@&z#~_vgBmFN|6FBAAs=#;n|*8&>z6NIHYhqTu7G~uy!>s(}n3b=MS@}Acm9L9g`71ChUk|hL^)V~o0JHK9 zF)QB)v+|8GE8hgO@=Y---wd!L0mL%*s#0 zto(G$%Fn>8{7lTs&%&(yY|P5f!L0mT%*xNhto-$um7kAU`30DjUx-=x8!#)s2($7x zVpe`JX62V)R{kc;%HNDx`CBk6zZA3b%P=c{D`w?y!>s&r%*x-6S@}CKD}N_uk^A!g-2!mRu;%*r3fto+BA zmHz~@@+UAW|0!nWKf|p2=a`j0iCOtCFf0EhX63)ato+xQmH!5_^50@s{yWUde~(%D zQ<#-Mjam6Kn3ewlv+_S;R{kf<%Aduo{Lh$`{{^%1zhYMY9A@Qz!>s)8n3ewnv+{pp zR{lI@<-?mm%BPuz_W@A3ZxFC@{{b>9pB}UF889oK5wr4{Fe`rnX5}+uR_?!_Yvr?I zRz4eM+io=f$jiKFrGJ z$Eh?=xR=x~o z<;!ALz8q%d%VSo)0%qkaVphHqX5}kmR=x^m<*Q;=z8Yrbt7BHa24>}JVphHuX5}x# zto-GemGi$Mm}KSaU{<~^X63KItb9Gp%GbxNd;`qNH^i)bBh1P-#;kl3%*r>#tb8-f z$~VWXd<)FVx5TV`E6mEb#;p96n3ZpXS^29lE8iBg^6fAy-yXB_9WX235wr50Fe`sG zX5~9$R=x{n<-1~5z8hxcyJJ?q2WI7aVphHvX61WhR=y8r<@;h*z8_}g`(sxA8qCUH zi&^;rn3W%hS@}Vjl^={*`5~BI7UkzYVkU%P}i|J7(qYz^we8n3cZ^v+^r2E58!6@~bc_zZ$dhYcMOn z7PInqV^)40X64soR{kE$%HNAw`TH;{zX7xI8!;SFf0E&X60YNto#nl%J0Oi{EL{Ce+jelFJo5z70k-Nidp&BFe|?cv+}z!EB`uX z<=?=p{F|7S--B8Cw=gUJHfH7bVpe`1X64_(to(k=${)b2{JWTyKZsfRLztC6j9K~j zFf0E)X5~M?to#wo${)q7{D+v8{|K}4$1p2@9JBHtV^;nX%*vm@to)~#mH!O0@}FZ? z{v>AQzrd{gmzb6R3bXQGV^;nf%*ua@S^4iUEB`%awt|pB1z6*)S`AA!g+-!mNCD%*yA$to+58 zmA?eD@;Nape<^0=b759KH)iGYU{*daX65r?Rz5#wGUmCOWWiTsW7PIo@Fe_gkv+@-%D_;?_@|7?v zUm3IVRWK`G6|?fyFe_gjv+^}CD_;|{^0hE4e;H=wFUPEWZOqEo!K{2;%*tPZS^0XH zm9LLk`39JkZ-`m>Mwpdvj9K|6n3ZpeS@~v|m2Zw&`4*U!Z;4s?R+yDcgL)J56sH< z#H@TT%*yx1tb8BL%J;>rd_T;}_s6XKHJFvZ7PImLFe^V0v+{#5D?b>s@R(=#_UBe-yLwTQDpC7-r=k$E^Gl zn3aDLv+_@2R(>mH<+ov0emiF6pT?~GGnkcs7PIotVOIWm%*wxjS@|89mEVb3`4=%O z{}N{9U&gHbE0~pk6|?fMVOD+@X61KdR{nL&%D;hG`8P2uzX!AOZ(&ycZOqE=#jN~3 z%*wxmS^52#l|O)4`FAlZe-N|shcGLD7_;*4VOIWq%*ua&S@|QFl|PDE`42HG{}E>8 zk6~8+IA-NP#;p7&n3X?)S@};fEB_g0eHzT__S0fkJ{@M|(_>aX17_tjVpcvAX5}xytbAt7 z%4fl>d{)fLXTz-gg_xDU2($9pF)N<~v+@^XR{j#q%ICza{H2(c&xKj}+?bWmgIW2! zn3d0mS^4~!l`nu<`GT00FN9h7!kCpWf?4@w%*q$Vtb8%d$`{A1d1;FNIm% zere3gm%*%jSoLH%*t25tb9ex%2&dyd}YkaSHY}&Rm{p)!>oLD%*xlmtb9$( z%GbiI{AHMxzZ|pjwJ|GS2ea~ZF)M!sX65T)R=z%FE;n3W%iS@}_zl^=~+ z`7xN4AB$P}ahR1Kk6HN%n3bQ1S@}trm7k1R`6-x{pNd)eX_%Ftj#>E`n3bQ2S@~I* zm7k4S`8k-CpNm=fd6<>I9<%cEF)P0Sv+@fuD}MuKXn3Z3FS^1Tim0yKf`PG<} zUxQiswV0K^8?*B3Fe|?vv-0;~R{mbh%HM}s`3;zr--ucH`!OrO3A6GKU{?M?%*sE6 zS^0-CEB^>)aDU{?NH%*ua(2F%K5#H@TK%*tPYS^3PEmCu4%`K*|g&xTp~3o$Ey5oYDHV^%%~ zX5}x&to$XImCuP;`Aab?p9{0{xiKrB2ea~dF)N=Bv-0^dD_;P!@&z#~UkJ1Eg)u8% z1hewVn3XSzS@~j^l`oE2`4X6wFRA?xUkbCj{nD6~FN0b6vY3@GhgtdZn3b=9S^0{X zm9KrC5YhzZv4rb-+VpjeN z%*xlptbBdU$~VBQd_&C2H^QuZW6a7o!K{2!%*r>ztbB9K%D2F*d`ryAx5BJ^Ys|`D ziCOtJn3cZ@v+`{*E8h;Y^6fDz-vP7o9Wg843A6H7V^+R1X63tJR=z7{<-1{4zB^{+ zdtg?+CuZe)VOG93X65@}R=zK0<@;e)zCUK=ufeSRwV0J3fLZy0n3W%dS^2@3l^=px z`JtGVABI`^>o6-n9JBHxFe^V2v+|=bD?b{u@?$V7KNhp{<1i~f9<%ZjFe^V1v+|QL zD?b^t@>4J?KNYj`(=aPP9kcQ?Fe^V3v+}brD?b~v@^dgNKNqv|^DrxaJ!a+SV^)3v zX5|-RR{jRe$}hsK{Ee8EUyND#C76}J3A6GyV^;na%*rptto$;}%HN7v`P(onzZ|pj zw_{fR4$R8miCOu(Fe|?Tv+^r3E58b}@~bf`zXr4NYcVT-H)iG6VOD-UX65g}to*&0 zmA?h|1@UhpTVsBvzV2C4zu#lV^;nJ%*yY;to%;Q z%D;$N`Ij&&|1xIfU%{;WtC*F44YTsQFe|?sv+}QFR{jml%D;(O`8}AGe+#qnZ(~+| zFJ|TUVOIVf%*yY_to#AY%D;JJ3A6HNF)RNwX61juto*N-l|P4B z`QI=r|2t;o|G=#LpO}?Dk6HPo8F>Al%BR7sd|J%Pr^Bp#dd$jaz^r^m%*toNto#L- zmCuY>`7D@~&x%?3Y?zh55VP_ZVOBmnX618WR{mnl%3p$6`J9-QzZA3bxiBlA8?*9x zFe{%Iv-0^cE1w^;@&zy}Ul6nMg)l2$7_;(4Fe{&oS^1)vl`n=_`Qn(BFM(P4lG^|9 zr7&BPA7k4;;=eS+^LdFM`MJfvJhU`s<;!4JzAR?t%VAc&JZ9x9U{<~&X5}kkR=zT3 z<*Q&;zA9$rt6^5YI%ef-U{<~+X60*PR{k=~%3qFI`P!J3uY+0nx|o%}0<-eS7KJa4QA!9 z!mNB-%*waJtbBXS%6Gu5d`Ha6cfzdv)tHsdE<%eNb z{yNOc567(h2+Ycl#H{=%%*v0(to#_v%8$jY{5Z_YkH@V11kB1$#H{=z%*s#3to#(r z%1_0t{4~tUPsgnM49v>U#H{=*%*xNkto$6z%Fo5D{5;IcUyoV&`IwbofLZy4n3cZ) zv+|2DD}N(qPzXP-K zcVbrlF3ifWz^wdA%*wCAto&-s%CEt!{94S)-;G)Mb(oc3k6HP9Fe`sAX65h0to#Pd z%5TK1{Qa1f--KED2QVxDAZFzs!mRwmn3aD7v+|oUEB`2F<+or~{xQtTKaN@XCon7j zBxdEG!mRvO%*t=Wto(M&%0G=+`DZXI|14(ZpTn&D^O%)?0kiTuFe|?kv+^%uR{kZ- z%D;?R`ByM2|0-tXU&E~YF3igB#;pA7n3aD6v+{3ZR(=m=<=?`r{M(q7--}uKeVCPh z2eb0~F)M!nv-0m^R{kJn@?Ts)Gn3X?;S^3kLl|O@7`5!PV|08DQf5NQ%SE}n3ca6v+|cX61`vR=zl9yxI+&HOi&^S^2J*mG6dG`R%CE+({2I*4 zuf?qV-I$eMhgtdcn3cZ=v-0<1R{lQB%5T7|{6@^m-;Y`OO_-H`0JHKBVpjek%*sEE zS@}mWE58}D@{eLxehX&hAH%HtVPzl~Y>y_l8Xhgtb|Fe|?wv+@TpEB`KL1heudFf0ElX5~M_to-Mg zl|PAD`7bal|0QPSzrw8i*O-<62D9?tVpje;%*ua{S@~0#l|PMH`7@Z6{{ge|KVnw? zC(O#9#jO0#n3ewpv+}=UR{k7j<$uGh{O_2R{{yq~e_~etJZ9ySX5#gKDxU_k@@X+E zpANI~=`kyx0kiTMF)N=5v+@^URz5Rk<+ET`J}YMBvtd^LLd?ovgjxCQn3d0gS^0}G zD}M=Q<#S?I{!+}!=fbRfZp_N(!K{2<%*yA(tbBgV$``<_d_m007s9N3Va&=G!K{2T zX61`wR=yZ!<%?rhz656FOKSham%^-Wzcgm$%V1W%EN11)VOG98X5}kjR=y%;#M<%eKaekf+; zhhbL!I?T!s$E^Ga%*v0%to$g<%8$mZ{20v2kHxI~ILykA$E^GW%*s#1to$U*%1_3u z{1nW}PsObKG|b9R$E^Ge%*xNito$s@%Fo8E{2a{6&&90#Jj}{pk6HQon3Z3ES^0&S zmA?VA@{2Gle?UCgjx9qFf0EcX5}Bkto*~6m45`Y@|!U$|0rhVw_sNOG0e(8j#>F9 zFf0EgX62v4to&BY%5TH0{C3RBKaE-WXD}=OEN11O!>s)Cn3aD4v+_GIE58%7@-Jdm z{w2)Hzl>S=S1>F8DrV(h!>s%+%*yY^to-Ykm45@X@^4~Reh+5l-@>f?+nAN#i&^=7 zn3aDAv-0~fD}Mm9^6z3+{vc-M4`EjRFlOc7!>s)Kn3ewkv+_qUD}NNT@*iSW{v*uF zAH%Htam>npj9K|lFe`rov+|!}R{k^0%72bo`IDHH{{pk}Ut(7NE6mD&jam6`Ff0Ep zX63)bto-+wl|O}9`O}z{KZ9BMA22KbBWC4)!mRvR%*y|aS@~ZuEB`BI<ZtJAMAj-QArc0tO-77Gfb5*kA!R7O1FTgMeZS2LI=| z%fb2SJih+(dOf?}J@1*>`J6Ln_wLT+vio629(MGpi|)SDVMi^x`z9N0zUV5ePP*ff zlMdWq(xge#PdjPSvTIH8VrQ`J;u&}|a+%^k)}tRk&qa3Iuzh&%_UUO#7hD#l8C`I3 z`|^`l6YJGR*6WO{HyT-QIkMhyWWDFedjFC2;UnwgN7kp0tj`}=Uox`3;)S)dTtBkD zVPt*d$oi%i*3NbF$ojUC^&Ky)z0bQww%2i` zo=ubY|8O4p!No72_doi1N6)X}^Zw`k49^E|J3I$m59i>btY;)IZXb@F>tS}+!~MHn zp1imo-EYHVTa@j?eU7euY>(4$8O|L){{LBz&Ndadx%a6@d^hQ_1AY7Ned&5?I`-)a zKldQF{9m7skGJ3N8h>?itb9St$``_{d|}MW7r|`2U;pCy?+nizJ8}Nsc?utJnPSrQFP{J9!}(u6 zod4y+`CmSq|K-E^Up}1w<9%XI-|Dv>H!=Tgr%r4izV`XPe02VCw;!EB@F<9u}faXvc#I3JyVoR7{w&PV4T=cDtFbI11L*PzEv zo|ymMGbV5PKY#uyALcI~<}V-SFCXSFALcI~<}aU^bmDvDWv5Kcf9H|^J-^>W$N4aS z`7nR^Fn{?lfB7(f`7r-@zuZqh`QrDO4Njeyf8R4FwoNhV@)ytl@?rk+VgB-A{_z^N;h<`N#R_{NsFd{&7Cc z@6R~TEdB={?xbgqn%Fve{+AE)mk;xo5A&A~^Oq0vmk;xoPfR*#(xNPGU1DPXYtR4h z`OAm-%ZK^PhxyBg`OAm-%ZK^PC%tg-XW;FZoS1+6F~`4rn7@3OzkHa#e3-v{n7@3O zzkHbApAjEhYGVFl&zsol^MAPLmn}WvmxXVz%!EG}{>%%0=ZpT(ef1HobKh8(zALpagsjPgMzkHa#e3-v{V$zAvf9_i+=D#!MALpaZ=3{Z~Hh zzw(JmCtd@(_4FrwxRX{n;lJniHKK7o%wImtUp~xVKFnV}%wImtUp_JE#A{Hq-M6L> zXVUGbPi!5Iz3+XEYMc-Amk;xo5A&A~^Oq0vmk;xo53lc*53lc*53lc*53lc*53lc* z53lc*53lc*`+Hq0_vbz$~N?E^6hz zE@b6y9~yW5__~AD?ft#9mHT^JEBEJeD_<0|^2IPKUmUaYB`_;r60>r@Z&~@$n3XSs zS^2V%*v<2tlUp%<{L6>q zUp^fF^5OWG568cJIR53s@h=~afBA6y%ZKA%J{oLM%*q$QtlY1=R=yx+baymcXrUza(bmOJP>NG-lgP3{wmDM z*TbxQeay-?z^r^j%*r>ytbAk4$~VERd{fNIH^Z!abIi(Ljam5?n3ZpdS@~9&m2Zt% z`8Jr9Z;M&^c9@lKk6HN+n3eB{S@}+wmG6vM`7W51?}}OZZkUztj#>F0n3eB|S@~X= zmG6yN`D-vMe=TO^`(ReSFJ|TYVOG9BX5|N9R(>F6RAL8XC^R z<=0?Vel2F@*I`zEJ!a)MU{-!3X5}|wR(>;P<+or~ek*3>Z^Nwo?U%0G=+`DZXI|14(Z_h43jFJ|SR!>s)C zn3aD4v+^%uR{kZ-%J0Lh{C>>JAHb~q%b1ma1+((6Vpje&%*wxxS@}0GEB_{DVPzl~Y>cQ7meE@tK5!>s%v%*r3eto-|!mHz;<@*iSW{s?B}Kf1heu- zF)M!zv+|!}R{k^0%72bo`7bal|0QPSzrw8i*O-+*j#>E=n3ewqv+^e~D}M^J@~1H? z|1DFX zFf0EjX666Fto(V*%7?$?Q9i{K{5=3FpAxfj{{w9+_b(V&`81f7Pm5XkbeNS-k6HN) zn3d0nS@}$umA?eD@|iI!p9Qn>Surc04YTsuF)N<~v+_AHE1wIq^0_f9p9iz@c`+-W z53}<5F)LpHv+|c>R=yx+_op_rHn3ca7 zv+}oKR(>gF<(FYremQ34S728DR?Nz;#H{=(%*wCEto$0x%CE(&{5s6aug9$X2F%KD z#H{=#%*t=Zto#Rg<1J+n3ca9v+~<9D}N7WI%*sE6S^0-CEB^>)Jn3ew-v+}=SR{mGa%KwI0`LmdnKZjZQ-!Uuy2WI8}#H{>Zn3X?|S^4CDykW}r zDKM+sPl;LiRG5`djam6Ln3Ye9S^0FBl~0dZ`3#tq&xl$1Oqi9w1hev)F)N=1v+`Ln zE1wOs^4T#fp98b3dtb7^F%9q8gd^ya@m&dGp z1X?F)Lpav+}htD_gJ1 zz7A&P>ta^^O3cb%g<1J}n3b=OS@{N-m2Zey`9_$PZ;V;_CYY6Pidp$)n3ZpiS^29m zE8haM@+~nd-wLzxtuZU#2D9>QF)QB=v-0gRE8hXL@*OcN-wCtwoiQul1+(&9F)QB< zv+~_BE8hdN@;xyt-wU(yy)i3)4QA!9#jJcE%*yx0tb9Mr%J;{t`~b|#55%neAk4}S z#;p7h%*qeNto$&{$`8k^{0Pj-kHoC}D9p-_#;p7p%*v0&to%64%8$pa`~=L(PsFVJ zB+SZB#;p7l%*s#2to$_0%1_6v{0z*>&%~_!EX>N!#;p7t%*xNjto%I8%FoBF{B@X> zUw~Qp>oF_85VP_(U{-z+X5|-SR(=U)#jN~&n3caDv+@sMR(=O&2*A7EDgL(Iw_ z!L0m8n3ew+v+|!{R{khv<&R-j{!`4#e}-B4&oL|i1!m>H#H{>Rn3ew;v+~C=D}MsB z^50-q{v>AQPhnR6G-l<$#jN~yn3X?+S^4iVEB^y#<$uJi{7;yb{~5FLzhGAWSIo-) zhFSTun3X?=S^3{FEB^;(<^RO2{9l-rKaW}YR=1xLv+}7hE1w#(@@X(D zpBA(7=`bsw9<%ZpFe{%Cv+|iRD}M=QoL9?SJ?Z znAPo<#H@TN%*vO>tb7^F%9q8gd^ya@m&dGp1X?F)Lpav+}htD_gJ1z7A&P>ta^^O3cb%g<1J}n3b=OS@{N- zm2Zey`9_$PZ;V;_CYY6Pidp$)n3ZpiS^29mE8haM@+~nd-wLzxtuZU#2D9>QF)QB= zv-0gRE8hXL@*OcN-wCtwoiQul1+(&9F)QB z&%~_!EX>N!#;p7t%*xNjto%I8%FoBF{B@X>Uw~Qp>oF_85VP_(U{-z+X5|-SR(=U) z#jN~&n3caDv+@sMR(=O&2*A7EDgL(Iw_!L0m8n3ew+v+|!{R{khv<&R-j{!`4# ze}-B4&oL|i1!m>H#H{>Rn3ew;v+~C=D}MsB^50-q{v>AQPhnR6G-l<$#jN~yn3X?+ zS^4iVEB^y#<$uJi{7;yb{~5FLzhGAWSIo-)hFSTun3X?=S^3{FEB^;(<^RO2{9l-r zKaW}Yq^bGua;ba@%*v<4tb8iW%BRMxd>YKkr^T#%I?T$a$E zR{mZ^8GO@KLE4x12HQ< z2($8oF)Kd=v+_eRD?bdg^20GJKLWGzBQYyK3bXQ~F)Kd?v+`pxD?bji^5ZcpKLNAy z6EQ143A6H(F)Kd>v+`3hD?bgh^3yRZKLfM!GchYa3$yaGF)Kd@v+{E>D?bmj^7An( ze;sD!7hqQYdd$i%#H{=cn3Z3IS^347m0yBc`5Q4Se-mcqZ^o?rEtr*Gidp$(n3Z3S zS@{*1mA@6U@+&bbzY4SRt1&CT2D9>OF)P0gv-0aPE58A=@*6QLzX`MQn=vcD1+(&7 zF)M!?X60|kto$9AmA@0S@^@iYej8@x@5ZeBcFfA(gIW1|F)M!`X65h4to#F*mEVC` z`3Es8zZ0|a4`EjRVa&=uf?4@TF)RNVX61KbR(>~Tf5{&mdCzkyl#H!&-J5VP`cVOIWa%*wxmS^0M{EB_v5PEjm&L4nIn2tJ$E%*t29tb8TR%3p?A`O27;uYy_m zs+g6phFSUQn3b=AS^3K`D_;|{^0hE4UmLUXS727Y4rb-+Vpjf2%*tPdS^0XHm9LLk z`39JkZ-`m>Mwpdvj9K|6n3ZpeS@~v|m2Zw&`KvK2-vYDpEio(K3bXR9F)QB&v+`{* zE8h;Y^6fDz-vP7o9Wg843A6H@F)QB%v+`XrE8h*X^4&2j-vhJqJuxfa3$yaQF)M!! zX63KNtb8BL%J;>rd_T;}_s6XK0L;n{#H{=v%*qeOto#tn$`8e?{4mVQ567(h2+Ycl z#H{=%%*v0(to#_v%8$jY{5Z_YkH@V11kB1$#H{=z%*s#3to#(r%1_0t{4~tUPsgnM z49v>U#H{=*%*xNkto$6z%Fo5D{5;Ic&&RC%b(oc3fLZzLF)P0iv+_4!R(=s?c4{#DG%zlK@)*D)*q24>~o#H{>5%*wxoS^2jy zEB_8=<=@4u{Ck*{KZIHN!_f5fc(Pnebe8ME@gU{?ND%*y|US^2Y=l|P4B`QI@s{|9E}|HQ2P zUzn9Yk6HPoX?gvh%BR4rd`is9r^2j!YRt-~!K{2*%*v<3tbBUR%4fi=d`8U5XTq%f zC76}Zj9K|Cn3d0pS@~?3mCue@`5c&)&xu+2T$q*5jam6Tn3d0qS^0dJmCuh^`2v`g zzZA3b1u-jM2($8qF)LpLv+_kTD_;z=^2N3P;Y(mvw_g&o@})2zxA!g+pVOG8|X62h;R=z1_<(pwv zzBy*)ug0u=3(U&5#H@TP%*waMtb7~H%D2U=d^^m_x5un}2h7TM#H@TL%*uDhtb7;D z%6G-Ad^gO>cgL)J56sH<#H@TT%*yx1to${YmA@9V@_jHX-xss;{V*%vAG7iUFe^V0 zv+{#5D?b>s@4J?KNYj`(=aPP9kcQ?Fe^V3v+}brD?b~v@^dgNKNqv|^Drwv zAG7k;VOD+tX63KPto%aE%HM!l`9+wOUyND#C76}J5wr3)VOIWT%*x+_S^1@ym0yNg z`Q@0EUx8WqTQMuY60`EFFe|?rv+`>&E58=A^6M}wzaF#l8!#)s5wr4}Fe|?qv+`Ro zE58-9^0#4D{&vjD-+@{AJ25MN7iQ(RVOIWb%*t=ato%KgmA@CW^7mm@{(j8LKY&^J z9hjAW5VP_-F)RNNX5}Boto$RGm46ho@{eIweivrtcVkxmam>m;fm!({F)RNRX62v8 zto$>Wm46nq@_R5VzZbLe&tX>ndCbbcfLZw$F)RNPX65%`R(?NbP-88IuL3A6H-U{*deX63VBRz53c<+EW{K09XRb6{3J zCuZeyVOBmjX65r>Rz5FgoLN%*qeIto%UC$`8V<{9w$=55cVbP|V5?!>s&p%*v0z zto%sK%8$aV{AkR|kHM_`Sj@_g!>s&x%*s!|to%gG%1^?q{AA3^Prs&t z%*xNeto%&O%Fn{A{A|q1&%vzxT+GVP!>s&#%*tPfS@{K+mA@Xd@(VF5e*%vmt$6b1!m=M#jN~F%*wCAto&-s%CEt! z{94S)ufweTdd$jiz^wd6%*t=Vto&xo%5TA}{8r4$--cQF+c7JD2WI8(#H{>Xn3dm# zS^2v$E59AH^7mj?{$9+=--lWG`!Os30A}TPU{?M?%*yY?to%cmm46ts@{eFv{!z@z zKZaTPU6_^Mjam7}F)RNBX62v6to&1$m46zu^3Pya{#ne*@4>A6Ud+lrhgtdOF)RN9 zX60YRto%!umEVV1`TdxcKY&^JmoY2<3TEYB#jN~mn3aDWv+{3XR{l-Q${)n6{9Bln ze;c#%?_gH`UCheAhgtbUn3X?_S^4)dEB^sz{0H#!y(plic%t`VDedW0uXU(oLAuY z>zxywBZ1EqnwCctpj3~xIk~XH(8~eYZl@{FhbNkP7Fr1j*{;-4{TeN?&~`;&@W=x-eK?p9AOi!3g{FJw%#QZ8OBSEm(fZbo_OAYcw+_@SdFztJ3;Vhko+WxOKpQq)dGY1W zqNlFA{IT{={O+P{kA`EPKV|MEw|(^<%1s%_k9BykzA=}aF9XhU>NOboEKe&)I}blR z_QfCVy#E&;+jzl+-+T54S2xqw}SaA^Jqk`1J`2oL3CP-zODrQyCwMVL_CFx z^!r^Hpcwy^CHOoBKE>+aT|%CpmEdzj2|gbxA``lVWuXKs}cb4e))8KP5`p!Qd13lnmRNIdd!jAY z-__T?zAN4uYwt?L*T;Kf?R~NM)&0#KQhP&NYe%d%-qYQiICN{5O($l*zFF2qSL=J@ z&53xg*I7$jyydD`Z*$lBc&vLJq-u>1#JYPNkt6|n-@5*;mRNj4yek3QbgXS|xyskN zyQ`(yh}wfz)L2^ffp2SnM?5Ahnp+a>-CeNJ`mW}VSYJo?HBz&=0|c*)^dYD*W<1s! zXB)4|q1di#?n}h_;t5JvjlQmnFH&alM69<3g0!RWmhS#8mG`h>=J4}G5Gk!HJzMlTRHm~NocIdwWZf{+udS`V%g^2-sWpz?oOB}F5XaQ99D6#&zGn(5$o>4XpAe1 zyguW7vF1c9(cT%4T^H}wQ70nww)eGnt&hc9*K5PJcykW|ygh+HKy-MHQkUjLcY8b@ zk9GBT#(ObxX%kodM7JHf!XQ2nZ|P6OW5xh+W0yFFc_OEi4u^cPTxZN1y(p(i`&VqhC^bV;HG8O4MR$w&ZCbRdOD9D zxk>BK=E_R{kf#UN7sy^EgF4v zTvE74laG!|CHH9a(b=~>dVF+iYnBc8=txZNk@V4D;Zm_rKKko?^cf%Bzmay(M}NJqzGLEzK750ZUg4vk7&2VM-TbvC;RAC zKKdy>dbN*krXne=@zGE9)sOh-r}^knAN_P6y}?JX^3fZ8^fP?)CLjGxK6;yv{$?M& z$47sQk3Qg|ztu-i`sfRN^g$o}Odoy3N3ZtLclhXweDqNt{VX4Sr;mQNk3Qz3FZR*L zeROx>gYroqeTlFBULSp_kG{`Gukq1eK7H8&FI(Vc3%qQBmo4!Bf(4!nyy4H$&Cgau zQ%8Jf!f~QoClhnB`=XooR6Hc=WzRWgx8r0_orm9nlOi}H-o~=bbQZ^{bBJ?EpV=q) zpT7y5OZm*C;J+u%C46Q~@Lv<>(mgXO_|J)R$(|Vz{D;JO$&yJ5{y1?i`!YR(f0sCy z?3pIPzeSu&^-P1{_Y>!(O(r7vJ;b?W&r}P37jZ7tGa9C3yq7pHoibyBe}Fib?3q!)*AnMa zJu@Qsdx&$1o=FORDRC~%Gd+U8lQ@^;nI^%P6X#Mq(;)cUh;s>^i3olcaW1_x)q=l? zIG5a+kl-g1e?9S_;IAeA2I7w3uOxmF@tOao{>Kmx5uX-(4skA}Gy4Sp^8>)Sgw9L~ z{(ItFI%mcN|21(gnKPq;|C~6N%9#jt`)3CaJ_=qEK z#n2l*_Lh$mygj`gBkuU+X!raG&}(7f=^Tj{Rp&m z`rmwcbo1LEM@=U>^vlG&XzJ}x0!g0%E2LZTYwC!fK#sEap>MZ;w$EIHLL<**(M``z z3Wo>6;{tbu#{_Nc1>yW87ZwwEV1-5L&Y36GD zvh~qnlh@%kkueagjRua3G_ei^NjXMdU!yf_M9EUyM3tfF8q^*I0d=1l zHH4oN$)+Y%A3pJ>xfO#N9D#ckqPP-23t*O3z#x8TL(FQ>6-_GGq%It@g2A&;dtUga z`fzLzr?4sou?`pCMOtCtQBZyE(E_p_z>gbPXa_sM4XMZ)=wRe!`;UZ_8xI@>A(GmijS(@9m^5KoXbkt5FnF`ZNe4|Tu7gxGfS6W*R3bD>}3>d@u@k%tVJ)htpHbmpkL{f*etp7WBICe%dq* zjU^`bA#Ng|K7yaU8aI1vg<6_q92II&xC=jH;IRkrZv5=T&mF9}AMUwBMZDd%T+Ws| z;GEkBqsw!M`)`UYha-Q5l%wOJn7p6+A13c}|C8jcb;j$yFuQnwi|Ou3>*h&y^Mv)N z1jrcNJTVxp%^||gwc_TH+ABDN)G&n&JrJ%IxGP*GaCf?kzMlpS~lTJwYlyPF0K1eIgp zI9CGnwsq3G&k`vJcuP0xNK9~ z8LolgCe}h_DFqK&R%UociDeoc9+ZC3dW_}UG=_v|JPmPaTec7unCEq^0x+6WFb@=F zGJtIWwYx9E;Q9vnA2=m&QiR4Or*bVuE#<4LAk6wohYX~fiGLKQocND6YZ;E3`Z6SR zYeZ{>Xaf^1EE&NGW)N3HT_viu8TI$IPxZ(ZGy^N2DF3fHFMSWN~HS-n#IW;NO6n5}tM8dZ1Bu zK{H|ch-%f9wpUC}15~UAis8hpPb6+su_{|LC1HUYVUMy6OhE}zy(&>J4D>{*$7M*R zTXRhOmIyC^dSR$%T2!R>|CcOWxFV62$W3)&$X1Uv(CYBK!MVp-4hSS0h7w3g#yiwB zDQOfKt4bNxgl+^;LLU!W!7fu%Nn)saHvrZkqX2=e_u)duYe3^@=&F4Rq9S6VLdTjr zDzM^)G!g*GLG8szDx(AAR-nyJYvE)QRlC$v+f9Xs)AzCr_U&Ozrojtic^^RfziB#6 z0O|k2IeTO|mMfeC-dp>AU9h<870wRK=1dnA0(WT|8QLzn2I+`V!-KpI0%{W5g|qBl zbWigRoNnw4oJr)5`@are&KVEFP{aXfP)S@o;Q=vun!f*clBh>oQPW3E)YE{` zmW@~eW4YLtz6K_stDBggsRQyelF3FAjPF;6H>M|P2IB-~W^%!`6!MQcW=g`!8Q(?@ z$au=h3s28FInINElV}00_5kp}=_3HHg4@N(R>xPqS)DFw?nOOOlP5eV495`Fog~yr zU1S*^90kR$;H|Q_Bpkq;Aw_5`&gBrKkXAJe_1mN=*5j}di-v@P27;(atS;^4!Y~0Q zj02C#D-Sv}3Fhs=$oyMr^8QBZRMj@CzWksa}!( z{sEZ)$aL<|To?g;r{;yH=2pzf`~|Fi*YlLST*W^su=VRj#BZ|V!y7r`yH3F@2OIgr z&D8+cjWn0@W)o%zA1cAf%JGBfQHPX9E>Oj^t4PnIDq0zB^8CNSAs07rsiPwo)p22TqL&02=d1Cn>WNqQUHIYR6JzD#=r{VMJrTW7ELaKVsv} zSKE~)InGlju%#>~*ayXQ5EmBK{AGr;nzRlJ7%QERs|+kOVlcAe&>$(Wl^}NDv2osD z7E;bgX2Sz-lzlj~CssIqxy7S)K!2=~H+~m#@ja7D+;Qkwd{Zn$1v(uWA4=uMEi9>Zh1jA*kuQkh-9G^LW`(D=nf2ra}2Y)B<}njaw_ zH@h-^j+< zifaQZi1Ejfkp3erhS@d!Tb$6TEW{Fs3mx6Dao0Zu`yaJs<5&EDczw?G*FH)IZTAY? zCDUdU>o1Xd_`1lV7w(7PPCE3fZ1%je{wt%ySaEQBNfNNsLZ<9-6-`C4k=A(A+%jxg zi63DX;f=+_BpDrrnf<(SB>qjM8$7yggyUuBC?xjsCJ&FurYO7OMVpNeqU zJq_B^TmhHz|Ka<)4gG!SrT15RrS8bc)f9Zry^!e8F4<9`&$$N2@{3mCLF<#08fZf0 zCqOYEz4_^4`x~smP?`ixSO1a&$GT5r0mFxNblH5%FLZcF53;{w)(duSV?iJoX|!ur z!WnkqTcMA#tFJ*CcC~|r80>6_MXbby(%el3@=pJOq8Z(|lqFLvWhI8C?eL(?Vz_XS z_%+ zY%j#Py+BsA6W_$?frW+ZN3!WsVj2H_1LE;Zn?Ws)n=z}5+~ zwoofz&)g<4zZKM;voeIb+|^KSOALz6Y7RFf7*)LQ!$NdgXqQ$bxhE*_cB!NGtVo~n z)U2)3`bjg+gKeC2-u$0g*i^l!d!-pZ(&Xx3wIPZ+J>pfMxap& zu1k?dpb;);$wk)h(yAwcCxfyVYNxb`yDfurn~dDBiO^;kGn$={ zN_voSBA#t{5nyB_V2%@L)9GW-pLW79+ogf4xs)3ary>R<9x`iT_K7xGLD=lRFFkdr$2FiTJHb^FQ*eE_PA8EI zY;#0xBL`~@A{HlR16m|E@>SWY+>A8a&n!@~8cB0XgXBwu?a*dK}H1g~5BrbrL21ilO&xOq*0QLz_* z&z-zH9@Mn|zl?|9?f<`fJY1sk{f`(APSNpD<{M?R8xOh9Zyf%5@bA3-ybKSIBK9^S z*C8WS<5IT@xgf+vawXFbAJ_>+haV`b!6Til7vwGo?}SyRLvRo7l5=Bq%SDpjQ9n6; z+%>q9(vRz3GTrp>jt!U-KmH`=L@EC02Xl%mfu=Wj#Y5NU6bFH(uk?yH-H=mU0W@9X z6@PLlr#J*O{Ti?MPpO>ZB+&Gqzvqg!36JslB(DIP{t=45!LRbDK4m|%`iEed%JiD= zqcu!Wonx#9G1ht5Ip#W+w%WpaK%bO#X=;X!7WRB|UhvvrbfB7rU6!_|#{{~_DTJ^KgDw&TN9 zi(Xjt_@eKmkAH$&J%O!XGZ#bTHI@7mSUJ&&#rVw!dedM2Pnyp56m7$DKY3nRId@vl z?>{#>!54U>;*F-FLs^Cgr?@;tn4xELzbTbe+NMf$c%VBvvBWn0r<^|I1`c#Wsn=6H zI>A?eq^A>et8>RKm6T16;RR*f$w8lbXEBQ=_F>LKdkXm zgKex{KG;NnNtOymhs~OZ-o~B`r&{u2q*Xs0nTu0cFH&|7Y?+(@n5{%-GW>h$h zQyP&Dbga)X9-_k=!%@8!dGs+{Z6JSGJMeN2Y3JzZ(BR?xasfJqIHO>nz7K4o6MSY@ zY+Xszgrx?pq+#{&vGaK|eQ1!wV$md4A{;)x{lCr6R%)6gh+^yE-F$Qd;WX-o(>BBby4}2|604s&7CxIs7rY*r7Pw2V z8)T62Dfsj|(eZdFjyi}Ott08iT!2JtqUF(Qj-~;+Ac-b)fhY7C#X9@)8Rs@3e6~Vx9H;up~f#YsS(lQu#D&y`!GT1alo8BM7dAbGih<|9F z9RDDcH$olwM`kGeO70~y&2hoNmV4QQdA<<&4Kvn8RNeIOUU0H|*>nFx_Oef=OTl4q zAIefm3S;xid=BJ*Y#0Z)Ege8Qyh?;di>c&m;8NrSrnqDAlfL~?jSn!3R;MC0YJ%15 zT{g-k+0>ZOz-ZYm18LJ#$PJCF8DWz6gyE$=hPVgSRFq+(k6{&s+cXt%8HR)*Q=>2} z^B4{$K}^ZUNKv)}KDGnOw#jAt9hgp&p|HK{-(AHAcM4m*CeU=7qy7#b+XiKublLU_ zTh1-Q_A<*>O^uMHqlS+?lb0kzgY>wJqbhlz#M@8b^z1>f;CPx5xJ#cuK{{X{Ay#N` zZ$7*oSh4v~DsOtYhTWLkACEmEAtU!mmDgYd#i?WzzirgKL(;!Q&H0R)^AR-{i`pu{ zRFY4qBXH;zzNM1>0N65H8I~2olJk~jd46CkALmz-OOvIhiD)=H_@sY2C7VDnx#BL4 zvQ7LhBCsWj&7f;wrztkA*a?d5Q;fUDJxgum|Ja`fE^y58gS>3gg+d7Evr($^$4C_R-A zPNP&75pHr+hnwWcS}DDeT`u9yX?2iohRq(J&62{;J`?CAN_i5?cl{Otx#=NgrfZc_QCeugL+mdL+esBA(XFrW5 zncY;2WBJYuh6jUOvL^=vMu>lWaOlYXlR)f0iLuW|MdAO$?&e4^z&)%SCK@^${IWfdp=IQU{0xgS5*U|?M11h(t} z-SwVC4y@JYa?Yjcv9{>&cDc!wo&%qtsoXsP ztVe^@nBY98Jp}>bw0dgF1CKYu02QE~Z1Z*KiQ!XqY5G1dbCz zlS#qNJT0a^bOc&`WVRkipMxNPfTbgFfhv<9f#R(^WpZX~5hXRZ0xRR4ay!Vx$MEhR zT42-E2&lR;eh^(qj4`0Fnn^+>)Ofadd|iM-9sdu%g!pR8kFUmp`054U7a3noSUO>U z@6hpuEo*tQMB}T?WCZIEFTOsv_b~Cb2?G94iLdWL)@j0oGi9O%^smzKHdou;uzs*j{GYzPR<@<$S=Q_VU`*e6VFd zE(bZ&?Gv~wyq9p|LUa+N%Vxes$#Dq`@>Fs^rp!5vN2xWudoQXW-*M5Ceuk!-SYaF9 zm(%cIUPDI%d72HsBn?;EhS%pbtVAmH_gJG1xn@fzq+!%HyfCL>Ob)E0(M}N&_S-wd!rG${AVrRnWMCGIF&olvVQd z04h24d_)Fi2oLhd782XmWBbZV#;8e|70c$y2$v7oNT}y_hhaN3H;io&UdcOv70rOy zSPfUAp*-4LJ5CZ7e1otIEir;F2nSH39yODM25OVh z>X+YkWDzZ4yMrjpwO#$B+OAOqfDK_JdSC}3Y}p|6Z^L|~@SyUfV(-hEPf^!=ii-KT zaU!t_Wf-VjT~>k7s-Y*+SDUV*pw|!I9c}}?9yW-u9y;6)VWo$0Izsovu%kouz)fnw z$*iRp5ntJjIe1I>JT`}nG!pkq$vzM)!(&JTxubWQ6*o;afqK8>w-5w^ygg``-VSEb z33u@Q;hR9M*LB>RLfo(( z6n*ID>Eju%;4j%0@iE5!U`fRRsq-+#r0BjYJZj2WFA&781?CkLL8N^E+|=}sDQtw+^#+sE$!gB@*CM~@&O^?s`Zx~cHU6B>wXPVi~vqnOs|}*s+B!a z%U(zxdqrLLin{k3G}G)-ZH8}?Y|E#6FQeI^jOM1z#3s+iFnh z9jOH=Sxd9+1z#_kZOMLi+4dd?WCP|jF!OonBjXw(qDz_QobtO(w!K@_a3dhkS;&L? zAV!{(gydOKQP+x!9@0s04u=oe`kf;rkFBCETSW_;Gee`pDZ8mC(*W@Lp*!3Op12HO znjcQ&SY(VCT`G@Iu*@rhu%28+yJeA~{-9Jnn3bJWx8szk! zphTt~o6x>N{_T71?K|XAb>o9vdG??I@5=}YisuaEd^bKI6IY%a8#q2Zdn@YNThT+g zk*ll-dE~lLNFG~7UABrAxUq@am=#U>b2J!KswNS>3K7Crikp;+&~yx)<%K>(l0qwQ z(bU7*Hq~ANOXvCU*{}J1IQ0tDc2jL;5a`6Zyxd6>S;rQ+2>Bq?kle}1FtBx%M8!om zcm8Hq@rw|OIsRzg^es^i3usEpU;H#8gxu+y3l<7hylRzH^(}r@;Tv3|b?0?Obvg88o;`!?q@q>qAq(y3zDaGOB)!aw?b9CP@v05&jf)j|Hjg5#$X7exNbc8=c2t-a+7X6b;5!f znJvAUY#CbXqa=r(i)aShi`|)kc{!wM))Cd4BirgNBu{TeUA+~}Gi&a;4@-(RW$Ry3 z2+3ossLNK-0&~t#dOH`bqrnj6G(Fc9Q5M30#7XD!pT4X%be6x!x#%HyEN?Dq`m%p6 zdK=@#^+M*aScFe><;_KrL(fIOgBmgy@ro?4l`NtY7sK5_{G^-z)%w-9peQj$L}3hf zT}W+_*S9c6h+1a`VFTa7LQ&W1ii*|Iju%BdO3u`ANbZYgD|2AW^Ni=ue+iQRG%veX zp#N{kb1OE*>pp`lwQ@<4G0k}JMXKa7DA@y*+#F}B>5ac_`uGUOfhH*T;TD~D?NeR4 zN0WBoPXvq1&Av3WPZTdmLrHoEy$=$inG8#>ckEIjmq^oXQihw4_U4f7T{t6#8T;uQ z;NBsAkb)O+;6pJ!`NuzjGbc9yZfe38ivcR~d?7XiqiHf)1vMn-SqTF2Pf!vclSZZ1 z96M3!PN$5&(lhU|SLVd=RF6wD^%itPrr;C2H8fSs_heSd*F zqSzMYBVv@CoQ8_cuNcZG%b8#KtYp{Ss`?JHgsp<8R5-g;k}WRoSSqtxbm*t)mM<2a z*vM&i6WinH8xva<1AYaVWzK+p>AUZm{h-~6rp&xmXb9o`6Pl11CNvc;URfjJ4pv3; zGH>pL#s#{v^-p9%^4KcsvQ@Mo^X5!s8=;>&@Dt0tsVsfZ7yOf0W!}C=4TQlY=5XI* zC#)qm^7dmOzqfWx?fdvT%=;di*pQb#t4&zSf}n64WDI;HeKNuVTlcYxiA!KINuMV} z9`^}L8G#rRx#`n2*?x${0GuX7wF)&IQJX%6P<12u`*gKy=}g%%=-{iL&*|V9(}5b??SSN2bg%&(WPX50S0=6} zAKxt|d(~MYBtDIX5)vm$Nc>PjqQQnl05Z-tBse%35*(Eb30f*|kP6B3nWC=G6fG4J zy6VI%V%YCj_Wr>tB#*tKE_+2whD00qrm3$lB&;0*TdrqFl--%*u@k;XMX{Ta8xkbX z!ee{r{t4Sd=C_EP^yvT2>EKAyL0(9ZJc|xmqysy;Grxs?6F24sM-XZ;U6u%rBv?pr z%<~1uyKHd${*IR(9JEMxYTe=fA4d%503n`IBkaD@UO*%Gy9cg6_Z0VvSUkAzErQPu06~@k7{`nk9@+|E9Q#x(J_K>N-0wsO_ zXLCAOQQVOv&!U45Ne6DE@BxI0Tk{+_L)VlZ<(~ozapbe&$e)TMFSCxE2We+J1>`%D zHp_FQkUU2!>N--g`>Y=jtdGM_@H6Q9Wo5LvI34v=fXRs!V55+K(|fUJfl%pK_i zpLyv4G71KH0U{*N>WaEnSJYblup|AJ(VPHjL4Zu@mQq>fNZ7+5j(2bJDo~>nZuHNG za=v7+!MJA-a{H12$+K|JWAul|`<_oLZ_hpcO)USTL_5(i^Oxsf=R3u7<`NZQD@%AY zy`;V(VwHa-3F+hkB7WH50kjq8JtEHg3rrB5xEwXac?%&!!H_Aj=FcD9>@ClELh_ua zsOvmM3!P`LxXs-l44DDhj(Rvc^l17KctFNDhfZMYZG~gpe2z}0sZs+RUmSp_-smQ7 z<&<+Hq?}s`y+Lgyly`)5M8mce@82OF9-8eoNhmY7P(FPZd=@zXYoFK8k@$mfd2S~1qW^ulLp%7-InjTsM8AB$#$C{m=7zp5B=KCCB$OkXFqY){ zCpz>W(V?mIW4AH>ZQ{sO<_@*nKb6zjjb^CjEq+O!ZGX+upB>AYGo-&OU{MMEvvd1< zvUqF>f$HPy3AB<2T0<2U+R4B6FL67SNw->9Q)=4(q`+tT?_{= zF@M}}_e~B5KI|*+&+UDxc=V7wTLI3_6<|Su0C>{Y9pExM9TAa$k+FRQT4x%610C|- z|9m$rFXQc@TWK#mw~41{dEJ>Bsl;!2iZ;akM!ajn7e04#lx&)kmGamQ+4tIvcRjuD z%jG`5KJgyQzXkm9Sm=TZ5CDS)&Ld>Mm8n5l2$v70(FF*;*EaM8!wS5!F=<5M3x=a? zxoK)ANcuc((8_~%=Ir~C(6_4aeaZo9;l58917_>{-=|~;_T{);ALr=kci*SniL%_+ zx{AF|$*=Z{a#P9g;1v1gZ2UeBKk3WBP>K%qJ|#^-%TwL`Y`lVaQE5Fr?L$;Xa0dyoejy(?h)Pm zzWW*GhjQEsMm4_p8{vft+2gHV4#WF-$0Qw+A?m)07*#`a9{{f+vPR}KlH?Uz;r+Xh z<%p65LwzaMzUqcoFaN?`Y5k_8BVWfAMgH zo{|wMsz3Nq&sx6M>Xpf{@{M}mYxNA0ht`dhe82r%lndJrfURr2X=53Afo?w#_jcgl z`+j>llsTm7ehxW`m`;QklpD?EgAItE+|;Y@w@3Ajxv^u^u55#h6w(0P0jiGlTM#^Q zBZM|H@BjaU^6%o2e_Z~36{Ji5=PmR$=ADoqVB_4iWV3_bmA5O7^S<1C3j60QoYw2et-_1;{7`Dm21=rdb5YG$G{Mq4E*6Mi7!`1VvpV zD5^&ITb>a{*e96Im`)CwP6o9TqSgpP@{FLUYXn6Lj37F}2%Htt7pEjZJ_6ndkS75S zyb=L&3IpW82{uK|Sx!;ca*7(I%*d5l5uL~~0-YpHCxfPwHtmF{?Ld8K@EUN*d_k(P|*a$ljo`6ScAn$&+1C zS9V473?!FR<%2yChh7MhIlNG5I*Dp0L~SQR@;Xt}?L^T61BqrZ&^VMxKQt(zwGzA$ zI5ZBzhyWO^gD@f}>pvFeI+bUcFJarVAf9T_#5I?1DQgThLWto~jcSM_qE-hXc{(WS z>Y%9TFn;7NF!9Y)RqPW?M@=U?O(!AkgeVV+B_wYQD(a3wMGFiiI>As;FiL;-BPNng z0{0R#RgeO?iOAb|GV+Ctd=)AmQELPtc}7swHG-mQg!y?!aM&j;YdWbhog73I!O}#n z5rpI!K~dKTiWV3_bb=A~SHKA0zEPs(kKm2?s6*E>Q}Kk6LWqR(YJh?ONi(XgZSp_3 zvJ=c1>SKgZhC8beo~X5ukUR@1>RL!qwa^K97TV9A!F1Aeve$I7Pdg!MEhHq*LW;T; zQdBzeFK9$FSf~w*(zkClVe%i~9@u&UeixWxk^+@7MU9a%Vx$~bDT!KB2+1>rqOK_v z6)DHxkY|c9_6$=rm`)l^Cp)zhqSh2b@=T$qYYIgROd*=V6bB({ddC(Cmd}GXg5?DO znYAutu*j@M64MPKYOnx7L{Mth+JTy$=fzT_q%gUCMAHG*OU5m9S7A$gWl z)U}+VMyV3B*0kv)O$bX4Y9~Z(CqnW%QPk~3(E`hfX0Y5KxTF_uHbKOjr!s5Zi4%9$ zT0sjIpS1>1ucUz*DKiYzL1QX}G5yFJR1iLBgm+LG9wcflBqYy5infbW&wH;l>|2AfcH(~NSuq-i$ zO`G0iXCmJeJHUlfjuOJJ+~|UoM6D@=w9fut5$B1W||pK~zO$pcEhS7bQ^{mLqB{H%5|YIYnK|DQc7|F^5G=CsEVMPVI!K z?Li5lz*Fo4{4ACFf??$$6e( z68t7D_IuDcefD({Hg(~cXu1{5$}-R3cY&r2UX0M(7(__|45Ixo4`XDS5S#gY1%&5T zny61-oV)tsT=l&;Pu~djoiSVw8m=|q%5xsnx5lS0&Ru$}%n-!nxm7;oQy%B8@;F!JugFs#@8N9G2MA%( zLBll&t~}>K<%2%uaqcRQ^8)3osC*R~r&kP!@&PDMT@mpFz!=~#1%Z>0Kcq}ur;-s6 z$`1sr=anGe#$q|{rHpU{Z<-SBjWDg;M&ZtT-rp0({p=|3XT!6Ja7}a~jCMz&vvm12 zxR3aLF#+!%q7|W<*g4-j9 zWwIAtBNSfEaKM)@mpX~pODo*{jG$BffUNysTE&6a+Cu7#KgWvSTBx6yuEEVFez=?$ z6uhTg1M7^j&Za3Y(e+N#sgPUl5=RT|gF()}>~lHov%${<(jJz{PB4+7QWw4)_PM-FcwIqW*ZX;i!ww_R z8s&95_+0^BS17M5$?HZxFY()9cmxV;aGwjP`dfq9Dki<{dIN%{<_(((roRiXME(aVPH>!@~K9)Le7y7o2^FLpK``?2| zKfFJf6v#PoVu|%)XD_^XuPBNy@|X&3lxH9{E)#J1IOpSnftTnrj$AK;rLXKj?e5)q ze4yuH`Md>u7~lBmLyY(7cuA1mR31BtufvG-6X*k0EAf*6yM(gqC%Z@U*h!=vhTYA| zZYkLHgI&L}+dy_t=CPAtI}E$?l^ug^1K4d)cKDKU?e3rEv6FZ^47-`DMOnt1e$iO- z`T!zn5I##KNANo@Kd>-(1Pd$i+n?7_BKe_>`@9GU2~H@I&tKJp$a+S!;^QMI4KW<54jopnhe~)!s4jex>H@14(It4` zb;1jUHKJ(5uV^&2nscitQ^8vtPzFnb-P5du=c!y}lITpvOijt>(I)DwJ{NRDpWhmN zLevMJ7Ug4cha4ss!3v^JATeL6PbGIkq&WxhCnwNYF+8`fkC5$D2}e*P{Ty0~aPon9 z@B7(#_KsTlZtsG8FZk^(Aq0Dm(9z}Ng8&7?_)E}A^6{%>7@y90>TiA~6=LW^Gid`8 zxa=tWoQ|+Q-BDeurjoo}Y;-l4=t^(wqg0!ws(CN=D64DDL;35c%dCD6b?RdgHE?g3$UD7W69%#?7@++;)R3l;WU0&{~UR^^&CFj zdJeQTt0~Fy5oG!K>{{M1N0tYhq!Kozq2z%Te7X{&MxL%T5bH;@KqS=YUx2{1#$HfB z$a%UFtmKQ>9IFoQIH7iJJz^l=_7_jjn zvcsj+;AzI87zOoYl2pPb@;Pv?{5{3I@%UbA-&b7)`z|yVko;Fkt{9JpTJOIeUdbJg zox7L#nLv`mGTD!=g~?HB#;T%bls`y)f|&6H2J{Jq!?y|_AuHEZh2cvKmqeOOt2O+B zprxY_+T_uZ{Q02C+N1mfu*W<6s?5e7$68fhT|yQ4V^8uIyiXTZPG>|Q1Id`gQ;UNu z{4Rtgl1kXL7n1ABi{|0Q7ydK{e*33Hlt|dHu2p=mDn161hRxd`qS0RG!vT)B9*(y* zIt#z#PdS+9nJW`P|N0EiGgs=ztkJiV{z)4i)|!c-yK-!kM6t&pvkZ91N4@zs&AFgV z$)72ezh$&K97=ye*A=*Ytq->iZgQvw{L~g5zP<`MB2CV>!~XhLFr=ddIwG64=;nvp zc8}gz=A^&E8Y1xUvF%x$4-IlpOLQBs-`Br9I`qrSkS_ib9X{u_3YzQqGpg{Vj5n~4 z067eQBMJG_o53Qw>7jAzf-h$zh;H6f@!t2z1)-at$PcXE1y<=M)X`!uf0^<{ET0B@ ze4h9V0Ppk5!@nrL`B}`#&(#k7Ejs-6GeoX)P6gnDx5(k?BdG51+h0RAuhH|fdH!j1 z36{66M=}1|d$0~(t9W>B7>%IX+m|Y*qiBuc;~NBsZSZ$7Rt-Iv{tVhpv{Ln_aRx@@ z2Q@?ZgR2xV;yf34!=G!ftRH^+k50y4qPSw!(37>7uNwM8?dsa0zg`|4e(NJ3Usiv{ ze?x(a^q1G6^@IOio;YdIkIdgQs2}=${m^gghJIC>UGTH$=7-9n%YM@TYqg~Pl7&Ct zv%iNR5FvT8Ch?b#mo4zJ1zxtm%NBUq0{=)0l;JV475!Z;iT3WUP-pW%DBjf?Zw+13 zo@ndtPlTF7z41hUZ&#=<(VU2P#=8>7_^P*ey{PKV1MQvtowH~H1-Tv>=xgs>*xKHE z=IVG~B9`b*G6E>${9Nvwp95K+et$OmG|t0ovsr#FW-;I+#9Ol2Fz_d^G>8Ch!8&6#%dv=Q z1AH2ABVa2Q9wRKrwfGnzHsButoQr!E(|`+b`|Gcy1M(sL=K=ZrWdaYpL;<(p@vnHpZG&I%PYWLFTf6fbN>kY0)_$C0M-F=gW+kw+W=P|gnWQ<1rL9fU3aNdHV`a( z-MphJc%Ovy6Y z=zH>LkAT*MxcV?456VA{pGQD@C3JO`&kxqluS9oqHkaQ#$Du5|ws-{Bd(Tlwk!5s_ zmE}Z~jbVe|@?-sK&`!ing`)tq{-x$+3c~j5e)=DI>*M=&H0`An!53x^<*PWo9PChH=&k(TB z^FW_WWwWnh`@PT5_!PSqY1RP{987A!>fhI4E@6Xn3L}KIpR|LYaosJY3vu->|ILhA#D`2`d?AWRBctZ3{8&)ubqe@ay&SJKFlfrTmf>N`|M0hLx8Nqf*<5tcR4`&V5mSy^ zaB}>{6yP?C9L%dcj#uFRHqD%>pDKw{4G-p79-N1Ga0$bM(;JUAQ();MOqe*V-1`k< z^R|ty!BEcY8y>daU$Uwn>?$ODtU>gZNeK0@bt$;DJ5s;k&jf^x^CyF!qUb;Tcct-5 zKI0`JrK~XU3Io>}xWT|14ZPLBI}NP1qhC_!j49k_V9!?+Z@9(1#b&gUB0%z zE72cXws7&n>bEZH7i`h>XH_q(Uc7M88A^9rI-0xIhc?7}`?!~K_QJ)XszmepzB4bq zu>Qi@%Px$az4**^?On|sXIS2)U^%ku&N+pp<<1;uPf>a~KIdGl{#;q-=a-Z_N4R;? zUsmoM>DYXlOFzo7c_x=$;n@6?OF!DNc`%oLjGHfW>B!C@KSj^Woq0~EDE(NcsYslc z;}1ml6r~3oI}iDLDd*~T(ofOza(qbVya$WXU+K(zs~G)N?!4wNEyt{3=d)b;@lLUP zTJD_S&Of>JU#%DRej?7xF`I;*$|DNh&bD4z8BXUq|CRM9dd7Ks4(v9XXE*+-ZPNGU z(cft3cAcPH9A_y`%6#&$KOQUaQ&z+douHGyU3ai9k8AN$hVOIOb%GG_YX^Rc$v+Bu z8D`_)G){2X`ScE)lU_MZsz=|6bJ9c8qX+dIG>i9=OYTvO&r?#R zFs_~ly{w4ej}ZgDsvv&k8oY>o7NUL`RyFx?brRM;bDYBQRgQH{2zGF$b7fx&K5{LZ zOLkU*ejMsooBA`R{E`y&-!F8;m6;FZ+8u4$E#2gQxz+}qdqlWX`&MbARt3(-$bU{@zBUpB1uDJu{+7T=M3Ghzhtiv^e-9u=$n+8>{sLTA)zbH|C{#~iusp+ z%arjS%o`U0B#L77`B#{V(cf8uzP~j&`u?YuVRCm1B_qCC`}nmwi#tk2my?$*Xb0?o>m! z>v7rRL>ZqKD`vNMl%PjT&@U@NZz(|^7CQ2|iT~4)A9?WAU-7%S9+3Ta&~G<%yN;hU z^}kYr|Bp?5d;MVZ|F1v~`qnejDSka?>f7s!D^0=QO7K7BD3vqvHm!J+k!P8qH}E@l zI9e4rml%4;j0<}&CT{3WVXY|ll5qM#p_|4&z_)vf`Rgks=uaE|_Ik*U)8Cb-UsX|@ z|Ed!7YfI2SBJ}x=y?&H^f9UbC67@f9fv5B{c$~uedIB7J#E(~{1A`r%ZMmocM1BlhL648{)lPxM?;@nrUV<$#~wre zxL!L$={rsRQ-p31A6Qm`USERVY53dgeYvLveglS{H1@pB^zoq*e4LivL|>vGPh__^ zt?}OY`u4s=yf>EUjJ0%hcg6dhSgf@>w!Wi#Egnv2O?3D6#hUvEoR;p+o{o4T-ny`+ zddZ^Vm15WpXpc4b_BLM|!-EyQ*E;KZn>*t%Jbuu5Et>eS7-}YRswTR7IyyFVI$i6l z@v{g&XC2~^h3>T#T+48a|w7pq&MNj>fU{E?M16DaN4hGkM$)w6S3~Dj`ps& zvks4#tZrT_^bKvT9kKWZsO-cC;w}A&c&z4Z_jFmcgJ&!H;)zIPW&Nt<7sSq5c-F#m z&{;?O+Ll;LU%!~mX^+R_v7T6K^|EsoFKb;J>+4?|TjX&$$2(nemOLP`ytln|eLS{4 zp187g?UlXl@S-P8FCHQ3S|5v}26|fG+1&-{p%Cn2MO?DP?Q?0%(&pCcb=v1*OJ3Hz zG`?<8i;99iRlpo7Siml#zq*}a(%c)5b;YmlZ|*2n+Sh(vT*_K{<9G@u)*A0?U*Ba- zqJnldw|C`Qj9sEmwixCGciz zdsnQ#FWxHR!WuB3mE@eo+Go)oF}|A<%^D7~SGB7MABfTI^e+lq`{~!-?v{8T z9;zx5zl&z61T;?gy6x_2Y4+i{q3=b0>$M0cM3DHgS)NFfvEpi2!$gX3Fqx}p3Q!Tf z6cb|{B2F;O5UV+ZUcz_-;+2sVv(85st$p3Gw&t!@#GAEq%`)#aCmAs(x<$+ESV8nB z?4a%I>F;Y(!}07o&oI65o^B*fHz&A0@LRyh-`CN7joZ%>&+AJTJO0`_m=W!V8I3(1 z{e2$08YsQCug?Uz)p@DUmL1J_0&hceM}NGCL5oC6jg6n2T(ZnkbFyObxK&N$89zIE z#Nl22o$+2o33YU{OrjgnoQSXY=k!Baa~;(|N@ITTY`GNj+59}~*09HQaWgQGnE3o4 zXYigEl_ibvF(gSl`dvw`Y4$}}jft?5*;;1Lmd>8y>7r(d>-1%3YfPH-WG5AN+bR)z zaewEfe8LjeU!_^IWLWvc_Y$A0fK2rO08g}fE zBzCC2d{XDmOiPQ;-b;%{ubR=08IwJ+F2o|HrRQ4D4T})wkftDp5xQ1sSI?zO9M0$J zpuEH!#{v@%!?t6gcwM}=+i@25UE7(!(rRH}qE}&?J)?%bJo7upe^&sgllzg}lrwiA2 z15LyS@S6)=RO%LIE{wOCrEOa)m{?4k>Ka#D*mE;4Kqkh*LL@3@Ar?-27}?TcnW@SN$R$@_vb7$m+P!5hrQmj_!6A>+uQqi7KUs^ z1M}P0fsRLDyRH0uu8)U>ixtHkKRs~ynR8qW40i`y`R(%)7TT|7;WAWox9uwp7hX29 zOWVJ_e`uk7{=!r*u;2Spz-Jq5dwZYJ!s|>!E5EI0A@@W1tc1mzg4)1Bvn`H0o4N8E zwhsBzrnbGkZ)u@@e#7>kum2X)ez{?2p9itfK0m_tC*UW)J-5jjf0R#-P%gC3$r!50 zYR?IW@Z)bE3X$r#_f4%ImC8K;JuKvYpufGnuWF%{*S5E~g`duAZ|}cac*vS+7fgHWKWEa^H1Iqs=R5M-^RWb0vF+`BUki5~ru{@-dwYM_ zLVi2Ve^|R&+IMh*yAv{oNVE4nD;^={#fxGdZuxu<1p)k+Vej5A49>FsQ)tWBv+eEu z!%EYh&qwpH@oD?De%ygFn#i`d&rel7%2s$zHqU;xy@kI<9e;agnyql`QxM8)Z~N6! zJg*xFb4=7+4)wY6xw*BRKbSsV@+Su_|9Wedxq;qg^9J3EA pkIkP)gJxyNse4#GK8t-R|6%*JwBvA6to?%rw8r0TMFaEO{~yR+H--QJ delta 19140 zcmai63tUvy)<0)J8BJmE!NXF<9289iO_NN$VB8$Lq*y+vO!0Zq%rH@@)EN?O5Gib1 zlD#m=NE7K|MQTFnMZPjaZ~0lby3}VilU>EMGOu;M|62Q;nKMKE?)mAgv;KRnwbxpE zpSAa#GY7XftoBG)d0aPlKZTEUNtFE0HVxX*DJ@>IX`4Ptaee%lWDKgqt!pbiJd&)Y?JvHc7>!YIHZ7E^E_eZAZmUb(Z}#DLFcXK1;6QcvcLw zOuF`u`jO5D%bk zO4t~Y7&cekCbosesxEv!uKryN4Q~*s5pi{G;prCjiWnL(UackpQ6AB#?h%u%<2$?o zJJ*T~OZQRV;moGQDYoOVW;*_t@mR$gf`6gQTaVX$XZ^85j}>|I7SCF^Wc~vM3uiB$ z^}zf^^XC?b*;n-u|M~7J5f9{)tw`ECrPY9knN#f-pcnjkg|B8?XWwKPL48iSpNW3}(PoF07+7P@lN8R~?s|!z3c>9jiMNK}jTFAtNf^rHr!32!vvu>strG@BaY0u4%w3D=igZarnfdzs{0P59L&+-t(GG2v~DBYqmM z-lm32CWSsGT+t^Z$tRd_s|mN8aGMG5Yr^A9xUv3lv)$C7Z#@`KGU57q!g#6)Pc(Iq zX2ScM@N^SCK*xFfou-B)lR~x$A85jJO!y!Zo@>IdGvRqAe6R`6|4^TQl+t8VL!n7w zhzWO_@Dvm7G2y8uyxfEjHQ^P09Ptk`HB|Z=6yZ6&NukAr-&i*^{=<$U+YFM+ zXJ>vZ@m;BK`D_e4K|mx4D9mplK8pCZ-vA^&LOhi^Un}zu5l@STuZ8&sh^LC@Yh->A z@l@%2wam{Uo)#rvCG&R?-;*Y;ubhF~37}Hvb2EP{@l@h``OJ?ao+_I!m-$h|Q$_P- zGe3-Ys${-&<_8f^70j2){I$eWWi$Ub;;E4M(wW~$JQWaMD)TQAKbUws^IO5Y@{$R# zG4KQl3?W`&egp9-#J64HIY2xW245@l4-roV!Pmn41H@Au@HH~Ohp2X%7t6s;M%tZa9cVf@Z@g5{(^=Y~58CLW z?WDCvCAi7hA)P|LFUybUwOf4F?`q4}yTmX3dJp;>8p`tPraIS-d3P61oh4rvba9rB zX#(RNh}gXI@X^%a^;hfC9kW!+rEPWF64zQR6J8YklWHtmB{}n=SU<4FGJ1R64TE;7 z>WsQCud7upf8Jgvk}rg*Z`3_H^fs$y;`X|eH-2kTU3CXX4^z8N->xY8-RLr-;q~ox zzmACyx8!aYapPR-OLZ0Fu0*(&VUM*u+F82Fk>)HqQt<#Bdh(>EIZHDgY3}+>D}$Qj zzXukh z9E#_e`<)F`<*Dp>ZxUZ+4N<#@=-cM0FN+no4T~r^p=tHGbHtw87FwFNi(V6})Ptg7 z;w`q9kE53cns=;e6+I_SQR~E_N%z}m@={gwj%tCjXHK1O(j?V#@t7Djd6Fu`hRNCL zIPuTP*_QJkh~(R+s$0d{+o!2Fi%)Ogq`o5--!VTXWd-JGbqk*Gr=c?KtH1}AF9 zovxeF4Xq5`)^}x=nlTPdZdwm5&eFXOVx46Nn<3&XYRU%e{pK(YS*D@*a*(1YM3HAt zQByuC?qM)KpHI1+00Cp*bR`%jOWpe5qJViZ`+1cti2Z zJDS!o5ku${twysC6AF>byoxD?Q-I zM?c=92Pv8U&XNy`8|U=5yip})&-qrJAtub7VmVkPUYOfzNqk!r&0C<}BfRq#EtvB* z=0LIICYUxXJ-%Pl+y@xK^!Yk0D2T82nMap07H0C$oH6eKP4iuVpAFNhME(5aL=6CE zX9j2ImvAyX!N|^R7=9bs>Ac{a`i!`|V3PWKc@$U*F&|1q?-w=SShf zuhj3v-HYao+*04p69>ctDQ~*UY|G~X+c2|ATwIhq<`BH%9Aw5Rpn)8|$l2>zicpb)ljlrX` z6xvk&mn`fn7T;?XTNd^dY56s3jrcZy&d95v5i-UVd(4>~1rGuf#CHW#uwg-!a4$_x z90{*Ev!gk))Z}dVi;>x93wxNfijn1OOr%-0@&X|#LPsYrQ zS@#@jSW+b#RwO50LOUmJFek2%ic~|Ek+{3i4-HuKO5dzoA?f!fAQM%5hWyW}mLAd7 zg4OjbrLV-;A#CK@jzyGU-&iZ;uL+j_qDqvzdv^JP+9T24u%b$wC`?WqgA}lP*O=V9 z&2aC9m!*3d504OcKDc+*9`Gg?4LRM#O*?{IjC@JD*aB;GozwCI7YX*Q#k}>-4wnB- zy7(Kpcn8`W)>Mge4<#o)f=JlKE+!Wz8ZMrG$#Bs(s|x2P_Y9e+;w_ZHlT|_P4bk1J z4|b1WUvoI*cLdA#d5JUFhuSO9-mtz(bXlF8cmz?fdsom&)H(+b@Fc^%fiNNmI8oeJ zWD{a_?yS#)-85vQiYY+v{o8}woT$559qcB-zS&rOynhOoA1mD)OYK9^-tbtJ_;^in zVlFymH@{~$pJq3+4L9F?G1$!+uH2XvaDB9jg0TfsQR{rrph!-*o*ei-`b5K1XwVCa zZycJvbGHTgK2!QKlYE(q_J*gb#82+z#A2wkFP{O7S~nJ-&W6c`FW*#}eaXLlD||Eg zF$hU&l1sAc`yjw5ffeEkP-lZbxK1$WFZpGjB`=ATM|xhr1YTh;`rj7N&k&OD=O5wH z^Hrkuk<>&5?y&Pm+4;x0uiFjh=e%Hc-X=z^T@Yh~2@Zhr6}7GtG$@g8tSyO+MiVxp z_v=~qErm^Ln^ydcs{y8hlN^ZfJyae^c|wmblDN+TOXX5uEA<+SZyP( zht5**th-YpK|Wecoeg&wA^zjppw5o*AZH#U$!?Iu*wBQb;UKCmAMYP6ckn8l{4qbkHlrH@+P*&^=QIMs637O{6@ za>R98H0|IQFA48PhsCy4M3$vkK7302sch)g&pstn?1g@*GuIjB%;B%A^c||SY_4TU zg=i~Fuyoxbq96N9v>SGu4Rm{>8~%H@Jt^*cY-+@P$nhskG?kkZM`6 zBbQA<9AZZ#i#3il7)r-7VX(M~b|k$EZlG`}lFe2c(E2avW{jy*+D!AdRPUuw@5L>9 zA(jsEi=p&zqf^Ot!OSwXawpDGmmWF3YjRX&n;mhm>jBv?(wjwa)f?Lu)6G{6p)H%c?tQ(;bYH4iak&Ekl7BE?NHsC)RZ& zUC!{ILegNPPLT$?-b<3+i&geQtdRzW0%?$}KMj&?mj({1Y_rSJj0?;=_HiEd2pGqx zPXjsA4WnL#6Xi?{&InRAND2vRz-%Cb+0f(nvUUleKWm;-P)9}QeJ-j)1v;gyI1@bLff4(K#E)(o1CPWSl zc@LLiKHN(?x^H2Ac`tE1@I38PSTxE)tzsFFyc+MinhOw zw0Js;ZCkp#(sd!HF4QcAh&3W)C=j7!{SivGU4-lzBJ{$Fcl$;j>Laj?C@DA$D-n-N zhKF&bDAna%5$_H<^X{(5vmL)%CcBU>wjAQt~PLktCikZc#tr5Aq5 za}@Qzg0q{){oHU@ea9NKno6gej3A6$T`N!5DwJBp z8euUM2urg5up}E47DdHQA}T8kd0%&J;3=>Y#xVsBgK&+f6S}I2;;N3t(6<}(Jhb_v z^?0CwE7VN{SLi9a(}I{=iBdEW#v~_}0w6tx@&aSed|=I&tQ`8tkR0Vu*Ra~A_i{<^ zC0q7FEFIJfL&%YsIJ-Chq{@k#9lWVQE;t0_q5=wDQKFCVBv^@B7lY4sF{e|<#t4VT zOb=Tr=6v0oH0ceoGG<&=j0IwrtpB2wtc*D(B<57=8Zo=|UOaj)NwODWjhGn<%nQk4 zUSLy2&P%@C#NgPFj3ij{dOSQY2EaJx#R?E!1^$i`xe5?O<$@?Ar~&hZ$RDi&aVpg^ zPM$daZ1=cJ9b~!`JrEmoF+~&+^}z69fh5xano7Toq>_J-J|78#OVta%tkuTRM2 zZbmERGga40)3q9<7O`~DtY`#15}4eQ^%p(Kwo3#%iA0>DJ5@j3QLImg2H5(8T3(AL z|0E2ARSg3){c4HA;cAFs0@Q|!{#Dq)_791xlB__iQCFioWyDuVHDc+Yju;BWC0T!5 zl5H0kdx^O6V90ykwU$Si?jv9jPlIqVrxO}ZqPRG}#2B|5MGxBi;d$II&%Lou4cM%fVf(SmSj3|;8cr7XE-rXXhK%lbQJr-;z9yTz z-6o7KO`;H?28<;UjAa{0yMWUI0aK|*@w5}cK<4NHy4^c{94Dj4AB;*<*91uLiVE^11GoOeI+%1>M>&~~UC_uHIw zzb&2ax1~87XlkIW<7V_4+`}4MBT`>UiCGQTaF-*~F<^NF#$u#;X6(URYQ*waQY>jM zvE!8~2}y{Z?zv(74%W)vY=GoFwn8C+?mol4o&EDB>qpu&kd%kEHRBFX4Z%=A#oIj$SeGx28n4>Vme7Is1eD#QY`M( zV&<+X3C+5DIdG3>Kv7ez-@VUQi{rZzY(ot9#KUlJ3A<<6y-F1A?2adZ@p}%fmkzZ&EFyQu$3I5(1oxP&&eAML4t}H}fucN-zWcg_Jgdwmxm$_Rrr$IG zlG${u5*v08P8nvn`@w^7_bIMVdmU~J9gSojU2KJ=^{G@}!|Id5#im!f$DDphPxfCq zmCMvK83&)Q5s@`9oq_~MKMO%5bvphpLt>Z7#1@eJj*y<5zt@xHwui)~n)tpoA;Ks{ z?cK8c_Mm8m@Eak*Nxv(+=RuLOC%*5&5Mf;TzhgP~L9u2}d<3mFrS2T@{GOOGwB(n% zTPZK5d&h;icZ?g!kMNxv%;Q32{u0R)HMRLO|Mr98{GNotqm9fjTZzm!u_FbzOOCYL z$%~|rw7bd*`N3MIBcY%-3ph(Xx=VgHrZ{Y8t~MGK_R(r;#5|eeKtkBbYP#VACzIf0 zwRDnhiOK2FhR$$(uRWZ0Xo0+`E{@f98%(=-zWBDUfYZlxr|smljk=8saeDOI2o6sJ zGaXR{ku1>UcA{cf&frIF5SJW&o+0nE=Sw$IA>o}{DDHkOad4*5V;J>#(wrt9ETk!? z1JBOv4m3N5^+u0e3SxP74xr2U3$q%Mz}XF`6}{fW1?%vV%H{w})d7lhH>t_(4Y;dw z>@H=kf;uj0Y9V)5qFX&-D28cN40$Y*oDKBNkCWDKIo)_h=NP#(Af@hfe7Z-gVNnA% zQ(5d!t*Lm%@eMhQ3mYM3k+@p|MIO<=uCJOToOQiN&^XeA3i|DZF^>FY5x+nJB**bN z#1$CFOneP`GgfUD1Kv!qjlx9^&lGQR0q(lz+#{yFnd16c)bjRk!r5?>p?-K7MYRetMMo+D9;{ri;>!^UAsT3QT|oC;N<7VIWM2yLE68+u zMiWPAveE3ZIvd8K*~JbmV~d#%Ym@sF0IWeIP_`x)9y9_NdV(HJDH-+Ihu}_6&T6p4 zK5BW3n}32a?ltaE;Q3HgLZ+fj#g8lRz!vO3&ax4Uv8XxAdJg#ITIkV_alPk|6=&Ig z?+l#JrSqag^ums_14=M4CL&C<*7xbY1kDZe z$hW;XgZ%0O5&c$N|Lu62A!FKvl9L%zCzSk{F(sqq%2a1r@@BwyWDWckdA538OY0`R zRir-ASP_QkL|da>>~CD>sz4s;8O`Y>nsyZD!(sR$XeQ`h;*mUhAbuL7nFP9-E-uha zl!t84i=gvB3$S_=QahIT3ZnR;zZbLz?tV0bI&eeiG~u9^K*Mq0t4kPe9TY#IX{kuT zW_pMOT2-NGw0G?Bw5An+euU>_^d!!K=a1E(n?VnN9)3a7J_0?BXSZI^a6DP3dN&V0 zj)((2jNf$7Vssk6=g0)z{1F@kb$qO88wo$DX_eu)by55YCO?3xPc^Ltblzw16ttjC z)942_o6l<+y?vQ^LDL+d;Xi5`eQ})kGco`={1P$%`VnX$=-yw@0ci1MbO1Wwa>17^t$`i(;cFsdHpMrLF-dBIN|FOmEfarSV`T?LqJ_X1WjBklA+(gB$k zV06O@U9=IQF6a{QKBH;f$wp>mD#`NY@SLXglqeQ>nmS1y+HHydz2M`1=r7j}}dP6fpHfDi_gQ4(tHAqxWuwz0oH$?Rjb5 z-@6_7Va)xjLn256e)QvD8(F{#|5wwZC>#uIPZ0FyQs$u{|BR+}lO1N06ZF+=)%TjV zQ)2mqZ3Gr~R@3TqjO4%x6^bO4$StGrrk^!ro(#6 z&0RZ=TN_4gw57^K8$B!Mwq$C=IW;auqZ5t%f|{WS+>ZYqv}OGy4j$>#@l~8Q|0KRT zGOp7_oVG!u177C2F1(ZR;;>yrA5Dm+TVHgLuXJn?8AlU_HDmFo!~Yf>U5_|uS)#+Z z;(JL}u^tsq9365kJr|@yx2dEfNpxU$!bisl@zv2G(e`7KrSYJnRrLKJp>GbQ5C>gi zbTrd=;4u0s7qdV3lUgCReUM;_(c4hz?k5?s?L>Ex9{*E6<`8 zyw}fIH|5mdYJr(>_0G5kUFwlG!iy9`+;^;Mc!ox@eVpQYO)49o7g>nIG$5v(*|YAHlAwbtApIC6 z1g9_Ts=|p2lBW&2h2F`vOIWmhZ0&pvu4X$tR$7GRWQtYy`;@rxWKueLje`ps=t9`- zh6ET>gR3fVTFn@Lm82Dg>k_C-EDeiw3B9#ZuL0O8@Ih6Kw2Z$|!TSQVY}e&mXdH0x zVhFlV$Biptyj~3GQ3}~HE(Bh7AWy3&DxO#w7Oi^8lki`G(3R~{XamR|=THxd!b=z^ zJ-;Kobppf6JV}%xI&NGvyiTF*IqJg_r5N>17gui7@q9;uTaoB$E~#cq}E2R{egr<2^BVn(C6@bkSvlh z$_uYYu#l(YMw#K|2lz7JTm=90$tbl}JoHI_^$Z!h=0{z@C_}urfCBAQNuih03uiW- zPy`2#0V29%Z2VpNsF&j*H66x^Fqc~KGRDwPV~5u2GDgYTp=WWwj@J&7y5013;W+F5 z!1g0By(mz7NalNs*+_0-PAhaIla}W)4TydxAdqf+S2~7ckI>W z(??6+cxQ*x_qoS2^f~(~_{+NbMJ6S&3PYCgiiR zyck#AkHG1#o)|?{>YyHB876sS`FT`t=px?vJk~W-Z_TD}@HlvH4LV-OjYW$0g1~Rr z@m%`Kj$@uA{Ms({lB&-TW8c0;m(O=d!EKnubZll^5l23c#-DnDCwMympYgxy^2Vyo z+eFA)#pEwy)eQce!=F#kXMCkqMrW+%yfuXUE@~ZGpdG0NBb<^3Pp$51O?Nx|pPB5bkf zY7HGP4{*w)v7Im~q+s25SofSR({iKi)yQ^-&QjMsP~z2+C|8S3t+DD*{>-G$q4RY~ zWAh3{N(yFt6xm2~JjTD}*_II9NIDhW)g88#XUN6S|-(I1fepHCl zbASJj=bS%%Tzv5LzJN}eSpQ9f7}vF%nDgz=0eD1Rj`uND6m^ahJ-)kC7kj2t7~UD{ zdp4z;u^}}mHlTCP4v99lj|N>Oww+DsRAG}|SBOu}j*K?8p9U=#eb1#t8=F*vwu;&3 zTxz!X@?46@ZnFt{TWU9Bfi&o!OU0bFA)Spir@2OKZ5!E1p8-m(__S@LP2X7&+| z(f52xG`3lM7}P3epHJ!R-#r`{)(BV`K3>}8#C+5HUZd~HOrap(dX(7XS6o%=+;Ezq}~f|GZ=!9nh+OL2~Egdz$=j)o3T4el|pH-P#xL! z#-V|Se|_wgK(uVgs1BRexhtt(4jg^$6Ab?S-&}FZG)*IWe$KXK(bzpymZj>*)Vubv zRp%}wl4ze)u~4dsc7l-Qu9Gu$rFFsDK(Be8z19Tt5#^8&iHv+4l>0od>H1jyR3p85RsPg%^3^0x{Rt>BwjH8XbIwA| zU2B)rTsB}1$b&=h?@f8|;u2OZ2d!G$+giz@qs=;@`$Vfl}y*KEJ<_LcHEWz3V} ze%L6!KXCRWFL!q@wH2DQ}+6n3jk2UDrqrg^BQzW$HsURy-l`Yr_7C=E^$eR^o z%_g{)$OFfTHYb+{L*n5B^u-{G2g@S1gNPQ{DiH{GE4+CD{?x?F0 ze{1zCB28YoD|*%Vd=X7>3As6cCy$7`U2AQ3s3+(q%|MN?Q3R)>JpkafQRah3Dt;-nG4X z*uAhGa)g~WdEB~-M%5hHQ#C3DX_V!Np*Bpt1r71Lmlk$E6VV;~Y6#w^^mweUW;hyT=>HM5z6-=FeDxz|d zwJ010F|CHPG8?32zsfxZz^GVlk#u1dB^Q-y`?TbK6eojNeqv2pkd|zl($WVl(RuF_ ze66@Qaz80qU&%zJ+CC|{Uu$%6{KSe*Ny$CxwE6(UW8gi7;63ENFZ_h`6&6iM)}l}t z#Im_)I+j%4(Ex*BNjh}EP#AbjvkFZNon-Do=2pEq>dL|K*}D64KMIUN)W&h0o|Lu6 z)>~YqL+Nn6jkB@aQW__Nn5UI!~>4O>^k?<3k zuSm?fS9fKoS~QOYKevP$Xw)@XQ7s%-z)i#jd~8KWs>BlrvVf;G(#`pFlM7$VK2z2q zrVK*FLJbO2?nHbTgqgBLcwYp$3H?cOrfa!u(hg$!~+g zkA7qD`LTrfF$mEKH7NYJ6Y*mZ=Essqej62j`16O{uR&3R9AHWC1_^53YXmfV;HTUF E0pO4hCIA2c literal 5211 zcmbtY-ES0C6u&#Wi)~@HrJxY3c0nE#K?F4+kTrKLgFB1eK1h7vsbR4x3o%Bc4-&%G zZtN)C62+<|e$7;3rI9o-F~&qwKkyTcZ~9=2Mo@Y3A23hDbWBtiaA>J17k(Zz8Pj+1V`KiN*5+a)w^50J{eJqE>LYK%4SfxtoNPfRv zlqGA#^qh&hH#=1yt2z_aZCl5WTPON|k_UxYY^aZX65NcRI-gJgnv5 zfopoT+swJDD+R-N!nXR?0E>?8J=gG>mqkTMcN?g3s#a(ve9D3a7h*`qtoxY z$Jd;@U?kB$nWE5|Mmt5sbN8v)npe$@)t;|U09J0Nu2tVAThUHqSobBhT-Q8d1&DpUL6m;Iy>8X%_^p;5nJX{AI``ZTYF@?^rSPHtAgyy z^(=3hvdiGn{-B-5jU3r3%7N7MS$U?lzfGE50qtIEZMRw3r6*wdkEPe`yyw={@>y-n zlj2^e1$nUpX5lLoU!(X2#kVNFL-9R|ODKLo@goS4l!M@=A&USS=?38afMo$-QhtdR zB-8SwR&c_QpMgq#4kFR4Nysm#({3;~6l<`#OM}gzs%dD+lOQir*DcuzmOw#i$Qw0h zjRu65$OFd-*NNi6kaz^3su{N8!G;u8Sm!+;mKKkB+B z*jj^zNK;hqicu4MzK8~dgxXxZ9R~xxFhKCLkZ8M8wN+g27G|U+kXXD!5$S>@3Tq{A zm-1b(P+=utQ!8<~h-B~9xObKEy30hNZ6zuSHp=d_JkZ)-d1n^1+#P}OURa0~ZCurF6 z$3u_<9z}5Q5B9yv4p5Lw(&^}L$d9jvfK!@D*SP1p9)8BPCT;y`V@tQO zM_#~D61|EjyQYC>5G#(WJ`g8psyG`qs5oYeKsY=cs5z>CmXq{PnpW9)9;JubaZ^RT zGj4Wq;shxzmz|a#oRPGIXu<=4lgGfnD&T#=KR18xfhlM*pc5U1f0CZViORycbDcg5 zDezhF4`%e4F+;C#hK00a0OZSN%Tx)QXeFpM+gBs^qj6ynD^9HW3agQQ)6fP$&n~<# z;5-fJ{tZN5P3jTn2=@N!wC)CKwKckb_}FzltPdPJXVlyHlUka`X(1JWgBA3AVMti zKx4|Ch!2A(Q`QLeX=1t&9zsee{cR}V#~R|tAVMedK;y@qh#!L}Kh_Wn5Ka6xAv4k> z{9`uY#~R|tAVMedK;y@qh#!L}Ki0J5w^`$dujcXquq8^Y3Gc^YOWl;gvKRhV{2voH BvabLD diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/alu.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/alu.vdb index 6e25d8ad923dbaf919cc9c0f973921ab906f7e60..dbb642b67f62c3b28f647bbbc6e65ef61d0a13ff 100644 GIT binary patch literal 11221 zcmd5?Yit}>6`t9(6Wh%ujsr9lLALaj(g#EW6tx(xaklX~^}3KyiT=UDA&T7!AtX@M zf-;URvL)V?=#$V&WY%fwCJGAw5E3Y;zkmXTf`kM@Ab|wGD370&%sFS~yRW&swrdG> zq@BIzo^#Ln?mgdq%q_Lbu-#Zk2ysek_X)gP+q=;~0k zbG+7=Z_JGysZXj#?L>L?O!D_v_N%9_eC>7hP!wHT%P%!YjTg^e+IjH$;mXU+cBML+ zHWxapt+bLZbW*8i)%APcb5A90uAX07NtQdUZ?@mDBW*4>SJDdycC0kN(dz80Q03An zRiu^9(oL1sq}f>=sa#%abrzB{ol0}Lb+&S|AZ5HNj-spmr8rXe5}HzZaU~s?oE@8- z9-BXT;DzH;hyVD6r;TdcOl|%|eg5*_`hNe=BhUSaJ9_0$nYyoDuh({7x}2tJqIPJe zp(f`~KJ!xg_?{QPS1PlAG3A?8EOk>9JnuTYveZdN7nYuAof##NU;Xm@#O43-u%IL$~KA(N4}1tQQS^*d9~d?b!mL;(JQC2sD0ghyygCS z!?PK{UQ-$FD5?+(qigTX)6LoC52f9%?z?|>=D6H^1?RNy+_`hlkb+uW18w%lT1t}&(>v-1;F_!bww9k$;O zk7<0su_#9$&SKsUi+21zb=pFuEj|E?R{Y+u#T~G?7=JWuaVIPm;@x43yK;+xLt~92 zpP!mL%!TKv@SI~`iatgQi26l^M(>w=`xW0_b--51GF!?*ZA| zZHcasSQ=RlEn38#BAPNF4Faiiz1hTx_8oluALewu& z(Uhac*y*A*3V925mA32o%)c~yP?L|>`d+9 zvHR-druY;Y{fnm$PcQWb-`Me3?zoTVyWFgKF0R?2r2az|{Gz0YMEo-Fv$Hnok~)+hi%b1TSkJ8sK%Ks{yjsCUqdhx^ zu56d;b7V8=d4$|0A3GEs60RM|8^yv_NJEQh&elb%s1}Jp{iP~#vm}{-Ir$KjkoCgD zbCG&LyQ~i63Oq&7YDiBJ3W~|Hm!F-!+DCy=4#lLSr8u-*x_RsWjc;=eIK{+BJt z+;JyfcVVkY^aT9>_4ExMPF~=Up*89+U+P&Jh*KG*~ zR*zXtdW@vwS&xLI+fnIsNv8hn<0p`EsnzxIdrLtOe2@6oNUmm+^xfnnKVyhGq&pfY|{%vphcz;zGsYn5&RoA+azbV3m#oy=itxiGDSWOT_Dji3yxUDoGv$Ny1<-# zNPNg4xrjFf7kb%7AXrYVVsHO?3XA_)5Em}FhsezH8XD& zY0K!^VgSGQ>4JKcjI-k)x@lO=x~i)0;9pM8^Q7$R*otozw+=;5TpP*?5z*lXu_EKg zQGv=&N>#;EodEE80zIP&Cx<>++LmqLK`Kz?K3nnGmmWH;i2_LuyfQtqvu{?+*>6oECVha`tCJ?p`OeAbWX)We)R%&CVgsfS=vueW@y(y8YyKTADy7(~dSL4+=l z=Rj34^=R+M3J)TzL#c<(_tYCTQjYJ9rM_FN^-%k%|1^O$sfQ#dBcz@VNzSa_ty2$k z?l7kwvZNk@Nxgm=f{NP7B@fS8<}H=uB{YVx4eV@zDtEKvl@sj=gA*$}hOiE09y;IC z+X=Ow`J)8ZWF8W4^?ACjVm|5j=*+{MJItAf>xGUM)16 zf^I4qoh+TC7;-2v&;?eFKvi)eL3`?p6Dv$7Scm8Yo$skPYAhi5-q=FYE!KLdeL5W@ zu!c^M`1qjdq>DM7_G&u8oIA{Pg6s;3r3ugpjmU{y8J(*1?_v)(MLGrD?9Aw7=_JLF zLy3Vdz@0!K1D~)IOa)LtqV^An}nz(@7U| zI^Ce@1as~%(+P49A+gK?+=2)4D3`ZXK`n`bV15#iGY|hH0F`#bzq(eG<|y@+t1MZ1y{-%>h#3{eQS0hR}WvzN z$M?pF-!0a9sD0u;NMJMKU!b%@qQB#_9|KT#vj421l3!gQ zY^Pv+ng6Vz$?8eNf7O*p8dNt>hi;(~Cx)@edpjQ|NpL_TI3Nsf=3jL*5`++n2%!-a zbd!|PEERmWGBVM`*&omvA2fmw!lv+{k>G<+#0O0f-)$B?dD}_jgGTT{*c3iA5_}N0 z=P*o$p$X#KZ{d>{v5q0X&on^^syy_imNrDmra}k_ zRJB66_F801yerYtLQo}g*KKMN6_vLNi7FLOK!E~%K%%NzseRz7g=Zvl&bjxSpSxqn zn@ET&`Fg%NXU_TNoHH}`?r!DreZ{dTx){-=KX`Iqv9$k%@19_!qG~nLAHDwOul0QN z>Ugwcrq*aRPEQ}H&*?_(WO40Wd}eR|__qD8E$K(2=<0fQ>C-g0eg5)}LpM*Bf6?ic zt5Zp5vA@<$%E@9sk!}{MD`DztKuZ|W}r0*j(g<^X(Da|#f z=jNwdrw+bw;^>3#eDlXvv~8i*I$3XB`Eca#k3RmB-|$3Vc{df0)a&)yj>}h)M9(p~ECr|Bu>6e8f+ZR>7InBaGf&t4r&#x}`Z?dx7x9PJK zdt^5%=-;ui-_uR`5~cqjgYG5uPi1sT=L-(>LF`CmWy?*hXjhh7@HrUCj5HB6Uf0*j zy=ESJd>(+p!vOXL5aIz@VATh@B8jc=!9dIB(>@v0SNJd%-uBHb|iTf22iyO zu)4C$015*Gm;nIRbDDQoV)k&;h(^ULhO$?MLn~S*m$#7NDa0T>7u5g%4p%7X14R7( zjCe8W^!d-(5A;7sroZIOZoDzwT&SIyexN>Mo6nNc$Gm)adFhXMxln`(`rq7=d%C7f zhzhcY0wNWp%UdhD-L2ILx6-krB)ci?sFZ}CJ98oUFXloI8fJbn4wId1F0^LrXY4^Y z&>>+^y6IZ@z5{mhBY>V6xIogoKs&7*)- zPxWLsrf45>`io;b$M{blqxp-4!#NAUs;j!Pm1h>vKWL$rvjD6vsY`MWWERjrXyJjJ z1z@$P7Slr{odx=R3y;zK9?V$)-XMAtnoh{8v)LdeWdJnZPO>s+J5AlDfQ=Tal5~zd z)X9eA7T7FRE^?YVol8d72V8p?HEwE;oT3WbnNzMbJxNa`o+DdC&u}gmSnfHyQTwJG z#eSni_E#LrJaNz8#^6SonC0@no9V|KPTo0?AwJ;w($7;Ml-{fa@?{GX#jz?azUr8M zgc7FolaBRGA{jesHs_LVPh`?1nR+kJpSkm;*RIV!w{U~}BUwUqvdH^Sx`cqJgtIlo z)r@9V&7U_*2R{DTAZykw?(7erg(B=r^M^wPCCxkT zs#)kt838!8<1`1#Xw0GEIU0C&9_Yv(rZ=>3Q@hi9dO7K>O?6k@c2w|#w4s6VX8mxz zHDA*UEvHFG#)czG_^`_~^b@3G3=Im{OeZ)4C6yrBfTyq6X^xF2G#;+d@h$pfz} zkM!9$ZD!SWn^`DOXQI4NfQ2=H&CgpnoQ=rDD>f?yGE5#gG=26`|G6%Y{$yeo# zEeka)4Cf7A@c)|chZ5?e9A^HLg$+cjIY~FA^cl$u^`r?k)R`!U8dyRNU_)*8ej_rW zwtGKhm^^SO)Q|<@8t4jz8Wx80h7jZb)ctX{a+%E^1&O#Ev8ob_^mTa!J^ARLR?nT{C7inCu13 zl+&}%kx4*-L+OJo5WPT`7tkzyut2jJ!kd#hX>M(ye4U)w^a1hqZ_;O)S^D;v^g*48 za{7RMz;TBpkUogWh+Jv9<+iy|yGArT$`lf!(sgh9d(V2~js!h&uRPbyjAcLy^QiAulQG5jDAegH%9!yw@YFvt&* zAiw<%KlvWt@PkD70Sv_tgM=Tz_Kb$jFeE{K2ONI#6N5*PUr2->z)<`!NcaH^@`EJE z?@ouG{Q5!o#Z;M)jF}(z*jD;`inK!RaxBPCCyWJ1>^R$UE!^!`kl$Sx3y|1xw&hy5 Y$Faaa+R(-VBzBx_Wfn-iLO&e)FVo_p>i_@% diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/instructionmemory.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/instructionmemory.vdb index e5ea2c5f57cd3f0ddfbf1495ce60db1a0a6743d5..bcda62590f38d24c4398fea361e985d7225aba6f 100644 GIT binary patch delta 1242 zcmbtU&2G~`5cb9)?%J+5S;qei3{orpo$A;1Q)JlypFd{Q-nlq*`68C%+B}C>^`|PZae&bU8Rb$ zt>6`We^gf&J{2C0ozHm{zdPUZH*%y4bq$2NtNFy~q9=*nN$^F-piM=YbfJH$+8zWS z(VzscVoU6RPc3+w(fn{Uy`oVB)z)KF9T0oAQ@jIj$WcP$BnA$MV7Qh2twigj#R z>-Zk>2HZ6lV9#`5n`uxiX|SbNWML;%p~*~mkFUktFXe)kO(@wa#?!$ z%x4#+*Zi`WHiEHf7sE^~sd4#mHSQt*UP}L5o)K0T!3wSCg>Z+~tR_YrY1kXOCfYQ| zBU<;3xP_}`72B$rh&6%hhY{S<9bf1(48#TbtR=A_12OuDy7>NTdK*4#{u8<&SEQBF zQ6l6IA&9cFv^4)l3oO%H0&R9s|7V6OvYE3p1iy;O)Vq)nyKlJgL)W3LYoM8YD%V62 z$L@@zJR_a02uI3gI6olemNgp+SIaHZ+qqrd GC4T@qBX-;X delta 796 zcmcIi&ubGw7@bK{H#@tTne1k$*+f#Cv|_rLdkgL8O+0#*QapI^5D+gyv87k_(0368 zD`NkEPq^;j>woR;FU6>!=n>TO2@6FfiJdI6ozmaztd&TIp zUmi8`#T)M*_RNPup4OeC!cvaMXgEm2U1yq`n3z1FbGs+#(i&s^7|Rn;?TLLy0JF~% zBq7kK+GpwcimKtBR=DK~+|eBpJt*C!c|Azxfxe7xr2r8wQnaE%d@Bj`0|#AwA-(gp zE)lhBBQ9q1NrSC)7cy~+dhD`Jw{ u*Tn2(y_NLZS`uTy%+^m)ZmFNoI2mEpMDmo7LEENv9y&BF-r4zScKL4y)5vZB diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/pipeline.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/pipeline.vdb index 5dd2bf494333c5a5f5c78d9da1da7e0365c98799..7438a48e056e38a5fdaf5396c9dd8b9ace9281b0 100644 GIT binary patch literal 32337 zcmb_l3$&d@bw205lA9nT;n^$@#1@f<3Me3W+qouJbA!3D)oMl1o7@nS%fs5WbhTRR zNpfjIBsYx_Wv*+7;_RReMKQkxGt~{V}(; zad=VV*cBsVlVh8QuNYnJ#zrD*gU>z|E5(Fn|6P6-=6*W+jskWKE8j`=;n1Jlf!E!#;;g= z%dSnMYepwGUGvDU$ka~Kz9hh0TdSZC>+TqDf7rbx7nwLI)&ASt8V0>h9_2i~o-aqrU-4oiXiQfp&3+izJtZjI$V)&G=TU13+fZU)&*``kM+*e0;g zSne)MnpIBI?PsCOO#EakZH)k#=VWA+Xk{FFnhCYIoY&R>ZDfPk-HDm9r=GH!9dO7= z0f$K9hy>~qp=R+`=A0No@xodZwW=s;ZL0G$p0X;RVcfF}3O(VYvN`{N>ABpEnu4=~ zf}ZswJx9>^rtNSDv?Fl%0NeW6LCo5DOYey%x3~548k9OmQU_*cdoQ}+!nTMAQs)M# zzSYCyV|};pIlQl4?z|w^vv#!SuG{Km&R31oQ`>r{r;c~;sl;HY(Sn3t>YLm=z8cBx z6}jHV^Gv{Of6yEJuzk4?Bl!rDN059J$;T{dxZla#)^HDj5ehChjy#3nq@rIok22uI z8Qd~;)2{79);rUcx31x!L0*IgqKF#AN#)X2u0bA#2AU8xh?B~tt6YP84-K?GY7i%t zOINuDwG1@SdDJjy_K8EMh*&Z)KDlc2+B6Ur8Emqqo5(f1JtG#d4b9ijg~M}BU^J*< zp)uiQ#RTx7UEM2D4EV$$J`n|+A|u16LPQ~=#3xQWKJ{{EVHtb)qOswL@sVqXUoyHP zUG6o==%pM=-G^{x9s1D=Oru%T7_d-x>qq!?-4+&sN&>cEzRvj=9Tr+m(-Ddz+$VpUg$kX{ZBF9=HdCRVQ=MzSXZ zgmEi$){BLxep(4qmjtPWFmZCz+7VskX7=>NuKjuQO{3R+5ia@?k}o5JeOcBu+!w$I zl86yOMvSmzjA3yYBO;2BC^E7bQ6a{Nh%!dRF|hnlgJM{&0zMiQd#O07Z>Fofm&%RM z0FR_zAPy}QQ5aNYWEQFrEfjIO?1;TooWO{^H1+~S^kv3HME8u0^^EMx0>?)(g7if} zWbxFtp{eadJ8o(nm{~k^{Ls|NytY131m++Eb0`$-8+L0)`u4cB)ewq-`OA`90lB>$ z<$gtSs~~r4M>!Yd`giXdnx5+4ecI6U37KVCmlzI`oPEnXt;UnGL>yWuqA;k)$ShPLS}3BlP@Gx|HD~}I`)0%^4)KX7 z;1n4dJ{2N95hXrxYVobKTtx_njfhVi;uBH8DKavADnxuDN_^tf;v32EVMI$)tvIe_ z;Uc1dQ)FcLREYRQl=#GH#}}ts%%k>4KMu~!?l>?rb<>X7cx5+>&Rl#re?Ihc=;}~t zVA$dIZeS0-I^5u0A=wpPc5fHimq~Vmmwl*^3%=N5_Ih-|*z{Y9!U? zz&O*}D-*gP+!S|sj(xeLy56Y%YLMy~Ue~kdLu@m>BZ>3zuSsUbx}LqIjBy+8tN}|0 z-P!i#o{i)jBWyQnIE+XUMF18V zSwyN3BT_^!EU`~|L=(s78wbztnllwBz(XoL;Xqfq48t{3MWap)rv zg-eQz%ttCjABiY^Bu=f5G`IjCMujx*h(mlL3OGeZhEIivPeh4NoOXP1-oYc@tBi~J z+aAM4R@-CPNIp2H7Zwu3Q`6KoJT+C``jvuxE1+-hT>AP}LG0E}Vy_P97HqW%(JEfB z?4}(TcB)#!gIl+^rl)qA_~0^JEuX7E|Cw80)R{}`1Ti_kCcs#HXom@ghulM_nD`tI zxmPCduI=`a`&!A(@sN9!f4QR`38HO^*1)AwCfWoFXH`r$WRhqQoaoEj|S; z@Ub-_K5>XoL;(d*{+;Hyb8)Yn>Qo`Aq>`@4@Y@-b+tjVtzERHILn!gJ84OT$16+ z9(gAvv9-CZUgFvyv2gR)up670TxAz~aB*wB{B_be)4ZS}5g%u-m&A1Q>Av%aO#xBj z21)E{o>njMMoHY;ytrQCHzl#9SzV5fwY(`vEL=G}cE$QNn_r5HJM7}U6^%XiS{xsD zj|HsF*zZT&9z=2&)90OhPxMxpl7+Yq#6kQfqLVJE)M&H zh$8%p40_zZmR2G52N7j|5C_(T+Nii`}O3K5@(5}!D=_|zGIkAV`$V{wR2L;Xt_lt808xWDsa(3sHK;2?1A9-@AWkZmu5u0P>d?Th z7d42J%B8DZgN6cVK)-buP}wx%q;lyhXsFB_Z#F+;=2&cF^U(I$16yojSbXs0CAePN zX?jqhMHpi@jZWC;Z@WqrB>-D+Q)~Oq>7f~XFMs;b z1>Ok1qW>wA;j({4c$XL6dD?u0w*<{g=OcV?5WaX0;Xz;?+I{oE-^bN*T%DBTehj!r z%`3khj67z|=o)jP8(nFe?u@t-ZUpg&3AWFb3%KZM+jiJ+b1n0}RdRDY0lzKC&HZHi zyFs%1lkMB3Z_X#%-;?B=Pqyy}l5;%SnpDF*hUsj9`#O5Z6G*;+L~&pkKGdK&PYn!wG&JH9 zhxkMkaEgo!p9&G5h!UST9$gzg1s3qJ4I(~qh)+ZTr^v|gsSxprDDjC?i%;PUd<+lb zYgx;SlSa^Vm5;^>b!cF0aXcS14dT#35rsiTMrNT3(LxcWh2qp&s162v4Eu;r9O4sE zz$r2^d@4kIB1(MX)Z$aO20rx2^pqtI@rfwl6d4&l6(T+nB|dT5@m1!^ZN|l1+20zn zZDY10<>noyva0syED2(qvXLFzOfaUW&7A3xOrrf;sdHIJol~LmfejPX`Ot&ZnKmgW zoi_&2g;=|UFfR6Vgdp)_u=fm0i6~;kVGy^^S!~gYvEj8NSD?_My|}!iwg{%X?E%=L zYbM4`9Ci82J5iH0eEU@AckAv5#pc>-cT&oe_AjO@1FkKhqjC4n2#C;@B$=dzOfzBNZ80&r%`wED^wjPg)Wc$LAX-UlLV|0UvFQ z_{1ST5e1wgBg3ac#3!P}Cr&Ls#R%}x{c&y(C(Q`yDxVt^LD0aqiyFj9<ZiydJ)DjK%d@w?3j3{z6Vu{*fj}?cpB%%nN zA|s0>6=E!j0499Wh$4>9H%>mHsDXixhDLni5TA$wPLYw}Qz7CLQQ{M)7M}tO_}B&! zpE$%PqJUFmWcXBw_(YWW#Hq!na0WhxM;vX$Nn=d9%10Z8Iy5l0;@ze=v`|E0P?3>Y zs6w<*L}{TowHB&_0UyIY;uDAXL=pE$Mn)UAOJJu;2f;t-#R0#1>U z;Zq^v6H($5ryXBqw7$c*7_FZ+yJP#V*#pzNwzPI^Z$)aUQA-Sc`z_rfzP)%~DBN$W z{=0YepK$!p?&{|5xOpu~+7Z4E?o-VptKEveTW)uI z>utF!lUoJ34l5n|gIvG8+MC|efAWPFJ-u9MFd18;M@TGk*C6^fB6$OnO-ME)nM86e zlIxIMkK_hR{N$m)umyiGsH~rdl;m|=sH#;S$3h7*(nS=3U1VgDu0o7-5rBwKnoGp- z`NqlT5;YV~+O*jnkxGV0MHIk_j0~v?5vhn0sW`Pr6)G4r*d!63IK(HSfKy~-_*97a zM3nf%sl}%d1wKYg#3v5%i74O{85uqmB0do%K5=UC?YCTvWx$6(_G50#?sxVh&;HU} zm#)IB7e~djP5$m6Z#SZ&6Sfj--8&6H=@DIGxWC*nibmNoUg3$+aWn>v^^=yELXd zFOzb6899tbmBd-%^&>hId#Xtv2|6hzB0K@ zh?k#zG%%m-HwD!6P_9WtuRlM$%^y{T9&sv-T_M~wOsCF=bDbjMkxCxoh0cQWxrpk+ zxdst9`Mh@2AHYR<<+sJl7RJjTL^L1Cb&2q&t#Rbq9|?xO$V*|mn_76D*&!M}9&|0h zX-}J}@qL2L)t1dT5Z@h4aiF|T$3^o4q&jYiHvXd=w}|)-YuF#b1a9#7Qs*mT4nnuIRN@Py)+`wm-{XG!VDKMwi^X1HYKzaFtndQn{W zQ1YVks^=Ng*+!jygXjJvM=QcV+T&28KM^h-|86t@-6bH=^5dB$>NQ7;~ zF+@oGsW%`JkBCGRh{T|eM6mT>bv)fFP5{)%ee~x!A`yClf-|B1q+81{iJJy~%>Zr> zdn|nZ7r8zWG3t3L4Y~?Wiu%9IHHfgc_c%|=)Spa)7I7`gY~M5zch!IuXY2n}u1AEu zv4)23`gp&j=Dab>k`rLxA8LN zIa3Es;#y!BCM53l)WZX(?9YD_v>m%?!hT6+Waa1t`*kEA46omO|CY3S0*&Q6h4SL* zs&vk3R;P5(s(%}5A~F#=XXTGu`O`ayUGXczZLalwJW8TRiRcA7`=t04$LAXdjT3s* z-&tnt<01yasV@KJ&+P!2_(Ue6&A}d-nCw|1N@U_hWN4y*>@zts5d+~2ng8-9c7RNL zA`@W|ZTE#p+)isVk4#J=6Hy`)CnAG}fb6q5G7)xS?LL1(r)7AQ+s9i|ByJbNhCS5PJt?pMB$YY-8~8lI#9ih`5I znlI)WMBtR%@H&5v2IZ9>k6nc07jB&W5&h#!xgHTcc9L$zI)B~;dX%pN7C2_%)>Fq> zOmVOC)u3tMfS;rJ73XMi-9pYTbdd6@$1&2;3~k;A&adS-MOcK{{KGk#8~TAY(OD6Jgy_vm&?2L!Wpx9)xvY&-I9?%w^or z4o%_~PbIbkh-3DNSUJ(iZn4=P58dqZP3Tf?zNHUa``nkJmLKF=L^O9b zclphl(4t&w(Oy6Mc3+NKewb?!(Y&{LuivlwlEkDY&h-hwUZt*#+}z9Uw{b$JWU8A8{dPk4HR|P#Y)1J{gZ6=gevIc= z9OK1xD^sj1uYJp5+JC6cZ-|he<>*ClfM?=2!~u22D@U83=UPN?fM?>jtwD=;8ryA` z=vF_<+eq=n=+l4B^@*sA&fI7QjXAew%=wpGlZZGXKWQ~`yeT+oME=)YgNVwA%q?NS zl5w$rh`Wf~;svIF_d@@cYZ6fzleu{dnldglaqp0OTGaIKxh4^9qcS&IL08VVl@o|z z0p%EK<3316+J6L{3#>wJuCcc!_IdP?@G9N z1!%>q>}}#ECwE-5|G#o=BHDHZZSxyWpi>gwkA*k?JJ%+HyM`wGrV!{*zP4xiU0Uwg zi0P?Zi->rS%B>;LQ*hEfDjrVkpN~ipF;=-X1R4rXiq&SWL4>v;#r;UD{U#CUl1%&7 zu(VIiEun?nbHq653v~?K??)tl#Suwd_ofsj%4^&9k?jG|1_VS(e{O?_Y((Ow1Yj4> z_p_q64di-6WMdLH4?vH2`98O_<;^XPExaJtD55edals!t#SNV;e1$#-D;MUvM8vk{ z!aVd8oYdBXxdsuHafyrb&?2sRF@H$(qtc>WkBG{s#6@)I5!bwEdfJ}R7Uz0Iz;ON! zmw)%cdYXGt7-?4ojXj%J^?dZ!Mi_^b>uyRBro6Z~U0tdsrA1G8 z|7AH=5n-vC3)X)15>MOWa+(lPyj>H6Pue0*H^}&;w-e%=;u)2i3J{O#Mo2 zE5ii8)x0^X)sz;^v3BkkeA{)^%%8Qo`op3+me&2MajvOj%&*D4F2XOn+x`8pUxJ0E z$g6u-Cno(QA}-3?(!5{2ZqrlVv#4lAPgt_Ib$h@3ooneZ&Wz<&iRiOea4VSj0T+Z4E#=oe*MhDqEmYew8~fc*#3B36# ztBT(2Zij>-ipL>GuC!$=(3-{u1GybBTq7l@JzM0a!n41cu@ z@8w%mz6|8MP}(dmZ5DA8P10mkuEbDvuLW^&r_=3L;@F>9zWOgay5}pW?YV=3&f=hL>!e1RERDRQMy2!Iv0rNU63fdityzE zaXY#IHs2$gn_Rwhtt@Y@kU5yjVv`lUq&zATaa3MXA$mzf=_PUMyd<9YQlj7`QSy@L z>OEK6J>7lDQf$4VamwrLCEn9Xtg0Wb*S2guo`qxt$<;`H3(2fi@r!m>%o}5)TN$mDg>ogi z(c@l_3Hqlusj>H3`Nkf1l1ctjsG>@sGI5GmG49DFhG#kxS+s3-W@Z+jZP_Isn)-Xr zInMrro2gzaa>j|4pwhEcDe4i?r5=ea+BTEwiI<_CXRDrL>4Im4I=l-+)$d;L-aaRk zXasMImbc3lo#1T=`l>{kxAR438h)+}hZp8Sz&{d$e=4uBQaeZ_bAEQ&xgE|E9MmJC zOFa^?c9@Or9WO&YXQy_E4Ss%Jk%%r8No2ka9xHlIUXh3{6-mV0HS0}61nV+bbZ)W; zo$I-w4&U6Ox~($%__{EZQ+$c;zS+t^n3|K zgUWRAokZpr4XO959EUU!^Qvb)qs+1Y9~H&1B@4iEn2Av*BmNf_Db(hSx{E4NC#rCp zja`^IE^do8(cM;pLZnI5hqtI=fsw&!g*8tWuv4oh=EyzD&IT`H)k zNkrG0Bs9PH&f@Ncc~v61RwW@+KQdv zU7lAZqH9$WLQ}=6;$^7n%$llRlvgF9YgH0LH}Z9H91oYFs%NIEFpdv}T7003nrpq- z_b(U9Hp0Dv=uT_

IjdS1GE#Z;8u6MMPI8NC-_Eoe(dB6XI zRCN1J;9#ZL-bzuWmEv%`j6}=8h`@keSvF21pF}b%v&+KZI7X-(T`OW<^;qoigTY9b zp`PW-!(F|<+a9fWR#dxZck03B6>aVn-RV$8aI?hxO^~PzTy`05f`}6VCTY4p5^%kU zj_Y#12@o<8tr+I6tUTP{Ouqf|Pdbr6MIzw)%HnovV~Ma~Ig|`fEv%Wk;+3+t##P)-|^D1hUKa`9RWicqHI(5nUWEk)w6E%F*E>=HYOOR)aNlwRO?oFhEpcK*MGx{+h)z cabU>Hq)$ literal 30143 zcmb_lX^>q|W#g@4ys*i}#tsbWi9A>{Ml+-WRN}CVG_n|t4fz3C zfKbdxLo_y?Q50cf8*DT)#+w2N*&#M0MA<6|Nx*Eztd+1Q?5WCL&iTIXue2vz@cTS(~zV~+bc;n6I_6#%{hZ=UdufOHop0(e6{8x5@YBtV0ui<|1@lSlz zjW>?0Yn-xWcx-xX_t5ntqi$??*V>Ws;jxh&!#hSFee&}^HM{d+cSECb?5S|MH<*Ue zm)x{JNF6=2b7cI`lA9XYI=Opd>d@5I$*KL1-E-g&{@rueyFYelYGn8J;pw4mlM~mE z-@Y(4vTbB~>c&SGrbn)um^^yOGKZaIOdXmWe(2Edp^?em2M_HUo|xP^G&Xr?WZT3` z4qZUZK<=82#*v;@v*BK7kXk)^SC-a}P7aNZ4^2;Pe(%n0*L~^6cO_Q;#PIa4k?F(V z=zZdbn}6pIVe$vQ;@Q0;BO}A7Jal+z$!#6Jc4EwpPEXx_|I%Aed;bSpUao~&SO7`= zE%}W`zqzq-?CAj|K;fUE&9i%7IyteENjn2jdhfjR&fAS)rH;wm*bcfm+Gw;|@c*$3 zRoBYWa?cf;FL!%-;GMTy3fxyWs#5RRH{0`$eH#j?M$;|#S-BNU8ZEcYia*u&l-*r# zcRg+i*-U%g+cOJI;2sovpC!#Ir|Ax{&`+EAnO54`3o_5i$SN_Dao8wKsKw>Hwzg^` z8^rF;$doNTq>#h z;0aQf1*zWAp^35HyAB+=r!9Pf+_QpQYkZ{jzB}t>o~;@e=l1q2&YkAoT?yBq$%2Gl z>Yd&_F^c4_id;|Qc_v`CKjIDki2bwvCt!p@FkQbqWD53^&Qn_@OYmkSbfhI%^;-qrvF4rL6 zLj&!P8pKKE(p|1WEdvd79yJV@ed5q5BGyk%Om7{zDUF#$2AizuCUXsM%ZN2>L-X}> z;qaUj7!7JzYfN}qF#&vNSNC!h13qzxPecKy$jI=i5K)LI@rl!pPrck(SjHZ{Zft0B zV)&+^myB#lw+9U}dMSrecNBNlp^t4gjb=@w-$LE(80OE>+q0N=Uol6=L&?D4Sm#1IvpV6rOSw@X@H)0mVt(F5TrFP;P_0wZ?77~lxjYmAFvZ4HmLhVRJ&Ck3fJl2PQOxxIsP`v&K4 znORvnY3{Vaxifih;a&vh00Z+yp%GxbGt1{t3xHBl#YZ?<4sEk{=@Z z5t1KU;=8KaxZ%D7M$m(pEw>}8$Jas@Ub-_K5>XoL;l3+K5>XoL;>_);WOsVmN4m(qG{`m|Y+mC#R{SCn=Dlq}qIYO|XcS5H6)(>8V?nM5uc#|a z?cXTU%{AgEP=JpnM||QCpNIlZk&)q3A@&#%B|dR#@hLcgkF62$i9>uM z3OGeZhEIivPeh4NoLYS95WvSkiF1-TX(mc{`JAK<0}YI_XrVZ?P()!+k&#)bLbOms zIcAAdYoR(S@Ua6#3&lxxrMuihbz*2>?}-}3N#)XAu0b6f8rb#Xydw^MB%*Lhk&*dG zh3F#@rH{m^^^pb_;KQhp<{fc}PecKy$jI=i5b=p9@rl!pFU~s`+aU1@<6?fa*RbJH zdkq`T2WS79my6-8X>KpxnkpakO2OVO(06b(eZ5;Dc1I_%R|a%z_Sl4I6|dQN%lze? zs+RD;o_#ZmbGMrKz((9{TCG6e1+y^ff(>UUCngW#X&6~K|RbO&nE6G(~awmf1@x0`2m)2EZ zawjnZ54aoA<#r)?9g-;|yOB&Ixe3Y5NM3J=pRm=&4Yw1Fpa&DS8WCNYdgE{`4!g35 zqURPFSyxsec4ZM|R~E;>@}dS!*a{xtqsbAUIK(HSfKy~-_*97aM3nf%sl}(D1wOV$ z#3v5%i74O{85uqmB0do%K5=UCsj~nd10~`UhxkMkaEgo!p9&G5h!USTwfNLUfsZjv zd@~lA;-o1u-Q|;|x-T@a1H{Qv99k%%FsR7LEL0&{D5A7boLUPtXaFDkX2d5B@rfwl z6d4&l6(T+nB|dR#@l9B+ULrYcM10~9pNIlZk&)q3A>tEJ;uEJ4E^5#Spy3hz!-$q1 zHpC%55e1wgBg3ac#3!P}Cr&%Q%EQKu#%e1`A*;YB=3 zyj~Iu&2#D{-XMwlnpf3J{IVowo7I(O)Pl>sA5-dDcR%9r0VEG1c?ij2B)^N~14uq- ziJyGs`i8p?jG$tZHHv(V(5A{bIE%y37EwfUkwNGAFU%^$&=ygKwm7g37d5Q4U*JX6&h?B~tyIg~MEi|Cl`3VA6h?B~tyP%;mgul_egdzMS8zcw!Ew9Yl z2z}B6XRgQnhEC(O3a!InH#IV8!?4{Ru-k|02IGqjmx}0R?(n=`<~qy;ZwkQH+%mK8 z*2Tdk{BC;2;AU?GheG#PCc{c`qledj* zGoQGTYi&!L5qHBYARaZm?Q_r?&OyHximtYN`s4pyF_V5>bptMMgG2sSpP!5#<0S4h+LZ4Vr+|z`#dCBR+A6PecKy$jI=i z5b=p9@rmQnwc%4>0Uz5S;uDAXL=pE$Mn6wbiM@F2b!Yk6_ffSB&` z!BwFS4UDZgg^5E8MHB`V8JUGDL<>cf7K&4Ap*k4wG3+BgafnYu0jJ2w@Tm~-i74@j zQ;SdC8u-v7)7y(U#3!PFQ)FcLREYRQl=#GH$5)y9W{r!fuWx41wqw~&kK5+YW>xL) zFA~H!Wg|PbZ(vMM`ybP*jzs(RQ0K;uI`@Ri`*%)K=OYhOXW9asbnXqJYq2H>VcZ<( z2tnc}V^0^B=upInBOvZtwb;5XV?*P^*Q3z7gSdT9Z4pd&?+C!w-8ea6;;75t-i?~9 z;my01*Db%n^*2MY)wZzh3vz1~=LTn1X7+~P4jWJBgLG@>WXpEDCr4(lakm7S?kkeF zN~(Uxby&B2XOQBKIhd}S{DXV8b?y{IX}?=Py|5(+b(JlCzVTgxdufSG_c{L z260lkbeC&Tdo5lhq-d#pH&B@spF6d748 zsSsmH1Tf)BBZ@da-#Gb*q6P*&8XED5Lwq6%I7LQ=Plbq2M2SzFT6_vD;A0y^eBuzF zhyqTLk>OJz;uBHg6Q>s6LCe*M%zDGn_ZQ5aNYWEQFr zEfi5&C{C?~>R`afu#fn}AwCfWoFXH`r$WRhqQoaoEk1Q?;6sm0qqR81C!&B;WMueM zi1c89R@3({ z^qqd%;Qs2S>9{E@O4`!9Nxtx`RVDi|ckGz3pSztsd8eoD?7=Q-wOs|K)%Fy0s{cI! zX|H{r8S4GWovy?7I?46ftHI!mrDqRzSR0UJuT679y*9md(D+`}JUr^Q^xl4#J6Lba z-I?50$aPpjxJN#>FLjHveP>>N#WOm5!GA46Vx8NL=-Yv063I>^HzL`E zZHb>e6c`OR0S1-z@msmPZVN!Q%HvolK}NcWBCv~$EYekokuCxdaizIL9G`ETd@fN# z;RH*Y-4Ur|h*U%YtjNfast}QiD3OX&i&UY4F@sGK@rgrxA__P~Muty?h)+a`Pn=qO z3Q^!=v_yR35TA$wPLYw}Qz7CLQQ{M)7N5EX@F9@X=W%h;T$k>`tQSYci|zSn+4N)n zvk5!VQnv zn7!6UuiNi&NApqJqkn<<_Jcuu-SqhI#10-m%WjS7o|Q?tMFs%_OC@oVcE_-egr05E z9|}4tCL%n`&-z26GnrWA@bI*Qh9kKK5%DzZs6W669pdFD{|wCIeWrk#KAdY3VJByC zdUmfrs0lsdRNAdVxLaX5bso)iiU^-Gmi-Y{=qxxmA?`IioNEw)bHC#w{`e`%E5EJj zXW8_(MKq7(xNl@q4;WyOzy35Z|q& zI8a`v6P5WHOPxqW8$Xug77;&34f#`wz%5?KHvVX?Nkoh)o+gBzf|KIyW4Q(qI))j~ zV-EXsh0rA#9^xBw-(t)1xF!Ag@u07NiK|ck2aci_#dRM_UQ}N7$X`1CrvqZ}+#ls= zMfkULocr^K#s)eGW{~}gy)Bp529Wx5GuC;%-azuY@bVMY=_No{nB>rF+5Q#@bA__!e z&_^QJdZ;%Z02K#laOH^p#ONmSW~^2b`WAv?lm0MR&P`*u26MN-9t)rUX|7L1jCvm2 zg06y-qW;fv4I=DAJdRv4^@q2hMO=$A+ggmoy{g}ev-STx*CWC{zC#aFf5-}Y#I>+6 zJxJVZqMpCV^@xaHVt8uPABTb_@!>&io<{0k9d-R>u1iFp-|5QKA6$Yaas9Sw?45S6 zr5>KiWPkqapzV~YN&Ay4!`F^XvR_B?;qWER_istNFQBn}gDWo{KuSlEmUWN?t@<~i zCPs+}9YyjdlKcS|#IE=i;WpR$J{~2}qeS!oon0w@#qs&ZLF0rz{t3&B@hhS~9DMRW z{%8x3iBDuA+8peWiOHTNqC_T6M202`$o@7*CZazav+_UwPz#WWPh=u2qU{k6iTh1! zGmlJ6A`?*}6DJ~rhJftva%3XxP}hV05X+3=QEo4vGLg7j5H{>tf1j5Z5s!S0`hzUc z5_x>2MdIe8mQUtdM8xNnXPYDGjDnM%S3Z?%5D~{39yS4rf|JG?JkZAI5rKnbL)-mP z6O>neJed$qD7XpsNA!)@JKcd6~f z)6O0{rm;r{Hr)2;y)WeYL}arfcaj5@cr_k`bx-DcL{#Q7?)iqMoLe(ae=*l2B1RT> zWH{ z?`k9YJgR(meu{PFao?_OOVjpIwEjQls6^Pl-5I}`bS4w2_0ZSz@*|;_&0OiBAQFhCBKmp+LT+}c&9yh?o(0M zw{l$~nv2avzv&UWl$&p`!$v#z>8Rz~xfT)4h310a_y{e^r55eHvtRd_sO3Ak77@++ zn)mt5kImNDdDJo)lj6D;rI=J+`_8_!%};yG5GCKwv5Mf}%f#wTQ?@VD1is z7V$Lh+TO}Negw82{dn}?4|9DYDkCTNb3tRytr;7Blxq?ZM^5g#f|i1lM$RAS8bnk^ zPVT&dmW+#SI^3+|*2E`_pX8cERK`y3k%Fd-3r*aj;|8Lpf5|n8Xd6Abp9#8hzAaEd z4C@qYsg2tW8EO9-bgr=qxrfERXxQ&*md#ib-@QD=n(~TmHQZsN{UC_5f6Ebz$i8E6 zj|k9;SJ^_uy+rP$X#cp?vLv@|&UD zx`^pNb1fp`^CNd)Ku^I*&yW9=YY-7*l{+w?q2Q!g{qJ0Z2<`TX+kQsnTxObfZShjD@jR6L{hKjcRw{=^YUT(>(#iSpWZS7ci)w9b!6X$JkAuSH}d64(BL zT|D2 zfZ_ZzQvSOHSCyeje0UG55J=rVdYW547-`o9jji2VTaVq*2;-1)-E4|5<;6AN>WVNa zzZ|%ZZ;V@WtRljSFxQ3sA|c*{#bp?YD877&!M9)$=Ne>O>C1sQXL&~D%a?eSiu(N8 zGgH4HI+J07-)i0#YBi;`X)ICub=7uVHS=e!uD-B#jTLOaIGbxin-}v8Vtyp|x(L6z zZO`FDex(zdBCqZ{nwa#Hh`9D`E8u>SxJ^&_%%Y+dJz-_smdE`nbFQVsI5V1CC8F0p zk8NS%S49y?;;}*zMI?#AND^^s!(Sp5K4$2I}1vvHAyYXtm|$S)CmMdLFu zA67UQakyx<+^7108ma$N!WS87==I-`3AP94BM_w)A2&+WCO>0XB0CuHt5Rtx>xWQfvS<p9=}=|gd; zSH$`gnIKe%J`_>oB5BJFCCf}oJobtLk4gTM&vOWARz}Hm1 ztI=QLQl*F!@|OzHUm{9>iBsn<@w~qh1%HW>zeIQR*VCicaX__@)FN`DN*o}D0xYAS1;Xf6$CF~^Ae3y z-fCWYFqOsjDV9~hh`DRon}i6~ZLsLFWDz>oWuXq= z+@iWISo-*SPAI4N65V~_G{l&Mo9uX^h!y>g9gjD5%Q>R2bt+&Z&?J4l1foG@y7*2a z#}^H$_p2O-G!e&D&+&{hr~H3Z6vvh<0Lx(}MxBiKUs$A2TQ%yi4buLz2N*PusKRYF zc46kYye-y5cU!CoktR`Jk*Hz~jKOq8sOJApz$j^}`4XJQItiJ>(p(U4JCAkG7gW?F zqH9eOI==YM;_k}4DiK|)k`Su$mkmSlHW+nY8ir!zU6ofQqH9$WLS(0^bQ`KVzox1e z+gXrmCy+szh|HNqVh#BRngJ?zH?I`j*6em7?nVmbmQiBDy+3LTK9Pgm@dA5FeBHlr&iJfv9rH!dvay ztQK9jxE(B(NR!`xMODB5VvZ7r){5wAt%S0XGrJAe#;Kdu4n=G6FDMyMQJE8@87vDo2-!AQ5E zo=uy=Q@wxM9rmY9DC5|x3=Zo@+maU#GZO)rS(xLV}B zjwq36#TIu1-e)j~h~4B%tEfsP#mZQedRwO8+=jo>i>buU5(fuiT1K>ZHuXZgGdCjj h|9eaxQJgWA;&glM-jvBf12zb_6VYJe8|{DH`ae|MLQVhx diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/test_total.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/test_total.vdb index 4b2fd1823d775d5d4baa9ca2dc50bd011120f465..11fe39ee5e9a5309f8f8c06cfca47782aebbad41 100644 GIT binary patch literal 2029 zcma)7+iMh87(X++8K z(mpJqXq1S=Sw#eI#HgUL*3`cGpl=0>PxiU^7p%YU%$%LGtBAwS;k*C7+ngO3TbJt- zA{Kb)?TK}{-gobg)tIxy`t^eT|MSHHRm5DsShnBwB5%|gk|pxok$%sK{D`7ZMw73_ zc;VIUIvo*Wv6VhFh8U&6qf?n(T$7c!No6;T!(tFlJ)ZqN=6Ckeg(q<+M}Kl7ryTf0 zmFY$(%Q6awA2lL*&=2Ng2wh+ehjHNEj7J?AjGl`}Tt6r}UJ%Q&KNxQW-V#n+kR`-i z&b9>oh=lCiFV~vArNAjwoGAS6a;<#u-{JF_s>gSu5gFb3FaOVxv5QZ+$r9 zjYY9?+5_{|@K#N>Xq&-yG82YIwNM=@3YogD&UUdv|p2_@)7hH9&2bbTrh0qQaD?0OxBar=mNcF^Ib69ks8`w)%MU) zK|pIu=?Nl2Hetwg``dG<>Q1eN)lQgmuF6F-=^W}w?>Ly&bmX_dU`a5Q+xKa#rOoEA z^~Tkyy{ecFZUr2=cK}|H{)J!zln0g$qeRd=S2fwx1KkfP1ba*v{D*m2ge$3S|7l}- zxrzhc$G(vRuiY66B;OhmI%P05nDL{6YactT;78ASz*t{35mkw0(<9X|K9j4c{jJ&R zvec~prV*L%P%Sp^P~*F`6udUd?#jp@aX~%=Dy=~{y`9K`_fL6iSzeaiNF8cJRq0x% zO4m%ks;XRP^`NU$&^JHpbQN!>%9ubJc0DPQE)k?pNa=ra1EA z?hUn2{_V>Solt6fkUcaHjQZ5c&i2RZVYS|@#?jNCwHrrn&U{peb_H%c>&55)D*b+9{*x=5@>hSP z;*#fi?(TEv`+cgpuLM5T<7nlJ{@Ztd{5Lq>9|?{eG=^3$OFKm8Nis= zbbYVow)AEbIRbejo2GBL_%^);lgBa>=WKtSUwRikRkVPW-5*fHT1(xIv((*lixzAY zZKkl_;van{{e9C2O3?)tWJ(VhzPa^cbOXdi5*q#P5*Gz_-Hh6bCY#z^QcSk*NL$5_gYk^-IBIp|TlP<$z zFYSj3CR|!vUh4F^opd#dNjF%X6`#r!cj!y1^a7Ng&6I4vW&7x{B7~H4=^EUEw`lP6 zK;)Cr(hYeKTF*gU0%j9U3(ex1)0nD{y}V*aVdf7-2#3lT^i~U6A42OTuiiXwbLDWf88~ zT>syd>DPN0@B+>dRbhoshV}x^69$LgF^D$Q_z`ezV@FN=(RFSMpIPpyWMbKLNeaem zdcEoyh8lkWG^y_?7@BPJyI|Z!mbllp#r+=}TUDZq9LHXG(T4yr@R*CW=9RpL8_OaG zK~mQ;NnI1;oE)AZYa{j4{0!Xb0|a+GFJhp1#T`-XvcrX0%1xchT@`-m7&nMP^`!guk diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx index c61659a..1535890 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx @@ -2,13 +2,13 @@ 2018.2 Jun 14 2018 20:07:38 -/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/test_total.vhd,1685437044,vhdl,,,,test_total,,,,,,,, -/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd,1685389246,vhdl,,,,alu,,,,,,,, -/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd,1685444515,vhdl,,,,aleacontroler,,,,,,,, +/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/test_total.vhd,1685451235,vhdl,,,,test_total,,,,,,,, +/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd,1685454749,vhdl,,,,alu,,,,,,,, +/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd,1685451016,vhdl,,,,aleacontroler,,,,,,,, /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd,1685436168,vhdl,,,,ip,,,,,,,, -/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd,1685439807,vhdl,,,,instructionmemory,,,,,,,, +/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd,1685456575,vhdl,,,,instructionmemory,,,,,,,, /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd,1685445542,vhdl,,,,datamemory,,,,,,,, -/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd,1685443285,vhdl,,,,pipeline,,,,,,,, +/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd,1685455519,vhdl,,,,pipeline,,,,,,,, /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd,1685435532,vhdl,,,,registers,,,,,,,, /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd,1685386043,vhdl,,,,stage_di_ex,,,,,,,, /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd,1685386043,vhdl,,,,stage_ex_mem,,,,,,,, diff --git a/VHDL/ALU/ALU.srcs/sim_1/new/test_total.vhd b/VHDL/ALU/ALU.srcs/sim_1/new/test_total.vhd index b5debf1..32ba8ab 100644 --- a/VHDL/ALU/ALU.srcs/sim_1/new/test_total.vhd +++ b/VHDL/ALU/ALU.srcs/sim_1/new/test_total.vhd @@ -39,17 +39,15 @@ architecture Behavioral of test_total is component Pipeline - Port ( rst : in STD_LOGIC; Clk : in STD_LOGIC); + Port (Clk : in STD_LOGIC); end component; constant clock_period : time := 10 ns; signal clock : Std_logic := '0'; - signal rst : Std_logic := '1'; begin -- instantiate Pl : Pipeline PORT MAP ( - Rst => rst, Clk => clock ); @@ -59,6 +57,4 @@ begin wait for 100ns; end process; - rst <= '0' after 50ns; - end Behavioral; \ No newline at end of file diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd index 1ef8568..6ce7ac6 100644 --- a/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd +++ b/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd @@ -41,7 +41,9 @@ entity ALU is N : out STD_LOGIC; O : out STD_LOGIC; Z : out STD_LOGIC; - C : out STD_LOGIC); + C : out STD_LOGIC; + JumpFlag : inout STD_LOGIC -- 0 false 1 true + ); end ALU; -- Instruction code @@ -60,7 +62,7 @@ end ALU; architecture Behavioral of ALU is signal res : STD_LOGIC_VECTOR(15 downto 0):= x"0000"; - + signal flag : STD_LOGIC := '0'; begin process(A, B, Ctrl_Alu) begin @@ -68,20 +70,22 @@ begin O <= '0'; Z <= '0'; C <= '0'; + flag <= JumpFlag; case Ctrl_Alu is when x"01" => res <= (x"00" & A) + (x"00" & B) ; if (((x"00" & A) + (x"00" & B)) > 255) then C <= '1'; elsif (A+B = 0) then Z <= '1'; end if; -- ADD when x"02" => res <= A * B; if (A * B > 255) then O <= '1'; elsif A * B = 0 then Z <= '1'; end if; -- MUL when x"03" => res <= (x"00" & A) - (x"00" & B) ; if (B > A) then N <= '1'; elsif (B = A) then Z <= '1'; end if; -- SUB when x"04" => if (B /= 0) then res <= (x"00" & std_logic_vector(to_unsigned(to_integer(unsigned(A)) / to_integer(unsigned(B)),8))); else res <= x"0000"; end if; -- DIV - when x"09" => if A < B then res <= x"0001"; else res <= x"0000"; end if; - when x"0A" => if A > B then res <= x"0001"; else res <= x"0000"; end if; - when x"0B" => if A = B then res <= x"0001"; else res <= x"0000"; end if; - when x"0C" => if A > 0 then res <= x"0000"; else res <= x"0001"; end if; - when x"0D" => if (A > 0 and B > 0) then res <= x"0001" ; else res <= x"0000"; end if; - when x"0E" => if (A > 0 or B > 0) then res <= x"0001" ; else res <= x"0000"; end if; - when x"0F" => if ((A > 0 and B = 0) or (A = 0 and B >0)) then res <= x"0001" ; else res <= x"0000"; end if; + when x"09" => if A < B then res <= x"0001"; flag <= '1'; else res <= x"0000"; flag <= '0'; end if; + when x"0A" => if A > B then res <= x"0001"; flag <= '1'; else res <= x"0000"; flag <= '0'; end if; + when x"0B" => if A = B then res <= x"0001"; flag <= '1'; else res <= x"0000"; flag <= '0'; end if; + when x"0C" => if A > 0 then res <= x"0000"; flag <= '1'; else res <= x"0000"; flag <= '0'; end if; + when x"0D" => if (A > 0 and B > 0) then res <= x"0001" ; flag <= '1'; else res <= x"0000"; flag <= '0'; end if; + when x"0E" => if (A > 0 or B > 0) then res <= x"0001" ; flag <= '1'; else res <= x"0000"; flag <= '0'; end if; + when x"0F" => if ((A > 0 and B = 0) or (A = 0 and B >0)) then res <= x"0001" ; flag <= '1'; else res <= x"0000"; flag <= '0'; end if; when others => res <= x"0000"; end case; end process; + JumpFlag <= flag; S <= res(7 downto 0); end Behavioral; diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd index 22d8155..4cb3f72 100644 --- a/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd +++ b/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd @@ -68,6 +68,10 @@ begin -- check Registers are the same ((A_Re = B_DI) or (A_Re = C_DI)) - ) + ) + or + ( + Op_EX = x"10" or Op_Mem = x"10" or Op_Re = x"10" + ) else '0'; end Behavioral; diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd index eed9e61..7f4f048 100644 --- a/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd +++ b/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd @@ -41,7 +41,12 @@ end InstructionMemory; architecture Behavioral of InstructionMemory is type Mem_array is array (0 to 255) of STD_LOGIC_VECTOR (31 downto 0); -- signal Mem : Mem_array := ((x"06000200"),(x"08020000"),(x"07000200"),(x"08000000"),(x"06000200"),(x"08020000"),(x"07000000"),(x"07010200"),(x"01000001"),(x"08030000"),(x"07000300"),(x"08010000"),others => (x"ff000000")); - signal Mem : Mem_array := ((x"06000200"),(x"08030000"),(x"07000300"),(x"08000000"),(x"06000600"),(x"08030000"),(x"07000000"),(x"07010300"),(x"02000001"),(x"08040000"),(x"07000400"),(x"08010000"),(x"06000200"),(x"08030000"),(x"07000100"),(x"07010300"),(x"04000001"),(x"08040000"),(x"07000400"),(x"07010000"),(x"01000001"),(x"08030000"),(x"07000300"),(x"08020000"),others => (x"ff000000")); +-- signal Mem : Mem_array := ((x"06000200"),(x"08030000"),(x"07000300"),(x"08000000"),(x"06000600"),(x"08030000"),(x"07000000"),(x"07010300"),(x"02000001"),(x"08040000"),(x"07000400"),(x"08010000"),(x"06000200"),(x"08030000"),(x"07000100"),(x"07010300"),(x"04000001"),(x"08040000"),(x"07000400"),(x"07010000"),(x"01000001"),(x"08030000"),(x"07000300"),(x"08020000"),others => (x"ff000000")); +-- test JMP signal Mem : Mem_array := ((x"06000200"),(x"08030000"),(x"07000300"),(x"08000000"),(x"06000500"),(x"08030000"),(x"07000300"),(x"08010000"),(x"0F0D0000"),(x"06000800"),(x"08030000"),(x"07000300"),(x"08020000"),(x"06000900"),(x"08030000"),(x"07000300"),(x"08020000"),others => (x"ff000000")); +-- test JMF signal Mem : Mem_array := ((x"06000500"),(x"08010000"),(x"07000100"),(x"08000000"),(x"06000500"),(x"08010000"),(x"07000000"),(x"07010100"),(x"0B020100"),(x"08020200"),(x"100F0000"),(x"06000800"),(x"08030000"),(x"07000300"),(x"08000000"),(x"FF000000"),others => (x"ff000000")); +-- test if else signal Mem : Mem_array := ((x"06000200"),(x"08010000"),(x"07000100"),(x"08000000"),(x"06000500"),(x"08010000"),(x"07000000"),(x"07010100"),(x"0B020100"),(x"08020200"),(x"10021000"),(x"06000800"),(x"08030000"),(x"07000300"),(x"08000000"),(x"0F140000"),(x"06000C00"),(x"08020000"),(x"07000200"),(x"08000000"),(x"FF000000"),others => (x"ff000000")); +-- test boucle while +signal Mem : Mem_array := ((x"06000500"),(x"08010000"),(x"07000100"),(x"08000000"),(x"06000500"),(x"08010000"),(x"07000000"),(x"07010100"),(x"0B020100"),(x"08020200"),(x"10001B00"),(x"06001400"),(x"08030000"),(x"07000000"),(x"07010300"),(x"09020001"),(x"08040200"),(x"10041B00"),(x"06000200"),(x"08010000"),(x"07000000"),(x"07010100"),(x"01000001"),(x"08030000"),(x"07000300"),(x"08000000"),(x"0F0B0000"),(x"FF000000"),others => (x"ff000000")); -- signal Mem : Mem_array := ((x"06000200"),(x"08040000"),(x"07000400"),(x"08030000"),(x"07000000"),(x"08020000"),(x"06000200"),(x"08040000"),(x"07000200"),(x"07010400"),(x"01000001"),(x"08050000"),(x"07000500"),(x"08000000"),(x"07000300"),(x"08000000"),(x"06000500"),(x"08040000"),(x"07000400"),(x"08000000"),(x"06001300"),(x"08040000"),(x"06000200"),(x"08050000"),(x"07000400"),(x"07010500"),(x"01000001"),(x"08040000"),(x"06000500"),(x"08050000"),(x"07000500"),(x"07010000"),(x"02000001"),(x"08040000"),(x"06000800"),(x"08050000"),(x"07000400"),(x"07010500"),(x"01000001"),(x"08040000"),(x"06000200"),(x"08050000"),(x"07000400"),(x"07010500"),(x"02000001"),(x"08040000"),(x"07000400"),(x"07010400"),(x"03000001"),(x"08050000"),(x"07000500"),(x"08030000"), others => (x"ff000000")); begin Inst_out <= Mem(to_integer(unsigned(Addr))); diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd index b4233f7..e2e2c39 100644 --- a/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd +++ b/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd @@ -32,7 +32,7 @@ use IEEE.STD_LOGIC_1164.ALL; --use UNISIM.VComponents.all; entity Pipeline is - Port (RST : in STD_LOGIC; Clk : in STD_LOGIC); + Port (Clk : in STD_LOGIC); end Pipeline; architecture Behavioral of Pipeline is @@ -47,6 +47,7 @@ architecture Behavioral of Pipeline is end component; signal IP_out : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); + signal rst : STD_LOGIC := '0'; component InstructionMemory Port ( Addr : in STD_LOGIC_VECTOR (7 downto 0); @@ -108,12 +109,13 @@ architecture Behavioral of Pipeline is N : out STD_LOGIC; O : out STD_LOGIC; Z : out STD_LOGIC; - C : out STD_LOGIC + C : out STD_LOGIC; + JumpFlag : inout STD_LOGIC ); end component; signal Ex_Ctrl_ALu, Ex_Res_Alu, Ex_FinalB : STD_LOGIC_VECTOR (7 downto 0) := (others => '1'); - signal S_NFlag, S_Oflag, S_CFlag, S_ZFlag : STD_LOGIC; + signal S_NFlag, S_Oflag, S_CFlag, S_ZFlag, Jump_Flag : STD_LOGIC; component Stage_Ex_Mem Port ( In_A : in STD_LOGIC_VECTOR (7 downto 0); @@ -163,11 +165,12 @@ architecture Behavioral of Pipeline is signal Re_W : STD_LOGIC; -- to control jumping and where to jump - signal addr_to_jump : STD_LOGIC_VECTOR (7 downto 0) := (others => '1'); - signal jump : STD_LOGIC; + signal addr_to_jump : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); + signal jump : STD_LOGIC := '0'; signal nop_Cntrl : STD_LOGIC; signal OP_LI_DI : STD_LOGIC_VECTOR (7 downto 0) := (others => '1'); + signal Di_Op_Final : STD_LOGIC_VECTOR (7 downto 0) := (others => '1'); begin -- instructionPointer @@ -215,7 +218,7 @@ Stage2 : Stage_Di_Ex PORT MAP ( In_A => Di_A, In_B => Di_FinalB, In_C => Di_C2, - In_Op => Di_Op, + In_Op => Di_Op_Final, Clk => Clk, Out_A => Ex_A, Out_B => Ex_B, @@ -231,7 +234,8 @@ Ual : ALU PORT MAP ( N => S_NFlag, O => S_OFlag, Z => S_ZFlag, - C => S_CFlag); + C => S_CFlag, + JumpFlag => Jump_Flag); -- Stage Ex/Mem Stage3 : Stage_Ex_Mem PORT MAP ( @@ -332,6 +336,22 @@ CU : AleaControler port map ( CNTRL => nop_Cntrl); -- in case of alea : replace li(31 downto 24) by NOP - OP_LI_DI <= X"ff" when nop_Cntrl='1' else Li(31 downto 24); + OP_LI_DI <= X"ff" when (nop_Cntrl='1' or + (Di_Op = x"10" and Jump_Flag = '1')) -- to prevent JMF + else Li(31 downto 24); + +-- jump JMP + addr_to_jump <= DI_A when (DI_OP = x"0F") -- JMP + else Di_B when (Di_Op = x"10" and Jump_Flag = '0') -- JMF + else (others => '0'); + jump <= '1' when DI_OP = x"0F" -- JMP + or (Di_Op = x"10" and Jump_Flag = '0') -- JMF + else '0'; + +-- case of JMF not triggering + Di_Op_Final <= x"ff" when (Di_Op = x"10" and Jump_Flag = '1') + else Di_Op; + + end Behavioral; diff --git a/VHDL/ALU/ALU.xpr b/VHDL/ALU/ALU.xpr index 4b10f19..a429411 100644 --- a/VHDL/ALU/ALU.xpr +++ b/VHDL/ALU/ALU.xpr @@ -33,7 +33,7 @@