From b3d75a1a465034e7e50920cd4f5ae47389db790a Mon Sep 17 00:00:00 2001 From: Lacroix Raphael Date: Wed, 31 May 2023 18:38:35 +0200 Subject: [PATCH] Fixed typos --- VHDL/ALU/ALU.cache/wt/gui_handlers.wdf | 162 +- .../ALU.cache/wt/java_command_handlers.wdf | 37 +- VHDL/ALU/ALU.cache/wt/project.wpc | 3 +- VHDL/ALU/ALU.cache/wt/synthesis.wdf | 8 +- VHDL/ALU/ALU.cache/wt/webtalk_pa.xml | 203 +- VHDL/ALU/ALU.hw/ALU.lpr | 4 +- VHDL/ALU/ALU.hw/hw_1/hw.xml | 17 + VHDL/ALU/ALU.runs/.jobs/vrs_config_14.xml | 5 + VHDL/ALU/ALU.runs/.jobs/vrs_config_15.xml | 5 + VHDL/ALU/ALU.runs/.jobs/vrs_config_16.xml | 5 + VHDL/ALU/ALU.runs/.jobs/vrs_config_17.xml | 5 + VHDL/ALU/ALU.runs/.jobs/vrs_config_18.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_19.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_20.xml | 5 + VHDL/ALU/ALU.runs/.jobs/vrs_config_21.xml | 5 + VHDL/ALU/ALU.runs/.jobs/vrs_config_22.xml | 5 + VHDL/ALU/ALU.runs/.jobs/vrs_config_23.xml | 5 + VHDL/ALU/ALU.runs/.jobs/vrs_config_24.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_25.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_26.xml | 5 + VHDL/ALU/ALU.runs/.jobs/vrs_config_27.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_28.xml | 5 + VHDL/ALU/ALU.runs/.jobs/vrs_config_29.xml | 5 + VHDL/ALU/ALU.runs/.jobs/vrs_config_30.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_31.xml | 5 + VHDL/ALU/ALU.runs/.jobs/vrs_config_32.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_33.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_34.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_35.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_36.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_37.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_38.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_39.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_40.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_41.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_42.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_43.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_44.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_45.xml | 5 + VHDL/ALU/ALU.runs/.jobs/vrs_config_46.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_47.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_48.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_49.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_50.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_51.xml | 5 + VHDL/ALU/ALU.runs/.jobs/vrs_config_52.xml | 5 + VHDL/ALU/ALU.runs/.jobs/vrs_config_53.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_54.xml | 5 + VHDL/ALU/ALU.runs/.jobs/vrs_config_55.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_56.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_57.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_58.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_59.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_60.xml | 8 + VHDL/ALU/ALU.runs/.jobs/vrs_config_61.xml | 8 + .../impl_1/.Vivado_Implementation.queue.rst | 0 .../ALU.runs/impl_1/.init_design.begin.rst | 5 + VHDL/ALU/ALU.runs/impl_1/.init_design.end.rst | 0 .../ALU/ALU.runs/impl_1/.opt_design.begin.rst | 5 + VHDL/ALU/ALU.runs/impl_1/.opt_design.end.rst | 0 .../ALU.runs/impl_1/.place_design.begin.rst | 5 + .../ALU/ALU.runs/impl_1/.place_design.end.rst | 0 .../ALU.runs/impl_1/.route_design.begin.rst | 5 + .../ALU/ALU.runs/impl_1/.route_design.end.rst | 0 VHDL/ALU/ALU.runs/impl_1/.vivado.begin.rst | 5 + VHDL/ALU/ALU.runs/impl_1/.vivado.end.rst | 0 .../impl_1/.write_bitstream.begin.rst | 5 + .../ALU.runs/impl_1/.write_bitstream.end.rst | 0 VHDL/ALU/ALU.runs/impl_1/ISEWrap.js | 244 +++ VHDL/ALU/ALU.runs/impl_1/ISEWrap.sh | 63 + VHDL/ALU/ALU.runs/impl_1/Pipeline.bit | Bin 0 -> 2192116 bytes VHDL/ALU/ALU.runs/impl_1/Pipeline.tcl | 171 ++ VHDL/ALU/ALU.runs/impl_1/Pipeline.vdi | 472 +++++ .../impl_1/Pipeline_bus_skew_routed.pb | Bin 0 -> 30 bytes .../impl_1/Pipeline_bus_skew_routed.rpt | 15 + .../impl_1/Pipeline_bus_skew_routed.rpx | Bin 0 -> 1033 bytes .../Pipeline_clock_utilization_routed.rpt | 145 ++ .../impl_1/Pipeline_control_sets_placed.rpt | 101 + .../ALU/ALU.runs/impl_1/Pipeline_drc_opted.pb | Bin 0 -> 37 bytes .../ALU.runs/impl_1/Pipeline_drc_opted.rpt | 72 + .../ALU.runs/impl_1/Pipeline_drc_opted.rpx | Bin 0 -> 7210 bytes .../ALU.runs/impl_1/Pipeline_drc_routed.pb | Bin 0 -> 37 bytes .../ALU.runs/impl_1/Pipeline_drc_routed.rpt | 72 + .../ALU.runs/impl_1/Pipeline_drc_routed.rpx | Bin 0 -> 7211 bytes .../ALU.runs/impl_1/Pipeline_io_placed.rpt | 280 +++ .../impl_1/Pipeline_methodology_drc_routed.pb | Bin 0 -> 53 bytes .../Pipeline_methodology_drc_routed.rpt | 1751 +++++++++++++++++ .../Pipeline_methodology_drc_routed.rpx | Bin 0 -> 87956 bytes VHDL/ALU/ALU.runs/impl_1/Pipeline_opt.dcp | Bin 0 -> 241666 bytes VHDL/ALU/ALU.runs/impl_1/Pipeline_placed.dcp | Bin 0 -> 367282 bytes .../ALU.runs/impl_1/Pipeline_power_routed.rpt | 153 ++ .../ALU.runs/impl_1/Pipeline_power_routed.rpx | Bin 0 -> 210651 bytes .../impl_1/Pipeline_power_summary_routed.pb | Bin 0 -> 722 bytes .../ALU.runs/impl_1/Pipeline_route_status.pb | Bin 0 -> 44 bytes .../ALU.runs/impl_1/Pipeline_route_status.rpt | 11 + VHDL/ALU/ALU.runs/impl_1/Pipeline_routed.dcp | Bin 0 -> 428923 bytes .../impl_1/Pipeline_timing_summary_routed.pb | 2 + .../impl_1/Pipeline_timing_summary_routed.rpt | 173 ++ .../impl_1/Pipeline_timing_summary_routed.rpx | Bin 0 -> 37849 bytes .../impl_1/Pipeline_utilization_placed.pb | Bin 0 -> 276 bytes .../impl_1/Pipeline_utilization_placed.rpt | 209 ++ VHDL/ALU/ALU.runs/impl_1/gen_run.xml | 171 ++ VHDL/ALU/ALU.runs/impl_1/htr.txt | 9 + VHDL/ALU/ALU.runs/impl_1/init_design.pb | Bin 0 -> 3233 bytes VHDL/ALU/ALU.runs/impl_1/opt_design.pb | Bin 0 -> 9667 bytes VHDL/ALU/ALU.runs/impl_1/place_design.pb | Bin 0 -> 13020 bytes .../ALU.runs/{synth_1 => impl_1}/project.wdf | 4 +- VHDL/ALU/ALU.runs/impl_1/route_design.pb | Bin 0 -> 12881 bytes VHDL/ALU/ALU.runs/impl_1/rundef.js | 44 + VHDL/ALU/ALU.runs/impl_1/runme.bat | 11 + VHDL/ALU/ALU.runs/impl_1/runme.log | 471 +++++ VHDL/ALU/ALU.runs/impl_1/runme.sh | 43 + .../impl_1/usage_statistics_webtalk.html | 867 ++++++++ .../impl_1/usage_statistics_webtalk.xml | 790 ++++++++ VHDL/ALU/ALU.runs/impl_1/vivado.jou | 12 + VHDL/ALU/ALU.runs/impl_1/vivado.pb | Bin 0 -> 149 bytes VHDL/ALU/ALU.runs/impl_1/write_bitstream.pb | Bin 0 -> 6825 bytes .../synth_1/.Xil/Pipeline_propImpl.xdc | 31 + VHDL/ALU/ALU.runs/synth_1/.vivado.begin.rst | 2 +- VHDL/ALU/ALU.runs/synth_1/Pipeline.dcp | Bin 3010 -> 135402 bytes VHDL/ALU/ALU.runs/synth_1/Pipeline.tcl | 5 +- VHDL/ALU/ALU.runs/synth_1/Pipeline.vds | 390 ++-- .../synth_1/Pipeline_utilization_synth.pb | Bin 276 -> 276 bytes .../synth_1/Pipeline_utilization_synth.rpt | 92 +- VHDL/ALU/ALU.runs/synth_1/gen_run.xml | 15 +- VHDL/ALU/ALU.runs/synth_1/runme.log | 386 ++-- VHDL/ALU/ALU.runs/synth_1/vivado.jou | 4 +- VHDL/ALU/ALU.runs/synth_1/vivado.pb | Bin 80929 -> 86696 bytes .../sim_1/behav/xsim/Test_total_behav.wdb | Bin 54107 -> 61446 bytes VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.log | 36 + VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.sh | 2 +- .../ALU.sim/sim_1/behav/xsim/elaborate.log | 23 +- .../ALU/ALU.sim/sim_1/behav/xsim/elaborate.sh | 2 +- .../ALU/ALU.sim/sim_1/behav/xsim/simulate.log | 2 + VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.sh | 2 +- VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou | 8 +- VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log | 10 +- .../sim_1/behav/xsim/webtalk_2956.backup.jou | 12 + .../sim_1/behav/xsim/webtalk_2956.backup.log | 13 + .../sim_1/behav/xsim/webtalk_31637.backup.jou | 12 - .../sim_1/behav/xsim/webtalk_31637.backup.log | 13 - .../sim_1/behav/xsim/webtalk_32017.backup.jou | 12 - .../sim_1/behav/xsim/webtalk_32017.backup.log | 13 - .../sim_1/behav/xsim/webtalk_5876.backup.jou | 12 + .../sim_1/behav/xsim/webtalk_5876.backup.log | 13 + VHDL/ALU/ALU.sim/sim_1/behav/xsim/xelab.pb | Bin 921 -> 3663 bytes .../Test_total_behav/obj/xsim_0.lnx64.o | Bin 54360 -> 58104 bytes .../xsim.dir/Test_total_behav/obj/xsim_1.c | 29 +- .../Test_total_behav/obj/xsim_1.lnx64.o | Bin 5920 -> 5984 bytes .../webtalk/.xsim_webtallk.info | 6 +- .../webtalk/usage_statistics_ext_xsim.html | 53 + .../webtalk/usage_statistics_ext_xsim.xml | 44 + .../Test_total_behav/webtalk/xsim_webtalk.tcl | 20 +- .../xsim/xsim.dir/Test_total_behav/xsim.dbg | Bin 18988 -> 19716 bytes .../xsim/xsim.dir/Test_total_behav/xsim.mem | Bin 5094 -> 5192 bytes .../xsim/xsim.dir/Test_total_behav/xsim.reloc | Bin 2278 -> 2411 bytes .../xsim/xsim.dir/Test_total_behav/xsim.rlx | 2 +- .../xsim/xsim.dir/Test_total_behav/xsim.rtti | Bin 711 -> 711 bytes .../xsim/xsim.dir/Test_total_behav/xsim.type | Bin 7424 -> 7424 bytes .../xsim/xsim.dir/Test_total_behav/xsim.xdbg | Bin 80264 -> 80592 bytes .../xsim/xsim.dir/Test_total_behav/xsimk | Bin 62464 -> 66608 bytes .../xsim.dir/Test_total_behav/xsimkernel.log | 9 +- .../xsim.dir/xil_defaultlib/aleacontroler.vdb | Bin 5567 -> 6749 bytes .../xsim/xsim.dir/xil_defaultlib/alu.vdb | Bin 11221 -> 11360 bytes .../xsim/xsim.dir/xil_defaultlib/pipeline.vdb | Bin 32337 -> 33608 bytes .../xsim.dir/xil_defaultlib/registers.vdb | Bin 5862 -> 6760 bytes .../xsim.dir/xil_defaultlib/test_total.vdb | Bin 2029 -> 2797 bytes .../xil_defaultlib/xil_defaultlib.rlx | 10 +- VHDL/ALU/ALU.sim/sim_1/behav/xsim/xvhdl.log | 2 + VHDL/ALU/ALU.sim/sim_1/behav/xsim/xvhdl.pb | Bin 16 -> 361 bytes VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc | 26 + VHDL/ALU/ALU.srcs/constrs_1/new/uP.xdc | 1 + VHDL/ALU/ALU.srcs/sim_1/new/test_total.vhd | 9 +- VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd | 9 +- .../ALU.srcs/sources_1/new/AleaControler.vhd | 14 +- VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd | 39 +- VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd | 10 +- VHDL/ALU/ALU.xpr | 17 +- VHDL/ALU/Test_Alu_behav.wcfg | 18 +- 179 files changed, 7884 insertions(+), 700 deletions(-) create mode 100644 VHDL/ALU/ALU.hw/hw_1/hw.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_14.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_15.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_16.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_17.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_18.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_19.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_20.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_21.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_22.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_23.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_24.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_25.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_26.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_27.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_28.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_29.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_30.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_31.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_32.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_33.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_34.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_35.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_36.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_37.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_38.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_39.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_40.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_41.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_42.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_43.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_44.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_45.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_46.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_47.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_48.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_49.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_50.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_51.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_52.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_53.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_54.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_55.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_56.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_57.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_58.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_59.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_60.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_61.xml create mode 100644 VHDL/ALU/ALU.runs/impl_1/.Vivado_Implementation.queue.rst create mode 100644 VHDL/ALU/ALU.runs/impl_1/.init_design.begin.rst create mode 100644 VHDL/ALU/ALU.runs/impl_1/.init_design.end.rst create mode 100644 VHDL/ALU/ALU.runs/impl_1/.opt_design.begin.rst create mode 100644 VHDL/ALU/ALU.runs/impl_1/.opt_design.end.rst create mode 100644 VHDL/ALU/ALU.runs/impl_1/.place_design.begin.rst create mode 100644 VHDL/ALU/ALU.runs/impl_1/.place_design.end.rst create mode 100644 VHDL/ALU/ALU.runs/impl_1/.route_design.begin.rst create mode 100644 VHDL/ALU/ALU.runs/impl_1/.route_design.end.rst create mode 100644 VHDL/ALU/ALU.runs/impl_1/.vivado.begin.rst create mode 100644 VHDL/ALU/ALU.runs/impl_1/.vivado.end.rst create mode 100644 VHDL/ALU/ALU.runs/impl_1/.write_bitstream.begin.rst create mode 100644 VHDL/ALU/ALU.runs/impl_1/.write_bitstream.end.rst create mode 100755 VHDL/ALU/ALU.runs/impl_1/ISEWrap.js create mode 100755 VHDL/ALU/ALU.runs/impl_1/ISEWrap.sh create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline.bit create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline.tcl create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline.vdi create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_bus_skew_routed.pb create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_bus_skew_routed.rpt create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_bus_skew_routed.rpx create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_clock_utilization_routed.rpt create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_control_sets_placed.rpt create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_drc_opted.pb create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_drc_opted.rpt create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_drc_opted.rpx create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_drc_routed.pb create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_drc_routed.rpt create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_drc_routed.rpx create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_io_placed.rpt create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_methodology_drc_routed.pb create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_methodology_drc_routed.rpt create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_methodology_drc_routed.rpx create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_opt.dcp create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_placed.dcp create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_power_routed.rpt create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_power_routed.rpx create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_power_summary_routed.pb create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_route_status.pb create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_route_status.rpt create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_routed.dcp create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_timing_summary_routed.pb create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_timing_summary_routed.rpt create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_timing_summary_routed.rpx create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_utilization_placed.pb create mode 100644 VHDL/ALU/ALU.runs/impl_1/Pipeline_utilization_placed.rpt create mode 100644 VHDL/ALU/ALU.runs/impl_1/gen_run.xml create mode 100644 VHDL/ALU/ALU.runs/impl_1/htr.txt create mode 100644 VHDL/ALU/ALU.runs/impl_1/init_design.pb create mode 100644 VHDL/ALU/ALU.runs/impl_1/opt_design.pb create mode 100644 VHDL/ALU/ALU.runs/impl_1/place_design.pb rename VHDL/ALU/ALU.runs/{synth_1 => impl_1}/project.wdf (97%) create mode 100644 VHDL/ALU/ALU.runs/impl_1/route_design.pb create mode 100644 VHDL/ALU/ALU.runs/impl_1/rundef.js create mode 100644 VHDL/ALU/ALU.runs/impl_1/runme.bat create mode 100644 VHDL/ALU/ALU.runs/impl_1/runme.log create mode 100755 VHDL/ALU/ALU.runs/impl_1/runme.sh create mode 100644 VHDL/ALU/ALU.runs/impl_1/usage_statistics_webtalk.html create mode 100644 VHDL/ALU/ALU.runs/impl_1/usage_statistics_webtalk.xml create mode 100644 VHDL/ALU/ALU.runs/impl_1/vivado.jou create mode 100644 VHDL/ALU/ALU.runs/impl_1/vivado.pb create mode 100644 VHDL/ALU/ALU.runs/impl_1/write_bitstream.pb create mode 100644 VHDL/ALU/ALU.runs/synth_1/.Xil/Pipeline_propImpl.xdc create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_2956.backup.jou create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_2956.backup.log delete mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_31637.backup.jou delete mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_31637.backup.log delete mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_32017.backup.jou delete mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_32017.backup.log create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_5876.backup.jou create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_5876.backup.log create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.html create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc create mode 100644 VHDL/ALU/ALU.srcs/constrs_1/new/uP.xdc diff --git a/VHDL/ALU/ALU.cache/wt/gui_handlers.wdf b/VHDL/ALU/ALU.cache/wt/gui_handlers.wdf index eb381bd..562c893 100644 --- a/VHDL/ALU/ALU.cache/wt/gui_handlers.wdf +++ b/VHDL/ALU/ALU.cache/wt/gui_handlers.wdf @@ -1,41 +1,50 @@ version:1 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6162737472616374636f6d62696e656470616e656c5f6164645f656c656d656e74:3336:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6162737472616374636f6d62696e656470616e656c5f72656d6f76655f73656c65637465645f656c656d656e7473:3133:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:616273747261637466696c65766965775f636c6f7365:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:616273747261637466696c65766965775f72656c6f6164:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61646473726377697a6172645f737065636966795f6f725f6372656174655f636f6e73747261696e745f66696c6573:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61646473726377697a6172645f737065636966795f68646c5f6e65746c6973745f626c6f636b5f64657369676e:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61646473726377697a6172645f737065636966795f6f725f6372656174655f636f6e73747261696e745f66696c6573:34:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61646473726377697a6172645f737065636966795f73696d756c6174696f6e5f73706563696669635f68646c5f66696c6573:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6170706c79:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f63616e63656c:3137:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:3834:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636d646d73676469616c6f675f6d65737361676573:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636d646d73676469616c6f675f6f6b:3235:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6170706c79:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f63616e63656c:3331:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6e6f:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:323335:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f796573:3430:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636c6f636b6372656174696f6e70616e656c5f636c6f636b5f6e616d65:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636c6f636b6372656174696f6e70616e656c5f656e7465725f706f7369746976655f6e756d626572:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636d646d73676469616c6f675f6d65737361676573:36:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636d646d73676469616c6f675f6f6b:3333:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636d646d73676469616c6f675f6f70656e5f6d657373616765735f76696577:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6d62696e6174696f6e616c636f6e73747261696e74737461626c6570616e656c5f7461626c65:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6d6d616e6473696e7075745f747970655f74636c5f636f6d6d616e645f68657265:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6164645f6578697374696e675f6f725f6372656174655f6e65775f636f6e73747261696e7473:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6164645f66696c6573:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6372656174655f66696c65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f66696c655f7461626c65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:637265617465636f6e73747261696e747366696c6570616e656c5f66696c655f6e616d65:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6164645f6578697374696e675f6f725f6372656174655f6e65775f636f6e73747261696e7473:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6164645f66696c6573:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6372656174655f66696c65:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f66696c655f7461626c65:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:637265617465636f6e73747261696e747366696c6570616e656c5f66696c655f6e616d65:33:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746572756e7265706f72746469616c6f675f7265706f72745f6e616d65:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f6e616d65:3135:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f74797065:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:646566696e656d6f64756c65736469616c6f675f646566696e655f6d6f64756c65735f616e645f737065636966795f696f5f706f727473:313438:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:646566696e656d6f64756c65736469616c6f675f656e746974795f6e616d65:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:65646974637265617465636c6f636b7461626c6570616e656c5f656469745f6372656174655f636c6f636b5f7461626c65:3133:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6578707265706f72747472656570616e656c5f656469745f7265706f72745f6f7074696f6e73:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6578707265706f72747472656570616e656c5f6578705f7265706f72745f747265655f7461626c65:39:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:65787072756e7472656570616e656c5f6578705f72756e5f747265655f7461626c65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:343533:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:363036:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f6d65737361676573:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:313935:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:333736:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6372656174655f6e65775f70726f6a656374:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6f70656e5f70726f6a656374:33:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f666974:36:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f696e:313033:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f6f7574:313334:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f696e:313338:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f6f7574:313532:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68636f6465656469746f725f636c6f7365:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68636f6465656469746f725f7365617263685f746578745f636f6d626f5f626f78:3136:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68636f6465656469746f725f7365617263685f746578745f636f6d626f5f626f78:3430:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68696e70757468616e646c65725f746f67676c655f6c696e655f636f6d6d656e7473:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68706f7075707469746c655f636c6f7365:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:696e7075746f75747075747461626c6570616e656c5f7461626c65:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6c6f676d6f6e69746f725f6d6f6e69746f72:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6c6f6770616e656c5f636f7079:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6c6f6770616e656c5f66696e64:31:00:00 @@ -44,79 +53,122 @@ version:1 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f636865636b706f696e74:3138:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f65646974:3136:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f6578706f7274:37:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f66696c65:3730:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f666c6f77:38:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f66696c65:3736:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f666c6f77:3130:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f696f5f706c616e6e696e67:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f6970:3132:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f6f70656e5f726563656e745f70726f6a656374:3235:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f70726f6a656374:3437:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f7265706f727473:3130:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f70726f6a656374:3531:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f7265706f727473:3132:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f73657474696e6773:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f73696d756c6174696f6e5f77617665666f726d:3134:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f73696d756c6174696f6e5f77617665666f726d:3135:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f746578745f656469746f72:3130:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f746f6f6c73:3130:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f76696577:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f77696e646f77:36:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f74696d696e67:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f746f6f6c73:3136:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f756e73656c6563745f74797065:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f76696577:38:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f77696e646f77:3136:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e746f6f6c6261726d67725f72756e:37:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e77696e6d656e756d67725f6c61796f7574:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d73677472656570616e656c5f6d6573736167655f766965775f74726565:3235:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e77696e6d656e756d67725f6c61796f7574:3132:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e77696e6d656e756d67725f6c6f6164:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d657373616765776974686f7074696f6e6469616c6f675f646f6e745f73686f775f746869735f6469616c6f675f616761696e:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d73677472656570616e656c5f6d6573736167655f7365766572697479:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d73677472656570616e656c5f6d6573736167655f766965775f74726565:313732:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d7367766965775f636c6561725f6d657373616765735f726573756c74696e675f66726f6d5f757365725f6578656375746564:3130:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d7367766965775f637269746963616c5f7761726e696e6773:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d7367766965775f6572726f725f6d65737361676573:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d7367766965775f696e666f726d6174696f6e5f6d65737361676573:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d7367766965775f7761726e696e675f6d65737361676573:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6e6176696761626c6574696d696e677265706f72747461625f74696d696e675f7265706f72745f6e617669676174696f6e5f74726565:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6e756d6a6f627363686f6f7365725f6e756d6265725f6f665f6a6f6273:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6f70656e66696c65616374696f6e5f63616e63656c:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6f70656e66696c65616374696f6e5f6f70656e5f6469726563746f7279:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6164645f736f7572636573:3138:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f7570646174655f68696572:3136:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6f70656e74617267657477697a6172645f636f6e6e6563745f746f:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6164645f636f6e6669675f6d656d6f7279:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6164645f736f7572636573:3231:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f636f6e6e6563745f746172676574:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f7570646174655f68696572:3236:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f66696c657365745f77696e646f77:33:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f676f746f5f696e7374616e74696174696f6e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6c6f675f77696e646f77:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6c6f675f77696e646f77:3130:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6f70656e5f70726f6a656374:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7265706f7274735f77696e646f77:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6f70656e5f726563656e745f746172676574:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6f70656e5f7461726765745f77697a617264:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f70726f6772616d5f66706761:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7265706f7274735f77696e646f77:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7265706f72745f636c6f636b5f6e6574776f726b73:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f72756e5f62697467656e:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f72756e5f73796e746865736973:33:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7365745f61735f746f70:36:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7365745f7461726765745f756366:33:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72656c61756e6368:35:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f7265736574:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e5f6265686176696f72616c:313333:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e5f6265686176696f72616c:313735:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e5f706f73745f73796e7468657369735f66756e6374696f6e616c:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f73657474696e6773:38:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f73657474696e6773:39:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7372635f7265706c6163655f66696c65:35:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f636f6465:3335:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f646576696365:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f636f6465:3431:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f646576696365:33:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f70726f6a6563745f73756d6d617279:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636b6167657472656570616e656c5f7061636b6167655f747265655f70616e656c:35:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706c616e61686561647461625f73686f775f666c6f775f6e6176696761746f72:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7072696d617279636c6f636b7370616e656c5f7265636f6d6d656e6465645f636f6e73747261696e74735f7461626c65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f67726573736469616c6f675f6261636b67726f756e64:3135:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7072696d617279636c6f636b7370616e656c5f7265636f6d6d656e6465645f636f6e73747261696e74735f7461626c65:36:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d64656275677461625f6f70656e5f726563656e746c795f6f70656e65645f746172676574:3133:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d64656275677461625f6f70656e5f746172676574:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d64656275677461625f726566726573685f646576696365:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d667067616469616c6f675f636865636b5f656e645f6f665f73746172747570:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d667067616469616c6f675f70726f6772616d:3133:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d667067616469616c6f675f737065636966795f62697473747265616d5f66696c65:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f67726573736469616c6f675f6261636b67726f756e64:3136:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f67726573736469616c6f675f63616e63656c:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a65637473657474696e67736761646765745f656469745f70726f6a6563745f73657474696e6773:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a65637473657474696e677373696d756c6174696f6e70616e656c5f73656c6563745f7465737462656e63685f746f705f6d6f64756c65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a65637473657474696e677373696d756c6174696f6e70616e656c5f7461626265645f70616e65:35:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563747461625f72656c6f6164:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f64656c657465:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f6c696e655f636f6d6d656e74:39:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a65637473657474696e677373696d756c6174696f6e70616e656c5f7461626265645f70616e65:37:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563747461625f636c6f73655f64657369676e:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563747461625f72656c6f6164:37:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f637573746f6d5f636f6d6d616e6473:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f64656c657465:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f6c696e655f636f6d6d656e74:3233:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f736176655f66696c65:3130:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72646976696577735f77617665666f726d5f766965776572:363238:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72646976696577735f77617665666f726d5f766965776572:373935:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72746c6f7074696f6e7370616e656c5f73656c6563745f746f705f6d6f64756c655f6f665f796f75725f64657369676e:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72656d6f7665736f75726365736469616c6f675f616c736f5f64656c657465:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7361766570726f6a6563747574696c735f73617665:39:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7265706f72746e617669676174696f6e686f6c6465725f726572756e:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72756e6761646765745f73686f775f6572726f725f616e645f637269746963616c5f7761726e696e675f6d65737361676573:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7361766570726f6a6563747574696c735f63616e63656c:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7361766570726f6a6563747574696c735f73617665:3436:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73656c656374746f706d6f64756c656469616c6f675f73656c6563745f746f705f6d6f64756c65:38:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73657474696e67736469616c6f675f70726f6a6563745f74726565:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d756c6174696f6e6f626a6563747370616e656c5f73696d756c6174696f6e5f6f626a656374735f747265655f7461626c65:3435:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d756c6174696f6e73636f70657370616e656c5f73696d756c6174655f73636f70655f7461626c65:3734:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7369676e616c7472656570616e656c5f7369676e616c5f747265655f7461626c65:3133:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d756c6174696f6e6f626a6563747370616e656c5f73696d756c6174696f6e5f6f626a656374735f747265655f7461626c65:3535:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d756c6174696f6e73636f70657370616e656c5f73696d756c6174655f73636f70655f7461626c65:3830:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f68646c5f616e645f6e65746c6973745f66696c65735f746f5f796f75725f70726f6a656374:34:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f6f725f6372656174655f736f757263655f66696c65:3231:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6372656174655f66696c65:3135:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f7365727461626c655f7372635f63686f6f7365725f7461626c65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7372636d656e755f69705f686965726172636879:3136:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73746174656d6f6e69746f725f72657365745f72756e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636167657474696e6773746172746564766965775f726563656e745f70726f6a65637473:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636173746174656d6f6e69746f725f63616e63656c:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7461736b62616e6e65725f636c6f7365:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74636c636f6e736f6c65766965775f74636c5f636f6e736f6c655f636f64655f656469746f72:3339:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74696d696e67636f6e73747261696e747377697a6172645f6372656174655f636865636b5f74696d696e675f7265706f7274:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7372636d656e755f69705f686965726172636879:3236:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7372636d656e755f726566726573685f686965726172636879:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7374616c6572756e6469616c6f675f796573:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73746174656d6f6e69746f725f72657365745f72756e:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636167657474696e6773746172746564766965775f726563656e745f70726f6a65637473:38:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636173746174656d6f6e69746f725f63616e63656c:38:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7461736b62616e6e65725f636c6f7365:36:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74636c636f6e736f6c65766965775f636c6561725f616c6c5f6f75747075745f696e5f74636c5f636f6e736f6c65:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74636c636f6e736f6c65766965775f74636c5f636f6e736f6c655f636f64655f656469746f72:3530:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74696d696e67636f6e73747261696e747377697a6172645f6372656174655f636865636b5f74696d696e675f7265706f7274:36:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74696d696e67636f6e73747261696e747377697a6172645f6372656174655f6d6574686f646f6c6f67795f7265706f7274:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74696d696e67636f6e73747261696e747377697a6172645f6372656174655f74696d696e675f73756d6d6172795f7265706f7274:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74696d696e67636f6e73747261696e747377697a6172645f766965775f74696d696e675f636f6e73747261696e7473:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d6e616d65747265655f77617665666f726d5f6e616d655f74726565:323633:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74696d696e67636f6e73747261696e747377697a6172645f6372656174655f74696d696e675f73756d6d6172795f7265706f7274:36:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74696d696e67636f6e73747261696e747377697a6172645f676f746f5f636f6e73747261696e74735f73756d6d6172795f70616765:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74696d696e67636f6e73747261696e747377697a6172645f766965775f74696d696e675f636f6e73747261696e7473:36:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:746f756368706f696e747375727665796469616c6f675f6e6f:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d6e616d65747265655f77617665666f726d5f6e616d655f74726565:323832:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d6f7074696f6e73766965775f72657365745f746f5f64656661756c7473:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d6f7074696f6e73766965775f73686f775f7369676e616c5f696e6469636573:34:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d766965775f6164645f6d61726b6572:34:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d766965775f676f746f5f6c6173745f74696d65:34:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d766965775f676f746f5f74696d655f30:33:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d766965775f6e6578745f6d61726b6572:33:00:00 -eof:1736165994 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:786463656469746f72766965775f6170706c795f616c6c5f6368616e6765735f746f5f7864635f636f6e73747261696e7473:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:786463766965776572747265657461626c6570616e656c5f7864635f7669657765725f747265655f7461626c65:34:00:00 +eof:67023714 diff --git a/VHDL/ALU/ALU.cache/wt/java_command_handlers.wdf b/VHDL/ALU/ALU.cache/wt/java_command_handlers.wdf index a1dbbdf..61b4ec9 100644 --- a/VHDL/ALU/ALU.cache/wt/java_command_handlers.wdf +++ b/VHDL/ALU/ALU.cache/wt/java_command_handlers.wdf @@ -1,23 +1,36 @@ version:1 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464736f7572636573:3230:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6164646366676d656d:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464736f7572636573:3234:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6175746f636f6e6e656374746172676574:32:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:636c6f736570726f6a656374:32:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6564697464656c657465:33:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6564697464656c657465:35:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:656469747061737465:35:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:65646974756e646f:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6c61756e63686f70656e746172676574:34:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6c61756e636870726f6772616d66706761:3136:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6e657770726f6a656374:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e68617264776172656d616e61676572:3235:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e70726f6a656374:34:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e696d706c656d656e746174696f6e:33:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e73796e746865736973:3132:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7361766566696c6570726f787968616e646c6572:32:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e726563656e74746172676574:3134:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:70726f6772616d646576696365:3139:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7265706f727474696d696e6773756d6d617279:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e62697467656e:3437:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e696d706c656d656e746174696f6e:36:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e73796e746865736973:3232:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7361766566696c6570726f787968616e646c6572:34:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:736574746172676574636f6e73747266696c65:33:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:736574746f706e6f6465:31:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73686f77736f75726365:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73686f7776696577:3131:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73686f7776696577:3534:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72656c61756e6368:35:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72756e:313331:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:74696d696e67636f6e73747261696e747377697a617264:34:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72756e:313733:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:74696d696e67636f6e73747261696e747377697a617264:37:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:746f67676c65766965776e6176696761746f72:34:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:746f6f6c7373657474696e6773:3133:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:746f6f6c7373657474696e6773:3135:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:757064617465736f7572636566696c6573:35:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b696d706c656d656e746174696f6e:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965776c61796f7574636d64:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b696d706c656d656e746174696f6e:34:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b73796e746865736973:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:77617665666f726d73617665636f6e66696775726174696f6e:3131:00:00 -eof:158833456 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:77617665666f726d73617665636f6e66696775726174696f6e:3133:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:786463637265617465636c6f636b:31:00:00 +eof:2756648638 diff --git a/VHDL/ALU/ALU.cache/wt/project.wpc b/VHDL/ALU/ALU.cache/wt/project.wpc index 7e694a7..edfdec4 100644 --- a/VHDL/ALU/ALU.cache/wt/project.wpc +++ b/VHDL/ALU/ALU.cache/wt/project.wpc @@ -1,3 +1,4 @@ version:1 -6d6f64655f636f756e7465727c4755494d6f6465:21 +57656254616c6b5472616e736d697373696f6e417474656d70746564:12 +6d6f64655f636f756e7465727c4755494d6f6465:24 eof: diff --git a/VHDL/ALU/ALU.cache/wt/synthesis.wdf b/VHDL/ALU/ALU.cache/wt/synthesis.wdf index 3ebe16b..cc6c5df 100644 --- a/VHDL/ALU/ALU.cache/wt/synthesis.wdf +++ b/VHDL/ALU/ALU.cache/wt/synthesis.wdf @@ -33,7 +33,7 @@ version:1 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a313873:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313637332e3238314d42:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3531332e3436314d42:00:00 -eof:428720552 +73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a323373:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313635312e3231394d42:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3439312e3339384d42:00:00 +eof:2592849427 diff --git a/VHDL/ALU/ALU.cache/wt/webtalk_pa.xml b/VHDL/ALU/ALU.cache/wt/webtalk_pa.xml index b8b518b..5f94549 100644 --- a/VHDL/ALU/ALU.cache/wt/webtalk_pa.xml +++ b/VHDL/ALU/ALU.cache/wt/webtalk_pa.xml @@ -3,10 +3,10 @@ - +
- +
@@ -17,66 +17,88 @@ This means code written to parse this file will need to be revisited each subseq - + + + - + + + + + - - - + + + + + + + + - + - - + + - + - + + - + + + - + + - - - - - + + + + + + + + + + - - - - - + + + + + + - + - + - - + + - + + @@ -85,86 +107,129 @@ This means code written to parse this file will need to be revisited each subseq - - + + + - - + + - + - - - + + + + + - - + + + + + + + + + + + + - - + + + + + + - + - + + + + + + + - + - + - - + + + - - + + + + + + + + - - - - + + + + + + - + - + + + + - - + + + - - - - - - - + + + + + + + + + + - - - + + + + + + + - + - +
diff --git a/VHDL/ALU/ALU.hw/ALU.lpr b/VHDL/ALU/ALU.hw/ALU.lpr index 4577eea..68b7fe8 100644 --- a/VHDL/ALU/ALU.hw/ALU.lpr +++ b/VHDL/ALU/ALU.hw/ALU.lpr @@ -3,4 +3,6 @@ - + + + diff --git a/VHDL/ALU/ALU.hw/hw_1/hw.xml b/VHDL/ALU/ALU.hw/hw_1/hw.xml new file mode 100644 index 0000000..f20d5c2 --- /dev/null +++ b/VHDL/ALU/ALU.hw/hw_1/hw.xml @@ -0,0 +1,17 @@ + + + + + + + + + + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_14.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_14.xml new file mode 100644 index 0000000..330f416 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_14.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_15.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_15.xml new file mode 100644 index 0000000..806781c --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_15.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_16.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_16.xml new file mode 100644 index 0000000..a2d5bc4 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_16.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_17.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_17.xml new file mode 100644 index 0000000..a2d5bc4 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_17.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_18.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_18.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_18.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_19.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_19.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_19.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_20.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_20.xml new file mode 100644 index 0000000..330f416 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_20.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_21.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_21.xml new file mode 100644 index 0000000..330f416 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_21.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_22.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_22.xml new file mode 100644 index 0000000..330f416 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_22.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_23.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_23.xml new file mode 100644 index 0000000..330f416 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_23.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_24.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_24.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_24.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_25.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_25.xml new file mode 100644 index 0000000..4c95ac6 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_25.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_26.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_26.xml new file mode 100644 index 0000000..a2d5bc4 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_26.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_27.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_27.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_27.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_28.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_28.xml new file mode 100644 index 0000000..a2d5bc4 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_28.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_29.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_29.xml new file mode 100644 index 0000000..a2d5bc4 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_29.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_30.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_30.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_30.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_31.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_31.xml new file mode 100644 index 0000000..a2d5bc4 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_31.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_32.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_32.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_32.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_33.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_33.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_33.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_34.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_34.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_34.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_35.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_35.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_35.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_36.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_36.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_36.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_37.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_37.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_37.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_38.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_38.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_38.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_39.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_39.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_39.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_40.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_40.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_40.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_41.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_41.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_41.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_42.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_42.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_42.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_43.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_43.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_43.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_44.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_44.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_44.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_45.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_45.xml new file mode 100644 index 0000000..a2d5bc4 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_45.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_46.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_46.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_46.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_47.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_47.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_47.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_48.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_48.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_48.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_49.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_49.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_49.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_50.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_50.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_50.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_51.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_51.xml new file mode 100644 index 0000000..715a1f3 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_51.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_52.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_52.xml new file mode 100644 index 0000000..715a1f3 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_52.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_53.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_53.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_53.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_54.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_54.xml new file mode 100644 index 0000000..715a1f3 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_54.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_55.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_55.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_55.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_56.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_56.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_56.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_57.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_57.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_57.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_58.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_58.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_58.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_59.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_59.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_59.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_60.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_60.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_60.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_61.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_61.xml new file mode 100644 index 0000000..b34d040 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_61.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/impl_1/.Vivado_Implementation.queue.rst b/VHDL/ALU/ALU.runs/impl_1/.Vivado_Implementation.queue.rst new file mode 100644 index 0000000..e69de29 diff --git a/VHDL/ALU/ALU.runs/impl_1/.init_design.begin.rst b/VHDL/ALU/ALU.runs/impl_1/.init_design.begin.rst new file mode 100644 index 0000000..bc98f66 --- /dev/null +++ b/VHDL/ALU/ALU.runs/impl_1/.init_design.begin.rst @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/impl_1/.init_design.end.rst b/VHDL/ALU/ALU.runs/impl_1/.init_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/VHDL/ALU/ALU.runs/impl_1/.opt_design.begin.rst b/VHDL/ALU/ALU.runs/impl_1/.opt_design.begin.rst new file mode 100644 index 0000000..bc98f66 --- /dev/null +++ b/VHDL/ALU/ALU.runs/impl_1/.opt_design.begin.rst @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/impl_1/.opt_design.end.rst b/VHDL/ALU/ALU.runs/impl_1/.opt_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/VHDL/ALU/ALU.runs/impl_1/.place_design.begin.rst b/VHDL/ALU/ALU.runs/impl_1/.place_design.begin.rst new file mode 100644 index 0000000..bc98f66 --- /dev/null +++ b/VHDL/ALU/ALU.runs/impl_1/.place_design.begin.rst @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/impl_1/.place_design.end.rst b/VHDL/ALU/ALU.runs/impl_1/.place_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/VHDL/ALU/ALU.runs/impl_1/.route_design.begin.rst b/VHDL/ALU/ALU.runs/impl_1/.route_design.begin.rst new file mode 100644 index 0000000..bc98f66 --- /dev/null +++ b/VHDL/ALU/ALU.runs/impl_1/.route_design.begin.rst @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/impl_1/.route_design.end.rst b/VHDL/ALU/ALU.runs/impl_1/.route_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/VHDL/ALU/ALU.runs/impl_1/.vivado.begin.rst b/VHDL/ALU/ALU.runs/impl_1/.vivado.begin.rst new file mode 100644 index 0000000..4284699 --- /dev/null +++ b/VHDL/ALU/ALU.runs/impl_1/.vivado.begin.rst @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/impl_1/.vivado.end.rst b/VHDL/ALU/ALU.runs/impl_1/.vivado.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/VHDL/ALU/ALU.runs/impl_1/.write_bitstream.begin.rst b/VHDL/ALU/ALU.runs/impl_1/.write_bitstream.begin.rst new file mode 100644 index 0000000..bc98f66 --- /dev/null +++ b/VHDL/ALU/ALU.runs/impl_1/.write_bitstream.begin.rst @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/impl_1/.write_bitstream.end.rst b/VHDL/ALU/ALU.runs/impl_1/.write_bitstream.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/VHDL/ALU/ALU.runs/impl_1/ISEWrap.js b/VHDL/ALU/ALU.runs/impl_1/ISEWrap.js new file mode 100755 index 0000000..8284d2d --- /dev/null +++ b/VHDL/ALU/ALU.runs/impl_1/ISEWrap.js @@ -0,0 +1,244 @@ +// +// Vivado(TM) +// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 +// Copyright 1986-1999, 2001-2013,2015 Xilinx, Inc. All Rights Reserved. +// + +// GLOBAL VARIABLES +var ISEShell = new ActiveXObject( "WScript.Shell" ); +var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); +var ISERunDir = ""; +var ISELogFile = "runme.log"; +var ISELogFileStr = null; +var ISELogEcho = true; +var ISEOldVersionWSH = false; + + + +// BOOTSTRAP +ISEInit(); + + + +// +// ISE FUNCTIONS +// +function ISEInit() { + + // 1. RUN DIR setup + var ISEScrFP = WScript.ScriptFullName; + var ISEScrN = WScript.ScriptName; + ISERunDir = + ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); + + // 2. LOG file setup + ISELogFileStr = ISEOpenFile( ISELogFile ); + + // 3. LOG echo? + var ISEScriptArgs = WScript.Arguments; + for ( var loopi=0; loopi> " + ISELogFile + " 2>&1"; + ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); + ISELogFileStr = ISEOpenFile( ISELogFile ); + + } else { // WSH 5.6 + + // LAUNCH! + ISEShell.CurrentDirectory = ISERunDir; + + // Redirect STDERR to STDOUT + ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; + var ISEProcess = ISEShell.Exec( ISECmdLine ); + + // BEGIN file creation + var ISENetwork = WScript.CreateObject( "WScript.Network" ); + var ISEHost = ISENetwork.ComputerName; + var ISEUser = ISENetwork.UserName; + var ISEPid = ISEProcess.ProcessID; + var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.WriteLine( " " ); + ISEBeginFile.WriteLine( " " ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.Close(); + + var ISEOutStr = ISEProcess.StdOut; + var ISEErrStr = ISEProcess.StdErr; + + // WAIT for ISEStep to finish + while ( ISEProcess.Status == 0 ) { + + // dump stdout then stderr - feels a little arbitrary + while ( !ISEOutStr.AtEndOfStream ) { + ISEStdOut( ISEOutStr.ReadLine() ); + } + + WScript.Sleep( 100 ); + } + + ISEExitCode = ISEProcess.ExitCode; + } + + ISELogFileStr.Close(); + + // END/ERROR file creation + if ( ISEExitCode != 0 ) { + ISETouchFile( ISEStep, "error" ); + + } else { + ISETouchFile( ISEStep, "end" ); + } + + return ISEExitCode; +} + + +// +// UTILITIES +// +function ISEStdOut( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdOut.WriteLine( ISELine ); + } +} + +function ISEStdErr( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdErr.WriteLine( ISELine ); + } +} + +function ISETouchFile( ISERoot, ISEStatus ) { + + var ISETFile = + ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); + ISETFile.Close(); +} + +function ISEOpenFile( ISEFilename ) { + + // This function has been updated to deal with a problem seen in CR #870871. + // In that case the user runs a script that runs impl_1, and then turns around + // and runs impl_1 -to_step write_bitstream. That second run takes place in + // the same directory, which means we may hit some of the same files, and in + // particular, we will open the runme.log file. Even though this script closes + // the file (now), we see cases where a subsequent attempt to open the file + // fails. Perhaps the OS is slow to release the lock, or the disk comes into + // play? In any case, we try to work around this by first waiting if the file + // is already there for an arbitrary 5 seconds. Then we use a try-catch block + // and try to open the file 10 times with a one second delay after each attempt. + // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. + // If there is an unrecognized exception when trying to open the file, we output + // an error message and write details to an exception.log file. + var ISEFullPath = ISERunDir + "/" + ISEFilename; + if (ISEFileSys.FileExists(ISEFullPath)) { + // File is already there. This could be a problem. Wait in case it is still in use. + WScript.Sleep(5000); + } + var i; + for (i = 0; i < 10; ++i) { + try { + return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); + } catch (exception) { + var error_code = exception.number & 0xFFFF; // The other bits are a facility code. + if (error_code == 52) { // 52 is bad file name or number. + // Wait a second and try again. + WScript.Sleep(1000); + continue; + } else { + WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + var exceptionFilePath = ISERunDir + "/exception.log"; + if (!ISEFileSys.FileExists(exceptionFilePath)) { + WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); + var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); + exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + exceptionFile.WriteLine("\tException name: " + exception.name); + exceptionFile.WriteLine("\tException error code: " + error_code); + exceptionFile.WriteLine("\tException message: " + exception.message); + exceptionFile.Close(); + } + throw exception; + } + } + } + // If we reached this point, we failed to open the file after 10 attempts. + // We need to error out. + WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); + WScript.Quit(1); +} diff --git a/VHDL/ALU/ALU.runs/impl_1/ISEWrap.sh b/VHDL/ALU/ALU.runs/impl_1/ISEWrap.sh new file mode 100755 index 0000000..e1a8f5d --- /dev/null +++ b/VHDL/ALU/ALU.runs/impl_1/ISEWrap.sh @@ -0,0 +1,63 @@ +#!/bin/sh + +# +# Vivado(TM) +# ISEWrap.sh: Vivado Runs Script for UNIX +# Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. +# + +HD_LOG=$1 +shift + +# CHECK for a STOP FILE +if [ -f .stop.rst ] +then +echo "" >> $HD_LOG +echo "*** Halting run - EA reset detected ***" >> $HD_LOG +echo "" >> $HD_LOG +exit 1 +fi + +ISE_STEP=$1 +shift + +# WRITE STEP HEADER to LOG +echo "" >> $HD_LOG +echo "*** Running $ISE_STEP" >> $HD_LOG +echo " with args $@" >> $HD_LOG +echo "" >> $HD_LOG + +# LAUNCH! +$ISE_STEP "$@" >> $HD_LOG 2>&1 & + +# BEGIN file creation +ISE_PID=$! +if [ X != X$HOSTNAME ] +then +ISE_HOST=$HOSTNAME #bash +else +ISE_HOST=$HOST #csh +fi +ISE_USER=$USER +ISE_BEGINFILE=.$ISE_STEP.begin.rst +/bin/touch $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE +echo " " >> $ISE_BEGINFILE +echo " " >> $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE + +# WAIT for ISEStep to finish +wait $ISE_PID + +# END/ERROR file creation +RETVAL=$? +if [ $RETVAL -eq 0 ] +then + /bin/touch .$ISE_STEP.end.rst +else + /bin/touch .$ISE_STEP.error.rst +fi + +exit $RETVAL + diff --git a/VHDL/ALU/ALU.runs/impl_1/Pipeline.bit b/VHDL/ALU/ALU.runs/impl_1/Pipeline.bit new file mode 100644 index 0000000000000000000000000000000000000000..c07098d9df8b2014d411f1cfbf8ce1e88d0a9a3b GIT binary patch literal 2192116 zcmeF)4}cw2efR$}v$LDKmnC~QY(gLqX4i-drMj|+{4|nDTxdj}c2yQ_YGt)HLfZ#X zDdEvd&R*7d35d}mHU3?x{D9RO+sA)3Ev$r}wKf&{-=`I!{rU6p>C<0K3sI|>`+UA< z?!9~WUv@VF0(qZg=bSm`%-p-LbI;7&**P<=z4KuH&$;Mz?#!Xful)7jy8Mb?f8p?3 zfBh{l8GKRyt1qmD7hdw~Z+YwGZ@S_|XZJt%yl0>7Uhg`d|GI(aUG-~MzVYmVbKS4G zF*GdEP&@)}1#009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILK;UU95Ir@1tI|Sm zbIpers`1n*zyJ8h`MoBO^ZIM6U-gSm%a#d{m1alM5wJ&~IJ%)hFnM7JeDOaFxfhM&Yqs*<-q{ zXs?~ns zI!}`t=@a~W)L*Y2FZ$)zd~VTIpX?RuTbO!Wf4?*5>-kUG`1psObZ_k`XZWNOq$Go^a^N@=l(1?_=g?Vdnh2zi{;AYm-I4_Zh!beYtvE zFRstm<9hz%9nXBdne+3$e%s1;-ut1Q1xVz|52QGv}AQ!lf>7f?w(q$5#Dx#;-eben#?dMjoCR9?UQq6|BN%! zpYiMS@(3V+00PIZK;~SOxk0D@tx8XY+Pw1e@~M(}QX6%A)ao)V;OxiEoVUD%Lsoyi zCBOc%YZqSo6I`SIJLs3nO&0z7@wn@C`X77e;>J=_^LcrrV7`Pc8pm$jxwLF`X3ksQ zqQcDgv&h$9zQ|+dMZJf|rc%u?9j}`_HmhYV2q1s}0th_y1+pdl3WZ->LglA*)$|Ga z?Xm@5=lK73!75aE3y!>ISmm**@Z|d@w6xzWU0U^{ zuf1yc=$AeAmdrm^(>`4F?p2RxpiHYfqb!>-U!N^}rk+}I?3cL26Ez%giErzutLLqd zH7!58UFtbqwOS>Y=j3rc-Lwizc3m^$ed*rRQ7Xq&Lr3LjEj)=51G_a7G(7 z@{c~z^_rt!_Sjo8|BTl@>FKQBe~z5yy7eBSIMqMr^ZK)vD&6Y{2q1s}0tg_000Iag zfB*srAb`}yMwt%47=!X(VQ)7@5^Yg#U?&DUd_ZC-BGUx%Dy za+1yXt!K{9D6X?Ls`+|_uPQNVf2CSD`1)FERkPWPluo>8b|yJT6v&QP+JD5-S%q`0 ztaJCQYGfRpKzekG%~~p3&RB<8D2vTV7hAEZUe=VJFS-21^OZbx^ZjG{)^*sFhb&Ls z;hJ|H1zoz8G-X(wLTw~?J$41J+*CC+#5+qZt)E6{yg)5y#qvhc(=HJ$@EiFV$QVo_?7*`fPSXXlaAEYQ?hV%s(qZ40G+wY7zkzUZxXS(#Hc^OUAmmgIdU zQ}41_U+W)9RMDITAEr~2WgS!Lr%NiU{UYHb`P2VW_IX#(1}CH<>6heN(8QJeu2!Fa z-fOQ`tDP^Ss)_R4ty1o z_*V6l)Q1jJNYzXpKu?8C8z_ast9%+frK*tFR}LLDDbK!EMDkCTRB$T<}S2awro7& z*12fRuHsfsSgjS*!ttE%s#7c&`_!vWJRZf~#hG)f6-UY`*d#xgjkUK);>0Vbm}oEG z>Qi+WmkgHTtmI2>)N8{RM{bvMW4&5PWwS$9&+I&M<`U3uz;@)?2Kk-oE1e%LxiM>x zDAlGaN>$=j=(Ojyt=FqvMTb@^+{;`?zO=kszaa;_t_yW}~ShIUhlzVr`zR~b3p^;|uc zxECa9Ms+ELa8MjljY;%-jUqv$iY5{dV|f$JG`x{aAJ_ta|d@53>H&o^9cLz9JN(l1D)gcJj=s$I`HHW&%`X0<4~*&nD@wH7H(T85 zEP8L>;6>^yJum;Wo0ZpM>uj`5NNdvh_Iir=$O3knL?J3CwJrnL*c-8eX=Po?~KQE+!aOF#N(^hWf%6l72iI^_32AZ@fNjJn--iA zxChP*ka=fYk}-WhiKCs}-!8Vl^3!*|XWQT3bmocAIrCk&KC<)9m3vy89a8B_{T=5` z`o2$mW3qDH{>k${|4-N5biWZtszV?x|&wR&& z?{C?8%d$3=@^@Qq=kUP(ty^6+zZlQTriaq;)90C6NT5qC zGBi{jSTmtE*?Z$hmOXz%*P%np{!Hp&(TNFH-MxEY|HSaY&iw=H*H28`cAFIpDBs%T zU}xuqwMo^v`L5%lpDfe}Gs+*>aOlvbmv#=XsaA(C-TUdg|Lg}F9%y;^`9IL{l9<(7 z)E{>5-anAH$-aG!HVOU2ayy6j5A5DuRkazbuFujYb%_Pz*Ia5<9_VOo?cbm_8Fs^$ zcAftGdmrggo1F8AS|hZH^^>hz_YdUTu6_F&+pf@0CWf``ny@x;)#}!{Uy^Ot+%9wF zmckdsL09V4&U}Twk}I~ytu$igDL0*TuCH5hPacmvw>{+RB)v{r>dQ%KMI*KX%byn3 z{`s_7W{x1>PqxBq^k-jvO^GiHaedxSns{BvVqqjqmE%JqEmbW3H8-N=EbPj+S!peH z`Fis*o37&C=u;R=P1R17^gpk8K|7+_x^>HKcI35n>*beg|8lvNr&o3k*r8c#t9#ZO zw`PrdR#*LQs?Cclz3OW-GMHVo!1!nKsvD-YiR~$@O>8f}d-vs+YyZ+{lj?BcWqbBa zJZsJHnl%&8+B?s^I9GjT(Qko@GXx$wm}gYOzP(;(ZL)iJbDLB{n_PM2aCM-w@Uq@* z)n~1#PE@PUYTZ*`W_sNA_387>EnXngNi7T0+r+kA)+STiuDngsbXeaq_wVoBHvFtL z6FOv`zfJDR*UNN1fnuhTXs&UL`r}Acnqz#UPLrs9*r6*qYdm9Zef9i$y{XraeswBM zYA%7>(~xW95xr6thj4p3XmNdhR(yJ1&7Ynhhs{ww5|*#m%d6Y8?LAXzQcD!@jrys} zLW?OQZDeL{Qt7NQKO<2qs2w0T6&8A%W=I}EpmyLpcMWS33(530v8>D{OA05|_ESq* z=$NdW&Hk;A*i5slFFNOgi>^t<`2^xnkZP)aveYoOaDB;BC+n$M@lmXQ);gX(8R^Vl zC0x&)gIZCZ3Kv19x^5!O>im{U!Zk)#MIo(M40D>;mEl&mxyzLvtd|ncEzoU8wwXSl zx>8G*nGPi-9RcgX%&I-)E?8-r?K)$UMat00k_N6WrO-8b^@Xhq>HMQE)3aC6ik$QH zsuqvyrye@?)l;+L*#`u(svIjBqKFbNMt!KW#HX&*UWmJ0+h*s^*xcnZr}FN;bfa@S zT>QT5vK4NV&%EDUN>g2S=Sp2J?Q$p8`qE1O3R_2F)vF_Cx2bsHfD8uvUN%7()QMkePPjFA7{2DQuh%4I&b|#3)m*EM>(hWl{2pl zT?+0)6WB#wR>M|mFEACB{qj_r)Pe%~V@VBC+n_X49~e@s#dMWviz2mHq({#y<=hGS zNqm;~4(XSioy#wkLW|_hU>C01_#m%>snCAXRGQSh0^u@JmHJrMZ54KDAW7<1>{M%b zWtJ10j%nb6E<4S@u&8pwOzn7iu(bX=9Y>D8N4N8(p%_g*z5xHb7p576!vq^^YSLIaN=J%WmSH zKJ6rG`w83OC|hIZypm_AQO;CGs>RgPLXoBlX_&f`ej$&U&L@x#$D9XfwrmSUb<(>FedhMr~$; zs|(Rt+Vbc?_D0S2wT32Asx+DmO(t%6d*LGW3su(<)w(u6s@=IR&>m7x2;IRArtaP( z_T{*=X++zy)G8|!big(}blQO&;fMlRUYaV3o{>#PZe!Sm^ler~mL>kI%|+MV5sgIQ zE1>I5(=0BfZsX)x;S5+IQ$6QWYXKc^B^rC;Hic%+EMHw_b!sit2!;Q@kt8vvK$Pc3 zwR_w|?b_)}HXg0jwqvGZE!~L5eMlw;C!;Ynl~-t#sUd9*ek$L}YA<88PMWnk%Oy++ z_&1uGh9SWDcrOLL8_qJH1U3n#qe7N(9Yw5Gfws0+2 zl}1?g_C}J#`~vz+pLdFPh4z+qb&9d9q;7MsxwrYT7oJ(@xqnncf=cg>ZHN80UyZY< zFrKa6luS5X!Rp$>9dABxV@0>1aQ>mnE3!X|E2FMMhXr~62u*7xhZr-{pa2Bn$(rYKJmV! z=tkrAvTdqtEU7P>d_QN0D^Y?%7uJ_#h{rAL|xWaq??uRe< z?ysKr@q0e}{f~Zn%iG?&j@Zv1Bd zXWl=0|Np-J`(OY3C+~b`_j|6heiEsljIYuyKc`-@lY16SVH`O%U}NK=m$!69*Xs*> zSN7uGR*gxlYRKF`@zP?^Wi6L&;{5Usc_t{v^;RZU#d;^zU-HJ_gI^pdTMo` ztLxHBlfjlt4jxpS?CV^=b@%$qw{E@dw*C7Ks!b{fY;{$&$?o0z_Nh&F@855iebXj$ zFfS=$|7SpeYijV@Aq{*OR2^&YFwkMjc0s` zi+r8?i`7V7qG9Ky-(EGj<(tQApKl@G4Giyd)qVR0Cbn!D*1mrC?yXzhJ}cG8Amw-3 z{U!G6{t_BD-+1=Sj5ayx*;b#hut0O03=eF$w7$e?55DLpd3su#4BvL!@V>lFs?BYp zQI4Ghy1&F!n}my8T~=S8PN3aib9eaIM1LsZXK z9Qv78Yrm*oR*&$Hq%q-?-2_&;}T=Q|# z9Hq)iLw05r_1^}oEGA_70#o|ZVro8(>%@=m?^bH8esOK0>RIuR8w-(Gia@%Q%JbKq z`H|3SedjIn*FPpo!a>jiziHTZWwtp=RkOAW&|l{@6MJP@uG?v|rBqi7g^*+GVlY+9 zl#`}*Ud5&%Osyu-G=Z!(7b)JTv55K51YO0Y+XZK>iLUL^mZlVS z>wu|Mbv2jTVQ&r{F7{Hc@)K1E1r}vC(Izd^zs+vEk#E^~=nU0E+BSXl&0jsP)gsCt zJd;YiH65`}zZ=nYz}W>Zc3HVMyLhCuaWslIyH{kH_d3n{iC&oveZXzj1ukXhUatnw zg?2;P|6OiNceyS-`AOy0j1?=1yz{|cS03x!$_GN357SyB*3x-I>#TDxk-S~0r4Z?Y z>{MN0yc7*?vOdvThsg0celz*_P{4)+W3~xJjEYsHmhy3Y<2Vt1DX~@<%8C! zciApqaqf>wdR$gnH`~-My>O0(Dy*<^asG}p)py1;{MUu_yE5l4@GjEED@)Y~8ty1+ zh1hF#i4F*qp?q)GQ|f~JLP^hq8q&r2XQ@uF>L}DYS4cBy63`%%@L|=qDRZsfuKO7( zZCaV>Dn~)9Nd|Q>-CuQSNN-6GHq~c8(Gn#+Fi6h?)~r=Y+g+c~8^eEy>IXuJt*ZY*O{< zA)P*ZjL!Mm@4ZQJIq^D13WFC?*T2HWtzRp@w{%Crum8kJ}@!3k1bVk zQTwFYL3@QtETH*Soh$!^!0uGB|d*WCZnP5Q#8uZB7zP`C1J zUKbR5R~S!S>^8-=1yoM)*6_`8mF-t7sI|1V722GI?Nxry0&kfXyuJLikWQOBmS@J) zO8Ps)RW8|=E zOB1FGWch4nah}#^R!@tKqok~jSjbeqo*~!Lug3VTQQav*h3)n!S52LJ%yh$oSlvi% z7Wq=rV+YeZX_lqVP4-xgJ{N113YklFrCz+w`b67bSWZ1;^#t)Ofi~%d&vC5Je_U!D z0+ZXww8>rNUhVC9rDAu!ts}M6%EGE2ejs+MpSLBsrG3S6cfzjX z_1c6*adFIA^7vNm?RC8CHx+jDu5PjZx9VHr1?v;Vgy{mK`K;feZ`rNKt%!7S&{%23 ziWm2IYn7JReOB^U_KkMAj$7khK08idOavP*ccl-ywvJuy#V*cD?!t1C7Wyw3X)hGs z5WCIVtex|Mj)Gd&mCL@YGhQoC-28!_?&uY2li$_0Ed+~wo@5#Xa*ffvJNVDPt9b5- z$E`T=TQ~jWTfe>ct=oFSe&f0w*B{>Y$Z!6)-?{TYKl1fkum0jU9{Sjh@(vAjEWc;j z`Y*++mVe;Vm#(UQbJZ&kf8p>azV)J4Z9i$vhp+hG%U?6{SF6syYi&n&`|+*UmB-3{ zwETk&hwpmfY0uL*?5-cFEy9c2=OKR=N)ROAO(9a7DB(xH@SdAKuQoa1?H~Ql;kR%9 z)})ZPCYF=g^Rnx>i*oYH79S|L9uNfq`>vFxUFa?YV%-^IfL% z$3vY1UBwBpc6GJfm)zLeGEjNzSaJN#zI<4hL>o0FTQR7MW@Bj0I2Z!B0T?=GQ z)_e(~&=5oH>@;YeC zLuco|sZIRfX&?W^dLHpi0e!<$uy2HlG;XmzZ{ru+lZ|8DUrdw2S2k8kh2mIYQz`8_ z$;L0f#P!%>iA^kQ4C5b_H?;coIz(2EckYE&V&{$n?O;)+)tR%khnrz3(NugKRKHUBMt*+z+c8V+MPl^iq zi%A&gsJr24eYUBZToJb@>faH{ZT)BI9OmnE%Sq+x8%x)wKj>?RVwp^laZon>_yqp-t>Y1P5Dx zG}R`RitXJm-M(#m{aL^d*c~MXhkISG&LlUQB7bckqDf67_VK}DF%ykwbk$ex+0(z_ zw7c~j(psBThoAogJ$bP@ymg?u`<8S6yz2J-uC1-!PlmS*Y-nzi{ard9=+X(XsbCwl zJXF2n(jV03h!zmgDD0|p2es`wbm-(SO|^*|PVUu{7xkS{KU>Z{w>oXxrK4Nhc6lwU zjn}rlY3YI9uED*71N#0M&iqe*)#{|?3+iixBMF2}j6TW5OttitDDcvuPv`DjKcDb`t^M0*6ypNr(R1{?X@%|NZ|Bb!QY;SL{l6xG(QpwPIvYB zFzdrqlNtH$_P%`IUdwkg*Q@XG_t)~~D66lPcwPbP8}$&*hSg@Yv6>yy8CjFl=BHM5 zqW<=4fAk_8r9fs!uuBN@*0zFL;pTZOsSe>N1)6W~xX4vZ^^^8jS?g%mL^wKurS_9W zyh`Ec(?!&xiWfosCsnGR_IA~TZT@vCD^--baXYD{ywviG`tkbF-XXO}CsxALN_+EE zZCcL8oy)>ZhrS2pONH5{3Hz7^My6Dh&nXHio9HKwtZdLmHR>c|US(sHSYbYtp;1{` zBVk6T8MC^+j+d=&78*mjI@r~8`HgnsQu+20U3OYBQzE~XIOH-30;ZMfLM;os>?~v4 zTN$yjS#ijccB;1N$=(+a>BD@p-!+(y`AkpOEWDvy+FaV~bem$;sI`ii!va~RZ!cwa zBF!f&bd6q?s?ys3RB0B!en^pm4^vI*3)kn5;kdrRh=ZNxvRY26O;W1M8f&u!#d>Ii zuA>jb86~I;->z%5TT5m66}ppM+Gc6#wwk6PM;h%Af~`Ie(Hj+8Zle+MhOf1Mt=2Ie z`TVy}YoFLuG`Uguqleq%)e>$+6zx(yv8v4H*LdagdEd&{;nt1a=q?)4gN9t3+@l`Q zp=C4o;%qSQHnt|`PX2=OoO;Tjbt3zzg|wbH#$#Xm_~x;Fl=XZdZ~BJsl~Oavy^_dz zEY`!Dbgh;?;ED0tk7`;IC8<*5Hm_y8xT5WMa(h@@wU0np&(`4J6s9RMRn`%+>J_w^x+6}< zb=>uF75B#FiVm}VZ|v^KYo~d{GzfT2_3a~sM5Nx^HxKjHRl#n|Rdfg9xV0@QtSh8? z7TP^yy5Q8O1@B|0Yf)YQ>a^f?=x@NqA!kdk_O?At->3EYzD|wRQhm*5YOcg9)p??u zbn0QfKL7F)>!8i{D};u?jh0IFLAD>I;uWEH^t!i%LF^ih(&l!>Q97FHb6qM_VqLvu z^)=@@^fRem;++P^C5a|VxZ`y+9cc_unEX9N!#Bjq?LZRI!%;XyDsi<%e9U|T9x61}*eNr@C$pOK3Omwci>G2WBD1 z5t;?OeMWEAPjr>ngRI@IS0v77nKCjLZ@#hQ&fM(29l1+-&Ut9;(+{~-y8Vp~%vvQp zRy(Av+_+-J#xN|~kL$wIsHBlYT89qi3U(akif_=~{&#+(Pvd^yczV2YZK2R!ijo%; zPTgF(Uh5)E6Yya!5}87qZ*hNnVY`d|U8ddgJ}=$x(yiwWm98y)WqW$^4J+PW(hgkr zB&fJV=k#@s-Zn}}>xKOfr61f>(6h0%;nTU{#Fs&POh}{{B}T+PQP&@9xO{ z;hHyo>$`t)?Rh`C_VD5VS-p0{-PP({|8my3*IZMnjPLl!jq&e~`N_7*SI6Fe`0(NL z|LI?U=QZazJ^QavTDEfM&h9ozzrSd)i>^5Q^()?V?X|j&^^`Y$;r!>{b=GT7{pgzW z4}bLTm#+WQQF{kY?MtW$NJDKB6^b_}YLiE@M%@wr$sFv3RrYWfX1sx5ICHN8TpK?|Iuh&VRvaFZ%r5-#>iUX%F6Yw|9EF zCjDgbpGf_6wxz{&4o?iMpSZK@z?d88eDqNp-#D<}uEkgWKxgOHtzB-wSF7`1(2}`9 zo$9jgQ21RNEqUO8t3JAS&v>=7I6U84(!FRhmDpI@!B)4!+lJv#2%(XXq%AMNk#e6;fDqhI^l zx4%9AGL+0Epf>5e^MhM2zx#)3P zRoCrz+O_-o?z15(J=#A!++XSFpiN9BOA}x_Y$jy7SH`n%Z{l z-*4M4wTZS}^RGLzqR=8;-8Krcw|8KmU)!#OHWZjJO`tZ8QC}(aty)1_{Ye|oSdU9X zrPWcIY`R$aE=}~Ma`h(rGE+)5)%eExa~gGdkex=^q;Zgyu%7T-Mx71LW39KO*Kk@v zS5K|3UL(9_80(mq*^-2keVecoAC2cS`W1p3)HuWy8i%N+@w=^D_vZ3JtA9rrzo>LR zZ_jB|D7laymomR4pP#Y zXzkcAyr!$`)KmNWH8x0xR@!~L!NKc4=_c;$a)Vt%+Q++ziG$h_?b|moT-~RKn(5dp z>?{UO>*_kqxt|<5b^pP9d~f8##_7u6wte~eXX_&{+1dH~TEnTQKK$^3UUk1c)7}R& z4FL_03vIGt!-hj08>(ye?%iMAuwl)b0S%k0?ssn6w!i$|aP__i^pNbYYyG6Zb#TzFY29<`sjY`>2WhgY~=ChYepZV=?m)zn1(6;N5LHov|esZAK`pJFtlR4VMvW7c{ zLz{GT^dH)`Z9;A023ikIRJGZ%Hrdc}-vw_}n>;Yk+f}^tPS<1oB;R&9^^^Vkw+%n? z1ARl;ewu=|U6-CZ&{=Pj&`-{MPE~F5^?S7ExhQ-KRr?+2efVLu$@n~PiR>p#;3c1a z!d{9OLg1+?P&(&_Y-~Srb5wls9dru?nl$cD2>Z7t!DJ8)YQs7SNf-T0tJ`R7g{>JY6B7 z4kAk5a8<65LwjhTo$mb{7O{E#giHB~y1Kqnu&c!N|3s^7b+o!PT*ap};iv?f+b7Z* zvapuSdHr=bPdm#FA+xC_UVkXbQ)-_y%Iy5}@u@}?SONT` zJDLwo1@%x*W|mN&PN>MBhoX{e*pzNyN!R2a^nN$RcF*wWg^*ZYj_NRcl(YcVnqKmY**5I_I{1Q0*~ z0R#|0009ILK;Re=C}#RabwYP$9A9Jw?wvNVP_PQB7b{XI6-Tw>z*@BV=yK(wTk| zXVt@v3f;*g`4X0!*m^awI)tMVh%a`!t<-eFUApAAG*oA{vJvYAiFdKRMxuT&n7Q`} z-tF3C{igGIg>1#H@{k|OO73KRVbOnud>WEW781x(tC5yA*-dJ_*Cd_xxz21eG!<-X zrUvkts@av^t5vz=bzfDxas8kQJAUD!U3%Efh|7FfD%DgA5Ed_BTy~|F?vO6JQEmU7 zcWzucA*czwE7w{jDu?|`t;jB(Z+DZ{hWd7C{iYCWO{eGF%(Cbu9`)uVZ*fUhT(p|cDeW?`dHZ384`Pr^eIoZYb zn1b2{ZhB7(3o|)_K%z3;xki1Y+v&L{;gnUVZUxG2o+8!idMX1GOaRTZM`aoOo`d_Y;?E*+Yp6Uq@%8T8tey2-)tQlR^ zsBM>R$y8IJsIezX`8c(0U8*A0)F$gPRqb>Gis}PpRIp z?aD9JwrQ56#+$c<4tKQ|$%C3siT?JI@7^5uwY9n4RJWXR#XAb|NNHS4e$7=1y{X!g zutb3@)bA>7v^dMuK&yQw?)+cK)Ti8AVU#9{LYr;A-*p!f_Y!@f+^7d_=?JWJopYO8 zHU8w2?yK**C%#{M|1@)%dxhG0EY@;_MGC~S4?&;i!e*t^9iw%8kTz7*E$_?PcEul0txCNe+H@S|ErYOVfh2r}d)?rfiF^49 z?Hvl~z0Z8Ti_ftmr%1aCw<6YHMcX52L#E~mA;eJ}wX2Ktslm?3ynWM8oIBy`Zqlk~ z(ad6R6T(siYFqoPl4hw(Iuh@O)}>j8aP|3jk-l(0$+G||_83t5;lS(-qk?|nV3eWhn;v2%L*T$H3yAxdn|kwmF4u5ibt zPF3=?X3demvXE(uw$s~ymduJ)4ZAqYCRaq8%9dkU_Cr{*fQiO(Kil1yxjv=BxkD## zZI251*~XbG^~z99Eoxh+RMQ?cTgRiBwSw1j(}VgOVJQNGa_RBMgy@{ zki%-j~AA(ib6^_Nc3+ihg$_uhAER@eMU5Y^BRXcXySofVX&(|%@N4|Wf z&L?i(m#k}x)d($CHk9Z%py1AFUaih=DYWV5Aw4p!c!i#>5~oQ;$HFJSVpblvlA@kA^V;R5q@^Y9F8MgK7Eo94_98xyT#vSF znm(Ut@dy(=RL>5=C!1djH!C;^n8=U=WqYjpB$)O@%{Cm zysx_a+;f)~S0%??yLQFJuYA_3S6x+!uTq=nF~E6ajGDV^8$II_2aA^-JaEOtBUkPm z|GhhJ*Z$;RUiXlnoc#EoedV81-`plzl&~0qIPtMPv-I$%4jexJO~+RcR}UXve)xMo zPR?y>E3RDL^4gnDKK)glZL3O^omXAbH*`UxO&;>0;grbs7T8L&|P4u*+>s@?B-j!C59(++d$fOiQeSK?piPeC zz4)?NRd>1SJ6!hQPy9of``rIHZG&@f9T-}mO~%Ky?HW8ZXs@I;(Y9;ZOKFqEyU$%S zJhw!r4@+mOJUp``P24J__0gXS_2-_{bKVf@$BE_lB!zn{E)EvH;@oiuUN_eL#WX2? z#p2>%QF+?15tbm}!^Z2HQsq1?6rNe4uN)WF4qSDLG3V56p*H6$_2GQ|o5w2Wt-dO` z*`9}z=)mooD|c);t0TTpmrcC*&G%NWIpwOhTSELhZFWvFoPSxuo1*HJKzw)g@PR?M zLEEjvRm=Wl^@In*Gn@28fjNWr;s^ShwpMQSPMh0XY0jH-Nir7{7!J?OaN#)1+N65e z7WuS%txbl*^H1hjiOPGYwaIBevDTQJq)q0~T3S{iODbAod-cG9irb)G@q_KQf&*1O zTxLVzOxL;JO}NamrU&^Sc(mDn^)J~R{bV}R(adGp@URY^^u@%EeM3J9+b;DH^^=!f zv2FW(o!*@}d(|rMoz^C&#nvWz@`#BOxHMQi1y z;zp~lGs72ZfpBry@Kuend>xEuoDXrH{M*wvSc$(q4HYadDAk_N$7h7xIVLmeYq`{F zd7bb2uVEZx(>hPLl2Dc>m_ES*Jpbfz1)uh%aiLd=@(QtA_Pm9vQhxLT*-{owYL&BP zFIdWA)P39qV(n4RecWH=Sa^YA(=XXLGiAGag~oh7+nCn!(?&);(ekZ&N*8_ClZu0wvd5xLJ_p(0B9~-6O9rPOZF6JDxuM`9=L@)h+K+h8oFRN6C~XEKgm(&U0l)U+&=f^-lA=pl8Pp}B2uCG2*9e=gQe_8)5&~e7H66{xbOE!otx#ga5Vdm-^EOqUer!5DL(RJe3g!}!T2Yg$cJY(&qaxu=dSz3{_^(JvvXl%dc zSVPGbI($?pwncqzOgo=5KR&K~(s^#gntD*JLs*(XoP|%hKJFcDNgQVv6$&qNNmeK% zs|zb#G#Ib0?OtZA);k|^5;s16lCy99=}F0^OnIk%%(=--O`)f2ddsK>J1QV7LLkwWV|)rKLIv@_bgDO>mD zo;366IXVnpxz*|6w7z&-Z<8Vo54=F=kCN9J) zRAB$>Eiik1p^s=kq(0(q4aKbwDN6h8W*?zRLnf0Y2o$0rZL`{=!pc&r^Ic76w!Ear zw5E%7x@7(p?ours5Xa$MWRhgspR{P=D=zVq&Vmn9CW{w{;tNCN!h2V{q28hR!W}Mi z(f!@8?f6V#W%B=ATy$x%*R^%bT;ph-5#3yUEF?F_qyvfcJ5%By1t=xyRJM(+perncP{YWmZoh?VG-emU-T>$Qh(y6e#s_x#xZY<&Zk6he$|6kE2Gxd%elwBaOmND zeAb?KAO9R(oEolEZB%Ve*!8DwZza+JaA=c(fj#f;>^$?#h1=wUH#&E)`Xe1iFWdCPJ9Lfl!M`}Jd3~f8FHoI`T3z&` zEyJz#eqzgJ?%{_!bgZQ|X|*;PAD?%Pp`T1n{-w5B2c5Nv`|DR1T(#4E)xMt)jzVBV z7rTi7`VH;)E~w*#;GbkZ9g1aa?BkRk+}1a%PY=2Gb7 zCMb0ji<^Rl_+IWVxg-#+N%Nz89<=xJ?(V&p&o|e5_mW;dzJJna933TL+eRnGt{oTNA7->Zl5(xukvT%H({>^OP(^zGwDqk9mK zlg3VrNjKTwk7MfN<2a_42oNAZfB*pk1PBlyFttFKQ#@_D)SCwjmy6PW3WWK=S)^uG zNvv&q+mz!hNT;Y{IH+skRxNju@LO6Afh;`ro#p7wx#`8fzt53v89&n+ZWkS%e}B(w zd%d#Wr(MsD%W6K65R>dUsfBmurG*QGH;GGqxE>E0r<9V&ytBjS_%H+x=Xe9*siM#v z-n)NR$cslG54O(MWapE9X*1-uemI}>L1YLJAV7cs0RjXF5FkK+009C72oRW3pf?pc zG!K0Hc)V=NM(lnnfg9P@>0qZ?d%SG0R&D_TzW-za=#!gVORDi6ozgN1w5zX{sXO`- z1k(Cz4g?4gSQUZ6B})DNs`UB1_A^b|BTudRIsU(|M1TMR0t5&UATV2jc0R@$e2%{M z+_-DD#?#kU{gT%)xMZ2WQZ<}PAdOp>{Oh}6(kqrp1PBxY?IKew>%JGeps*xBV0i`7 zbfj<9UwJ|4yg#yuhw~69`B&TXXiysy1hV6#6qEYBd@Nilo3OuCvlkePN%AIdL`-I{ ztwsU_2oNAJH-Yi*HmPldI}{D3?&sDM4HW|67C0mKWT}1%>qg3ott|-|(d5ku* w7&R;F?_%8cL);M1& literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.runs/impl_1/Pipeline.tcl b/VHDL/ALU/ALU.runs/impl_1/Pipeline.tcl new file mode 100644 index 0000000..3eef97e --- /dev/null +++ b/VHDL/ALU/ALU.runs/impl_1/Pipeline.tcl @@ -0,0 +1,171 @@ +# +# Report generation script generated by Vivado +# + +proc create_report { reportName command } { + set status "." + append status $reportName ".fail" + if { [file exists $status] } { + eval file delete [glob $status] + } + send_msg_id runtcl-4 info "Executing : $command" + set retval [eval catch { $command } msg] + if { $retval != 0 } { + set fp [open $status w] + close $fp + send_msg_id runtcl-5 warning "$msg" + } +} +proc start_step { step } { + set stopFile ".stop.rst" + if {[file isfile .stop.rst]} { + puts "" + puts "*** Halting run - EA reset detected ***" + puts "" + puts "" + return -code error + } + set beginFile ".$step.begin.rst" + set platform "$::tcl_platform(platform)" + set user "$::tcl_platform(user)" + set pid [pid] + set host "" + if { [string equal $platform unix] } { + if { [info exist ::env(HOSTNAME)] } { + set host $::env(HOSTNAME) + } + } else { + if { [info exist ::env(COMPUTERNAME)] } { + set host $::env(COMPUTERNAME) + } + } + set ch [open $beginFile w] + puts $ch "" + puts $ch "" + puts $ch " " + puts $ch " " + puts $ch "" + close $ch +} + +proc end_step { step } { + set endFile ".$step.end.rst" + set ch [open $endFile w] + close $ch +} + +proc step_failed { step } { + set endFile ".$step.error.rst" + set ch [open $endFile w] + close $ch +} + + +start_step init_design +set ACTIVE_STEP init_design +set rc [catch { + create_msg_db init_design.pb + set_param xicom.use_bs_reader 1 + create_project -in_memory -part xc7a35tcpg236-1 + set_property board_part digilentinc.com:basys3:part0:1.1 [current_project] + set_property design_mode GateLvl [current_fileset] + set_param project.singleFileAddWarning.threshold 0 + set_property webtalk.parent_dir /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.cache/wt [current_project] + set_property parent.project_path /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.xpr [current_project] + set_property ip_output_repo /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.cache/ip [current_project] + set_property ip_cache_permissions {read write} [current_project] + add_files -quiet /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1/Pipeline.dcp + read_xdc /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc + link_design -top Pipeline -part xc7a35tcpg236-1 + close_msg_db -file init_design.pb +} RESULT] +if {$rc} { + step_failed init_design + return -code error $RESULT +} else { + end_step init_design + unset ACTIVE_STEP +} + +start_step opt_design +set ACTIVE_STEP opt_design +set rc [catch { + create_msg_db opt_design.pb + opt_design + write_checkpoint -force Pipeline_opt.dcp + create_report "impl_1_opt_report_drc_0" "report_drc -file Pipeline_drc_opted.rpt -pb Pipeline_drc_opted.pb -rpx Pipeline_drc_opted.rpx" + close_msg_db -file opt_design.pb +} RESULT] +if {$rc} { + step_failed opt_design + return -code error $RESULT +} else { + end_step opt_design + unset ACTIVE_STEP +} + +start_step place_design +set ACTIVE_STEP place_design +set rc [catch { + create_msg_db place_design.pb + if { [llength [get_debug_cores -quiet] ] > 0 } { + implement_debug_core + } + place_design + write_checkpoint -force Pipeline_placed.dcp + create_report "impl_1_place_report_io_0" "report_io -file Pipeline_io_placed.rpt" + create_report "impl_1_place_report_utilization_0" "report_utilization -file Pipeline_utilization_placed.rpt -pb Pipeline_utilization_placed.pb" + create_report "impl_1_place_report_control_sets_0" "report_control_sets -verbose -file Pipeline_control_sets_placed.rpt" + close_msg_db -file place_design.pb +} RESULT] +if {$rc} { + step_failed place_design + return -code error $RESULT +} else { + end_step place_design + unset ACTIVE_STEP +} + +start_step route_design +set ACTIVE_STEP route_design +set rc [catch { + create_msg_db route_design.pb + route_design + write_checkpoint -force Pipeline_routed.dcp + create_report "impl_1_route_report_drc_0" "report_drc -file Pipeline_drc_routed.rpt -pb Pipeline_drc_routed.pb -rpx Pipeline_drc_routed.rpx" + create_report "impl_1_route_report_methodology_0" "report_methodology -file Pipeline_methodology_drc_routed.rpt -pb Pipeline_methodology_drc_routed.pb -rpx Pipeline_methodology_drc_routed.rpx" + create_report "impl_1_route_report_power_0" "report_power -file Pipeline_power_routed.rpt -pb Pipeline_power_summary_routed.pb -rpx Pipeline_power_routed.rpx" + create_report "impl_1_route_report_route_status_0" "report_route_status -file Pipeline_route_status.rpt -pb Pipeline_route_status.pb" + create_report "impl_1_route_report_timing_summary_0" "report_timing_summary -max_paths 10 -file Pipeline_timing_summary_routed.rpt -pb Pipeline_timing_summary_routed.pb -rpx Pipeline_timing_summary_routed.rpx -warn_on_violation " + create_report "impl_1_route_report_incremental_reuse_0" "report_incremental_reuse -file Pipeline_incremental_reuse_routed.rpt" + create_report "impl_1_route_report_clock_utilization_0" "report_clock_utilization -file Pipeline_clock_utilization_routed.rpt" + create_report "impl_1_route_report_bus_skew_0" "report_bus_skew -warn_on_violation -file Pipeline_bus_skew_routed.rpt -pb Pipeline_bus_skew_routed.pb -rpx Pipeline_bus_skew_routed.rpx" + close_msg_db -file route_design.pb +} RESULT] +if {$rc} { + write_checkpoint -force Pipeline_routed_error.dcp + step_failed route_design + return -code error $RESULT +} else { + end_step route_design + unset ACTIVE_STEP +} + +start_step write_bitstream +set ACTIVE_STEP write_bitstream +set rc [catch { + create_msg_db write_bitstream.pb + catch { write_mem_info -force Pipeline.mmi } + write_bitstream -force Pipeline.bit + catch {write_debug_probes -quiet -force Pipeline} + catch {file copy -force Pipeline.ltx debug_nets.ltx} + close_msg_db -file write_bitstream.pb +} RESULT] +if {$rc} { + step_failed write_bitstream + return -code error $RESULT +} else { + end_step write_bitstream + unset ACTIVE_STEP +} + diff --git a/VHDL/ALU/ALU.runs/impl_1/Pipeline.vdi b/VHDL/ALU/ALU.runs/impl_1/Pipeline.vdi new file mode 100644 index 0000000..35f7c37 --- /dev/null +++ b/VHDL/ALU/ALU.runs/impl_1/Pipeline.vdi @@ -0,0 +1,472 @@ +#----------------------------------------------------------- +# Vivado v2018.2 (64-bit) +# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 +# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 +# Start of session at: Wed May 31 17:57:08 2023 +# Process ID: 144223 +# Current directory: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/impl_1 +# Command line: vivado -log Pipeline.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source Pipeline.tcl -notrace +# Log file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/impl_1/Pipeline.vdi +# Journal file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/impl_1/vivado.jou +#----------------------------------------------------------- +source Pipeline.tcl -notrace +Command: link_design -top Pipeline -part xc7a35tcpg236-1 +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Netlist 29-17] Analyzing 57 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2018.2 +INFO: [Device 21-403] Loading part xc7a35tcpg236-1 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc] +WARNING: [Vivado 12-584] No ports matched 'CLK'. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc:1] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc:1] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'CLK'. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc:2] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc:2] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +Finished Parsing XDC File [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc] +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +7 Infos, 2 Warnings, 2 Critical Warnings and 0 Errors encountered. +link_design completed successfully +link_design: Time (s): cpu = 00:00:06 ; elapsed = 00:00:15 . Memory (MB): peak = 1452.633 ; gain = 288.816 ; free physical = 7211 ; free virtual = 18994 +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t' +Running DRC as a precondition to command opt_design + +Starting DRC Task +INFO: [DRC 23-27] Running DRC with 6 threads +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1519.656 ; gain = 67.023 ; free physical = 7187 ; free virtual = 18970 + +Starting Cache Timing Information Task +INFO: [Timing 38-35] Done setting XDC timing constraints. +Ending Cache Timing Information Task | Checksum: 1e379f571 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:19 . Memory (MB): peak = 1976.156 ; gain = 456.500 ; free physical = 6811 ; free virtual = 18594 + +Starting Logic Optimization Task + +Phase 1 Retarget +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 1 Retarget | Checksum: 1e379f571 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.03 . Memory (MB): peak = 1976.156 ; gain = 0.000 ; free physical = 6811 ; free virtual = 18594 +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells + +Phase 2 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 2 Constant propagation | Checksum: 224c05fcb + +Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.04 . Memory (MB): peak = 1976.156 ; gain = 0.000 ; free physical = 6811 ; free virtual = 18594 +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 3 Sweep +Phase 3 Sweep | Checksum: 237fe1223 + +Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.05 . Memory (MB): peak = 1976.156 ; gain = 0.000 ; free physical = 6811 ; free virtual = 18594 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells + +Phase 4 BUFG optimization +Phase 4 BUFG optimization | Checksum: 237fe1223 + +Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.05 . Memory (MB): peak = 1976.156 ; gain = 0.000 ; free physical = 6811 ; free virtual = 18594 +INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. + +Phase 5 Shift Register Optimization +Phase 5 Shift Register Optimization | Checksum: 141d47eb5 + +Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.07 . Memory (MB): peak = 1976.156 ; gain = 0.000 ; free physical = 6811 ; free virtual = 18594 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Phase 6 Post Processing Netlist +Phase 6 Post Processing Netlist | Checksum: 141d47eb5 + +Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.07 . Memory (MB): peak = 1976.156 ; gain = 0.000 ; free physical = 6811 ; free virtual = 18594 +INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1976.156 ; gain = 0.000 ; free physical = 6811 ; free virtual = 18594 +Ending Logic Optimization Task | Checksum: 141d47eb5 + +Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.07 . Memory (MB): peak = 1976.156 ; gain = 0.000 ; free physical = 6811 ; free virtual = 18594 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: 141d47eb5 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 1976.156 ; gain = 0.000 ; free physical = 6811 ; free virtual = 18594 + +Starting Final Cleanup Task +Ending Final Cleanup Task | Checksum: 141d47eb5 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1976.156 ; gain = 0.000 ; free physical = 6811 ; free virtual = 18594 +INFO: [Common 17-83] Releasing license: Implementation +23 Infos, 2 Warnings, 2 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +opt_design: Time (s): cpu = 00:00:10 ; elapsed = 00:00:21 . Memory (MB): peak = 1976.156 ; gain = 523.523 ; free physical = 6811 ; free virtual = 18594 +INFO: [Timing 38-480] Writing timing data to binary archive. +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2008.172 ; gain = 0.000 ; free physical = 6810 ; free virtual = 18594 +INFO: [Common 17-1381] The checkpoint '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/impl_1/Pipeline_opt.dcp' has been generated. +INFO: [runtcl-4] Executing : report_drc -file Pipeline_drc_opted.rpt -pb Pipeline_drc_opted.pb -rpx Pipeline_drc_opted.rpx +Command: report_drc -file Pipeline_drc_opted.rpt -pb Pipeline_drc_opted.pb -rpx Pipeline_drc_opted.rpx +INFO: [IP_Flow 19-234] Refreshing IP repositories +INFO: [IP_Flow 19-1704] No user IP repositories specified +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/local/insa/Xilinx.VIVADO/Vivado/2018.2/data/ip'. +INFO: [DRC 23-27] Running DRC with 6 threads +INFO: [Coretcl 2-168] The results of DRC are in file /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/impl_1/Pipeline_drc_opted.rpt. +report_drc completed successfully +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t' +INFO: [DRC 23-27] Running DRC with 6 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +INFO: [DRC 23-27] Running DRC with 6 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + +Starting Placer Task +INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 6 CPUs + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2080.207 ; gain = 0.000 ; free physical = 6756 ; free virtual = 18539 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: cf3c03db + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2080.207 ; gain = 0.000 ; free physical = 6756 ; free virtual = 18539 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2080.207 ; gain = 0.000 ; free physical = 6756 ; free virtual = 18539 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 82288bfd + +Time (s): cpu = 00:00:00.60 ; elapsed = 00:00:00.33 . Memory (MB): peak = 2080.207 ; gain = 0.000 ; free physical = 6756 ; free virtual = 18539 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: e4604ef0 + +Time (s): cpu = 00:00:00.65 ; elapsed = 00:00:00.35 . Memory (MB): peak = 2080.207 ; gain = 0.000 ; free physical = 6756 ; free virtual = 18539 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: e4604ef0 + +Time (s): cpu = 00:00:00.66 ; elapsed = 00:00:00.35 . Memory (MB): peak = 2080.207 ; gain = 0.000 ; free physical = 6756 ; free virtual = 18539 +Phase 1 Placer Initialization | Checksum: e4604ef0 + +Time (s): cpu = 00:00:00.66 ; elapsed = 00:00:00.35 . Memory (MB): peak = 2080.207 ; gain = 0.000 ; free physical = 6756 ; free virtual = 18539 + +Phase 2 Global Placement + +Phase 2.1 Floorplanning +Phase 2.1 Floorplanning | Checksum: e4604ef0 + +Time (s): cpu = 00:00:00.67 ; elapsed = 00:00:00.36 . Memory (MB): peak = 2080.207 ; gain = 0.000 ; free physical = 6756 ; free virtual = 18539 +WARNING: [Place 46-29] place_design is not in timing mode. Skip physical synthesis in placer +Phase 2 Global Placement | Checksum: 15cb247ff + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 15cb247ff + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 17faf0d06 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 1bdff9a1c + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 1bdff9a1c + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 + +Phase 3.5 Small Shape Detail Placement +Phase 3.5 Small Shape Detail Placement | Checksum: 112e53995 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 + +Phase 3.6 Re-assign LUT pins +Phase 3.6 Re-assign LUT pins | Checksum: 112e53995 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 + +Phase 3.7 Pipeline Register Optimization +Phase 3.7 Pipeline Register Optimization | Checksum: 112e53995 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 +Phase 3 Detail Placement | Checksum: 112e53995 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +Phase 4.1 Post Commit Optimization | Checksum: 112e53995 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: 112e53995 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 + +Phase 4.3 Placer Reporting +Phase 4.3 Placer Reporting | Checksum: 112e53995 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 + +Phase 4.4 Final Placement Cleanup +Phase 4.4 Final Placement Cleanup | Checksum: 15dc57f83 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 15dc57f83 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 +Ending Placer Task | Checksum: 8268151a + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6751 ; free virtual = 18535 +INFO: [Common 17-83] Releasing license: Implementation +41 Infos, 3 Warnings, 2 Critical Warnings and 0 Errors encountered. +place_design completed successfully +INFO: [Timing 38-480] Writing timing data to binary archive. +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.13 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2096.211 ; gain = 0.000 ; free physical = 6750 ; free virtual = 18535 +INFO: [Common 17-1381] The checkpoint '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/impl_1/Pipeline_placed.dcp' has been generated. +INFO: [runtcl-4] Executing : report_io -file Pipeline_io_placed.rpt +report_io: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.11 . Memory (MB): peak = 2096.211 ; gain = 0.000 ; free physical = 6753 ; free virtual = 18537 +INFO: [runtcl-4] Executing : report_utilization -file Pipeline_utilization_placed.rpt -pb Pipeline_utilization_placed.pb +report_utilization: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.09 . Memory (MB): peak = 2096.211 ; gain = 0.000 ; free physical = 6761 ; free virtual = 18544 +INFO: [runtcl-4] Executing : report_control_sets -verbose -file Pipeline_control_sets_placed.rpt +report_control_sets: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.08 . Memory (MB): peak = 2096.211 ; gain = 0.000 ; free physical = 6751 ; free virtual = 18534 +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t' +Running DRC as a precondition to command route_design +INFO: [DRC 23-27] Running DRC with 6 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 6 CPUs +Checksum: PlaceDB: 1c700adb ConstDB: 0 ShapeSum: 65f80a3f RouteDB: 0 + +Phase 1 Build RT Design +Phase 1 Build RT Design | Checksum: 77742d47 + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 2130.867 ; gain = 34.656 ; free physical = 6653 ; free virtual = 18437 +Post Restoration Checksum: NetGraph: 69321eb NumContArr: 70e10b5c Constraints: 0 Timing: 0 + +Phase 2 Router Initialization +INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode. + +Phase 2.1 Fix Topology Constraints +Phase 2.1 Fix Topology Constraints | Checksum: 77742d47 + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 2145.863 ; gain = 49.652 ; free physical = 6639 ; free virtual = 18422 + +Phase 2.2 Pre Route Cleanup +Phase 2.2 Pre Route Cleanup | Checksum: 77742d47 + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 2145.863 ; gain = 49.652 ; free physical = 6639 ; free virtual = 18422 + Number of Nodes with overlaps = 0 +Phase 2 Router Initialization | Checksum: 16523de4e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 2155.863 ; gain = 59.652 ; free physical = 6629 ; free virtual = 18412 + +Phase 3 Initial Routing +Phase 3 Initial Routing | Checksum: 11b0f7581 + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 2155.863 ; gain = 59.652 ; free physical = 6628 ; free virtual = 18412 + +Phase 4 Rip-up And Reroute + +Phase 4.1 Global Iteration 0 + Number of Nodes with overlaps = 97 + Number of Nodes with overlaps = 0 +Phase 4.1 Global Iteration 0 | Checksum: 14cade65a + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 2155.863 ; gain = 59.652 ; free physical = 6628 ; free virtual = 18412 +Phase 4 Rip-up And Reroute | Checksum: 14cade65a + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 2155.863 ; gain = 59.652 ; free physical = 6628 ; free virtual = 18412 + +Phase 5 Delay and Skew Optimization +Phase 5 Delay and Skew Optimization | Checksum: 14cade65a + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 2155.863 ; gain = 59.652 ; free physical = 6628 ; free virtual = 18412 + +Phase 6 Post Hold Fix + +Phase 6.1 Hold Fix Iter +Phase 6.1 Hold Fix Iter | Checksum: 14cade65a + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 2155.863 ; gain = 59.652 ; free physical = 6628 ; free virtual = 18412 +Phase 6 Post Hold Fix | Checksum: 14cade65a + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 2155.863 ; gain = 59.652 ; free physical = 6628 ; free virtual = 18412 + +Phase 7 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.153313 % + Global Horizontal Routing Utilization = 0.172046 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Congestion Report +North Dir 1x1 Area, Max Cong = 30.6306%, No Congested Regions. +South Dir 1x1 Area, Max Cong = 22.5225%, No Congested Regions. +East Dir 1x1 Area, Max Cong = 32.3529%, No Congested Regions. +West Dir 1x1 Area, Max Cong = 29.4118%, No Congested Regions. + +------------------------------ +Reporting congestion hotspots +------------------------------ +Direction: North +---------------- +Congested clusters found at Level 0 +Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 +Direction: South +---------------- +Congested clusters found at Level 0 +Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 +Direction: East +---------------- +Congested clusters found at Level 0 +Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 +Direction: West +---------------- +Congested clusters found at Level 0 +Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 + +Phase 7 Route finalize | Checksum: 14cade65a + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 2155.863 ; gain = 59.652 ; free physical = 6628 ; free virtual = 18412 + +Phase 8 Verifying routed nets + + Verification completed successfully +Phase 8 Verifying routed nets | Checksum: 14cade65a + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 2157.863 ; gain = 61.652 ; free physical = 6628 ; free virtual = 18412 + +Phase 9 Depositing Routes +Phase 9 Depositing Routes | Checksum: 16fad2baa + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 2157.863 ; gain = 61.652 ; free physical = 6628 ; free virtual = 18412 +INFO: [Route 35-16] Router Completed Successfully + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 2157.863 ; gain = 61.652 ; free physical = 6646 ; free virtual = 18430 + +Routing Is Done. +INFO: [Common 17-83] Releasing license: Implementation +54 Infos, 3 Warnings, 2 Critical Warnings and 0 Errors encountered. +route_design completed successfully +route_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 2157.863 ; gain = 61.652 ; free physical = 6646 ; free virtual = 18430 +INFO: [Timing 38-480] Writing timing data to binary archive. +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.14 ; elapsed = 00:00:00.06 . Memory (MB): peak = 2157.863 ; gain = 0.000 ; free physical = 6642 ; free virtual = 18427 +INFO: [Common 17-1381] The checkpoint '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/impl_1/Pipeline_routed.dcp' has been generated. +INFO: [runtcl-4] Executing : report_drc -file Pipeline_drc_routed.rpt -pb Pipeline_drc_routed.pb -rpx Pipeline_drc_routed.rpx +Command: report_drc -file Pipeline_drc_routed.rpt -pb Pipeline_drc_routed.pb -rpx Pipeline_drc_routed.rpx +INFO: [DRC 23-27] Running DRC with 6 threads +INFO: [Coretcl 2-168] The results of DRC are in file /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/impl_1/Pipeline_drc_routed.rpt. +report_drc completed successfully +INFO: [runtcl-4] Executing : report_methodology -file Pipeline_methodology_drc_routed.rpt -pb Pipeline_methodology_drc_routed.pb -rpx Pipeline_methodology_drc_routed.rpx +Command: report_methodology -file Pipeline_methodology_drc_routed.rpt -pb Pipeline_methodology_drc_routed.pb -rpx Pipeline_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [DRC 23-133] Running Methodology with 6 threads +INFO: [Coretcl 2-1520] The results of Report Methodology are in file /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/impl_1/Pipeline_methodology_drc_routed.rpt. +report_methodology completed successfully +INFO: [runtcl-4] Executing : report_power -file Pipeline_power_routed.rpt -pb Pipeline_power_summary_routed.pb -rpx Pipeline_power_routed.rpx +Command: report_power -file Pipeline_power_routed.rpt -pb Pipeline_power_summary_routed.pb -rpx Pipeline_power_routed.rpx +WARNING: [Power 33-232] No user defined clocks were found in the design! +Resolution: Please specify clocks using create_clock/create_generated_clock for sequential elements. For pure combinatorial circuits, please specify a virtual clock, otherwise the vectorless estimation might be inaccurate +INFO: [Timing 38-35] Done setting XDC timing constraints. +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +66 Infos, 4 Warnings, 2 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [runtcl-4] Executing : report_route_status -file Pipeline_route_status.rpt -pb Pipeline_route_status.pb +INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -file Pipeline_timing_summary_routed.rpt -pb Pipeline_timing_summary_routed.pb -rpx Pipeline_timing_summary_routed.rpx -warn_on_violation +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max, Timing Stage: Requireds. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 6 CPUs +WARNING: [Timing 38-313] There are no user specified timing constraints. Timing constraints are needed for proper timing analysis. +INFO: [runtcl-4] Executing : report_incremental_reuse -file Pipeline_incremental_reuse_routed.rpt +INFO: [Vivado_Tcl 4-545] No incremental reuse to report, no incremental placement and routing data was found. +INFO: [runtcl-4] Executing : report_clock_utilization -file Pipeline_clock_utilization_routed.rpt +INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file Pipeline_bus_skew_routed.rpt -pb Pipeline_bus_skew_routed.pb -rpx Pipeline_bus_skew_routed.rpx +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max, Timing Stage: Requireds. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 6 CPUs +Command: write_bitstream -force Pipeline.bit +Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t' +Running DRC as a precondition to command write_bitstream +INFO: [DRC 23-27] Running DRC with 6 threads +WARNING: [DRC CFGBVS-1] Missing CFGBVS and CONFIG_VOLTAGE Design Properties: Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +WARNING: [DRC LUTLP-2] Combinatorial Loop Allowed: 1 LUT cells form a combinatorial loop. This can create a race condition. Timing analysis may not be accurate. The preferred resolution is to modify the design to remove combinatorial logic loops. This loop has been identified in the constraints as being known and understood by use of the ALLOW_COMBINATORIAL_LOOPS property on a net in the loop. The cells in the loop are: Stage2/Out_Op[5]_i_2. +WARNING: [DRC LUTLP-2] Combinatorial Loop Allowed: 3 LUT cells form a combinatorial loop. This can create a race condition. Timing analysis may not be accurate. The preferred resolution is to modify the design to remove combinatorial logic loops. This loop has been identified in the constraints as being known and understood by use of the ALLOW_COMBINATORIAL_LOOPS property on a net in the loop. The cells in the loop are: Stage2/Out_Op[5]_i_2, Stage2/Out_Op[5]_i_3, and Stage2/aux[7]_i_7. +WARNING: [DRC NSTD-1] Unspecified I/O Standard: 1 out of 13 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: Clk. +WARNING: [DRC UCIO-1] Unconstrained Logical Port: 1 out of 13 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: Clk. +INFO: [Vivado 12-3199] DRC finished with 0 Errors, 5 Warnings +INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. +INFO: [Designutils 20-2272] Running write_bitstream with 6 threads. +Loading data files... +Loading site data... +Loading route data... +Processing options... +Creating bitmap... +Creating bitstream... +Writing bitstream ./Pipeline.bit... +INFO: [Vivado 12-1842] Bitgen Completed Successfully. +INFO: [Project 1-120] WebTalk data collection is mandatory when using a WebPACK part without a full Vivado license. To see the specific WebTalk data collected for your design, open the usage_statistics_webtalk.html or usage_statistics_webtalk.xml file in the implementation directory. +INFO: [Common 17-83] Releasing license: Implementation +84 Infos, 10 Warnings, 2 Critical Warnings and 0 Errors encountered. +write_bitstream completed successfully +write_bitstream: Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 2490.594 ; gain = 244.660 ; free physical = 6581 ; free virtual = 18368 +INFO: [Common 17-206] Exiting Vivado at Wed May 31 17:58:24 2023... diff --git a/VHDL/ALU/ALU.runs/impl_1/Pipeline_bus_skew_routed.pb b/VHDL/ALU/ALU.runs/impl_1/Pipeline_bus_skew_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..3390588d5da71a6f6866045d7ae5646edfab7b0e GIT binary patch literal 30 lcmd;LGcqu=&@-IGEZ|gHtWcbtTCPx(T3nh_Qapp10RVJW2(bVF literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.runs/impl_1/Pipeline_bus_skew_routed.rpt b/VHDL/ALU/ALU.runs/impl_1/Pipeline_bus_skew_routed.rpt new file mode 100644 index 0000000..d54e949 --- /dev/null +++ b/VHDL/ALU/ALU.runs/impl_1/Pipeline_bus_skew_routed.rpt @@ -0,0 +1,15 @@ +Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2018.2 (lin64) Build 2258646 Thu Jun 14 20:02:38 MDT 2018 +| Date : Wed May 31 17:58:14 2023 +| Host : insa-20668 running 64-bit Ubuntu 20.04.6 LTS +| Command : report_bus_skew -warn_on_violation -file Pipeline_bus_skew_routed.rpt -pb Pipeline_bus_skew_routed.pb -rpx Pipeline_bus_skew_routed.rpx +| Design : Pipeline +| Device : 7a35t-cpg236 +| Speed File : -1 PRODUCTION 1.22 2018-03-21 +--------------------------------------------------------------------------------------------------------------------------------------------------------- + +Bus Skew Report + +No bus skew constraints + diff --git a/VHDL/ALU/ALU.runs/impl_1/Pipeline_bus_skew_routed.rpx b/VHDL/ALU/ALU.runs/impl_1/Pipeline_bus_skew_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..41eaae5c523e055c2fedaa00df33703e67e8b743 GIT binary patch literal 1033 zcmd5*Jx?1!5S`fr+gc?7xpG`YBdVh~ zak$P553A zWj|vu49s>Mn!^{`o)x&J=bC-nbF9erT+-g!j-aOP3&(cFKd2daaU?o3fgh3Px9X})J`ZRCx z^ri?xsXe>2Y4@#;NB!Xz3{uOpTHB7MCDj(yV%zDEVU#N6*)qvVwRU5A5xb<%O1^5r zs1_@rk$*#;Ui9R8-Oj_=Ol?AXW8|j9 zG|j|oOw+TFPxoxs>{$c)Gdie_7od_^xJftQ6~2L8@L>QS5e^Uz5sna!5l#?K5zY|L z5iSre5r0a~*CV#}c<9Kz?V^t#e*9kg^$F$u?f{iTOnt`(W7!jgp$ZtOfGfmngj>WT zhQ|y~7@RUVW9*#41%pclR}8Ni+%ULhm`T1jOhOI_@-lv`cHNap%prjaa)z(~4cJJO F{{`7pMuGqU literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.runs/impl_1/Pipeline_clock_utilization_routed.rpt b/VHDL/ALU/ALU.runs/impl_1/Pipeline_clock_utilization_routed.rpt new file mode 100644 index 0000000..abfe0fc --- /dev/null +++ b/VHDL/ALU/ALU.runs/impl_1/Pipeline_clock_utilization_routed.rpt @@ -0,0 +1,145 @@ +Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. +-------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2018.2 (lin64) Build 2258646 Thu Jun 14 20:02:38 MDT 2018 +| Date : Wed May 31 17:58:14 2023 +| Host : insa-20668 running 64-bit Ubuntu 20.04.6 LTS +| Command : report_clock_utilization -file Pipeline_clock_utilization_routed.rpt +| Design : Pipeline +| Device : 7a35t-cpg236 +| Speed File : -1 PRODUCTION 1.22 2018-03-21 +-------------------------------------------------------------------------------------- + +Clock Utilization Report + +Table of Contents +----------------- +1. Clock Primitive Utilization +2. Global Clock Resources +3. Global Clock Source Details +4. Clock Regions: Key Resource Utilization +5. Clock Regions : Global Clock Summary +6. Device Cell Placement Summary for Global Clock g0 +7. Clock Region Cell Placement per Global Clock: Region X0Y0 + +1. Clock Primitive Utilization +------------------------------ + ++----------+------+-----------+-----+--------------+--------+ +| Type | Used | Available | LOC | Clock Region | Pblock | ++----------+------+-----------+-----+--------------+--------+ +| BUFGCTRL | 1 | 32 | 0 | 0 | 0 | +| BUFH | 0 | 72 | 0 | 0 | 0 | +| BUFIO | 0 | 20 | 0 | 0 | 0 | +| BUFMR | 0 | 10 | 0 | 0 | 0 | +| BUFR | 0 | 20 | 0 | 0 | 0 | +| MMCM | 0 | 5 | 0 | 0 | 0 | +| PLL | 0 | 5 | 0 | 0 | 0 | ++----------+------+-----------+-----+--------------+--------+ + + +2. Global Clock Resources +------------------------- + ++-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ +| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | ++-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ +| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y16 | n/a | 1 | 342 | 0 | | | Clk_IBUF_BUFG_inst/O | Clk_IBUF_BUFG | ++-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ +* Clock Loads column represents the clock pin loads (pin count) +** Non-Clock Loads column represents the non-clock pin loads (pin count) + + +3. Global Clock Source Details +------------------------------ + ++-----------+-----------+-----------------+------------+------------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ +| Source Id | Global Id | Driver Type/Pin | Constraint | Site | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock | Driver Pin | Net | ++-----------+-----------+-----------------+------------+------------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ +| src0 | g0 | IBUF/O | None | IOB_X0Y128 | X0Y2 | 1 | 0 | | | Clk_IBUF_inst/O | Clk_IBUF | ++-----------+-----------+-----------------+------------+------------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ +* Clock Loads column represents the clock pin loads (pin count) +** Non-Clock Loads column represents the non-clock pin loads (pin count) + + +4. Clock Regions: Key Resource Utilization +------------------------------------------ + ++-------------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+ +| | Global Clock | BUFRs | BUFMRs | BUFIOs | MMCM | PLL | GT | PCI | ILOGIC | OLOGIC | FF | LUTM | RAMB18 | RAMB36 | DSP48E2 | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +| Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +| X0Y0 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 342 | 1200 | 136 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | +| X1Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 1500 | 0 | 450 | 0 | 40 | 0 | 20 | 0 | 20 | +| X0Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 1200 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | +| X1Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 1500 | 0 | 450 | 0 | 40 | 0 | 20 | 0 | 20 | +| X0Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 1800 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | +| X1Y2 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 4 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 950 | 0 | 300 | 0 | 10 | 0 | 5 | 0 | 20 | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +* Global Clock column represents track count; while other columns represents cell counts + + +5. Clock Regions : Global Clock Summary +--------------------------------------- + +All Modules ++----+----+----+ +| | X0 | X1 | ++----+----+----+ +| Y2 | 0 | 0 | +| Y1 | 0 | 0 | +| Y0 | 1 | 0 | ++----+----+----+ + + +6. Device Cell Placement Summary for Global Clock g0 +---------------------------------------------------- + ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ +| g0 | BUFG/O | n/a | | | | 342 | 0 | 0 | 0 | Clk_IBUF_BUFG | ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ +* Logic Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+------+----+ +| | X0 | X1 | ++----+------+----+ +| Y2 | 0 | 0 | +| Y1 | 0 | 0 | +| Y0 | 342 | 0 | ++----+------+----+ + + +7. Clock Region Cell Placement per Global Clock: Region X0Y0 +------------------------------------------------------------ + ++-----------+-------+-----------------+------------+-------------+-----------------+-----+--------+------+-----+----+------+-----+---------+---------------+ +| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | ++-----------+-------+-----------------+------------+-------------+-----------------+-----+--------+------+-----+----+------+-----+---------+---------------+ +| g0 | n/a | BUFG/O | None | 342 | 0 | 342 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | Clk_IBUF_BUFG | ++-----------+-------+-----------------+------------+-------------+-----------------+-----+--------+------+-----+----+------+-----+---------+---------------+ +* Clock Loads column represents the clock pin loads (pin count) +** Non-Clock Loads column represents the non-clock pin loads (pin count) +*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts + + + +# Location of BUFG Primitives +set_property LOC BUFGCTRL_X0Y16 [get_cells Clk_IBUF_BUFG_inst] + +# Location of IO Primitives which is load of clock spine + +# Location of clock ports +set_property LOC IOB_X0Y128 [get_ports Clk] + +# Clock net "Clk_IBUF_BUFG" driven by instance "Clk_IBUF_BUFG_inst" located at site "BUFGCTRL_X0Y16" +#startgroup +create_pblock {CLKAG_Clk_IBUF_BUFG} +add_cells_to_pblock [get_pblocks {CLKAG_Clk_IBUF_BUFG}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="Clk_IBUF_BUFG"}]]] +resize_pblock [get_pblocks {CLKAG_Clk_IBUF_BUFG}] -add {CLOCKREGION_X0Y0:CLOCKREGION_X0Y0} +#endgroup diff --git a/VHDL/ALU/ALU.runs/impl_1/Pipeline_control_sets_placed.rpt b/VHDL/ALU/ALU.runs/impl_1/Pipeline_control_sets_placed.rpt new file mode 100644 index 0000000..436bb01 --- /dev/null +++ b/VHDL/ALU/ALU.runs/impl_1/Pipeline_control_sets_placed.rpt @@ -0,0 +1,101 @@ +Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. +------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2018.2 (lin64) Build 2258646 Thu Jun 14 20:02:38 MDT 2018 +| Date : Wed May 31 17:57:59 2023 +| Host : insa-20668 running 64-bit Ubuntu 20.04.6 LTS +| Command : report_control_sets -verbose -file Pipeline_control_sets_placed.rpt +| Design : Pipeline +| Device : xc7a35t +------------------------------------------------------------------------------------- + +Control Set Information + +Table of Contents +----------------- +1. Summary +2. Histogram +3. Flip-Flop Distribution +4. Detailed Control Set Information + +1. Summary +---------- + ++----------------------------------------------------------+-------+ +| Status | Count | ++----------------------------------------------------------+-------+ +| Number of unique control sets | 36 | +| Unused register locations in slices containing registers | 12 | ++----------------------------------------------------------+-------+ + + +2. Histogram +------------ + ++--------+--------------+ +| Fanout | Control Sets | ++--------+--------------+ +| 12 | 2 | +| 16+ | 34 | ++--------+--------------+ + + +3. Flip-Flop Distribution +------------------------- + ++--------------+-----------------------+------------------------+-----------------+--------------+ +| Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices | ++--------------+-----------------------+------------------------+-----------------+--------------+ +| No | No | No | 132 | 23 | +| No | No | Yes | 0 | 0 | +| No | Yes | No | 24 | 4 | +| Yes | No | No | 528 | 111 | +| Yes | No | Yes | 0 | 0 | +| Yes | Yes | No | 0 | 0 | ++--------------+-----------------------+------------------------+-----------------+--------------+ + + +4. Detailed Control Set Information +----------------------------------- + ++----------------+-----------------------+---------------------+------------------+----------------+ +| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | ++----------------+-----------------------+---------------------+------------------+----------------+ +| Clk_IBUF_BUFG | | Stage1/Di_Op_Final1 | 2 | 12 | +| Clk_IBUF_BUFG | | Stage1/OP_LI_DI1 | 2 | 12 | +| Clk_IBUF_BUFG | Stage3/Mem[6]_8 | | 1 | 16 | +| Clk_IBUF_BUFG | Stage4/Regs[6]_9 | | 5 | 16 | +| Clk_IBUF_BUFG | Stage4/Regs[10]_8 | | 4 | 16 | +| Clk_IBUF_BUFG | Stage4/Regs[11]_4 | | 5 | 16 | +| Clk_IBUF_BUFG | Stage4/Regs[12]_0 | | 5 | 16 | +| Clk_IBUF_BUFG | Stage4/Regs[13]_11 | | 4 | 16 | +| Clk_IBUF_BUFG | Stage4/Regs[7]_5 | | 5 | 16 | +| Clk_IBUF_BUFG | Stage4/Regs[8]_1 | | 4 | 16 | +| Clk_IBUF_BUFG | Stage4/Regs[9]_12 | | 4 | 16 | +| Clk_IBUF_BUFG | Stage4/Regs_reg[0][7] | | 2 | 16 | +| Clk_IBUF_BUFG | Stage1/aux_reg[7] | | 4 | 16 | +| Clk_IBUF_BUFG | Stage4/Regs[1]_14 | | 4 | 16 | +| Clk_IBUF_BUFG | Stage4/Regs[2]_10 | | 5 | 16 | +| Clk_IBUF_BUFG | Stage3/Mem[8]_2 | | 1 | 16 | +| Clk_IBUF_BUFG | Stage3/Mem[9]_9 | | 3 | 16 | +| Clk_IBUF_BUFG | Stage4/Regs[4]_2 | | 4 | 16 | +| Clk_IBUF_BUFG | Stage4/Regs[5]_13 | | 3 | 16 | +| Clk_IBUF_BUFG | Stage3/Mem[5]_0 | | 1 | 16 | +| Clk_IBUF_BUFG | Stage3/Mem_reg[1][0] | | 2 | 16 | +| Clk_IBUF_BUFG | Stage3/Mem_reg[2][0] | | 1 | 16 | +| Clk_IBUF_BUFG | Stage4/Regs[14]_7 | | 6 | 16 | +| Clk_IBUF_BUFG | Stage3/Mem[3]_7 | | 3 | 16 | +| Clk_IBUF_BUFG | Stage3/Mem_reg[13][0] | | 1 | 16 | +| Clk_IBUF_BUFG | Stage3/Mem_reg[14][0] | | 2 | 16 | +| Clk_IBUF_BUFG | Stage3/Mem[7]_6 | | 2 | 16 | +| Clk_IBUF_BUFG | Stage3/Mem[11]_5 | | 4 | 16 | +| Clk_IBUF_BUFG | Stage3/Mem[12]_1 | | 4 | 16 | +| Clk_IBUF_BUFG | Stage4/Regs[15]_3 | | 7 | 16 | +| Clk_IBUF_BUFG | Stage3/Mem[10]_10 | | 4 | 16 | +| Clk_IBUF_BUFG | Stage3/Mem[15]_4 | | 2 | 16 | +| Clk_IBUF_BUFG | Stage4/Regs[3]_6 | | 4 | 16 | +| Clk_IBUF_BUFG | Stage3/Mem[0]_11 | | 2 | 16 | +| Clk_IBUF_BUFG | Stage3/Mem[4]_3 | | 3 | 16 | +| Clk_IBUF_BUFG | | | 23 | 132 | ++----------------+-----------------------+---------------------+------------------+----------------+ + + diff --git a/VHDL/ALU/ALU.runs/impl_1/Pipeline_drc_opted.pb b/VHDL/ALU/ALU.runs/impl_1/Pipeline_drc_opted.pb new file mode 100644 index 0000000000000000000000000000000000000000..6c5ff57b4be4a3af7ed4b9407006ede30c4f028a GIT binary patch literal 37 scmd;LGcqtV(KDRH% + Ruledeck: default + Max violations: + Violations found: 5 ++----------+----------+-----------------------------------------------------+------------+ +| Rule | Severity | Description | Violations | ++----------+----------+-----------------------------------------------------+------------+ +| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | +| LUTLP-2 | Warning | Combinatorial Loop Allowed | 2 | +| NSTD-1 | Warning | Unspecified I/O Standard | 1 | +| UCIO-1 | Warning | Unconstrained Logical Port | 1 | ++----------+----------+-----------------------------------------------------+------------+ + +2. REPORT DETAILS +----------------- +CFGBVS-1#1 Warning +Missing CFGBVS and CONFIG_VOLTAGE Design Properties +Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +Related violations: + +LUTLP-2#1 Warning +Combinatorial Loop Allowed +1 LUT cells form a combinatorial loop. This can create a race condition. Timing analysis may not be accurate. The preferred resolution is to modify the design to remove combinatorial logic loops. This loop has been identified in the constraints as being known and understood by use of the ALLOW_COMBINATORIAL_LOOPS property on a net in the loop. The cells in the loop are: Stage2/Out_Op[5]_i_2. +Related violations: + +LUTLP-2#2 Warning +Combinatorial Loop Allowed +3 LUT cells form a combinatorial loop. This can create a race condition. Timing analysis may not be accurate. The preferred resolution is to modify the design to remove combinatorial logic loops. This loop has been identified in the constraints as being known and understood by use of the ALLOW_COMBINATORIAL_LOOPS property on a net in the loop. The cells in the loop are: Stage2/Out_Op[5]_i_2, Stage2/Out_Op[5]_i_3, Stage2/aux[7]_i_7. +Related violations: + +NSTD-1#1 Warning +Unspecified I/O Standard +1 out of 13 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: Clk. +Related violations: + +UCIO-1#1 Warning +Unconstrained Logical Port +1 out of 13 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: Clk. +Related violations: + + diff --git a/VHDL/ALU/ALU.runs/impl_1/Pipeline_drc_opted.rpx b/VHDL/ALU/ALU.runs/impl_1/Pipeline_drc_opted.rpx new file mode 100644 index 0000000000000000000000000000000000000000..9c362c10e2dd0352e3b588357ead8761eb7b4589 GIT binary patch literal 7210 zcmeHLPj4GV6nAPR4Mr^yQc)EyPwgQ|m73ZS6y=0tr;&pjM~RbyqRJZYj_py_GuF*STyVUwreeUDcO?(z5A?Ueoj=>F2}#$<5#J z_eOWNpE=2VK9l)sX7-zz%(vOsD|=;1b^J1mBW4z|i&r0sP%GWJHD7+PwQ;zAw{U0e zp|TcBl&p}@K9%b`52{(Tl@S>Zs|vC{Dr4$I{_!GNuu%Iak|x**PGdj_XNr8jYCdfqDAXhNT_Xvmk5 z40{NKGrRgu{oJg$-#1}IZJfTJJ!8Y4_7z_vfO8YCepD$_TLqHNRklqKm?6j9 zp6W=Ptmksnheb29Niuw*pkxsxKL{KnA@LGIhj&!Yw-_M7ui?r zgHhCB;6V9_+a}|bI5?NvlkFr%yveUmPyikfrLMjw!TBtv?Ftl(;D74KEIIV@_o}5@vsSO~?w?~F5KNFhN4t^BV6^jVYJsy*gmRts87qtL)Z?gG?;pMU zxT%`O{Pr{$uTC(Y%*_;@&MoF{&VQd>m|46w5h}{u$^6RIcV&F;`fJr!#BlXpc5PaH zuSWH)URIN9XR9JUIl9kfdOyECjoK?yrf2aPFV9P(hI(FmI``r8>ltspymGP9*SOMi zH|D?KH@Wj9;N{J$7vXnqXTMPa?YeoOlfj?ShbaWwz4k@u7v~cR2faVf70AR9!%Sff zNCrFuR5Qh}4t{u}O*hhVwZ7jd?Nmy8m6c>Rr=`l~gVI5*vBU%hghTkOO7Pwg0LSQw z<5NStWK#;249%WkjN?N9j1hw+Pz)SHX#i~>^KwfCDmtYh*q_6}mcb2R-w^5{hg}+E zL=9L-wA=g}fC?7r=56iCRbm@(CqS<3ge>RulRSxl=>SJzB@Y#Bh%b6f9|8Ac(>=ub zi48IuCb}76*i~Maz?*m=NsItlriQ^&Lh~Y?RR+ogZt8~_DGL)p;4VD!>S%IEM~$Jv z`?gR4ThWnP^2h>*wp4_{L5d#5I*=CA1LP*-)fE^}QrAz0esoqEG+(yCEfaI0#tg@_ zyUB{9;9MSG$e~F^mX>+80v$BHp2X`uM0xlWI|7^Tk1<)In~-_RaxLr~kHfL~%Sib1oMWxkWA zK!CV*n;{D{ynrLehQK!AgAltJGFf6D3(7|jatxL~O(Ze`6q`9MW;DUG;@z$>$Bxep zkwdb19u6dZ|BQ~SUOzxmf%Cddh6#D5)GaI~MYT@l;5ffMExT#iP0Q||E;}BlpUhn; z0D~8D*XMt@j=?#LdSoD-c@{_y%GEl6bnyUSl(IHpSuMrLU0`H!K9O-y`qK-b)U{@9 zo(GG8@sfsdb`ZWi*6QVzDOBPECtxmd&&!5Ni_T7PJ0p6^((wf##Y)EZKM$4@X1^Sm pOj7TE1Cy8Hs%7Xv^ literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.runs/impl_1/Pipeline_drc_routed.pb b/VHDL/ALU/ALU.runs/impl_1/Pipeline_drc_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..6c5ff57b4be4a3af7ed4b9407006ede30c4f028a GIT binary patch literal 37 scmd;LGcqtV(KDRH% + Ruledeck: default + Max violations: + Violations found: 5 ++----------+----------+-----------------------------------------------------+------------+ +| Rule | Severity | Description | Violations | ++----------+----------+-----------------------------------------------------+------------+ +| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | +| LUTLP-2 | Warning | Combinatorial Loop Allowed | 2 | +| NSTD-1 | Warning | Unspecified I/O Standard | 1 | +| UCIO-1 | Warning | Unconstrained Logical Port | 1 | ++----------+----------+-----------------------------------------------------+------------+ + +2. REPORT DETAILS +----------------- +CFGBVS-1#1 Warning +Missing CFGBVS and CONFIG_VOLTAGE Design Properties +Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +Related violations: + +LUTLP-2#1 Warning +Combinatorial Loop Allowed +1 LUT cells form a combinatorial loop. This can create a race condition. Timing analysis may not be accurate. The preferred resolution is to modify the design to remove combinatorial logic loops. This loop has been identified in the constraints as being known and understood by use of the ALLOW_COMBINATORIAL_LOOPS property on a net in the loop. The cells in the loop are: Stage2/Out_Op[5]_i_2. +Related violations: + +LUTLP-2#2 Warning +Combinatorial Loop Allowed +3 LUT cells form a combinatorial loop. This can create a race condition. Timing analysis may not be accurate. The preferred resolution is to modify the design to remove combinatorial logic loops. This loop has been identified in the constraints as being known and understood by use of the ALLOW_COMBINATORIAL_LOOPS property on a net in the loop. The cells in the loop are: Stage2/Out_Op[5]_i_2, Stage2/Out_Op[5]_i_3, Stage2/aux[7]_i_7. +Related violations: + +NSTD-1#1 Warning +Unspecified I/O Standard +1 out of 13 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: Clk. +Related violations: + +UCIO-1#1 Warning +Unconstrained Logical Port +1 out of 13 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: Clk. +Related violations: + + diff --git a/VHDL/ALU/ALU.runs/impl_1/Pipeline_drc_routed.rpx b/VHDL/ALU/ALU.runs/impl_1/Pipeline_drc_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..f0b91c620aa55b94f8bb218fdd18c8467fd3b6c2 GIT binary patch literal 7211 zcmeHLPj4GV6nAPR4Mr^yQbiRmPwgQ|mAbVfD9X*T)5yV%qr^!;QDu#H$Mz`e8Ea?P zPC!Tq2?=pRe1v`lE}ZxRocJmg;)dY&X4i?GrUfJl1v!VvJNxF%oA>Yce*11FlbOG< zaAR?4jFhy++-Upl!Uvg+A7^)@in=l+ z{2S@fCcLO;V1h_=B=r+Tr=%=d8IjU%qZfyv)KSxS$K{F2Mz>Wb4n?Glrk2phG*V$@ zAiao|6FXL@7u$$h(y5CK9hS>=f&p7QmDS5`bU~cW_6$ykN^j!O^t@HJ(S$x<(U31A z8TJqfXLj{o{oJg$-#1}IZJfTJJ{D^+n@TsrP_q(%H#o9#!8Y4_7z_vfO8YCepD$_TLqHNRklqKm?6j9 zp6W=Ptmksnhea79Niuw*pkxsxKL{KnA@LIIhj&!Yw-_M7ui?r zgHhCB;6V9_+a}|bI5?NvlkFr%yveUmPyikfrLMjw!TBtv?Ftl(;D74KEIIV@_o}5@vsSO~?w?~F5KNFhN4t^BV6^jVYJsy*gmRts87qtT>T%Sp_mAFt z(p1f2etQ~>*C!ZH=Vl7e<`#1|=fBS`%q(7;2o+`SWPau9yD~m^{k7^VVz~M)yEd)9 z*Q5GYFRRJ5vsDqF96jJNeURUtM(wpJ)3f-Dm*=HXLp`rOoBQy^^^7-PUb$H5Yh3BM z8}ncAo7{O4@bc!>i|{+Qv)`zIcHKPC$>7iE!xRGTUi%{Si}Q(ugWjL#3Iw9ZFjH6q zk^#>E)l4z0gCE{#(~Y!Tt?xHVJC)L2WhI%-X{oaLuyjysEHQxr;SfHn61+DAz%hE_ z_|yI>1p_$wLJj;)@>BN5K8qbPsWU zVuOr^iEc(1c9qv9@FpHe5+gvCsbTPx(7cFem4PyWoBCl!%ECktxC@WGI+`5PQDdm^ zzAaS1R&=D6JhH%{EfryKkfKMi4y48O0J+I{bp-~L)b*30ADxv3&6jO(%fwu$F~c$K zZnEMiIG4v4a%fVKrDdM2KnG2)Co#JCE2}PR+}(K*8Mi*k{Ps5wH}|TIkLlC&OTlM! z)B!c*PYzyJddHTM^n3y`yHjs$uG8Z#MyYdhd8h`$H?#-a5Y%=k;Fs8oV$iH*neXH& z5FoDIX2=2!FW|_rA+SyOAjEElOqSTkg7OiB9E0Ug6N!uf#b!>68BOr4c(-fJvEy?? zcR%WhhB)3W=g%Z|tCr*l^d zz~F`4_4yyJV{p!*9vMhyo(Ix{a + Max violations: + Violations found: 343 ++-----------+----------+-----------------------------+------------+ +| Rule | Severity | Description | Violations | ++-----------+----------+-----------------------------+------------+ +| TIMING-17 | Warning | Non-clocked sequential cell | 342 | +| TIMING-23 | Warning | Combinational loop found | 1 | ++-----------+----------+-----------------------------+------------+ + +2. REPORT DETAILS +----------------- +TIMING-17#1 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[0][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#2 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[0][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#3 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[0][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#4 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[0][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#5 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[0][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#6 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[0][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#7 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[0][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#8 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[0][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#9 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[10][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#10 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[10][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#11 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[10][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#12 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[10][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#13 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[10][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#14 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[10][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#15 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[10][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#16 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[10][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#17 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[11][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#18 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[11][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#19 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[11][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#20 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[11][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#21 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[11][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#22 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[11][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#23 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[11][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#24 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[11][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#25 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[12][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#26 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[12][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#27 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[12][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#28 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[12][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#29 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[12][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#30 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[12][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#31 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[12][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#32 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[12][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#33 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[13][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#34 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[13][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#35 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[13][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#36 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[13][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#37 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[13][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#38 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[13][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#39 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[13][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#40 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[13][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#41 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[14][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#42 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[14][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#43 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[14][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#44 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[14][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#45 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[14][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#46 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[14][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#47 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[14][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#48 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[14][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#49 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[15][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#50 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[15][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#51 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[15][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#52 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[15][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#53 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[15][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#54 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[15][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#55 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[15][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#56 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[15][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#57 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[1][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#58 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[1][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#59 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[1][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#60 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[1][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#61 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[1][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#62 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[1][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#63 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[1][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#64 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[1][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#65 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[2][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#66 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[2][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#67 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[2][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#68 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[2][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#69 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[2][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#70 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[2][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#71 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[2][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#72 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[2][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#73 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[3][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#74 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[3][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#75 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[3][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#76 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[3][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#77 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[3][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#78 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[3][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#79 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[3][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#80 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[3][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#81 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[4][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#82 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[4][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#83 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[4][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#84 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[4][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#85 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[4][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#86 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[4][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#87 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[4][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#88 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[4][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#89 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[5][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#90 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[5][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#91 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[5][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#92 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[5][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#93 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[5][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#94 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[5][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#95 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[5][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#96 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[5][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#97 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[6][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#98 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[6][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#99 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[6][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#100 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[6][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#101 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[6][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#102 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[6][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#103 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[6][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#104 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[6][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#105 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[7][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#106 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[7][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#107 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[7][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#108 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[7][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#109 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[7][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#110 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[7][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#111 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[7][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#112 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[7][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#113 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[8][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#114 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[8][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#115 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[8][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#116 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[8][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#117 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[8][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#118 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[8][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#119 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[8][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#120 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[8][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#121 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[9][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#122 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[9][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#123 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[9][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#124 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[9][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#125 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[9][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#126 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[9][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#127 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[9][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#128 Warning +Non-clocked sequential cell +The clock pin DataMem/Mem_reg[9][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#129 Warning +Non-clocked sequential cell +The clock pin Stage1/Out_A_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#130 Warning +Non-clocked sequential cell +The clock pin Stage1/Out_A_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#131 Warning +Non-clocked sequential cell +The clock pin Stage1/Out_A_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#132 Warning +Non-clocked sequential cell +The clock pin Stage1/Out_A_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#133 Warning +Non-clocked sequential cell +The clock pin Stage1/Out_B_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#134 Warning +Non-clocked sequential cell +The clock pin Stage1/Out_B_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#135 Warning +Non-clocked sequential cell +The clock pin Stage1/Out_B_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#136 Warning +Non-clocked sequential cell +The clock pin Stage1/Out_B_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#137 Warning +Non-clocked sequential cell +The clock pin Stage1/Out_B_reg[4]/C is not reached by a timing clock +Related violations: + +TIMING-17#138 Warning +Non-clocked sequential cell +The clock pin Stage1/Out_C_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#139 Warning +Non-clocked sequential cell +The clock pin Stage1/Out_Op_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#140 Warning +Non-clocked sequential cell +The clock pin Stage1/Out_Op_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#141 Warning +Non-clocked sequential cell +The clock pin Stage1/Out_Op_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#142 Warning +Non-clocked sequential cell +The clock pin Stage1/Out_Op_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#143 Warning +Non-clocked sequential cell +The clock pin Stage1/Out_Op_reg[4]/C is not reached by a timing clock +Related violations: + +TIMING-17#144 Warning +Non-clocked sequential cell +The clock pin Stage1/Out_Op_reg[6]/C is not reached by a timing clock +Related violations: + +TIMING-17#145 Warning +Non-clocked sequential cell +The clock pin Stage2/Out_A_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#146 Warning +Non-clocked sequential cell +The clock pin Stage2/Out_A_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#147 Warning +Non-clocked sequential cell +The clock pin Stage2/Out_A_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#148 Warning +Non-clocked sequential cell +The clock pin Stage2/Out_A_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#149 Warning +Non-clocked sequential cell +The clock pin Stage2/Out_B_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#150 Warning +Non-clocked sequential cell +The clock pin Stage2/Out_B_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#151 Warning +Non-clocked sequential cell +The clock pin Stage2/Out_B_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#152 Warning +Non-clocked sequential cell +The clock pin Stage2/Out_B_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#153 Warning +Non-clocked sequential cell +The clock pin Stage2/Out_B_reg[4]/C is not reached by a timing clock +Related violations: + +TIMING-17#154 Warning +Non-clocked sequential cell +The clock pin Stage2/Out_B_reg[5]/C is not reached by a timing clock +Related violations: + +TIMING-17#155 Warning +Non-clocked sequential cell +The clock pin Stage2/Out_B_reg[6]/C is not reached by a timing clock +Related violations: + +TIMING-17#156 Warning +Non-clocked sequential cell +The clock pin Stage2/Out_B_reg[7]/C is not reached by a timing clock +Related violations: + +TIMING-17#157 Warning +Non-clocked sequential cell +The clock pin Stage2/Out_C_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#158 Warning +Non-clocked sequential cell +The clock pin Stage2/Out_C_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#159 Warning +Non-clocked sequential cell +The clock pin Stage2/Out_C_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#160 Warning +Non-clocked sequential cell +The clock pin Stage2/Out_C_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#161 Warning +Non-clocked sequential cell +The clock pin Stage2/Out_C_reg[4]/C is not reached by a timing clock +Related violations: + +TIMING-17#162 Warning +Non-clocked sequential cell +The clock pin Stage2/Out_C_reg[5]/C is not reached by a timing clock +Related violations: + +TIMING-17#163 Warning +Non-clocked sequential cell +The clock pin Stage2/Out_C_reg[6]/C is not reached by a timing clock +Related violations: + +TIMING-17#164 Warning +Non-clocked sequential cell +The clock pin Stage2/Out_C_reg[7]/C is not reached by a timing clock +Related violations: + +TIMING-17#165 Warning +Non-clocked sequential cell +The clock pin Stage2/Out_Op_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#166 Warning +Non-clocked sequential cell +The clock pin Stage2/Out_Op_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#167 Warning +Non-clocked sequential cell +The clock pin Stage2/Out_Op_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#168 Warning +Non-clocked sequential cell +The clock pin Stage2/Out_Op_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#169 Warning +Non-clocked sequential cell +The clock pin Stage2/Out_Op_reg[4]/C is not reached by a timing clock +Related violations: + +TIMING-17#170 Warning +Non-clocked sequential cell +The clock pin Stage2/Out_Op_reg[5]/C is not reached by a timing clock +Related violations: + +TIMING-17#171 Warning +Non-clocked sequential cell +The clock pin Stage3/Out_A_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#172 Warning +Non-clocked sequential cell +The clock pin Stage3/Out_A_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#173 Warning +Non-clocked sequential cell +The clock pin Stage3/Out_A_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#174 Warning +Non-clocked sequential cell +The clock pin Stage3/Out_A_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#175 Warning +Non-clocked sequential cell +The clock pin Stage3/Out_B_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#176 Warning +Non-clocked sequential cell +The clock pin Stage3/Out_B_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#177 Warning +Non-clocked sequential cell +The clock pin Stage3/Out_B_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#178 Warning +Non-clocked sequential cell +The clock pin Stage3/Out_B_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#179 Warning +Non-clocked sequential cell +The clock pin Stage3/Out_B_reg[4]/C is not reached by a timing clock +Related violations: + +TIMING-17#180 Warning +Non-clocked sequential cell +The clock pin Stage3/Out_B_reg[5]/C is not reached by a timing clock +Related violations: + +TIMING-17#181 Warning +Non-clocked sequential cell +The clock pin Stage3/Out_B_reg[6]/C is not reached by a timing clock +Related violations: + +TIMING-17#182 Warning +Non-clocked sequential cell +The clock pin Stage3/Out_B_reg[7]/C is not reached by a timing clock +Related violations: + +TIMING-17#183 Warning +Non-clocked sequential cell +The clock pin Stage3/Out_Op_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#184 Warning +Non-clocked sequential cell +The clock pin Stage3/Out_Op_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#185 Warning +Non-clocked sequential cell +The clock pin Stage3/Out_Op_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#186 Warning +Non-clocked sequential cell +The clock pin Stage3/Out_Op_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#187 Warning +Non-clocked sequential cell +The clock pin Stage3/Out_Op_reg[4]/C is not reached by a timing clock +Related violations: + +TIMING-17#188 Warning +Non-clocked sequential cell +The clock pin Stage3/Out_Op_reg[5]/C is not reached by a timing clock +Related violations: + +TIMING-17#189 Warning +Non-clocked sequential cell +The clock pin Stage4/Out_A_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#190 Warning +Non-clocked sequential cell +The clock pin Stage4/Out_A_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#191 Warning +Non-clocked sequential cell +The clock pin Stage4/Out_A_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#192 Warning +Non-clocked sequential cell +The clock pin Stage4/Out_A_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#193 Warning +Non-clocked sequential cell +The clock pin Stage4/Out_B_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#194 Warning +Non-clocked sequential cell +The clock pin Stage4/Out_B_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#195 Warning +Non-clocked sequential cell +The clock pin Stage4/Out_B_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#196 Warning +Non-clocked sequential cell +The clock pin Stage4/Out_B_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#197 Warning +Non-clocked sequential cell +The clock pin Stage4/Out_B_reg[4]/C is not reached by a timing clock +Related violations: + +TIMING-17#198 Warning +Non-clocked sequential cell +The clock pin Stage4/Out_B_reg[5]/C is not reached by a timing clock +Related violations: + +TIMING-17#199 Warning +Non-clocked sequential cell +The clock pin Stage4/Out_B_reg[6]/C is not reached by a timing clock +Related violations: + +TIMING-17#200 Warning +Non-clocked sequential cell +The clock pin Stage4/Out_B_reg[7]/C is not reached by a timing clock +Related violations: + +TIMING-17#201 Warning +Non-clocked sequential cell +The clock pin Stage4/Out_Op_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#202 Warning +Non-clocked sequential cell +The clock pin Stage4/Out_Op_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#203 Warning +Non-clocked sequential cell +The clock pin Stage4/Out_Op_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#204 Warning +Non-clocked sequential cell +The clock pin Stage4/Out_Op_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#205 Warning +Non-clocked sequential cell +The clock pin Stage4/Out_Op_reg[4]/C is not reached by a timing clock +Related violations: + +TIMING-17#206 Warning +Non-clocked sequential cell +The clock pin Stage4/Out_Op_reg[5]/C is not reached by a timing clock +Related violations: + +TIMING-17#207 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[0][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#208 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[0][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#209 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[0][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#210 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[0][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#211 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[0][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#212 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[0][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#213 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[0][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#214 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[0][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#215 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[10][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#216 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[10][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#217 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[10][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#218 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[10][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#219 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[10][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#220 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[10][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#221 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[10][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#222 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[10][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#223 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[11][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#224 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[11][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#225 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[11][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#226 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[11][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#227 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[11][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#228 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[11][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#229 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[11][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#230 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[11][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#231 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[12][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#232 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[12][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#233 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[12][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#234 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[12][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#235 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[12][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#236 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[12][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#237 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[12][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#238 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[12][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#239 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[13][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#240 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[13][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#241 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[13][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#242 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[13][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#243 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[13][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#244 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[13][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#245 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[13][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#246 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[13][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#247 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[14][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#248 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[14][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#249 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[14][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#250 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[14][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#251 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[14][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#252 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[14][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#253 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[14][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#254 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[14][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#255 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[15][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#256 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[15][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#257 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[15][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#258 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[15][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#259 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[15][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#260 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[15][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#261 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[15][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#262 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[15][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#263 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[1][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#264 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[1][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#265 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[1][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#266 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[1][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#267 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[1][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#268 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[1][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#269 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[1][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#270 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[1][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#271 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[2][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#272 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[2][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#273 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[2][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#274 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[2][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#275 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[2][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#276 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[2][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#277 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[2][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#278 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[2][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#279 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[3][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#280 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[3][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#281 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[3][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#282 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[3][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#283 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[3][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#284 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[3][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#285 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[3][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#286 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[3][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#287 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[4][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#288 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[4][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#289 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[4][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#290 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[4][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#291 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[4][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#292 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[4][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#293 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[4][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#294 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[4][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#295 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[5][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#296 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[5][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#297 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[5][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#298 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[5][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#299 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[5][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#300 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[5][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#301 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[5][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#302 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[5][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#303 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[6][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#304 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[6][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#305 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[6][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#306 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[6][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#307 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[6][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#308 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[6][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#309 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[6][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#310 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[6][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#311 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[7][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#312 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[7][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#313 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[7][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#314 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[7][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#315 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[7][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#316 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[7][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#317 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[7][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#318 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[7][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#319 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[8][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#320 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[8][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#321 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[8][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#322 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[8][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#323 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[8][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#324 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[8][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#325 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[8][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#326 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[8][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#327 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[9][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#328 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[9][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#329 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[9][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#330 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[9][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#331 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[9][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#332 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[9][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#333 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[9][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#334 Warning +Non-clocked sequential cell +The clock pin StageRegisters/Regs_reg[9][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#335 Warning +Non-clocked sequential cell +The clock pin inst_point/aux_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#336 Warning +Non-clocked sequential cell +The clock pin inst_point/aux_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#337 Warning +Non-clocked sequential cell +The clock pin inst_point/aux_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#338 Warning +Non-clocked sequential cell +The clock pin inst_point/aux_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#339 Warning +Non-clocked sequential cell +The clock pin inst_point/aux_reg[4]/C is not reached by a timing clock +Related violations: + +TIMING-17#340 Warning +Non-clocked sequential cell +The clock pin inst_point/aux_reg[5]/C is not reached by a timing clock +Related violations: + +TIMING-17#341 Warning +Non-clocked sequential cell +The clock pin inst_point/aux_reg[6]/C is not reached by a timing clock +Related violations: + +TIMING-17#342 Warning +Non-clocked sequential cell +The clock pin inst_point/aux_reg[7]/C is not reached by a timing clock +Related violations: + +TIMING-23#1 Warning +Combinational loop found +A timing loop has been detected on a combinational path. A timing arc has been disabled between Stage2/Out_Op[5]_i_3/I1 and Stage2/Out_Op[5]_i_3/O to disable the timing loop +Related violations: + + diff --git a/VHDL/ALU/ALU.runs/impl_1/Pipeline_methodology_drc_routed.rpx b/VHDL/ALU/ALU.runs/impl_1/Pipeline_methodology_drc_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..697143f2f051c5863fed5c9bd36e07707d6ed4fd GIT binary patch literal 87956 zcmdU&cXVClmBlR^gb_F}nHd5$WZuA3&w%}&nwbtJF!l^K0}Lb~LXqW%tsqNAdJd3E zGnj5n@4aJsbD{U%A#~CUU35~&x%VF1cYmX&yFbqPXR?yDvX<@r&TmWip5ME#+zF#b zjT*brh8vAwxW!IA^Q*l*ebvr+ z)!N+t+5Nr!bN26?J<#1b(7&KooxRn-{QWlmO#ZXM+~-EmAGP180~$sxYItt+;)YR6 z#*E)_&A%y4om=hRd$6RBFq90?u;G~T>+Ie&(AU#9XY+M;ownn&89QuQZl5?|Mt|Ry z-M#(Ydskl~=g*<*5t{CCpSQqN$iufJ9rsCISFmC#xHm%2)|o_X@X**~7{lrz*gp=pz` zFOJ@D^!SFUrH+Z?V33r0w2`_(QJ0;lsT`#K22htfQtB~A>Sl_%;zUj5AoU(VUCF4| zGE%ot)Xh%RR1Q*q9jKc#>a~s3trT^O6E&5C)L#SYmW;a5NZm$Jw>nW%IY|9gpl;2m z*D+GJQ`Buv)Km^q?+(;$8TD8r^%RP_-HDpYLF(Ory1nDWWM8jqq~2PncNlqJm+6J= z6*SbiS<{5o8+&QP#4oH`+1V@~CFD(?hkX5xTMXGKpe zjhL8PxePiLgy@$C=#>n8eJgsRY6Lp9cNugl2+=PK(3>;#aaQ!i*9dfK`7-EK5Taii zptoe`U$CMl(ng?D8<;_-f)M?Z0KGLs-@uBVm>Ypktzib83PSXY1N62GeM2jHqHhE` zwTl^aDhSap3eej#^gpqpCk|JHUS^+&dj%o-4SDo*mUOv&(Tbjk95FGqjthV4GB*{3 z=+_76y4U~Iiq6=aOiZm~2Av8*^y>n2-Rpm5M6WP5C+O5VX3(i1M87sb*S-GdR&>VZ z1f5#P3_2Br=+^}3y4N?dqBAxp=+ru9(5WCqzdAtIz5XRDI%9K!POW1GoeDzqs{(Z0 z>l<6q8JiPyY8^A^R1l(H8KCQ4-^7Z}*j&YHud_jjeoG!bjY#+Ucq=+%bMkQ1IxhUF zvq6ZyGCO|9sR%?UcSju~_+2+@}Z=(^W8v!XLL zC+O5VX3(i1L|+!5>t6q|5xtqQIYFn^F@sJ8A^Ob$y6*MAu%a_IC+O5VX3(i1M87FO z*S%h{qBAxp=+ru9(5WCqzcE19z5bV0bjIeIz4kgAgy{F=(bI@@uWxQeXKYR$&S$T) zL5O~LfUbLe3oANfb22ftjthU`*&sx}D?r!1{#RCX#^z*VY8^A^R1l)y8KCQ4|7$Bc zV{?K|tz!nA3PSWd0(9N$e`7^wY);Urb{Kz%?UcSju~_+2+?l~ z&~>l>oe{l-u{lAf)-i)l1tI#a0lMz>zqg_@HrL{{*V!OMeT2#db1Usu{oL8XRotCi2hW7u6w=3iq6=aOzgAQ*&sxJGCY)&So z)^Xu!jtxTeCjxZc>upwa#^z*VY8^A^R1l&+9-!-9Z?~c|HYe!RI%d$RAVhyGK-axK z#fr|@oS;+dm_et45dF~ry}jeTaMHo!DFPxZE0d%0cWdz;4N~|ILb>m|O9<)aEVvNUofbs2s%pCSbQ_*#B}@s&vG)dS-P_w)u?b6) zab5N{n}gWj0&LyeU$J5nmL}u6>}@s&v3mep_xAQi>~_M^WL#?B7M;o29K@ar*t)lO zuwoOICgW23mcXWR5PJ?_>)!rPD>h+if=%sP0-MS~?7aY6_x3a^HeqS<&H$Ia&E_EX zf;HG_K)Sc5Td@gClSiZWZP8Dg%|YxMVC&xgFDo`-X)>6`Qa$ z8JF6(#3_x+LF|6O*1f%x5qk<@X@X7dTLPQPLF_)j*1i2dR&2u3h+iGOo+sW^)kxyMV2GdsizqVQDh1%id;l z5c@lTt$X`_t=NR6$+#|io6SM&{Q+C|_HI^e!qQ}1m%YvAAohNMt$TZSD>h+iGA^}m zixwE0gV_55w(jk(TCoXBlX0niOJGwui2ZHAZtr+Md42n9R&2u3(&Cgr~{8E}NUpLGFW*yOME# z!^%yFn#}96y4f7$J_xy+Gwu#6H(_crugmUcbCCN$|MA>H1ytlWgG$)mY!Z#DcI;Dooyz%F~7%|Yd+aNXm5R`4=mZZfdT9%pk9d@+LS9`84U z6XqrZyXd;aNXnc&ESN&$-pjqoXtV-V-Q^T_&#QE!rZ1f?Qu2-!OzTrrwQpE zA25Rx<|Ys4vd7sR1V018b&n64!3lGdfnD}En}gt|Be?GIni-rhHyPMvkFz-lej0-7 z9$#PvC(KO-cG=@>4uYSG;JU}ZZ3ZXIO$K(^<7^IspMv1J$M-dZ6XqrZyXDL$M-jb6Xv$H(;jDY5d6X%c$$#z@$ZL=C(KO-cG=@>4uYSD;JU}ZZw4pK zO$K(^<7^IspNrtS$A4f3C(KO-cG=@>4uYS9;JU|uXa*M=plgCWBM>VbmC}5(AHx*gQijt`dxt5V|tMtI`Ok2XzMYZK~pIR{SH9u zF@3llI&rfiXwJo5QV{xWfNsf7WJlPc6D_Mh?o^i(Swsp#f2z={0@C;G9chP7jO;6Q zjcn%p2x^}gG|^AQL=z`lJxI!Zl$krd?c9l-6>@W@a-SIF{s6i4(*}#} z+=-wS4$NI#@QFe0_mR6TTVluAx$8Mv#pM}xbP6u9m1Bl^hP}iNUC+_-<8tTn;r4%Y z3PP^{XtnXq|dNVGDD|#F{uBGnLV2In2tz6=qCVLkLi=`(DkmG z<$p%h(Ky1dcLq(RAoSw^t;h5!cIbLn&GOLH(O3vgr6BZU0IkRLsdngkSIzR!oR$?G zBvcAQKMK(L4Er=YbiJ!)d1&sHt|DkE1)(1S=+?~0PPapkY-HKd$cbnXG?jwT4+FGn z=oxnCk&P^a=3d|`f~Has`XPYUSGUfzLyv4^tFGMG_H;xFLO-a`s{+zJeU=@1WFyNS z&AO)}QV{w9fYv>IwjFw8Bg@96HtYy1D)4LMXKN_=fsSR5QO{F08 zeE_X{`dmBo$VQeyQyaDrno2?FdjVSa^m%sZk&P^arZ#LLG?jwT_W-o+>GSQ-BO6%; zO>NjhXetGv?*?ey(-+vGM>etyn%c01&{PUS-v!Y69Ss-Sp%Wt;?xJ3%p{rkNU>3Dc z3|RDj>a=5F@3Eay53c@Jhb(g&Y-Cjggy?S^_afS4qfl6Sst45sluN$m4eWV z0a~x6TyKU>?>|s<3Zi7H5SmIs=wks|jqCvz@!%`^#WpYReA$ zTq`~XxsOC{y_T}f&Ry@?84OG<+G1`h2Dy(wZoQVW+|FI^+8JCFd*bF}=p+_~H(1)-M$v_9{;%?@49(elvL8F*M-iB3W2 zD*#%bcinD>uIFg^ajDa9AvBeO(3bF2+e5}kt3D;0Xx80j&6 zryaW9RkQrK)?+%GnMy(E6#%Wr^j&u7dRNWz(AHx*gQijtdO1MrF@3iky53c@JT!GQ zj&Mw8&{PUSF9T>jrth&s*Sl(#ho*e05SmIs=$ipruch2;hpuMrccIc6fEc?Zzu7VUoQz;02BS7o5l>4pFmC4Ds)Yf;Y44S$MQV30@AoL9Yt=Cc> zutO(CHr(sC*{`?xT1tEjKIga|pL0yk?hN#xojZ}TLT+ly4*OgSxTzTAz7DzdTFOIq z?t0hGU|?#|7IRZE$bBtx>$Q}J?cDXQodGwsYm2$5805YNx%FDgBX;h3*Uo^OTDQgA zR19)ojof-INv_ZYl=3uR`wDj&&O3+pZq7b0>~AW0>z&*tt5VXRuZs z7@Q>kZ&1HsfxM@qbKX$nW=#`T-`nxR#))6RkCIUPa=5 zd==E$J)#OK534vgsG=pS;%R#oi4O8rP$&F|DyTfH;+&ug-5}4{t4IuyuY!917EuM2 zhgF;%RMD3GRy=F3B9TVE3hG&1L={vXR&iEPMSDk6;uX)?t4KU@Cg&C9$?O+ndj}3R zez|GW)n@U*+7mZeZ5G*3X&XPXw~^Ro#PFP3cn4w|?}u%av)_&9?QJB^88JMi1Jk_& zv5oh_HY!;gFWB2iv@>FO$_QfGpaQXtp|FkStc@4#Z6xLy(FP?2F>O$R*v7kI8!cHI zFPYm&Z>JE^2IU1YZBT*O#yepftyvo{+uKO|GolSj4Px4$0)_w@}n5Zm}|*oOMXPwZ{PxTp+I=>dJ-U<0v@Ps29UH-2hwBgRE# zcuEgq+MoiljZeZh)Hi-+ZzIMY#_F=urYsqBh5&C<5%`J zVq8=nn9>9KcY_VYHVz5fP~Z5qy^RLw(~-dmAw> zDs51D5Yq+~h;4iZ8#Wy(-6?O`+lX;dd0w-Mu_GQ6*Euz}ddkzpI^8$)_w@}n5ZgE+Y(stHJ$oB5E-J%QdO)8=*+6XL@URW_jrZ+s#JH#oPw7ES8&n{+ zu_$aqed7ar8!;{_ZBTj;(*_lYZ5$T1q1QJ)w6_uCqS6MX2Qh6>f!M~OVH@fjAKBZ8 zanTlU-(Ul=jT51bG$ZwmkL_*5xTrj^uWzt{*v1KA8|oXM*xQJ4Q5oLXH`qXIZKO8=VO>;)_w@}n5ZhQ1wxPc9TYDQZE-J(O`UV?_Z5$W2p}z4udmAw>D#KHH zK<^Yb5ZhQBwxPc9dwUx(E-J%QdJxkF6^Lyd8@8do@dtYwF)k`?PMeCwdwVP6R{y;%Dy=atDi7@I8*Cu9aYopN`o@9w zHey^s1XbwgUXQj{k(ehxIwu#- zd0558K^6MB*JI38q&IcpN2f%9{oJc;LMjidxG1PXz2aDV6^V0_RmhuGT)l$L!zymb zSCK}fUa{C-MIxMh6|P<(98?}waeYvQdc|?}Dk5xCMtAiJHV><~E~rAiVu`(q2-}p= zUA=u-d;t7ZOZ7bUcu&J71sn+s8^g|uOh-WWpr1sVDqqwtAi@kD^9dm z5n-D$x~o^Pd053&K^5v1C)ulruuU1A@&MK=*gUM_%AgAMij(bCMA)XhImOj0*gUM_ zmV6ayMCui%n5#(dRzrG)JTBz{>~95|hgGZ$s!*>u)m}w}ZOZ7bUcu&J6)S=&)GJQ2 zR}o>GGPGGPJ@ArR&iTUg?hzB_9`N5Q$~073N{a`xHYIkz2ahf6%n>6Z#HrD z3N{a`cqCs%8j*U%CH5*JY*QZB)hpOMtm5II3iXOh?NvnBri||D6>J_>@la5Odc|e- zDk5xCMtAiJHV>GGP4q)GMyF zR}o>GGP+MxU*rtr`>J@ArR`GaH zg?hye_9`N5Q$~073N{a`cr2(wz2Zi56*2cVlF?ngg3ZG!9u2BcueixxMTBj(J9`D2 zhgH0suOf{|z2atj6%n>6kL&6cY#vteQc#6@#WH&p5wGGPJ@ArR`Fa=g?h!U_9`N5Q$~073N{a`cs8g)z2Y`|6%n>M#n~&^Jgnm9 z`6|+g)GKZ`SCQV#h`hEbkL&6cY#vtev!Dv~iaYF8MA)W`?&=k69#-+wpbGVhJMC3O z*rtr`>J@ArR`HXd3iXP+>{UeAri||D6>J_>@#CNh^@_XgRYcgPjPB|cY#vteT2O_0 z#Xa^aB5YGecl8Q3536`Js6xHsUV9Z0wke~#dIg(@RlE{ZpGGPp>Oj6%U%LNN-m} z+NO-|>J@ArR`Hvl3iXPI>{UeAri||D6>J_>@#~-p^@@k>RYcgPjPB|cY#vtetDp+? zibw2KMA)W`?&=k69#-+opbGVhN9|Qa*rtr`>J@ArR`H9ViuR88M#+8KAG24H*yi?l z-}auq!CL41{+_?(&VJfY*s&9fEFPEh1g9LtekF%p$*`ZaV<*N~JTB)1PC1DEat^yW!+y$+ zo!DXVxZM7C%0cXxa@Z{y_S0tU^kzZD<8r&+DF?A%%we}?*w5Ip6YDD;m)q-3If(s2 z4!bSGe%6ki7+&$X+zxljLG0&q*zFy!G|1i__Up4N%@a4C+COhrPhVH9r@v3WC%U)4 ze|~AN{sn!rE9>dEMOT`)NPf;s8@4IcdgjUZO=o{;Zr5OGR<+t!nq93`yKC}w)BSy= zu2MI|&F`ws-KwHp8>9ctXPY5dp;8;%}7T96lxo;VJA7;2o* Qw8_}9^2ZG`|L>pv5ytP9r2qf` literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.runs/impl_1/Pipeline_opt.dcp b/VHDL/ALU/ALU.runs/impl_1/Pipeline_opt.dcp new file mode 100644 index 0000000000000000000000000000000000000000..3a2fd63a65c7e035aac36c045d2913ada343b0bb GIT binary patch literal 241666 zcmZ5`b8sZi^LB8#Y;4=MlZ$Oz7wh8WVsC64d-27#F1Bsk_Aj6R-tSvAv(wZ4%+o#H zvs<%Oqa+LY4IK;&3;@O(+oiEr;C}rV91JYu8yFb$m)6w8fzjReS9FrHeI_${aBixh z(RDq45`;9hi1c6o;eTQxVp17RLqBJ=$T%SqXZ!?ftAEp}?n`bucka?{(kT*VIwLX` zlv7)%ifeN0J94HqQzd-RbDKe&ZL-UQ(~Y?EsI!}KKCwFf_63VQT_3q7t(LH0RfjkE zl}@JG(sdH4kBsk^$?N+1Ei2;y+l8|mCrpv!NtrD#)*rN-VT8m`9q8yaRiQgZ3A;TJ z-4?~)K|I#8|F`V>lXc7mDs88$hFZkLVgZsRH>TC~FZgl3_aZ+0PyqDgitIi-}p zG=i%ze+|k&`t^w83-d7%9@EcU^~5}8dm5qjBGL*64O_lPbJ`1?Y8G>LG1Ztx2Tqhy zw}&GN+W+KZ#0ExhyY9tTk-`ik8=d?sZ?+8@daU@q#h7?9oEC8q#?s&R9QCa3d+**~ zod97;u^jw2{tR-ZnL0H?zY8c-lXpCl3Ie)_nyx3F)11o1vP-ar^C;j2WO=sr7>~Bz z>~X{IxOY5aE59WKA)OMgGV{zCwkadoHFYHQk}cV{^(EK}_I!MA_pSv`e0?;u;KY7| zQ2L*80<-*mzEOjLRr`a1A%2xp$;!d(mzA9vqqC)vgIR+9QB~U z@3VAiv&c3QV68}?v{JR1a@`2yDecxp>v&igamrFZF5Eh*7dQtjZC+W;Pp0LDw#b3N zk`nJnztK#O$MJTU&Bxb=Cc4A7$(BzqJDoj2qa@`wDpJIO}XRR>uSzv)!*4 zTX=YQydppM@xRe@KDrO_@Q`uID~s4_wxJHxK)8(-BiYleEU%k?^!^EwSZthYjIqP@ z6Y~*0EqSS-uv4h7F8ytM3PYus=?m+oUGHA2Ry@vYv=VMhQHjQYzy3oy9lE7u`pZ@-LkwY<9JJc(IO->eVTd;__ZFq663|vW z;TV+fce#ajVo#-Z(S~yj5r}H~R3Kw;oF-TA#5D4?(ZZ=OgDWuWW3o{O$5?$*-SdWf?IHWc;Ld z4GkBfnvcNDr;No}|Iui+mSpVaK9{_-gb7lb!|-e5g!OOZ6N_vxTiZ?qKf`8NsJ+8J zyC5$Tkz~Rieo}I})?l6W+ySI*jX0IcROY2KtT~ zR}#VH5%~b!Rk6dwG_s@(E5%0bRCyPdDk{Zb?}C8fPxnpii#F3}5s{T8% zn_)sx_x^2U+Rs*cFA*@4HN6uHA4d% z+%3Uv9H>f&5Y#S`61Pyy9%BxVRMRQ|h6*&BOx=@A_Ce;y;^~rqnN)Lx%2nGv7n6N4 zGnq~Bc+SaPZr;5ptYIlV7h0b} zeI!JOL}5Y$WM`$Nkk83PD3Cnxkm(t`aRh5H)1cC{!}0a-=^e-X#6g?9Rpe-PMcbUE zCI1x2^#My$J?C>vqofn^l6;$J&t~PCD%o{P7yg=tQeU}sU~hqJ>FQbU9REz9jp$j( za06?nv0H7kQ+1cPUjGG(jBs4g8d<->%ya||_MSpGCd@E=-cU9!0dr@K>qvp)&Ku#r z-^(0V6r)RQ%z5iMVvBv{bf1T}|9I!W>kko>8m z7!ar$1raES4fU-Ux3Gw3CkK-uZlurHt!Mzst%gsQRvjb3y|EauGaH?NJpM_Ysi`hU z@AQdIkk^-i`Z#&>OsF^)c0h4~BQ3Az;TNy-2Q`S%@iSu%cy6y!7FBlrrhhkAvVzg} z85iM#zn6oo>$LyhE#~)IEh+?Z_QP5PToiAh8T%iB9HGnX+EsVj=0zp zbD>9hA>VPB*{57?Z;M0qfzKDS=A72-GsgB)#AMybJ%cj__h7a~Qy#AhE*Za>autl!X6 zZN9z> z_eo{IZMzIdFe&v)7N;xrI=65vn@ia9LW`b?X=ktCJ@l;f)wxEb?N$*A;WO;W_mgx-CO|$@^>~l&WjF5475}WHqtqE1c=eob~{sUcU(} zR)cXOizjFB-PjREw?nsKeP@>4!{P_sU(w8_Zod`{0F~`R-z6ec7bQwIWDc22QaHpg z@&)?NedVJ(iCE?n`$;2cDz{emb_ho<&EJqeAa~jNEuUEj+EfSepFi=O9mx}-l&OcW zms8*)uorvm9*d3jT;FU42tFuwgZecnKInIwz?M=c4~Tx6P8u7jhU`E1X>AB2#V8WT zhuQo?`6Cd5tUAU12hcHQYse&GzlFr=3%*d66&3jjW1kzCc-;snQO@eS#r|a4g?t8oa~Lbsc{9Uk=ogf!C;{mU3nwem%vRVz<}$M3<-B32RJ z?1rz1y_p+%wKM3imW@x}_orP*E0r=(iN?7)&lhbhJ?j*%g^0$RI(=^KMQ3j{I5UI= zGA_NR+fNZ_=@1^Lf8ER1V99$e2qQd9dtd50*xT`9j!%l9-A})5W#ZWv^48jQsSu6F zcD8sJ2P|_bG7gEb^-0!^yA>_qWlXp(TZX(?u1xxom_;n&W(Y`u*n7R%EedB`Jf`pX zlcUX>W6c$4<@wCTW?U?yL%vL}mhG0UbrN+!vF3KP^07@S1bDU6XGi%wgOWk_%93oS zftP#v>8Uca9ia88a{EbZ20vW-bmUbNM*|J~k7x_Gb$wl^spkuA9Xmcc@ai~t3hwt;@ zUkQA;o7Owx%di7 z?wv)@$AwnBR7Q`+z06CmIBQyPH zN{q5IO+{tL2{oPtCDA)FQ)$rx zQ;C)UUumBXQwhxgYZi&u-%CSUSOuklj>?RxOS)wu*4<*zo%*72WMq#dk^t_;kJBkq zJ&1T!F}2?*?e3L$aOPq2_2H!|<%G&hT#Dgol4t-D7;Dc08no+_BTX zsy=0o!AxRZ-9_bp+?SDV`?mf&c&eZ(!0e zL1D=R`k5Q+8S{a}-iSncVuC*F%l(6+!FlAZaPP8P*Y=q%-UN)-88Xo!M`zm|_~k=j zL1p%Ts1fh1#g~O60sCm;QrE#k%zrRm^cTDB6I{92H5rQbX9U_iK>n1k)L%y4rt=)o z1{EwE9rJ+xTj`U<^|Z70lbesY^u0wO3++KGIJS;0xz0Iz`^;;^;XwfB%Vqh@phvzv z6R2b5RuOyRqG9`Mc7^EQBkEaAyud_%XN{jdcN=kOjXlC4kpBUV=x|1DqLXiYwj3{Ev)pUghYw87S%RGtQwf1-BY# zQdG)cO&RNJkJ-!PKF;#p>)oc^9e7`3^#j=!rD1o|D}=9tFETIb zmc`4}&@h8TdKjmoBVuep)i&LOJ%!ghKob7~f800p(qZ%GLKR*D?^@j4cISqiBo=u? z`~;i5)w)!{-}|rAaf!=DpdUAk2ZX+pW7F4#MOAk`5dX;<%Y@6i@Wy-)fS^KFmwA_h- zyQ>Ro^KM#}4e3}knOqlms1bN*^J#-yY~n?Xn{(_gRY!|tt6)q>z8!lJRk1&QvW!Pt zg_4#pe=MB|SXHX@gSHd(z<-%)BpNiTz2sioZF}bQ9Ne~IBk)+|BG~nwb*!@I{qdj> z%kTHPH2Au7d8?m7=yl24Ye2ko``Qy@C=Pfqj2@CV>e_UZFnBOG?=T{&_BjiEULuk* zyL?#UJxiQiJwX5!g~FVnKXL$A-7eWg2%S(l+PV=AN6Nd--dFA;lq?6Cau}z zk$S3QVxajtrO{DYxlS(nFS=T~sJ5@0kW15H(h0>uuySi*4}*RS;<2cqtOFaIcN`vgQtArzb%VVgNK~LxD`` zNYJeq0EL=RAks1seSCirpcp#7Xz=~ifMNgkj2PuuKB1d@t-Tw2;2{g_QRO(eV z*eLfzwswRS30D|jOauoMazcS1ig7F9gh~o%08pG5V#p#OIpLCAnyO+5PIEq^==BSg(Y14yRg zKLZ28CIl*J&9I^PD-o+8pGcsdz>Ac8QUlCL=1q`AJS2rnUZ^W6zyb4kP@t0h@AceZ zAnttPxnJn1l9$3y?CQv!TI#*Tz*u#-f0|_oP#sqUHeR*}LQk~~pcZgX?^7LQ0Z-ei zpQ7Z6=s^6$8(0x3CT-*!IZPlh5sKv2bk?g^VFsFnypSHSOp|%K4+iv39-lWOE|5vm zL>5Wu3yfo|0p($#Qey(;BA`eLjfICQmJBw$DZIQXz(~%Gk%n{;y%l5tL~)ovCh67D zOc~wIq{p;`A_}UuL3; zX(3+~PYP5jRRMh`K?l(TT&bWy_!K1QdA}?xtiA{tX#gBl;zU3tg<4{@ICS8@gp4d= zU`9L?NUnfbEp`rjR>&Zh5U3Lus6?v5|D8n5j~)O;1O-AYI0{0R`GE=i8xyE>7LPo_ zhY943fdaYY6RWw9194-ZT&oa-N+!RmgvT*J42+0^0s+2?Vm^l*ggnB?SwAkv4$DkC zM+Av%O@}%6+7X~+ru<)wO7wtd87PwI(&m)!#HP#)fKoX)5rx^1lyB{E`}M>Hd<*~& zMZPe_lpJ%e_8xZ<&2rrDBn9CNfakBT*3Ochdk&6L!p7@?tS1x+d8+LT`&8M1(<5<` zEIN=j0;t4QL;RnMWOO(Y>Ha_^-J0W$sxflSDY&k9C1hV-5N;=i|5X_b+`maGdO%lx zHC`n<+PUzPS@8PqX+X%6YjogMKHgWpuDtNz{vp|)h0w_%69RL0w2*xhO@yBuW1zM* zc{%r@S#bJjcY|y5PFMgSQcGcK1RZ2+ASTfG>(c0zD-vmPyT*_z~0A{h7Kg+lm-Zz)e^rI4F_KBQsS-rVoW1XecVae(;@V~f~1D~ z#{@bHu{yG6H++&lI`zk@O@tMhQ9iun6?sS z`c7ORU~4H4Cc-+0Xl#oN`w;e{6oG-uMDF1!YuGhUr7YxKF85(3PKh4t>n8+w0s<;A z-3iB>YB5rO(i}0`?|N3S?=`oi!2LCa6}grZR?O7Ebz1u|3N_CJ1)?q@z0r2l7z_%hQ%fx-$&_3Zz%Lu)aXh|mD~X)r*GGNLi3I*cV>XIPwSK9wu< z3obGWrA`I~N-Jo6e3^;cm7vE`3ql8y#%CZ@C5PZQ4LJ!XOA1qelytTOIQE+RzhdEn z6;YOKxLJ@DjnUm%1m8z*Ci+44IcZ4Ia)Ar|8Or=iQ_`;BM@t`+(~Uk40}4*{QAdGP zC!-4Cho7PNjd`&*#V&3U1jTM`A-K$dtwd$Q^^Rn)%)q=b%DP7Twn}_Tzhl1fm&mIW zZbz4M%dXTE{6p$B3@)HKE}PV2dg>>|E^J;&g5%#(wV@XAo5nnn6~i?cb~WdSwvZ4S z{3bMnMS-0J;+d>Cx2gAtCb<>mLCTFYOR8~54-1Oj@rd8kA3OI^ztvf`&ZB>$IT^%z zx8$e&yS|WYJLT>#BRL1X_a|mQsIeMwSTe0+?R6wag>s)mwx|(yS(=)!N>})0aqb?~ znwUWJZp#=uhHoE{*8uyf`xCW-`>F#HwF-Au<06vbeucG9{1NXi%68w8TW5K2oT-uF z-T5x-D8??j2bBy#nniN+Aq_sQSh}_+Jz^bzF$%|>q06=F#zH@|W6Ne`*kCEOG6U%F zrweY+&5$DhY30`d7`mSUAAxSs;Z+)X7H4IUgQmgPHsRHJCW8|S1+?TzQNonS4L=PP zHz&kH<^dDpi}Rc)$nJ$OWF*W3X_KSwzN=g1gaY*{Jct)9Kh;GDOW!r&o~dLcMnZi5 z?gqTEjo((s`Bi!l6a8HkpxrY(YK-$MeJ8TX6>nG}x}iSrk{ELR5-h)x;z@$kZG?J* zO+l6_Vv-yMgpXEbt>tAm7?whqu1bn}LnSCOB`>uMOo%`J6Q1!&L&9b0I~1KkbW@O~ zbLuK0pf_9srIeVU%%5jYk>4!DAS1yONJ)p|3g8tPF43Ec&rtPVpG7Cge0nM_W#RZe zBuRr)3dyVUOk5{4NR1y3&8soG?rc8oI09`2XFYFA@~JZ{qM{)(bv`m3?@}0=ZTR#g`P3M!6CUcCeT%cO{45TB^CFmJ z6A=)<75xZG;evghOKZ(Cz`U`NkswSrw|;gdnT!}_3tk}~*IRB@QdA)EyZ#qt=s~DA<({$jQU32D^d!w= z`ii`28Rz;y2&(J~3^fcZ#-uf4?XZF`4J_4o&<^^j2xWE|hT6E5KvKK0_Cpbrj&Nbt z#4PN|O8r!|4`b480~Cizt56+@qav0y6qI?Z6diSdQfTPgKz9Yj0) z*P(t)49u)W;|fNKu0BvvOs5pXTV$p}r{@u6-TWoMsqGkggrJD%`dwVVh=|%P!?*7d zZ{6(6(DY^CFUq9@Ix(Twh{lnFUh4zNVb`lL$hD8aD~Opyk*uLS@I0mHhEAtwD~K;e z%Mk8KK|g+#fPNU4RAG+fhiu`3TtsQ8Q*d}a<>-dCrgwFOLt+;!p#-n<8bY=_K|hR0 z{cj3LnuVzqaUiHq^L|Qz%DJ{(V9kOw;@tC#UGd(wfH~8cN@WIrDZ>Z`00S zVw|UopcMYhEu|7QQ<@N{9RTGvWDIIASS22m!IKwMGT;ngL>Zy`GFMqcSs8kc-$CxX z&LSmo)d$wIc32HS?lVv?eJE{&1e!GJQD0g@g=3t|!=f_XF;(PwOMEWCj@aB)YAE^U zKh_7{;CEDEBn=-yuG5?(-+?rgPV-~s1e;*?O}+>flbuq(3>{g_ccU0&Yq* zl)e>C)(5uI+^74t0&iN(MdOn@ncsz;XQB=jK;B=etzG_#$7Xg?Uu4<%Yen?&p&iKE z<|`_`FUkt@ACG{2uP=!x?EIC0bBOCVmH?6CDOlfG5iGnDDxg!i#+4{q>s&QIP10z6;O5-azE01i%n_{U zdy-Nm`)a`@c1TIIWksn9YlI^NcckH~yXy4B>K%FRK4dA``R`zk)+4xDLoH*s zD$_~TPRZ*CUjXeEU{y$6;FA6sryu9_>j*;xrC36F!07zND8RE!*N79(+t(3x^4GA0 z+I*{5p;btKsx;&?^d7`&rsLdbY7z5Ys#+l}&~r<#GbwPnsmBS;;@!Q|jxKJ@VYWRO zW7E+cbthzCnkq`StsBwNMZ0dUz42~s`k6XZ%}&WqpZChDv+E7EZph5eioB3$p83%% zi-Pe`RbjTGCPfGP5OKD{k>)#MzhXY|i%;1dpS44sPyDDSa2v%gHVA9FHc+3e zH-IZgpv_gS>>T+)9fQ(U zgg>hYpfkmL45r-^Sh~zO18ySr|MNxIqLa`NV0uqQy{^!C^x+f)_ zm6aiG5ICX_~ROqElEN}_Uo6D2C&tSsjPAjY<@KRx&k!w{)Ca9Oi?L;|usk?#<8(nk61qmlv8k^QN4a(BtAU0n?RZKY>;~ zJD*Obj);tf7YqL#wJccKzi%?Gr*`*&u|no#YuN2d-|GVba#Dee5Ed}a@S57h6}B}iMk6G}1! z5FQgii&GXj9eWB1dSu7D4ot)MXfNdXscX7UxV|z(K(Ap!KMA6c{|1Fi^DO#f^>L)a zM^@DI)8?qpzzxL5g!T7?jo~8-x0b!Xg^T|>$QP+S`SVkMpgW~5Ov`Q?IHkrEMSHx8 z{gyqXsB&$|MQhLL9KO()7@S&A;%b3I^QO0*_-{Im1+sIZ)3~~>rJeqCN4dH(j|R+8DdKslrP1ye?A4=_M*oz>JQ>qs+_KAnT@t0_o43Wn!vQyBl1 zMWirk;SyhmdniAbI4wS5nZ-5hjHAED-tPg&pE)fqh)Fps$kuxF`U&u)%#X~<;1*2C znc(BDt=eZ*-T&C{0@I&B&LK`4rg3rh?OLaMR%}%m&4R)U*Of!3hK~|K0kFwf1EBy zeUJsp5Ja=Y>^bAu4*x7aIAnfd4XVkSG^wWvV(FPQy9>6EJMt+uz(VyF$bVMwG{G_K zKH7mF;QnBkgk!@VeHM}Ubow2U^gWvFnyKIL?CkGkKuxKpKvobOHa`k;rhOuJSKKs4 zi`Do|#UrVN4Wx4EV>0Uoi3s8tkagg>KWYLFIg=@gy9+oS-0~1s&=O)eauj&i82`p9 zaR~jQW-n>><|JWZ!94Jc5p`h7OnG&QDoFPgp<#ase^5;z6KWhlSIl&5fV#5epD4pg%hEbsQ}@3t&%U`^T+E&v75c?= zyg0Tl4`F9%8;q9=csLzN3*sGjz5A3BOawGd<$0XvL{#xMT=UE+vF%t)7phAU7QL< zo^47DI!Q0Q{K45()VJRf1jn}fZ-|aDGpn@jsoXiow#jb_5|f2Z>ep?3E%Nqd2X1Vh zoE;V4{e*i2v-$5OB}wDHr|h<;PQSN+1YmrL^7;Pxj6AIDD? z!V*m(+~ilOLgny|)Q4r*6#&PQtuh>j(RE2bf65thcN8fczqIKN1#KPgvm}lrQG@i?@rPruXV6{aL<(i}DF~nr51TpTvVO-NsAUKz9Rk5DPdmz8) zArIL^Z4CcXyjsNR$X+&>*!+2?VeSRxmpGkCb2w31bad<K5*eWp#TZwu_dp-E_lysB+6IeM`C$dUOl zlt>M|RlAmtVuX7vJ;-HkWnU`}@f$cwaLA2sSM+ubW)u(fn>cEiGNKrH!rBq1t1lDF z@}n{M(d&cyMt2>EbD=uH6@8b5n3XEuj8JAKHoV6`(Wz3hISw@8oYw=jKT%fkn_OtD=&g!W0i;#poDh?zh~- zDI|rP{<+JbXy-~%Lm;=>)idIKFt^$b6rvB#j#B@m^U*DGsCDNQXi@~<`so?b=fuZ7 z^DS3qYpeGaB6MPe?a`&hE_cnrvz5{1$cw2%%~48qRl2TSO)<-GZ;HdC2+mf1SiqIr zMYTIiPGA3Q>K@#g_0lz5R1<1!xL~;ukpVF+5vFMrzm?^u0_7>A+fnNe8@=J7N(aky zTlw$53q_lj7EJXtdFHHi6O9<0j;vQRqE4(sQ&tvCzu)7A+BH@Tubnm^uK(0c)LuQs z*D=*ieBL-E&`IDTS5f);Gks(KocwIz8zfqjO(ts5So)b7S)bO5cIjeS<*&RL@Dz=B zj>CM?3g0yh;)jsXr`qP?tAAC9Ct>z{c9`8~KqRnSm5Yre;O%ZqM@;3e@3LikXK=L? zTrCVw}NwgXg9%5T4>V+k_c5qy`sx!ge5Pf(k?&Mx6 zG`D%BpS$G|jPjN6ZNmVFzBPn{uUfUq`m#h5A1*63re2{^%+8d zfr%i2fx-X3?*-jWO&U`4|9b-(a`{R}Z`;%tSJk+tOpFJI5Eg5yqNWX4i%JHolh33c z@yktAP|eqX^a}q5NDtZ^gwDMcVG-phwU%4L1O|jt#@LWqa9(#a`i#Mq_Y%$HimH&) zl-?k;+W-`BxyN7%bVrm71T?0o<`u`1;*hpDiXwWUhk1>jtG=spe_FRpIqdc>=aUyQ zSICS2#Bu4b;Y`ye6Bp#|6AS(E#l854Vi~VJifsIUS*uXml>0qAm<8HA8RN2qNq;W4KMZ%Z9aNzRgxz^&hruz%bJ z{{45SkwP>R=S?(V-A=eC~Dn)@F@CZtlHK49C7A zj)awRp`y^Xj7KuK{CVkL*d)M?)UGWfD3C+m~ z+{(;)i@LooNv1g3f04X;#_pKJ_%ZVqfTjDbet7pHVXaJZ3>NU`bEJHx#BvOW9LBg# zDN`xw&8Lsv3b5fb@wXwImbz`{hztfiPMuTV2_l6eu_kb`4j_+O0QC;*cl$qz5j>~2 zW6zlGl(1h|DKT#;XK*~p;eBwBB0ah;;Q28_I-`Qe#q+9yLHqb}a-x+L2Jheh_aKHx zjT`3GgMk%v{C@}0%+!3Y*(A+uw2?u_YPHe!zgAhVA1|FKp&f{ADb=9z%4D0x9A*w<&niXWiYPTvNEi13{ zkp~Q>k80~RntLcW6`rWTFgwBh_}=k0Z(_%iggXaiH-t_7onKjT)L|`!z=^ZC6^TBj z39`ugC=|&apWxjvmkF%*5MFlgw&QW2sc+@He!95)TO?-fw1hssd-W`NX5^lQEty$D zPuDMBMkEUeJ0#e>_zzvYYYVrR3qSXX$N3BU$1tM9rZem6pC1$PL*#iYagwa5SXX-{{Qmy$k+2$iXTmOI#f;`QJa+~gn zdx74O=v<(Wt*Z}*E@g$AZ7y4|4mkt~>)GG8Hb_lNFiwF>e08BoDX%h9wXsWz#>{5q zGXU4}tY`>b*YjQ@?<_;@2VDoO=&y@`uy}6&X?t@7)`q$Cl`77>sLnY z=u`Lverby+6huTm)53V1OIKM1C}`IfmCa&fo5^^5TpFO(JjdTxD`J};)Lb&s$24oK z^woc^mi`$({CL6|)PM5cC#ZUSdpX|EpNHni_&JBi_OR{!zRWjT-0>RNE-K{Z=Io#Q zHzOQ9VQjxr z@FB_T+S;1fuw~u#M}h=ISsFiHEt}J_O6kX6aZ)(=3+~@$a%UiQEk)LnHM^_pE_m{0 zgK0@-tdSuVC-%Rm^sEmy+IYK`%B>2(*=xLH*>)at*n8iMYxP~CHb@^%pg2(iY(Co^`Gs6|ip^|rTxpdl z7kx%kVG;M@wjpeJ_%TIuJ=?x&ahrWS8>OERENN?OwmU>H-?%L5=HK#dFW3!Oy#`4U zpKX|&CK5N(Es)-L{dI}@mcx{X#>K$3HEe8CyO?}kclebkOuxb-t9%m5m6Q0)1I89w zReC&$htqYl)e?oz0eUp z;yO3L8fsxFgMX!UY+$&z@dQkDC#NI^&Fh zao(I)qW~)FpHLaV1?zxH9bo1K@jl|xEUDR3w0us^FD6zvrcl!Mb)4GLmrFNc${d5W zw_S*rS|Xk1Tyz$Tb-T6KT^Y-hLLwE+%K)n?=j>tr9(3J`YKSWB$nny9CQq&-PO4PB z^S5INg~9IU?7#;MrZX7X-HL^ag%?%pbXphqhx^)D?D1{E^Ny^Uv9UHCcS_U2d_$|# z%kP`fW3G1|#c4e7?$1;%gZVV|F){fx|NMB}*Q;YLJI=CkL0oS2YQOZOUs_9DC#bjz z=amkQ*s#H_SDxFmv?EU`%1W%5HR~6X)jTK4rn|$|X)51g{#GLjj6P`?5jZV;aMaJb zp}t!1)m6I2j6$V3QS`_lDS;of$&PoM*x!zy79cs1_z$TEALpB&)X~M``U!Soq8~0s zSyrCw#EcntO|+RI5P$O|M52PoE=FpJvQ3RykTm-a?iLsWYcCrxQ1ajeX^^R|)^G>0 zcL(8~4D}O3BcIx_m-_dzw&n7&677W$Em%G_?yLfPY#gr>D`51z^_{WG3(#8~{G_Fq95M)DwG0;#kdqH{*#8_xTap`wOb}PU5685@+dYV$H z_!8#2ygP7dKM*w+TX8*2xD<$*jg9OMoMpn3)WXCsjqi9)+?LdU95%KB)Mo(wW5S8_ zusf4K=Yv^urZiEfpvuxtS2&#C&^_x|Y~t*L8Ed9A ze?@z3$dpl6BAj2}J?mO*BI$$KVx}}`MQlw9ol#dLoZrAbYg=q$>4TYSrnEz+u*y!|+6=rC6~m?sXk{V26YZ`@+y1(UPsm+Wq#42tO~^@+1rY&^g`VW>F4+e1A;vpLz0%d}Ho3x3&qe|@6R{Ikzd+>pBe(w>?j&T~X* z^KyBryLA{macJi{v(+%G(zL2)v4XpiG8ULJhL~~u>N?XtY!;Bi`Cpp5Jd2wX7Tt(R$7h_yI4qj7b4c?2iv>%u<7wPxD3OBO^90@OiDMm z(BMVQAC!EX%76ymKe2M9!T7kMznTJ7uzZw}$?n>_m5~~FTPNj9g9$yge>Fv`V39qv zcT2}M@Olo}HU<-VY5(dP|584!Eh!^4^0qEUe<8g+9EuTCvB+N5mZW1Fc|E7j-Qf6N zZng|Eix?%Io^$dE?Oa{DGF9RD-)^?-@QN8j7+V=L82>UxFxE0AF#e&0xIjBBoE`C< zuU7G975KK9gmpo12$~)89b;Dc0W0wBG70(u_{c z4#xPfgx?;M;Ql>Vq7d1uyFc~1G6;OA_;ocEoBudKm0l7BqU2tGf(xfgK@gE6JjZ<^ zg|&*Iz7SjW6~A?D;m2}SU9XLuIL=db#}(b=_u7z#TlT*Qt`2+-o_!i@e||D-o@xFK zf@0u|miw-HcUTcQXrki~+$ZYxlCh~f-b@M+8teu)>HWE{_$D~}7}G1jmqvwE#}w9w zMqaGW*+I0xp^GLhF|+)W54 z{(UYCF~GfRlVT-*#;e~pn9hB_t)33DMK{{zlFxyeiU&{1_}td&?;9G}Z)r^DKmEg> z#a{1I3_2q45ZrrVpWfB9mr$3}oTbUVmpyb);Q700+i#v_Ae+-sbA3CnT^-|GbOhS#Erf-xpw$1`E_FtsE0o{Y) zr)DgRIBtHL8~0VpJgp5bsWqDL+~BJbY6AGsJ**BASW#>r1%QZ_`a37gH_du|i0zc! z%@{iIS3-?eAD`I7-A%#EEf{~*qYZxBoFA@XiB#UX1^X(1qkj#5dlH}5YF@04*b?lF87A0720#D_GoRr zxjb4wGOPXqSZVgb0(6>gTxMAzc*Guf7EL)c)PR0Yjj^M7s8FMssG|n>wx0q*=m8e2 z<3siV6nIMLAqX5y`B(uaIsmqRa*M?J#!*NapJN`91D0TInb zVyJeI;Ec!-$aWAQe;PlI{|s3-CQP>!dB8J4Oix3N1G4e@6f|jk=Mo?w=|ZokBK0M?01p={`s9(WaOU9yJ+TeKFJoFS0zIGF~ACPv_@a7|WJ;tqm4 z*B_hOX7#<=RIu)A3i1Bq3#hw#k|v}QGZ8=mdxQ94FjK}7Pox-z-qQ#-Di5)28p{@3L>v{nUUkhcQG6;p zV|++PtM6@E(|>BPeKxIpN&29OA39mch(LYth|`Rp<_YCJ4AqcNM`*zE9@}b2zbVQa ztsq?^=+-Gpcx~~u8qz(>neYQ6=yAY$8GJ8LTWuCX^KVU$Ec?OGhKvq|&z!Yc<*{C? z{1H~)Jg_>B3g%eYchtW|Na4T-9Gn?*M7o!$8)6`kCp{0^t{l=<;2-S{5Ti#jIY$t( z%~E2>03FjkV+VF#UbEw8tY^SkS*;_6^lVsB5jN!rDhs1K$qXFbn92jxH!q_??U^nx zL#A@>$YV{C9AT}o#E90dfl;M{q;gU_EfkkRiI5FbPaniiZabpiJ93lqyt*8jOaK*I3wKna`(=AhCjU z>gE{W_@(j}J#C4sIZ^!_nu0O3ttOBkAAK}ANjFTK(78W(k8lZ|!+@>fh6$z5^;^xY%= z*nrRHE6XRuCvHQRUJ1^#A;Ar{pM`>ug;N0=rZ@3MxME+~aJ4A}6XnSVyhiu9~4urXfQbKc8@@oUr>h{=&z;kgZ@X*G~we zTKW>dwhs=dx@of{;s+^KO{)hezbneJIxrs}nzk1b^=K5U%+-pTfMeu=%s}TG5fRdYN&BNe!ug-k)xj4;^ZyyL5V0NL*Q(b%XWeC!yR7|&*W#< z@KZsL>srWub0pAWGH5&B#SmHdq=a(F=4JDI(01SzghYer5of&7pvUI{B5@>Qj}%D8 zdB+HXSVE5#J_ZHXT#=kg5d2ZBQ(>&sn~_8=$Q=))UccLM5Hm14IA8Hd^4vcE-b7FP z_Vir{;SMg+Jkr)5NL~t^@GC4ITafv0OCHO=LR)QkU=Y=mtQ+-Q=U4ArYu%Q4*XW|~ zxy>xL;avZ0iRat(BMbbS%Ddk-6_HBp5EKUg9hWnxmgymPgX**FkqKD6_d2B}qd&U% z)I&R0m0N0bX|irMM^di#Bt&dL`GT2s0}uL!QLE_h9yCQ075$cBhHf^O;4l}x;%K%QpjfvgRC0MS_gk9bRT=u_higQXz2-(thmC_`qpIyId_P2-kt z41}{Cl^=6e#Pl2-k)rgGz2ml#$=FLVgGT3y(6x!a{7tu+rLme?Is*zX|p-5%hMkie@)`=eDtI=>#C5VO*}?07mX9GhndahhhSW$k^oq zzO%#;U}5J3xGavG@L2}inej?koLE_$klQ6MTb!W&5oBDQ8`GshBbpIUP4jov@scUA ze$M+P98jEjKQZUm$bs3!A!BEq+Q{K)M~I=?8W&@$6umvLI2~byLRUCKSLpfSkdNCN zQ||1jlQ3q2oYw}QR;g_TdGl!C*?r{PFVjj1E24B0y@X+Oz_fzGQ+Ky}lU<-9?EZ2) z6}DO5x@Hd0fLxX(^Ck#h5{7?4k_!_R{aXf;+h9$h`CBgg*k~N-4)wb*3=~&rNTPVJ zLa~wAT&u)l{jdESq9-VPeEt3jr&pkas^`Er zd?sCiz32Yteun+ba`au5ANrF3=b9$>{UsQtU18Z4L=^ggkbgtk0Z zBET>TCPFBUh@k03dqXK(5Wm_x!l=3tz%WXNM9{RNfuWSOh+yaiJ;JD(f00elO#8wM zKM~nzMF&I6S`g7N3igCmbt9r+l#Ga|X+=Xr%W4tP&&E2Vnm@)cLFYaoGqm+JPXL-#fyzEr>Am|G-*A7}^0~nBP7B4UVf5 zk?Tgdkq=;_8xMwRIuWVsMxbH*12rSi(2YYwHI4oobgM*c?*9FB&>qSoOR#seUwSin zncLYd5ODJ}dl!viQFs@9Tu**-`Mi10lw6)#b8I@@HTl8i&*T5u^)!FE*nV^JVtQ}O z+IZa#pX?JX&$q;7=)}n{@orqb zqXjjBG=KBUm|{vk-Ip?ks%G-70FomAeFVdfv#HzTUqJNMI-g@Ds>=e$23aWYa?D&~{oJN}co3 z#3M;+Lz7-IB|x;>#0>{;^3BbjFcg~^1k%ctuoSa>0#wd83YaXYveLHS5*P^bSBlv3 z$4o*Jw26wwhZA+JrR)jXKARZ`O2%uRG_D@0|4d#v_{9j$DHFbCxdh;Lzjn+#J3!xj zRFqe4f2L-4LL{pPOZmW;q!4RKC-SOCnX-x9T2dt~qK+08OQtCo#iK>gff>i6N$NwB z3aAsBQ6=1J1}+o}p5=hF>b$JnlIOh-{<*6q13d2EPc1j+VrWx6z4mt!=<{Y?m9Ve( zEbp&BB~Lfs#jYGht^T^A=-$xXd|Q3{Y&M`MRNzQPNM0Yk+MlMNh^`z8AHGjrycBcm z?|hV+&VBc6deM3palP5wd+1-|@cWbrxU6vBoc*Et+ON(~L1JhPRMHRf13eEHKQC^r zCI|uY`cE?5Tz`ejhfG}t4ZAV_=Zo7BlUmp3<>}7pd}(m+x1q%!4Ge_#+Cy>>u{7f? zb7;-iErVZv+T4ffJ1_e#fuA3%2u<`R=Uu<2r*jTYi(j^K^&f-n##h{D2KBD^wU`?D zpSZ6OnsWi~itZLNEFex88Zru>iyAUXb9vz3=F8qsb08on3m>E7f zy`3hXn$?tzSG{Txlj<0!!+Dy>I&e~)0-K9j`7 zH?|^4b-=q3P*C6)5NK!HsIigy)8z_wsUT@TUvTRQtPZHlfEs z`|-Q7c|hhkekwLx6>ZO0e*YP86+U+rU5~bI?J(QHVVx5> zeEUfS)5}S2D-l<@4kH}InCH*SjLec;+loj6%G}cW8M_nk@Tt91%awnUtroGFOjhE! zdQ~)QlgV1Q#RwMsXGB-L#?A4|{OIUtw7wIMzb-%TR9YoN=jqFRg~wZi_E2Z=)rwk>VJLuf@I@i0(y$`b51|r9GRm*11j2ZeGp0KD#56 z3Dj8QAzg-Ba)|m6twGK5N4N_$KosC1UIth`_4^QPyD}=i@235XhwA44<~k z?+sq!)!em2XIrbLhW$}j@wbp+`tpYFu!-aY2#&7K)9L zan4#pa#>67x;W%716fp!bdB6Pz(pz?M! z>(C;NmA3iV&_Yl5nhQ#nnkm`L zb#0G|+qtPR_s8AVX&|mmuv_fR5<75U#4qML!T<+OgM%^SG&Cmi)$#*t2^g_}8lCLg=+QLN&h_!vZkx%d=dCMdus1-yKntK# z`eEx;j-kUyYcImt=hf?#ZD=^u0ld|p0C=f8Bg-%c=e<*N)WT~olW+U;-u5I!h(C+( zN~^iLrI0b+^6Hq782KAwP0OUglS~CA!P`vL8tdw6nH|i`7RSaatj)}f%(lkHq6`lg z6qfRt)Y`p%+{3rT)y}>GoxXp+_wVugtUe*T^vO2H82*!nAx^d|zP5b)2=U zl2HmVob7#Tr>-}s7?2|~W{L>{R_;Aa9w6;@Lh7?$^@j&h)&&!E&91l#8r?4C6{<-o zQFY2fYGc1rfVHT8qyVdx*Q!&GNSkSu7g29E>lN91YpnJ;F=tWtQ&DepWz)4UdCoc> z%%u9tL(%v2XYaka3!-DEhK8x;FWIkCz+9$SQN{!TS*kUnbnEZYg-B(@>p^ z0+E-si%=HtfNV0g!`@?|%FmxW81Wp8xNCI~YS&U! z(o~%Xr0w+3CP(y^hqjU|9h6pdHfEZSzx$2bG&Pg1=-`iS^-XOFHgKRmf-7I!GUJnA z#uM#FavSmj@%X542#@w{-GZv)^ZSD(jiznSXnrn9dW6x=p?cOv##nOUeH|! z%HUGxfVw}5Q#jYN$^??i{4_%Tp_Q6BF=HjQzZN<$ojz5DLdfEKpKTU7SjAO}=ozPH z7rK9|*8cJXiywNb4&HyM!eQ7E2D;hu;K6DUT8q zxL|>Hloc*G@k%vML>0~mY-MFlYVw~Zs*UVv^g_~zSA1n+);fmfAlj-5}SVJXhEG|INyg(KL#D)7M_60PHKVo$F>Nq zn3sF8+3tZJE~Z_z4=G<$ejSlw2gwJeOzpm$!P`0Y#_Z=Qv#!oq*5y0Mx%0~HO)gYI zYU7)qH>N!*>F4V|#?kY@tCly|(o+~r;@ihd_lX5vWiYZ%oj`G5*tSMB01^?xeia)u zp{oh+Vi46J-^!yb-4FIT;_O-tqhTTukN$XR)GQ1VP(qAx&s?BRV*$oGZZB`@n@|NLIbb3rt6gluBigoKUI?c@m#_TiSnfS;YK%cJ#l{m zfiN7bh=&klV1lZTSLoP1MA^YDzN^n&#E3h$tQ(Kjihu53-5fo8L9JEVK9Oto?uK)El>h$Q8hY9uHB$=NIpbxJrdFPE*mn?$i7>9=@D={x69wIoO0@Qyyy3xhPIlI zXS(R&(^>x8OQ9u&xorf{IV)CNb8dKOzsXW1UlEoK#@eUY*T}Qt`lFQhT5YID!%GGm zvnbG^h-5!=Nqq2;sEZs;4}qibQhm*?1q-d+iZ7zH@N!57gz+BKkw+P_QYAWH;QOh@ zFEtIe5C*=zrebK4wTLN+Oh3K|W_6s!Ex&L03DCi+uI)7&Xog;FJJjEC>bjD}r3 zq@DueJxkABU7vhd@w&1_N2e=m@Bu?k*ftb5dyjDe(VUt3gc$_tP3O?F_UzMp?Q;be zc~^VutM*ANZLi+u2;>XGCc<{bEVMwqNs;Z!+rv3Y&Jt zf9+Elc>-SIF4<1_w=sB4K`vDhWCW{$oIk&Xf>XYMgh*Zm@BvRKn2s@I${&-?hc`yl zZ0nDL5P~xZz+vKC40d#+>xu;^@L#;;ij|j!Z>!I4-ksgB>q|F;e(h<4Oo^&>B0Tzp zcjknJn-fk#LR(~D0_}+`>k=#-h+b}01e@cWt+My7!(#mk%9!BGAJKttZdC&OGC2np zJBw$vf*$jP=Fr1R-{;>b-j5MA9o}{*xnov6FA$Zj6XukD$uF2PV_67LcU~cCTJ?;f zIXZ)+DpPc$7>D-+g5z=mgZF~g9vIwal5vFhhBUo{4mYEV(Lc$Fz7Zp@~10QDeFM`eJEdfq6^6u^FOH^&G%vIl1>7 z3gx1JwsZB`vw_T5JoMPB*F?t@&%gg?F%#rQN9u^j>juo;88p^fuMc}rL$_TT@(|a!RnO|FK3Y^z>*owc_T{V zvzpqGT*t~1DfW$`BKs+;(sj>)&9mM_MzwPILjmyfR*DWM3Ex~qc|5Erd^KT=SVg|9 zuJ*u9R@vL5(D%ZAf&9SINMx-j{tz>>=Mdo8Ek8DU|Tg!)0Hoazh*e@qMb!0~doXOW_%{H8>jhP4s57Cna5 zE{yPp$_aoP{JBPZ`Hay!ICg!doi2*{$MHhEjDc{YIXsuk)ycQ6%#`RWsXzea-+viRLI&#h{1jz3joUGeCf<{@02SkQcauW)W*3xJa zr4Czf(LZFbJbnk_N(T8ec6s-=LkCHN2@O+IPD;ksa8Dwdst`QkDM{|@P&@20-0gL`B=>OMZS(ZZaFVW&^dzPx$9KJE=|*-D ze{+UQc!i)OeixLv`bIQ24eXsqvKD@NUv(%ftg7HEwUxPd#Qv<-AiiwlAza7G6ujMOrnx zIha*Yi zy|a;H3i-q3bbG!lFwRk69;$qU^bLaY3p(`|a3NsG$!+4Z7|3|d?9UqJf7T|M!xQY| zAiRc?^aedh19>^*BVB$GAeZ%f_|FG?Ha5?-OFbKh{UNmX90Q_@@S%?(uVgW$o`U7_ zsLP62cCnyPK~5aA!lg&-x@_{#t|HUXq~~<5r#P+5GCZ(4^)%k&t=4!iM{}>v4ug-j z79KvnckBCm7w2|>bGzo|Cx1pqt}kZx#%4__N3Mj!{c^Xd!&(>Mz5Qt$#Jo9z%c+i?umHgLM+M17!|M{Pf+oO47*Uk>Fmdq{mTzZYl?P#-n35srdj882( zfBa3_KQ*ZyPld?}(Up~F!5-Uw35Cg(hw+u;i&u*f-ER%F_8Q|D14p}OU8E|c@xEgm zpO;d5f5xYu1{eF^ zFAtql9xLH*0^BYtMYxD!U+%-uBp!SDZBV&lFu|SJ(S)brDyMjXUz}e8{J=t z6l}O|@QDGDP_;&ZCt^?LRIO z2q^gFy}X5NuGBD4JfMS4U*^x+75TtaoC)i#u=u1>c+KT@PT1Tq5ex7L61afe{Z7kS zymYA)9{UJS1pINtb@n|40jn@@D-%+8=7|%bJA8zMFHK!1VT&=gkDp5;r#*gz zTq6Sf#L39*ypNLSZ>IkNM9tl=5uT*5U-|Cr0~C1`pwY9fe>rItp3W^lew7%Hi%G!7 z$nVYR3x3EOe48cII%)Itb8(NJDw>W+cwXNB@v>PU;ntC7PSzqLY+)Zgs^;zf+<-ag zv6xv)c)tjIL<%7x8HGE$EzGv^>ewP9i~jpo4)GthbtWnn|g@Yjk;=Sola;+eq`(Id=~5WIha2 z;>}@78oWl4h70VEjFyY?b8FGr)7M}W3ZUk8{V+{7zCYT3Q^tRK?NY3@+eA_qRHaslpZkX>Ct1yeQdD_I zmAj$DJnb+>k(PFoCZlUlZ)JB=k35|_TRwi@|F|2K%vN4=83yaiWOqlx+a;d!fpe0! zdJ@7=+<@`Kb{=L6(plVML#`(OvLwh1$+C>+BgH?NPsfwnuT60`wy{i9f#$BTb`#rfJHMXX5{~i*wc)*Z{v4da`PI0gqL~BwXQE-)B1&v@&yC zxowZdC%N$_GZMA=+05*+RGkJ?YLv~}cXVhK%vt$l!)}jmZOWwC%*}0rWUoY5kMHqX ze0>dQvg^VZJMN%W`_i=)M^Tw{8%|(uuZa*&b3YK5HDrV7eg`{_^)Q@0i+%G)Lh9bx zNppK+{?;86Vc>jS$?cE!-9T)h2J`v+?AXenA+D_vW#^>3+JEp?KQic;(qOsAMtvSi zmibjHkM04B_M!1yg5}=C8o;Xu>t(98mdveP6gUp?BP-0U#9dN z{+7GcQ(9F7)2=r5EqAfU0%*rAj~JxKg7vx}wN})!&l;2g5=w>!*f2vkZ|nY7>Eby(NU|==^XgS)tje9J}R?X)q^S zGi~Hi$X`inR|(}a@LDAJYM`;p<0`hHM3RyVP*CVZ;v~^Rl8es?eg;Us228#NY%h_} zPs#3rsfVvoyRQ}MvgI?z)KCi!-va)w8CRufB9ub*X~f!=89pjL_!rwb{i-E~GwlUq|)?R8*6Lh>D z$Mzk?KC_GO#4D67ODKY*ib^PfbZb?q4+$m1CEU$^!?>P^hs13|__;R!e32A#tdNI= z0kmCcBUxz@0i5J`VjE&||DmVib@csKw%}J&cF7GdhlPv7b(;ix^8Pr_?0 zJ!UV2giB;QjJtI`(NejuqVir+ddRvp)>Kz<9->}gMQ%hZ(5C`IljjYijR7vfocSlX5@3B31(hto=ApY zEV2vLY#r4s7>z7PNolE+ay6|$H?u&uw%~w;dh7+YI7dYZ&|=ZKlmvgF=hMV?=kR+5 z%bN@20%F6Jq!|-QqZf(8kxi1pn<>^iA7X9i*D|+i@*IdQYv+o1=ght}Y^UkHC$=0z zwGzWUBen=b*8}mqg|^WRtHTy1FCLGlwf%uBQpBma@hmaL%mIzac5)+fnLK7I? zN0P9#Ic#h`;d)tKdLxyHP!yId7Iejs;iH<$;}sY?_o^$t$V!iqUAs+2dT}IIh9)+9 z*Q%=`l9qB38Jd=|3TdQbB@@J^`599(5iAKd4u zurOuV@(|aKp$m>I!#t$zafVY^;(rm^TKQ3OdCtu<^-MeR$mlEN8p9$`}VXMxv z1mT`BqSHn)Br&3t38K{OF+*;kBzmyq(56p@1Zh#nqfeWO54W;uv*XNw7V`lo6t zgp`j`SR^|O`KXN@C^yq1tat4!dC!S=!pWP4DIcVH7WAqnQDn1-e=_(BEa@jKK0L^v zMP3V4{IFo56|v_7P%1fVvPczcuU2Tf_z0pd-@rH`F%b=MbOLcpR74u_NmPPV2yU-Z z$nG#j|1?ruZ_h23rhnWHqoXf)TrLSGtTKP_j{tOpaG@cK1ToIzIHf&d!Inj!Td+5%|gNkMxc@m>ZQ^0iJT}c`N8o1x;si(w1u(cjb+YyIo@{#7x5`$ zveC4ZZGsEYA(O<=Fr^??PWRv&*T4CLsG$A!hO<@U^q&qahsHQ<(R9x-TYuTeQ0EgJ=aCE_=k{n~r% z)SP4wUHI?Cj+*9hE%RP=fBn9#qTxA#>~-j9b@@Q#q`{!&yUNu~{{>?cWc1I(Tx-bgKiw|Pz_ zM$A6HDT`r=!5nG{GGtNNem3wuCWaI}A!>~#sKILWCp5xpDYrRf?`0uJdWyi zNTzS8s|Bs9Le1;c%2EOeEPVm_{Jh=HY9c71Rb?G_hm&R6q8$@nT_k)b9sJOzfNdfs z^%?g}wd*hg=q=p!?-WF&4gDK*9XN3zWVj9UZsS9=dO}9c^^#9{{p8@7$|suf#CBN* zGuhigr3acT4`dfl(C*$~Je^@=ur0szE$-4bNP;?M#da@S`l)W{DwMCUW9Sv^Js6P= z3^R@losSKZ_<2ir_bFfxC!jSD<3^;ZEJ*X<7WydGB{hM!V+@GDwx}mDCg5=<t^Pu=Sc$&0HxP*a~ECInE4Ci+68UN|9&BSbC`r+z_WP=^o%Yd9i6457Gpo)s7lQI@CZ7h}W&AXQ89p5<;p-I%m z-QelbhcA~e_iAgSLvOqKlfC`fxfx)ure1ljrv0m}zTUw+{*(9f{^rsCPUe`~$KUU+ zb%~O|3dt@yS|L+Ix8^`HxncWYGR;83z)8!m{}Qk%t?__9El?%!=H|!a^J4RvS?`C* z6R`ENf0y~NdGT@yIGPN9@T*tToy>s?08o9rtgc?X2=MD~R|QmKFTD66#&NIB76eFb zu|nNQ;&1^{KMZ;|E&X{N2mI$-tO_o>4Tk)?vC}sVeO*`;z_jU7DGCJe?3}VphxfVI z2VHvhxcG)#fcLPVmKTO_Dw-0I z$vFAom=zboDO6>V;b(CL(Q?n01pF8|Ev=ZqN5!}(?LZWRP=*Rxp-={pJ|cWhiiEo_@`W8fmh!Er9Y@V}9&bWi zZ1s~DK7}@Zd<1TW6cD5rWYPTT_a^Wb%!eRwCCZNurKfbg5keq!ZJa?1t>!;L^yu<| zob>wxD{x7&Ih|d4%;$W3YLvXuy5ceTVEynod3m|4&$ZV;<1VW-g%-cD8i;Rrau!5S z>iT;IER3H1Brv2Jy{&I17>0W|Tk%l-dn*d9M^GBi)gOTV&JvbOI1BJ-j^Qdw$Hgj$ zf$mNyC%XvCa?~@Y#dnL);UE3>qxDsV~n;Y1Hk<%?zAwLs{ONAU4_DI z8<2u;{Lw2v zRw!f=zltEVelqgsI-=^A+z9W17}jNoL>rKOQ?X$+J^7=FHV z{I_`$O>fYG>CbyxEUgg^NW*wZHAYZ$*xx}!MTQNnrZ1&;8pk!GtG_UgKTTT4MAwBI zvQg|X%D_XuHKVqWRVBj28%tFhLzQbPtI-Cc$y6DSmZQpKqRMyW zQe9fevdD^pb=szQEh|lFs8#`ltIi`M-&mM6#oL+5+ApQ_$&1nnY2*L+Xj~`585>3 z`nFvuuSinmI?)XMTro27$^f;-@qLNk%bOtF=OJ$AGC=j8vEzh;YM0c zM#q{zDrv_UqwCCO@40>7b*nSQewr#Z(T>e1smA;R|M>ZFMl+8V*lPXQ)VUEvH%k)h zm-gW@RSMKnrHzJmuDxkL3XbI|L7hTN6$Rs3dDgq@9l|^L8A6ZVmNzp9!IwC*D6E0n z2FQ~@_HLLVf#w6`(LnKOoj`@=_|AleNH`cMs$>kBO821~$w^@!; zM#SdcW|&5Ol#_Pjq?+)OH|ChQE>Tae^&CXg0C^0F^Xsl&4pdNAA*6-g9p)Yv_vBy~U zUwlbSSLK_9=y1=n+wpcClukgPP3xj_o&X=FdYe~^U{@RhbzTQ8518+Yv`Ch+Hud#}tf3JA>e89Y8R80^srizK@80JHieK1kg+031oMW zWI1a|O&iXtgYUhZM=we-SvV8YE7cP33e#bys(vz~ozFokWb%TOtu=wiI#0dHMwA32 zZ#?bFfr3ke`b&TUpKJf3C7!8Oa~;+S$4#EaMeD_7Esq1KDW#r8l5ity-D8jXTKD>r zJ26Z3jc3(E6WC*{kHUSJ1>TP^Hsutm$21!02u0+X2Z(a)ObcT}w-0=Vc;X!2kc@>8 z(Wc-4zRK3xSNWNsLe-&M^|mZI&N8`Di}W?5XeogX&Vj28sakUJrAkJ?m#STqv_HRZ zBCbtsKJnmutXSuZZM2P{d*4u0K~WrXo($B*pzbwJ1+%;CSkHs?Q+AeDvx<|f!&gW& zY7)cZoc(js?O^WB@0#VoCG>h76>aTY8^E2QCNY$)o~Ys5*{)M$FD=71C1s}$lb86* z)(x_d0#V%h!Zq3i)fmw<<5@?V>#++W4H77H+TJfUud84E zImb|OB)3=Ve-d8V${pHC=(3yNXILj0E-_pyG9s(_q`bXseq{ zp~WayeW&@7YJ=1YyS1Z6=s!`|VM0y6eP;unFf0=x-Lwm``BRP1VWO}h>DZvE$BQaO zKKOT{xFrl$?BI3T4=*K*cY$4u$eg{zmdkHf95u%;xG}@6(ZihIkGV<)rX|C3*5fk2 z5gp;1O-ZUv+NeymmO8~U9gx)Ss~sL>&a@AweM``eq-sWIXhu)bjzqB^Cw_oQ(1sbW z8iZ;oqC*K{5v+vbX&(40L@29Z1u|W=&E&tWR+gehX>XBiBwc}8*dR52bpy{Kx!Ee8 z;JPAt4bKa=DabokyKy+7HYI>pg>+l$MQl>p;QwoL1ZYbLefv5dxKoE+W^4?^;;2$h z8CM%k7e_C61($vxPl0nI#%y`WNKiTkz#>Ml!G*PT*3&-wg6{^cg&6&bPGskHBIVq)%kGM>yRz)sLmUxZnLbVbj?_Dnl2vV&yTU?xF zN@P92MGJY&9{$c{n@`#*XUaj_%5U6Sz9~v0hqOIbJqRdMkm{Tn%l-~QaE|v|lJO4b zm?G{0&sD=vW;f68(aL>e&FHL}0(T8BcaC^>4QqUKqdk1Tcu$AepD-!sW!Ly_;EO=b z6B5+~(bw==yjawz$gg-X>WRChlE0}Zkhh_mc2G%m;ZbsYJ2st&UQDD1=V?nIeeZnl_o!B znE>sn*}X!M07GPL|3u}GL}mYEf}CI}h4JkwJ?&C#qFsDV0M9}4ccVIB8Zv3Me7Gr< zM6WWj5tT&i@+&pOZ%I!_XkCm8(8l5^LM^>knNYA&XM${bQ zx0AA<=GtHOD#DTS!mYfE$4KH4DU*bfgeR;bjG%UVXMY#lK!&AHDU!Mfuf2X`^xyIU?Hk-N2C z$953tUNe{WsdAVmO01ufIQd$+8NU~*G$oDU7X9o@lc}T9R{I*GdTuL27M@I7%OpsjBi6bL>%&((LE;S;FI*&+ z@*q*;MK+8TIf<8WE3A_&?xl=(k}BsS?Uj>UqJ%oVmHk<1pa;{kvpYZZdTa1LNM5u0 z#$jf4K3F2jtgk`+0%=Wih`r?HSvga-`i`~(W$eco!WM-zKp~F_K15-Ii8fFwkBK%^ zYJ&+rfSJP}hG}fwk0}s!1Z5n+Sj-l6ZaDJWg>z@F03tOIQAbW%w-Vclf5dLf_9268 zwdGd)`8OeY>UlX^5}x54{M9;9Al`0fXZ~rNBzM3TJl?55^;>#fL5fSWP2Idx7R!e0 z0DtwCw+|qeZ_rLd(?iYHcTL=UtMyXB>BAjV|A8Qc479G-3<{Jkt&?b81%d}jo;VC|{!9TvTf$6YaJItXD5S2$;i}Ly#qDVzzQp06 z5Ix21Zs7S8iuyYIdNMhV4jk0>SbME;8{)-0U<>bAJ+MyS-PKYi6>}}i+1@w-II$<~ z8nt+PxDb>qn6ZdbW?s?lesYTbHn!#M(ZbvF!TJ8zLyeD)x9|J$@8jl!t?r+ME!y2D zIap3aPYzP8?WY%i|X|HzP>(p&q!OByX)PZ?(Ny$^=5}V=BHht^~X)db^bRS{YK-{%grav zuFC{=rWpJk@BIih)30Kw8yB7~VMQN=c3tPNU2NVPc92R#_sbvk1kqky?VVlj?4I}I zTl`)6A9v#i-8vty7v35ltC+V}sTugo^^+g&E-rfxAIDMP;y7}cw?_A;gEMw#Tf85> z45bKdHUriP1O56hZdi_BW{1AO2N)%c|M>|QBg3Dcli1kdup_^3>JvTo4?Z{br+RbZ z!iQN?lM^;ptZ4?2Rl-Sj)p@k7kPmT98s1;yK_V)ut~%_EnO)4D4rHa z5A%b2wyasx9vBxjKJLDYKmvnR{*2V#j892l0K1#BcazW2U?a}<_3R{8oX{kmDx@J) z=qm*1YJ+_`#=uRAd)O=Z4FL8pI-#Bh^t)*XMUfe4+{=6pAhk<;7L-9Z*F-VD+q_!B zi%zZ+fi7=$QKlbsQ>PLE6VeCl4%=-`{4xC)Pv*U4N9m_j z3_9JHx!#`bh{A>{DFsHE%q@6~=46`PtF7&OEaRJMT<2psW)WSW3l}q+=o+|vk8k5~ z!_Vj?HR)Bu{|Id9t@Uj3r>hV$d=UcCQ4YRF9brm&* zz=1qAo#RfTLLlHe>)9nG-{Xg#VqZ^AArshl4<2l#@Yt0LfQwkcKrIuDe+k&NQh4$HIUoT=8Cb7XxEBi$w(3WyT7TkGA@$UKKje}S?qp(_$YMnY}fPodB+EvpSC!sq~d6Kv~G(Yl#Z{S zDKSfeE4sOUwJd+$3$LbjrTL=fb>sKa2@4m2E?VEq?qZ<2SNLs?Sa?Q$2&jE*a#a*1 zb25`v!NeJ5XbnDuA@T5dQCXKSeXW9^`rRX2m9078zO9t4 zkWB7GaFC7Bb{8g5gxh)r$Vl08B|=aUlQ!VdNw^1bNO=}%X?Hfqkm5jsrV{f1mKRi| zAO}Jxo1+|LG!;DNeLM&=NG;^BEW|jyR8Sb{Ak#IVh%Ci{PIb%kV1p`3p@}4guXD)h zj$6t0+f#w^$w*E-64h173~k%b6iq1Ac0kqpajTxLl`q*JXk9ujn7+%i0v7bqM~fK8 zZkMj3j0u1k%KmCfXHpIeyrX;R!p4E}eK)jJSFuWWc62TIWNl+E z>0~WfZn;{&=dn4u#%KnCU*8ly>D>+9;>ObEW@R<0$9^QV-;lv6 zy(m!U3xy;pT9%eF+8{HJ-BX!_nK1jiLiPh@$E`bpfg&mrAV3K5a}KI<{fD@MdYm(b z-@rtF%cM^sB|!_W@&f#CI-CWc6l#Y=HIW*j4P=4;_kRlC-9?Oj=K zizWymsYJ{OM#vP6a7n~hpt?g+LMP`R5{hD}vrQ6k41Q#1p7!Ltp?0c!F=~1^OaSe+ zuMh5edT7a{Cp!8m??cU1p}Z1zWst+(5Z}Hh`+i(B+<&m=Op!hCCrfA1tF5ZN2qoQ3 zd4g}=KWvtDt4}EkVgoJt8N#)+_5zI?`u%3;am1YA095)9U_WXE_WU&9R_fCNS_{ad zq)dx0)nx4N1rp(Li|U8F&^IWp@cXj7uVFRVN8spQ(S>DF5d1T zKpc{Tuj^_jI@Z?9{+BTh-kOx(mlvnsxY=$^t@IY2=;Dm`O{8h3u;byIjv ztu>g$xtNR*NF|t15wmPh0OLL7sDKSIj7e7NXY?2{XYoXcn4znfAJsCl6iRR6t zF_`x@MjSK791LNG;ng>IU?4akd2)n>tU`A-Mus4hWUzL9ld?ei(5G}?#lHrzB_kar zBdxk83ZR6Afi+~zr335dcKT{V0BgVTI#M_p0MXNj1k~*Nh~jcF2&AG50jqzzB8SIi z2Koy#lfh@Q{J}sa`ncf%L+kNH-9kXcTc!~pWNZFKq=q_y zZNbyf`UA;yW21r7m_w$d2z-VwM}nX?fl5mg0?pU3hyq9cmD;Gw8)~%-s}-9sK(&Uq z47oc4E!@zDZ8MK%#|@z#z#yqiy8!ZSUe;b?z#s(HTZW-rE{ky;S z-CE%`07yW$zl$Reez*m5>KO($AGvVRY^JOVG)lm9$f~axUOn%-dE<1*KKwMig4lNh zW!fA%k#TqnHgq+d)n?Hbj}#i4h+~2&tUCso>jCBId(8{YSp|KaAVVa}@@F`LeS|XU zktYQ8nL@in8S%?027k?@&K2)>wyMExCNXVLAOgUA0pZ88_s@<}FDj_9 zVnTXEL58{AT^i0F*F?>v{5Y_X7V3}`3Db}gCj+p#p@gs#0VTSj5ZDQ6aPJ>tLWa^feew`VPF|gQOpvQY(fwrD^Uwc&fX9Poi8jaK`vl`R=T8} zPLkmkMgACFjZ$2VWcpoo_$nriAxJ4MLWMbC{{(nIl9NDgAt(qb9wNn&pFs}1M%gRh zhU=Vg7t7XaN4WGiwi`ULtA8K&m0kT6Y#dwh>Aw&iQ(Su)a|tOQBNKI@AqJ1=Sf;n| zGmR#41q}O%7AO%Nk4`az*ucJI35cAu9D;rM;_vk!3+(IN1Yn9E0BhI6M?SNtaZTDV z@zvTsZr1)fj#RS33MjDMe!SVc2_5|+7$Qf)JDE}-iJH>WkBmhl=uawR=)gnsy*B|>gBH&RIC97E35zBNWSl)1+)YCef;zVc zTE;NXb68PHjpw6I3WxVQi$}(CT9ch|BCCG}MiuQ&wH)Qzh4$~zsdE^(B3`ev-7HZHo6w34ZRC~;d&sCfG&7|hkd z3=>Bo4X^xyJHER*yERPbo9OdZcxK4wgDr|}m=Cpjwuku;9K;|$?pJ&UaC-+8$F}s! zW962p!rh-n*P1ymob;dF#;5Zo6w0sD`jov7TIHyAe~ z8`P5uFG-SOXGkFjdKsCn_{ov(qd|S6e9Ebu@m#5}<4sLTu8N`rRm4UrKpnJ@x?r3tVlfp!8ZDp^+CN`NK#gcd6%f`r+S9^L zsR;F~rlewP4*j<-_&}S-jK@_VWX5qghs?E{_l?RaCXkLM4+gI-R|tdS@F#NHLY_30 zQ+VJMP2LNaQx5dTupp%Lp+7utt`LI#j3jYM;$)U|QP*{$(+zTQEC;2@i0FzJq6gVp zO9l;^g`gfDRc0LbC`xA{590-i>%<#YqyahT!WfVVmOv_S*z`|KtR5u@HEK}e@g65E z%vl`R>@P`1HYVGRO!jrKI;s9BoxsGP%}Ig_V}v7209^?G`;g}hIoJin6w47URuWCP zvI?SEIYBkyxrLQ%v@BO#N7~_gOMI_s#pi+JL8F8@SC$-Di=-b|yUTiDT-_S!7bB(o zDKjLXX{>C>#vC>&u>SzSD3ea0!lscMjET*e)HsgV4EVc+NajY!0 zRF*kNdQ7aAA$d;KuD}x@Jwju+H6u@J{6g0Jm8}~s{KgD4lLMT)j|D^#NmB?+y8>^X zXDR@b6pTJM18W^>s=&+wI%Wi85eM892wRF}0`#>vc)>WpCKig7mrMa&S7MTXh3!LO z{uT7=PVhnsa2G9(U0w80+P!6Fqk{1qjp_P+ur3=?7xUiGMyO`MJSIWzuS!AOX8w6* zuRhNHNYX23rs92-=&Q3{4lCc`GlYKF9G@wubBY=0*fI+pW3LOCa&R^ z6oHF!EM=78p~sf~b?eif zsTJ$;i5zzUu8FTziw`A|7n7?`tL>jxofPeyo!1pd+N(9$Il7&Ax_UNOU%~15HaBHG z_$k4fg}O4lv`oo=yLA@azML|}SXaOLw59#5D!$RY*nL~1zo>ZzEB{J=ej$WtTC(sJ zsPB$r!{X@+w;(mOe&sS+R*HV1Hi7Pz^(JLgPHSI6-=oJ%%TTS)Z%pCyc&2t~k_U-a zy7@k!XO_1|7OBb*rq7_8%y0e&`wj#8Z6dmi<2V5ydb&r7c6xnFgY%h!xA8gacW za6jKO_-1-N*1g(ze1t-uOef8}uG927C%x~LZe2R)^F|967{cT=gm!jz>zh1iJj5iW zuEGigNK%N1AB2wFuyAMX`#n*(MrZ8$(lyt}#hgfEwbuDS@)RWqRFIx8fqzJwY4OBt zOror>T55WfZ}4Q_Z)WD~%R3(Rn_XAFaOhTFm-O65pO(bVBSfwbKHT2*zp?me$?(go z&(|b=?be6T@ha|0mDzAjOKuAg#H+$kA`s{_;LO!8h9YOCMJlf>*x={VfHvweYmyRa&Cbr`7 zYW(=frOg1A7B#f+!jK~`w;YuxR^6J4KRqWRG%+>)^PFhic3lG5ds-dfleS`4 z*%dcuQ0qs!js+UdE0ZbcCm_wyglsij3#TcGNOQlHYAuzo(H5uLQ1+D(K0zW$%DcR+ zLXQ2V)Z6|tKk@C9U*?=ZEb(Z1>S*;y)a=873v0{!=+xrwdPv$Q>fj8|n!(-G#}Vo6 z>iKPOHDluX>iveL?TyX51y??4)%<1WZZ-7q?r7D)$?^HQrJlblBc>~g3=b}sx6!)s zmceKF*X{MW<-%%C)Hz*u440j_K0dJ@1$4eSuAmux>m`!GBO@jm#yvdO%hqrKLE`Me z)P}hSw@;?aeT`Ui^Jp+;>)Gj648JTLIe#Jy`|__tL#B7G?3nIYe1y*ru46UmZTDh=o>>Dx?kF!h?V>9!FPy;sKc(G1LWQ z3K>He41Xp#iU~gGq^%BtMdbnz)Y4q{lV%S~6%6q5mkO~e1Qi7E^5u>N&!)z8{lMN7 z%og?LU<0d5@&fwVhw=5pj*s_&JAu~_j?}qS*3$|WzeJUX5vL z#EpD`v}1pukKfV0j@Jvs)MD+IFU^O?d@duAT%H7>9})x~%noN;55L-H?;?MzU69WY zB&%ya{+zEb!0i}(+1%f9eYe5J6O&}=3^NB(0TpGP`3?81Lh$$CA%I=%QG)X-7gX5X ze)V5NVum5qrl<=h)5fd=KaRd0g_jcI`0j&Q7fh=CXcFmX zpcpr+E#qgKe@K>YYjjAK;qiOALzL%&I^#WXy}MxEL=WY|yODKuv{g>d*|9hgB`fn_ zkA>WflXG;GQ%=soF(eTsGkfdyw%ClFxAmx1R_;tE0GX3_L+LW#q%6Uf+^yfqp^kHU z`UZeO5Kq_3J|T>L_5(-=^}BUDKNGB<0))XFJWOuH7y5ORSRM!g)xY!@ql>!Evqvb< zd^*tC#(}=HpNZ4=NdMBd=HoyzYlgs?7jR;(tM-`}2xQAm zpnR%P6MA-FxWt2ubGXF)jCHWYa>AJ|ULmqQKaQBJJ;2#aqoap^0Ea{yt)ND8WHhfj zB|3H9J)sXT0M}FW1n~Uon{&@#(m@fW9h=neZk9Be0D zq&D4P+twLcxVCb@hJNt*x4ajYLj@#{AJDwpLI~zFnmi8g3#s;WMk!g=+F*o9r@ zQF*mPbbak_7J1ymQsdC~3QhW)H8dLfQej+sIs6gK(M)pIj~_EZKYu$)=o5ujrvwEr z67N+93VYPT>-qy=q=iOww?l5BaoZ{pU~YK!s&#}tG~slqLW4(f98(L4I@LmKcd*c_ zS%uHFmN_Olh`z$&z@J%(Ed!Ty`!6Q!U}}aSl@Q5s^YxQ$hpB5usK@Lzj{hK% za_QNQg2l zTnQ$&7$ZxRkvZnz%uJ-AhSCoeB@j1vZ(axekc=&k5#7R{f+JXM+EA_3gAb^=QnHy_ zY@;uQ)WHL^;n#8s?0FVwUprCC(@`u3p+r@Hctqs|SjlfAP!`UPN)E$!xg8+x*__%#MxzaRSh#gY4Qh8i2xVgLByr0jF z{3lpBpS;{zk2^+M*H^nUW$1jX(WdrTnF$sz=)#?GA5X4KoLKR;ww$N7^k1Lejrzkm zeLYvNxcF_)?Zk7sc;BtO-g3Nn-ZPwjzs0t55PFVM;~;E5ozn(SnV)4$hCr@w=-?x0 zTx7PbCU2Y0`3CCP!S8pjTC=N*RXF6oSa%e+Q<3tnr{z%6V8@6EbVm`ZiY~;86oeh_ zRNL@CNPI-5Gg+JFT?iK}E7_ zp~ig*b8{9onMajuuSwj8j&K|=#Y`Rr=H|7+t)XjBxS7f+^JIv%{&ptG^??Z2*WVa; z>26RtgN7taB5yQ0~RmjvVj#&CgfY)PCTpSGa*omq^y6 zYrSx4TRn5?v2|A}9`8~{7LS_M?0p?GVM4*QMnz3D~IM_Sn;%c-5d|$7;Gk z+<3cV;{exORl0+|Y`-3XZTpwPM`z(fEtMWCoxT;3u>UJzzd66~sip9#io)VAmzJNj z){laOrHhC54cl(*f_1Kg`joBd@%B|gYL|M@jw+l6BW?Dc^)^B3CTBD3X9vB8^QMMH zwc)Lf4|`;L9$)-N5AZHqfR{!%9}DI44`gq1rQ40oIKeF@>=NiTh@NHYOXtMxjO1Fo zbe8+cu@!b%>=zf(=O)S72lee2*#Lsd9)xsY-#8}QCJ^kxAZ=6d!iwqSHhQ}z8u!ht z932tg0ott2Q5pOTe))oRyZO-ZE0JZUTI|9Ozzq)}eVNQt(*)2oittG+QgMiwDP*7ov><_)*mJj!hS7DtB9 zftPWRT+AlEdMskcs|bCy6eQa=C)9{!++m@R996iSY(Xp&zUvWO$8K^<7UHB=g%Ac;N*nGE7+nja;Kh$ET799VoMR2rKg znH|W!EaGT}pF4`kHJQOCSbPUm8oMCL|H(`>ksPS{B6CV{0rA(r4)P_2ml13xyNLnlvFB2ZF^hMqXD^3Cp5gj;u0mu+4 zP68Ye9VmR^!{8}a;tm1*BW&T_;3!t&Hv;;5*utB^YOKT}0{UCn!mGh(ti&J!`fJ$2 zi$QCwL?Z(FOW4A*L0POs5d!*i*us;+_gIN!1oWq{g-3(%SczZ+^vAG;2Ltz531fv1B+ORuL$V(VGDN#nz0h92X8pPKhjaw|PT_Z+{gssUipsSbw zY(fD8M9K2vHRnu$E7Muu?k}Vjb3x1tyv!DcOoDMDOA_DqakD)+L-X}mr>nE+)5j~! zB3GY|&wZYJTC?Ntm*xitUF$>jAwPcdoZP%+w7ZV4K6$u5?Dsp~8$G>$tJmwY)0v;h zSY7S^&~MLJzR9TnOix|B_C5J+SKt2o?d@p@$NGXZ(-EqGY$UOgg2jSb@%0Zzp2&d`PxyL zBI6!vvRtvgzCXuWYX6;Fa(i0uefQd*`{wN;HS+!;@!a*L*^Q_{$s@ZH5mgr;MK{o` z*VT4ABITvc!38b;A=<&$>cw;*-F5oD`TCyW#yT6!Fs}u`(FJf<1>4UC+be4T(C&Qh zQawMs9;|9L5N8A4i-U6yg?PSPs4HHm&tLm|x>;%eRVgWbm~ZIF$={TZoqeG^eq;Hc zrq8Gd4}RJ47w3hSyM_*Z@lJhyv5RHJi{(!b-klFG?Y}DQiWltjYyUWWR+C+LnQZ9T z66xHM8UI(sX5r6hL(>xr{s?>syaGG|+yYz*oCX{ge*@A0E}#y?0xlpA z)Bq+R4#WZ`zz@^_D!>lJ0xCcc)Bqwt4#WZ?KmgPLEPw&T0xW<4)Bq#^3B&><@CG@? zukhx-i?HC6dkdOOK=CTD;p(WDnTbJuFgWV&@Za#tQLiHtgZ^M}{C~o-y$%&eJt|K6 zKgVJ9}cGo{x)NMGLo^HNT zAse|b^k0{T{#Ha7FThU`=cS4D(7?a@!En( zP%#23aC9v2F9pEyS0E!_Fa$7Lf#ycQ5yk2JcpQPj5?~19bw-Fsg-wAKdCsDYJki~j zC(O(?0yiG)PYIG*XYN&9>-AVszq@SZzNygJlQbcO^TLHwMGou}Ie1IxK*=?rhK~!+ zHQo>6b^nZI-82S&oRiUo=H6dGWdw=kR+dGC7afcf`QMN>H)<7 zqTX2K?!|pzSl^pVfTNe2fZF@XE@g&q3-bXu_qSpSXfNZb2OF~||$M1m`XLMQDCEdSzv=i##S{?fRX*|M+=t`6P0D@By5L%Eku9jxP26jxD= z>bROLRNXGMspc_FOA>K^6LXJ&tZIk@zk* z@?J(JuZ>UyBj_*yQ5P{EM#xDpj1Djh4tJDqj4>zb*(ZX~W~2{|mbORjduJtcnWaA%7P|gv6f$1PLr3QUpE>8<7##La^)Ca`gK7v#S*5 z=4I>@yaiJ{K8ginJUog8L;O3k1$}6nG@<&@P@~P798|^-@)>n}6Y>#Nd@=G7WqbLvh%|KHH(GtBCXl)0T^owAT~{TsHv02Eqo z5VV32I7J>vk^-<)DG;fOA96V`X#>A_k^;MIqq30qyh<*zr2rIbZV5R@4Vfd&t<2U7OQZ!)$-UhT{Ps^TwGB1Nz=H8b_i-1 zAXW(mlTZd{&5%~m{*979)O>)KB&ART8iRY(Uzox1u5eNC%>HsID5|- zP=TqD_ks{aJfj;p6a65AS{mW!zN76)7c#IxuB0V19s{<5@pqQ|(F&mou+A1#oXagu z6I6_eDvSFYqk&Yw__O{MP)P~4MAo}z#8iy?qX|(X6)eL_A-HEBD@hJJiOy7kOMIv; zPVlHu@W|*-Fdf(uN$)d&$nW(s5$3dJkGpV4iI8i`;T?U4WE+s95C$+e!# zwXTIPN7T>-R7wU+p5;ROvj+F9k!Wbk3?+dsp@Wkj;!|)eIbu!5+{g`MWPEHYTyVU`-jMBaW^yyT6o|! z+TpX=IUq|JmNZ*IS^RUizt?OgXQxyS>Nl<+4h6hKxYgrbZKLX{ALCJBcj zAp%c``wyP~GCTYSj~~dBZ+~yI#ecl>G-YD{^S6rfb~xh5gBMSzL2`38$MWjZp6d)# zLVku-MmPXMbErYnjz3qUH zB^^GMa$D3Rid#KtD7%ynJv|m)aDM597YDQy)Tjl6CqvuKr0>n7`?50~tJ4|GH`U?p zB~z_OL!9c}+27{(IN9$Gexh<56uV;N7_w?8s}w?~^VsVw2GEqp%Wf4qPtrzUKDyy6RhpE9HkR&T;5dDE$M!6idBrrLHgqa|p6A2csCWF$-fc z$q5G9nMl+fSM!B9#k4H=_&Ao$;44FfBkj7@NvyP>5}S~_AeSx_0&-*70n z%)!u7Ck-3p-(Y87QVWAL^+W=OsU)DDBuEpvj|R#xC5S;#Yl~{k8o! zPSW}8L7?k5<089PO zTD#?>WtNgHS|BRYewI)ww9bojDvc~UwBSjl0*$EUY7UE3WtPeIjnMYCXt`S8Ou1SRsugXPiz;-CynH2>+7%?N1=9dNhK@gNjB=HJyQjZ7B5I>+JG#3~@f7C6^lpa5T z0YPw6cm@bz)`Ul-9s@xzEtK$r$lNc~oC!aFH8PZNLfAeubh|Lr;DX4_FLb4oNM#0& z2reao5utZe_!0=A)-$vumzxL5tM794*Ei_yJH#m4Rgz1revDXpN-y9`@Lmj9ecrC( zOEiurv|e@CZnxKjK6+ks%N06FkZyy={VUpFJD@J2$B-TMSbgP3-f2XfmgM*zah@P2 zH-MxE;0j*|!VNI$2Kej-aY8uk0g(C5m6-5{>*5V0H)EqDTg zuMxo~g+-*x(n1w3h)~CbQCSe;|2o}qq1(88#E(%sk;9PJh>~7{m*D;Cu?Txa(CMKP z+teZYJf9&7f5JcafV&qVLY_JP7k@iUpSwo^{QUh(>VR0cu(=%~sX1ZP3nJ*GP>N5# z)>wdh*eeh{d-sW^N1kpBYO2-F8%^_4-42QLVk?c!T1w``X?MF z#15ZeM3~}F2RI@6f0``ie2v&9#?S8;BEbt{N96pN*;1tb9;3A?E>4@)dz(Uhz~RAV zNjk4c{c>0ePMoosw+AaFFJ5KBW%-o=uhF1y9w$9B=jf<3Sw_6-w4eYTHpt^6v7Vn7 zuQB1%=S$Y5QlQ3!*H{-Dqs3r*yrDX!bLX@mRa~HUKdT5+tlWt;?p~W6 zqXp%@CK_>NI6#3dGXgBLp6UL$PLOap6;i^=JPVoDB?o7=@;t2FmT z%)upOWv~`BLkxU_C88c?pgIO`g^|f=m}06L(bM6y$3;=FIdb7fsI<{e#+GoXjZJ@Z)JO3ugQ7KCjI$s;8GljS?xo#8ylT?d)A z?;JjGWcqWVg+I0)b<%cNAPU$NJg&JjD@!brnOT@FF89oVrFq+zDLTYl)8nJ=9lIJNB%>mMcJ6NC+)aX)ngE+$o zaoP<4q6nD^Rq}7HFcM5`$*_9n0lFBxzwtj-F^oioA{G%+9nisHPZ(=pj!!hDN9gkH zQ~`D2?>;6H*+m$qom6HfS~S3R(vZCw&=xB+BX7Yi z){TEVi%TFgVju<+#Z1 zH?)7uyiC4LUWi^S+ih=U^*(GJc544$ZM_9-T|E;v7-nW_n3)D`*f2A5 zgAFq`aKp^ZoEv7|zModR|4J(zo$=!tji0e4kH$Jj4hUOtZX~eHiNZ4TD>gHCq{sJRA9Q$Hh1+wRn; z@VJX7p$Q0hdAzJz7xB+j{=C^+j3!YkGcxjdUVnIh>eT+zV`Fr42Fy+`tKI%%HSa&M zcU^uboeDZ#sF0*`f_GIi8 zMO7iheO`O=sQXl3@8FbESnqEdpCz8uZS)hgnuOjgyj_Vq;jR=4Sg0A0trpqhFS=hO zi$6C`63B4v^MF+!a$$YB={vajrm$+7f?nIahf*r&vcPQc>avvg!1oqWm&w<2RkdB3eDueP2@>YHB$#bE%wO%Mm80 z40u0rPFd35craiFDMh#;KHM+5JpEpmj|2U1&J#F2o28!0I^&fEe(cZ+1UUL$mUJ~^ z+#IgN9lJ(5cYF@B40|$0>qm~kX&0R?bqAil8qpD!aks|Ph`jBu{yNzt_Gs_6g5%xv z1?&!t>apZAZP;^d<(JLR@)uOB!(|EsLSrNo=O5CRfQOJp&`L|2Z`BunoXc?9)oop+ z0E%OADbft&&g4b2NevW-vrM>zt-)oe`lZF~fw%$SEa}D0G`A!96XxGh4tLYmAHTI8 z((x~iN3@Mc#M}`hxBGB%9*sxvN1o9#Q`D|EA3w;XWnU@Lz;5TpJuW#owfc|$1Zw51 z0Hh3cB^N3gB*Ue-}K>@z0D$ z9c~;QcH$?d$?Yz?nx2n#a<&hMVs@_h;s1d;zj|OJ+}(YOr0nnSv9#$o$2ABD!i&B8 zN{@-=nJSLHgWg6A-QUd6>|oP(Giv}1-MhJ(#bs#mvzI9t0koIEA9;|) z>su37+PKrT?jpTi?OCo{d0nTVfLx`XN$OrVd+NLMQ@@&&s?i6xo1wk3<@u+^@ztlj zH)WF2{m#|PWoSc#Mxw2~8&7`jSqWExcov*(oD)I^pZCiN`(B6cyfB)9 z#?(C@5&tt9LN~q3s8oNZ)i#pq)>}(X3#mH-{E7*g+Qiv3{0j#2i7$G6+Z!oNn%$hs zVP!j0YR!p3$UA6vt6Hd4pI@OL7$#qC=s4EH!AZA7UVa31flON)W7*%{Z^uP)!aON{ zop$!jtMP{I7^b|_C5vn-zI^3b=nv|>YvgqK<>YV0*51NTJsDiq|4Nz9-llF`J&<6l z&xs=m-KzRL2_Oz=%JzHq^1eItPuDcWsEbhUq}@+HFIsjHSTW#AliYBU#JK38Cb8IX z(p>X=(tM%aM^v?7V_2W9h>Eo9EdwvQ5JiDymrb0dwi91nUXs6T1_!bboLqXhx|F%S zxO28lQ9r2)rx7%E>ivm$X2;^&;{SdTlOlO>LWTR0CU{yy=y{n;Loi#Q_xbMj;R~nnHDFW8ftti$r}z8<(1YgD*3Z~etK}`%Zx3j$jD+*=t>NEY z?&92k1gPa1j1dSam6%r(C&Tq>ol_JVMaz{WamuxFzYMeU+p$F#?N!=?-u1cH7E8Gy z)+^h;Hy2U-JhiZ#MAqc7V^xn&t6b+^X_uCtwG$%xU6^{}F4zjOMqs_J;kVqhoA;Ja zlfQjjJf3Yb?9OHOHx1F#D@gk70*0yWuhfUvkK$RGYg959Bfn_v87P30}c})~D z{hGqrGWY`Jkn{P1*BFSCMsMWaAp3P_!EmN{d6`#EgqgBMThCZD{WS&vx!UUBx^rkvYb)dbC(*Y2wgQos2P0;_U?tVAO1zHC%!Tm75NHM5?Fp zsul2zt&|vI0df-#9>FhcPFm%)0F_Qv>tfk)3CEI~f-7YvTfGo;pV?uJZDg~mmN8jq zT=}VXS@U%v|Y2R7BX9?{;d*UD2i zeAe0}@7IEx6&^jaK@uTvF5aBv$o^5+7N(K*g!<9LEg| zf;fU9~p6)VDIYP`FQ6w}%EPci6+T-S~3PTS&OR4q;oqTvJ<3G93#VNZj1=;IX}dCAbM# z5OZpxx41JW(3}Y17iyyCEXF0cDxZ$Gv#yeP?XC&|y$9gGy}mtp>(edt1C+a~(}q1F zJt=YHarP2hJz>zMM}UopH-B0^(Mb(U0@^aycLv%TyqntX6AfmY^H8`18A*Cixz2PB z`5U6Z0_krb*olJn7Q>{yc zYnIp`dV(2(kli6;%AuJqszNfEl+kiTYfC=v6xkSIA5j@38S$LZduteR3^B?hI(Xf1 zHXB>64L#%}GkN(wY~EgZTlO2rhpj+HpARs_5WKNR5FbuGz>)Gui;hzf$r2dxS7O&KYH78vL*^DNH4M0>36W9%<*FajhJFeJ4SErzF6k zMmwNdiA85<&vR&x5`VnHxo;N+141?;S%o$rIT0^m&>4ep?A)9(V|y`$Jcr-MNzlhB z33RB@YnclV4v;^lk4z0Q|M88|Y<3K}zZpHA&0qogNatII8F9uwE7zXP`ww~;36}{8 z7ot+RKjvf!zj)>A%GlL#ARBb1FhDmkDbR2;vWmK#szXI31ko7fjFs7alqx2i6-Vdr z8hDx%XrZE-Pz9WoQmkmf)#N%K5NXEJYJ+pJtlk%5%-uw4iol9y37h`#g+VKs2i|+q zrMw$5zml{-GLc;gr#%iVAH2n|O&;Pk4m}qQYt+V(ECS1-JZMT^oP-fe@D6obn}4am z*sje0zd#D=U$~*Xkv(cqiN;HEzQJh&32SAa&sE>)zePBFCW3$=!--M|ET( z51AJxgMEWn)n}SiWT~q1M$tB>M*yoLtt!J0s)~*%&CaO98q>tZ@nGqFuIi8cXD*hU ztrbq%}~+xh)Ft)F~K5Igg(X9+^^sAL{hM#uf@&f)4A+&`9LkwI6gqgELWAmUzUFPdOAqFmA(Tv0q7(PH z&RoQ%RtW@gQaGms)^&jrQW&UuBFYIAR64jL7pLlJpiO>s`!q0c`X#Sl%cOsU>F5#% z^0L&NG$DvLWNSVJ3C6rGN9@X64rZb^N$*Q;;WO3oOHSqMR;0X9OA`LX9^RuB%8dsv zQj~H}rr@bh2IhMM5xlDu9^G6(n(hnHfPzIU2Tudc?J_t-0cX?sb^w3qXMrn9IT4jH23H58F0F$y|&V9Lo8slMuW!I(m`?D8n zxj4pybfCE{qS-itY-(wumLdhRQ$hPOe-RfrnC5~ej9Rl>$p-&iU@Ev68w+$aBpnM% z33xQ5+W&SZs7h2BStJ=#KG_0{pcKkr8HMU?{N`=^OAl&ejkNNiz&NY6eduQmOe%yR z+Cf!Xl}U*xQ&FsORX{;QI2QDsQ00S=+8eRccCjOV(RU804q~TeM6LIPB(#6Yh*IT4 zLe5kaV_X&@RkY;a*0xDgN3-sP>M=g@AoDL7fkMG(H&g`s;HC3us9Bw}C zUraGiJNnCetBW*Z$|qm9my`k>ZRu3~1+@8!ZvO&amj#?J z)mZEh9LChDP94~$@c0??PJAcDbfUiJ7&4)TWY?Vv^=IUp2z{|?@PAY)HJs16;hN0+ z$~rnT)Q{g!u}MulQK}hzK0BNUc(~=>m8JAWLQwwU2@%RezWa@~>#$#6^jU_o3;LJG z56?2(9+sqB(N!~MpFin-^YCU^dl8jLKfrpWavjgUD|f%Jwjl4Bi3wEal9!$poiXwL3eoj4ykOv7KG5h*JNf*4>iYOF`sJxp_V{AQ{Cb?# z=Xvp?c7C)K$b!X!)9c92D?l7Km1nNIVg9&&xL9&00e>Xxk#6+a?cG-YbiFx0@b~{I zEpvJV-d;9!wc~JVuh37mevdT!y?n@Km~2t)ue01uZS7aNV16~7XeB>K-}zjQKHaU} zADLNQt(UEzkG`=yedYK+E9*{t;RLpB+dK0;MdgFscuc(mbR}KTE}Tqk+nLz5ZQHhO z+cqb*t%>cN*v`aua`S%QUHAXb+N+-G>h9WA=bT=`WF$d@13soJrTfMxx z^YxnAZCQdaA+=C$>RHM&y)FuI$z!@oR8j#agGUf%FqUwzR<@EF z>E)82mZYx0j9mnPSoxa2f1;mj&{x{F=5M){rLk6D9;-*Y@qGni+XSPSBny_wl_s+e zVzFz~3*L_w&a;CL!>|*x!XyC=?#tc@qvR50%-RxWorN(qFu9&d0lO0{oHJx z3h4>zMMBCcgy+o;wrh*Ip|NV}pZ8~jpAciO4ezhcd>;>MdGEpu>K*E5jn0d-A}a+* zOI+4k4=sz(OGqr`f?AI`JJ0plz85-`Z?8fySzv~Im+A9jpO$`WV(BdOZ<@G=45Kmr zPbBi8kyh34{nMb7HCoaGDed{_8o(t6FX?b#25|5K8jct(!TJ_!7ADKyO@gxt?_EG( z4(n@Cv+==1A?b9vEE-jlUc24t=ze3cd+i*+8(esx| z+5~S_%oM6sQaUM@wZ#QnpGprE5T*zE?2pm{ej!FGZ$4xD_4Ot zd+Z`?$!s6bHXKdZt4p`6OR9Tjy1%@z$#3{gZYz%~!$CuXqRH0ftZFnGN662Qgai$1 zGbZ5Zs3jyCBLuWvlW{2_4Qr4KgR1vVIYLlaz5{}dQ^Ck#fP3GGf+Y3bD0aA5Q}M{6 zI*@5m$i>M~`1mXLlJ%elDMN88a^4^x*C)`q)JzQ$Y-rDix7fEu!(QXyeyzc$C^_(P zpn>M;oe!*zZDXo|v${!>_JBQ94`*Iv#dGpx;$FS_ zVMfx4fM#5xsKy8Wo=%((N5mVkRz}l60D}2QkHH4b%SOYdGqSJ(zTp2;M@*TwxZKxC(>7yDlE1wl?Qg zI}Di9k(F3KtOVT$Hk-T>f!^)|jhOgjXy=0o4Wuy@2Y_r zsax7YRb$r>Fl39Z_k}1Jt#(FpwPLoUA{hLj)`!cUJVO>n5*4vJ>J(ns-g1g>6XRMq z<66A0N?k`hxn-{3&I*1gV@2vpm$S2*mV(p4Eu+l(BXc8CU6CC!oxyWB&$Tiu56$9L z93NSf-?tsLYaGajBmGKkyU&IztB;p-`3L`8!f`uvq^@u;=UsxIx1oS(c9(Ve$J*`J zcI7j0^!cf_>-xI9=w|rf>UwwmJ21>bpzXTuV=* zdKKW9i>5FBI=3c$@jSEoYShugaJsDv{_l_{i1y`+0tWNmH;h!qN?R+Pr0k?sNS^(5 z6exApk^*34dF?jT#)_r>U2pUsXbc5h8W%qNBvsk0eT*nwaQQ)N;Xva$U%4f?p9^z~ z>^=4zIsH=+8wB=@+4HTAdG??g)=98XQp`Q&ftoBBJB1pi7&Mp9z2t$~v(CmcY0MvC z^=O7EQ?Ersu!8-9P`J>G5QmQtH8|GF8PGYPS(ovt=ojUn2+kL@=HAmbf6Dt;*0}gPxe@JFedupe%t3T8-C;CdM~@d(3wZ zLW0Z(H?b6CB}Et%doH*G;Z@nAfA1*f{v}6h{Z$)mJ7l%PSP8!oEuR)3ha5?y+D%v@~Mh?L5^5d?D>WDMZg1Yl>bbx%MFxPlhsi^yVAM{uqFnj6(Q z6DZSdTKQ(Ny25Clb(-PrF#bZavzwyJAbOd2zqJ|fo8Fd;+Nyl7Pn$z}WuC|Zpmm74 zr5H){s8mXa8<|Oh1357sqkSY>=mhccKwu1`*Qs z{kWG3%hAt1v+sb4pkBVU+PX<0^z6pCtk%ez1Bg|&H&6x9w- zHP-RbIV8A`w_#01?)9vNGMbzPK#UCcEsKgq+r)nGT_0r}!wLJkJm$V1??c)A0LWAUk_ zbDrOc-Z?LU+it7jmxPzQKn}G!oHq>8Y|jRVP!qvTF1~C~L{r$mRLndCA~KR;GB70L zhHeVgj>E`dA)$+3+F^8=M3HcJoxtwnH%)>gX*{Vu6?5BtCl?>h$2ooe+4x|f<0DW^ z=XO@{pk1Ji@owioH)<){F2-Gcw-;|=rfs)qG3(YvHJ$??x;>ZQf5Km|O2d3si+HvX zU(-4@>pAe%cw=;p5wus3Dcolnd#(YWb5F8%ZD*Z{)-^<+T<=U@)r*++il#35dUoGu z+a0DW@A$UhtZ~elE4~~)$SczgB|MWf?{17)Lp6O>s!3RbH5jbq z0l4*+Jzy*X`Mw(xTsTBYt3a`g6jsX5A74khozz0^M-|n{f(-r^T-kYdvlJ|TbpLam zR8^YVuSE%<{o3DP++I+&9G(k6y}f+YpK-zG^L-}JKeGq|#wJ7=o z%~4|<-wCZ_opvPFE<^RLd{HMpv=DUVQ#Wvbokg?$h|A!kRIZTpGqTrqD*Rbgt8MX} zI9p>SLZjjH6?*jQcKWini7p4{%?}?}8SzUhoCNCk!p9t2w+(?`2?3hH*IVtfnM50K zChXoPH)0z0n<5>gDzIVpo_auoRymO_zX{W;C{;&(LzZV-mM0K@ZdxkG1Zu^*BN6|h zRRkKow~u3#0njLPtkG8+ksV*D7(r88FBN0lR;l6p%wXTTw8U{Tl{CtMrW-?LL6Iv; z`}#dQ*<=vCZQoIj=gd!lOsS;#=LjpF)r#mdOQ?}FloLA~t1aiYjtw>%UxT1W4Q}S( z^(l*&-1dWMyWBRc@}F>tL*AF4Q;%rBnnEovLSoGe)Y_ji%>J@)8Q62v8W6 zDSG>j&nwf>Yakf2cd8s&eDVLJHPrCz<~?WQyN!7Ho&E&HJ^2i_^J{juPiq{usP?$s z5PB)=V0-hbJte~rV?TMOj8N&@6Gp5H&9sXlM6G)^6w49IOlWO4e&_|VwxVJs@7~Hv z4FGADA#J2e+acQxm}IwMjs%>j!H_-m2U~}zw?RBGgIrUFo+6fy5(1VF@IjiYdB`x! z@@wnr=N+|o?02si3gQW*kgz|~dsVY7&4^vTTyqR2Ri{%T%#%ShuK0I)gnBLtSapC0 zlXHd8(|v($N?TTfR7+P+qohSzF-oJ4Tdo`anK9#dzD4pDHzHo|V)A-T9(FR)Dsra4I5RuQYXqU~T&pT`oz4$D& z34eK35C=eB^a;%)%EibivHb3zF-CnvQ9b=jSx`p$2?y~sf1(M|@q1kl7<#`C+8TVZ z+693a;y3(|JY{{j*O12UF6ck7#djC*1<*3$ z0^Tj6^q|TYHJ)ZK5>K`pVJRJ9p;Fh{t@ds^x9Dg+YhfWF0*E9*%m)7W)MS9m#Vf%O z2y#DXq(Cyiv`Ll?sonE&NX=+8v2u@F*sd1jq`m*(k@VBl!T#I4hSRu}X)C~wmzb7>7~Fq# zZ9YMUi$xYJqh@E(^tteG^r*u;VaK=?F(lZ$2}V${094L69=z?`OCLl{Z}+KT%Mq}e z2;9~?K6}zkXYQkf#@{{$VAAy}nO>CwvBHeuO2r0;8@OIP%eKnyW+7!4@-)WJPp?&> zuA(iu3UbhtlCSR=85s@diX8f=25@gLhwji9(+=h8?AH_)Au{a0TiacIgz2X5RKX-Y zMphjo=9%u**sSsYRp{Y`!Z|CbogDPsRX(_rMeHJn@*l?&)}=1w%GfUaILRs7rp6s` zHZ<@pseq0$A@v9y%ey~Z&S__h`Zelle|zYk zV{cRN7i`uovlEhMcAU(%i?{6~Ml~?;C+TgnsBxUg(_f?14I@?MsnrnKxC$lec`*0` zPxwr!VanrcvKrIOV6|$Mi;tJ#q@6&<-wqc_^ZvhIk>_cR9PH-wDXw~P3;C` z+vf{O<_j;zey0?M#9f8bxM#QRst=W3x|2s13LL4`tjydp^!3sS=Pi4kFEc!M4pXIk zJE^w5G%n~3v`H$8Qv8nh6kM`!i~X+tQ^5MBmRS3oCM%b;4L)NZl$c zT4ihd=1pffqIjY1cAO7tVad$yRg)1my38#d{N)47P^K!MEoTLr+L!)Jh-(pR4f&iY z=1YUd)Q$$Q^j1|ookeeDBK`*fHa>;~fAjQOe1T?frle8&G`7VdHo#6U}3rL zLf74Bt?&!yZbV0lsUtAkrK*8p%*BD)sOTxDpDFVthl)ecu&N0qP51k(5_subS<^uU z)K3~rQgW);MCz~li;0cN`J0TQ_ZTPhX;U>Ws=^)1CUX`E2DxpFjH5;cAy+GxiAD3l zfu#UYPSKesiO>rO+NCN2?Y&Jr_i29uRv6Zvno}~Hf<4B-8mK;iMw|neLwu0M>Uxi{a-F5*k=WX=GCG|`V>d1dD`vghwyA2ep5k*MP zCnCHuxHIZ`)X1iy&$SfgNebcTIp*?`Q9n<#_{0j@vx;q_J0q62dn;MIdGAYG@Bst# zJMDTanfjWtCJyn+RISD}6H%ey7j>SOPj%;hx2%(Ij-Y^9$8?EfrT>!tKGepIp!B1D z(!&V8)77wvT;$DnHk*{0xdZSUV6|RQl1Yzoea&Y9S@aC)i!;otpK}NhltflnqD71D zXYjy+TZS52QKcdSg@Exu`R8a>ORJI4$tQM{(%KS0N2d#vhOD zDs8_P)Cs@(Dd{sIt=go#&NhEgl*P&3N(x?~Vq10f)|bUO0wcr_Jo#v^R|~x5iewpL3!C)*q-)Q*xT`5Zpob6 zmyEm3pPv^ihBPMMPGHm61no%Jufr3}6M{IR+M0I8PyvFR zv22x+yC=CZR>3yKs%6C)kJU~UUhKdG5C`1iI!Xw~lkS}Nznj+^QhTKwE6#}AIR#u~ zY!qnjzDe+EzZL~-0>|1JUGP8rZ(%DofPKAu`9aU9MOQ$|6wn87sSOF&G zhM^)H7PjqSKf$HktB2BP&j>ECle{g*>vBS`>$pcO&%AoSaRi*AwtJkxl{Xr4pC+rDV%$)=T7c}-o4YCQE7nrqbYgU_ zqOSJQs2SnQJv$QSM}_{4I@>98UAc6AXe^hD3EVa%fLkZYNKQyPB@dkFK;R;ycx12f z@)l4hWzmCpanmmOJ{=cHI7Fhm=T>AR(1r#quHjwjT5-k3eIHypF=V~T43}X@ z=~P1d6M??QCd)!E;pFLL)nWmt?&Gkbw3M!0ce}j6-!A`I>w;yEx;0_XUv1z%$5y9f zwW0c)pn6I2`*s-rB?JEJ_f|$V%chy)e!oBtwU}tCjiEFHa~Ox`Xli-7h$eKZ*wn4o zo8EVa*Yv!iIfcP+{E_LBYRMbOR^Pvh!u2Q&ER#DDigr0tN-@bf7zO1Bwj*_Q#ZIij zw+gMlz`g;hrE}pRv<3Ld##vG;#G3ii>KQ0JVJ$jK=;;eO^@v|^5lYDqZo;)5A*;-Q ze_86KazPf(S}7yl?wt-TFehyKBc7}O?hCCFQ^_&_cj97Ni-#F4#g)wlQIm)NavXa;TorJscPguAMcuXM!t6B)N2{ z7nAB}-%l$lR)yMZykl#%0ED(0{04t!Xo!p;R)wiah+<`03gBpV-l593?Q~R{2nI3r zubw<;4{2#F^ax;?VQjjQ1CWKvw~1}YiTSk9g|dgOv@dK?AE+g)z!ncelNy#k#oQXO zg;jtTeg>x$uf&FGOh$(oIqC%!zJCg;qLMLGQGs-F*f|JXp{->@$(S5MCvy#Nqf1Mw_3{vj75l=FzO_yz!f&@Hr)+?cFI1lbO}pG({V9a?PO`(H~bwOPaP zLO z3@4Ido=LR`;WYk^^=DCGdr3?)Xz=(MT4_Vm|rs zuz=+Lm)6IfPqF8hVljf)SojD(-N#6MSv8>6W9B0T9mJz?>L-jbt^Tr5Yf4b_fQs^f zO7go0&v4_q%HLyyp^p^!ir0H66S<#!i4I04n&yqT~OFY z0&Usu9Rd=o3VxhXJ!`le_O1D9hoNcKMj(GHtHuZiSe3pZyqA|@*?7=x74o(K7G^T1NRA^>3W*<*`jnD z_Of-OVlX5CCk=s35FKXEAXr@xKE>G>CXc)&4Q15g3Q$?9%@m?dU>+f5C8iD-202t1 z$PCnu@KxlZIs|!YOeq#N5mXsYB{F~VX!U`@%NQ~Y!JWbxmk5_xXz@v%nkS_xt0HA~|=7$2aw!b%|Z7-1R4s#o79m2Zd zZyHsxSAO2{=X7_*oCTiwR)XR9f2uBp2T9H-n%jm{zgZJo(up$1Q&kU9SortD&zQeb z1~v>DsnT$wsg39qv&|!)1Wgss&6#JijVUFj306t6O(TWLsco6CgBBLh$VX_-hp8J! z(%%tCaa_kR$a(M&wLKU=MX~AgKUz9hxq8hBIXt|uufad}vF>U5zPJSb$ z=Y~p!J{Qxm8OQ(mDq+$n5CnF8OO>E6i~DRbpMh?c??!W@*+hD&5$8r6lUZ0_2PY>!$wsY;pi!bOYR-T{SBk^Q zS9du}$>t(!F2BzMfGngIitAcbZxIWSO=Hb6`!13Jt$*BMq_)jA7J8O;NUqom3l&)l z7fDc$2b+jk7s;i35RKQIrl@5(G^Hf93&*wl;K-gEKU5$&u{J&V7{yU`TPyEkU7@PA z>kd+CDc^@y>-o6d<(2fU8|ovR$5ZC{^b}u+3N`s#kUPwEmQWK*FtsDJ+ke#gE?=Mn zhR6pg`B8psQhj_}9SQk0iU>bhwQ8X`5Px>T!fcLzq5Nq$RUsP>C&GKFw+loW_XUcS zwEyW%P{HCCT8JDqz)GYaPk2%a{^QkTBSa@Ab`&(m8ZBXH3kkj$i@g{m8xcRfvTp|#E#)F{57lFwto zWJ7QSGde6azRpYYgs{K(#|O58dy+elh?A{0=YxAv*T9IAjW$<U&&d7!}6ydR(_71=m8)^Fo};hVV#1U=@i zV%Wb+gO9E=-tw;Tn5f^FOcns!YjbLN>OZsX)f*3AMQyMZRyzdm=6O&UNWIORnx0DE zaul%5P<&jDpwbJJHwkA9IZ$j#aL|t$D8ks?m8L%=fzFBKC+MR*p_TE-M&O>*2_)%! zFgfhgeOyW>>`+Jl6w(zUj1L*lw}dx&JU;QYr~T+B?6S2G&0w+dyQgTM!4Y`y{+7<5 z>TFLFi6pg~{OhiI1N67TNA7kWjoOvzXSz-W(I8ulc>ny)=bv z2oi`ZzE4fb3Is%^78uCQ7y$_GN2*}yU}|G&XG-T`Vrq8LBc0$X=|rXiNYVlTF{^d4KmQrN;N!o2j|p);D8U$XZof!que7)DLJ_LUC&}jLJ~M zYD}fUnXNbGXwE26*K5M>;gjoK<$LR>w%~(Ms;Zv6_Imu!XDG7$B%J^2CqvF#8GSgx z=X|{XcNzUC|K}F}%STz?cTqaK|4Y;N{(XM@!7#z+sX71G2RZ*&9sl=h{P#^+-{(gD z_l5iS?x_D=QM93NLuUqE*qITxuFu^8|Le!|*Ui5C2%!F5{9u@Wb86>%l>h71|7P!? z3`5=j;~@WIdzhovJ=@dyHk`l5<1LuK_a&GA>ui`oKc;F&FSd{OlI{v#9wX&>FnZ6Z z4GK)Zn!Yt({ybmbhR*Lf|Kp1TsWnRF?c1?s?c(^;t*3KUPiLFAR|mfqgh$86f7QO! zkv%4R2$wDri+*{r9lPy3!PwXF(ZknsRyHHd5Pt-4Wwa{taO9pxx6`}HyGbybV9@*W z#c}oAW!Z&4I0(4UZo^Rd`y9Syw|-d$Fza4r^!aq@gj+f`YTJO%-fG?seT($y=;rV7->TT36({UwfaA=d**69m<<&Ua(T@d!Pwd z&UTMVZWEklTef3{dm$VvL6-&O1mvMr&qmGjQpDgvm!~^!#JX}#wtTcjz5M8CS$cuZez29>BG2_`L2JVE<>esY=<)y#YXmck6~4-o z05&0fbfYXj?qKp!JpK7uoGIQBWxajJo_)ixt*loQnP(F$`nMlWv&6rRYIkFrU0rP; z%_%w-k3OiVI8uiw9U%(0)!MR4KfLA<(vNydqC@CXv_tK>k&OJ;6n|pnb=dPy7Q413 zN&Eb-gTMSb{JKt81sz*f(q^sMk-bn_oIJhVzWcYxEO(y_IYQr|{$m36L8QoXAe0$X zRvE#mVAQ>wxc2n?+ThcuF;phl?uG7y3_G+oG>*c?UTr00@irupKAz6b{)c^(nwSO~ zwhEt9=-$rnH&4f0=mKUf(7L=b2hH@GBAa;^22J?F(a-(+c31jiQom5djOXsXG!)&F zirPI340#YtirxWM{NT=dDA**>9yjm@-B4*=!~}nD@b&}=x(wH=+qx25i7b|;oiX?N z)h|~B`RL4v1CKen*{VE?8UJFHMoO=T)UMeE{mx1YDvgBsqu7!ev{1$v)0v4W*9Q|S zy8)oH;Q5o21-`&ydwf~H&GdD3hj_!v?oQSPUrJE@c6{r>6~ZWwtrOnQQ&To)QOd{X z_vGa3-Cf)-uIJbWHPWbmS)qM>ReLQotAo!+#Khv)qifG@Xp-dI<=yEucr?9KQdN-T z3HxQ@eyh;wEepL`EoAL>KF2LglzpWKq0Ux2u2o8lH6?s@{fMqqtcyL@-&0*SZAG~A zRnL+aHf6W1v{(^{pt`|sqSd9FVJXy6SbtJxLX=&Q3NTx(ebQ#Da$jK|O}`D@oD2)Q za)XTvU$0kKgpt+3Ss3TIX3zdi<5}y9rxUiNXJ>f`I{Est%UQ{WLzu2eh!zT7X-4jT zk63CXLLi`Fo&u?gd^46r93o@0AX^=@!tt3DpzE!#_@$JPXjDOTYJ~$!{jeo7T!LEm z`*nmCZtl?x`W{`DP1qo~hG&yM7vCbsK72P>{oVCOr(Z?*z}~*8!A3avc(;9-=$$R5 z&D}jFObyf?lT6sDoVjp`y5EJ`WAtY7fP87p7FSR(ia|33Dxke;cRLcgmSifszwI)J z)FvXbh>N51I{Y-?p|doTn50kZIDvK=c%vZRkTubm$SPB$l_gCm%vRymPrNo|%qned z-iNn(l)(wS^7@u-mFUb6qZ^WQ@Ut1l&YVa>=kb@pCh@rsP%Cft!u&-dB-`_ljI z^7-`J?=s$>{p&UrVY}<~*!%kPmH+$7|3MfYhJO3=@P6lWewGeC|NGhxR^i z?|dJ#{HM9)+sE^(1|euh->?16=H+?kL}~}&(eE+%`(XBK<@+RC|8-Y=@&5afKm4T5 z?}q*>XfNS{I#6>Lf$!z$y8FB5nSOingWj?Axle4!zQ^AgX|WF@63mzIA>CoGFJ@1_ z|3;nhqYAT>>_?~nYx>7W%g>p++k z!zxX|^+V16o>pO*P$pyf%w_ej*f78B!esY*F$Vi1PVe@;UKxSwOD7LpNw4YalyUgp`SpHw zTke+;jMm_amf-Iz_E5YSeKMYxEK>;mV7H&X@qYTo^QmeHw%>5OsiC)lFt&#H9()eL zn_5h-4@RKnenDLS@x<-N6Ste(Wq7~o*5GR&LvGBUiaP#pHN)^q884<&yXR?GK6wA1 z%UeG#Z?Oem|G2EtVs_*IwJ`vjb6W85%-(ySTH5DdVmC_ffAeMEGfFGzujJe-mWi$% zq4syy2CSH9PTAi)=D>G@W0h z$LM3@?3PFP^%0>@F6{30`g42M1nAcPJ9t>hiSP^*aag7IRaE9EdqCyo6`;}^QSo8M zlQx-1?H^}cW~%^o%q?CulnF8hxzrU{!R7yDF1D$a^2SZ zn(nW8bQmlKl6zn9>_N}|EdOwQ`*3{s_TnT>PjjXc5}?@`eo{7?zG1kr=l%N3$A4D= z8&1IWae6NtpU?dvGAd=`_s`@yg8!xhEqmwvVWzB&ujlrxMgAGJ?dk?6pMX1xz}M@> zlCN@cfrl?IKTrOdp51+xKj+Ltn|yp#p6Ma^2C9Y)3h(kPn&Yxd^0*`4WJ|vBs7Tm0 zX?EL|5PCsx#}5~QK+>fEM3q+Sd0`STm+rs*m~8Vdc^aZXE~&4$h_(N8Y4vXdu+&yn zVJ~336EM>2Hu}?K+lt7!d`?PMpO9_5pq6_;o#_SD#~RWNPDyu#BlLoF>HB+sN&}3Z zmYyO^A$iyI>Mm$@%dMT;MfqWE*hT5Gi>fCZ|4$+~%TnwrB4EAe<-9w$)@GOb2zP;z|m=BRUW>{&^coa8aRN!R-YtJ>^{W#>6?vDqp82=h{8L=->={NHt)oYj z+#*M`mQQnZ>|9A%P{7J81v%H842e6L^EHptZ6LER`cSu+{9ztI@urX#9H$|rZGFt zSN>;gx*&Dek7(n6MgQ5KqY$|4@hNh08oBKG0}r}4b=mDpT|Jn~8?3Kre%(B{a_aec zr~Y|s;*u=w?jifl7j>x6)?G&oN`<&$R4x$nywl>)CDb2~_zY9YSw8_vi(4*W{LU#= z3e_Aszf?Avu>Zdn19<&^ZK_WRX^$+<(-OzelWXpKvo(n%r4lq!`;r{B%(f+8 zfkve2Go*E0-s1nkCUnvCYHQ3;%^frBhInf=%B0`)O^ji=0yZK=18`d~Rlkp^ZlOZS zzzYg=mlSMeh!NV}a_n3hJN@d&NjlmPJAHDX_ECB=4sG0|KF(crtk;TIk9E;@i{iD- z5;xA{j93pdfZM`H2Mf(!7MI-u-hr4JySMhqg+})nSZWRZO zA&z-BX=I+llHnE$okd0sj#}6ggc8C0Z!9Eetv9ZOe+EOy{zRcdTD=%tku0Wayci%E z&^b?hy21=QQ10F6!iU90V4)|z;M7GFhi1{T-pFsq^0#9earDtCn#hi2_1|1z4?bDF z62#;+98V(QKx1G(>dFsk%nJ1Wg%Z|&k%wpqx7Uwd5zN{O@r0c57jH&Y02gOzf&p}r z*Re-}Fb@r>e;W@aEXxCbAf%8i?~K9lH2y?M#33aZs>mr$8?hf4lq?1p_a9-9F@_B0 zz7lFmkm7dmDt*-{@)t7CER0Uj5t(bG1&&7XHmyTsAx?V@)8L;A(CEgt_8+Q7rlFF# z+d5$hRyF0IZ5p;g#k{GOY522#`#OaocaNArU~-~DY_jvm|I57~Q4s1iDvy!N8HbH4 z*fv(V`ZXxps$^_ioQyd&EGS!UHW)*exd748{lY{;Lun5S6AhI}ahb4CIqCFxr$ z^yPVC@e+H`wgnT72Zs_TP?%g}n5p_gpdv(JVB*JsDw%o<(;!i56q-tzdPB`W*^UQg zhc2S*gk0i;;qTz`$*qqn=;w>t+|;9Jv#QIa}|RVuf?iBf3GKrSJtpo;TUxWQ%`6vz;|Sm;qh zj)MVsqG7R1f21w~nQ62ld%S3D}=MfXHkpzoVp)JX@DaC}HwA-Ka zW89NB7P_iYU%FvY>VFnJdH?=@5=GKHVzG;7rk^jxkACpdf^`Z9;uMQb31)IgIutg# zKOmjx=iL2J6V`p;^*0ut?WQb;{y)a`%9Hh{m={Y-G&aER^GMt+KaRzU?d#3n$nc-d zIQZ1+WzD0>HX}6o_YaM6+ehrW!)E;T=KXygA2iKX>)oHe!{+D7vyESuKXI%Vt$j9m zY#8kgt;w0w@I7oFF(re40@di&9y@4@XLsV@v%0mr&*&LVqXBXL$ES@$DMwl2Z zi7lYVfD=0y%Laj`JQ0p-xX;6x_**7NJ%2HpMS!;C7P3XM4C5Aax_B{~M*=MqzDFSN zZce;Xno|+uL@dLWQq{x$Q5L< z*h{jJ!dodE{}<%Ze;DerPKgo#x@4$YG*v)r7ZM-|y1x8OU@dY;4E7AU=G3kyT_jG+*w&|QdzjAcr z?Ev54?aeyhcffvpORIIDqrk?Rx>46 zG68L7O5F+-rB^~pRXtxv`6!`8*GqtGZM))hAVB(Zp(JCtXa#!)0`eji=G7_M$q5*# zvO`g6I6gb2XS=X`^^ZW^;VaC8)UiOF3H<-Uj2^UOwFyfhbZRk~CTb?FGuzSOFWxMQ zF7aH$Kra@>0&x_u{{iP@UR5Y@mCevxFc-690dun%H3-*I(KWoVR$OFLcg%GsiIm@k zTZX7NbSn|3vU4E*E7hxKkZYB$+ToXTerweH_lJwjG+o%fgw944Hss89s%GN(Phf*J z6PXa~P5La~DZ%4+@|+no`ZH{r*oAUtUyg{$NAI&y)1ML1wP6W^`*zjfNrOhVs)OT( zj=?CCeZ@wN8{K`~-Fdt`I(Yd1v{hmJxI((0<*nc7tYZo4>UMPV?DF`uCvl}ElLOzESQA1 zDW@L$x?sN-pm9;u;O{gt1UPHcxC&~gV9|lk%?8-u=7 zAXE_`HBG+)Qdp=OYzc=EVNrz{6kz+sQUw@6L5Ayh1sG#Mh8gT2gLQf8C)?@#)ZbWqj z9vVZHij37Rm4K?$rXKS1;>wb982ETaKU)qMQnk0VYWJLg+r=hL_prB)u`%YA;!`DM z5!H6C;kxnEH6Pp@%-SDmu-Ml5HQyRxYd3>xCn?{LSSzOtDUE+m@3|D@1^=Q-))jL) zr-a$=Y>XI1igrATAgHs;)4*OUkhVe;3WU=Y67Dq-2Dex&eBXgP&ELPQz`$e&?Vhg@5fgAtV?GWpXjXn3y_ z8kJV6SVBN$s6vgF1E3O2y+-RMHn2*=uwS(aT?b4NrZ%X2i2+3YQg;bl=1U6O9Ut=Y zA%AcAn0a&ECoHh;H>QVJP{TBcxjz(nm~_@JrT#LO%i&g%P#Om{8!8fVbMwf#eQm2| z4Wrn~RU&r=DP14ASaj1R3oHRFTkn!U)wvWnL^Apwz~7QKY)nW@Z)vj9pL?@wM@Gr@ zXAQX=_GK=W`|QjkrCLsU`)hpse4~^Vv#2TLBf{~hTy_Qpr2YbkBE~;MR>x`wO~ZkI zDliL9tGqOR^rN$CwHi5v^4KP5yT7CUTsZ2t*tL=D4Xl+~cI(MmHWsa&C7?9N9 zygORwnrMR?Jp{`G$mJ_5>nwDz27u~N@$dzt5jBe1L3dZ^#_J3z9mcJKjGDh#i?~`! zuG|I_91HBWvV#=<&GbY%nu>F(^~Wmp8w~X;_LWfq0dssXGl#OzpNKDglF2k$OWLnCFQ`6++L0~Q~G7lyz2acu&+hi$^Oi>lc z<{dR>&iv^)-6N|$x3j>rFlWlrLhbw;YZIWYmzxsoowXsr`7<>|u+{x3G21{A{^S;7 zl`mc1h%Gi*I19$h%NN>5ckn$nk{@SZXV2ez2a1=YcQ4b7*?rv2BraZ5pN?KT_NT)r zHS7MLva)e~ZGrJRh>l9%*lgtr%7!e1INlwK7Mnggi;HkM%LfK}jj*=(slT^h8CZiU#)*MH7Fl+;7W$wVfleZ9aLnO6! zA$FX)Q?2Pk(l<SaCCN# z74^B)E0NfwPTgdnV|-R7%gK0+`*H<=lt)?1?kgt;KV1%a&)rfrjDcr*i+Y`6kF%Hs z&Mhfw_qxGquE)dG_CA(C-X!w!>LGMeG^-$elEhJvwURBzp~J5O3SVxJmiEGM%?Xi) z-CNr5kkPgU-w}aZsV6RXkR^+Mp?_FxMN${6lqzr%+KbYR_A0H2q)A{8Z^fe6xdkW7 zg-o+SQU0m&X0;?Z^ti|!)bm9nWtA9V^_TYs6}>^nSuypaW$;W$=+|4stG9y=w)V3~ z)o&*f-j|>ZU9#E5(b~x#V_}rAn_@0!q$L zA%PVn*v>eEIako&W5oYBcOGn`{lu|6$o&EkEC{?Yj#GgVK?o-Jo_Qv>%6JLHaTMyP znc>P(vBD^&+qrkL#t`m+kXp{fIaYEd+OGw>_FS;HLy=Cn5I)YtBMDx@aeQ2Kuj479 z*_^dTidk&P`6Lgh{fmZT+005)7%jG7tHs&k84&h(9OUEqs_3w8r)+&UY^i&QfLI{q zcPJx_GBE((aARbcf<;60?+QOUkOYSm66Clfkxtiv+XRVICS)iGY2rNtNfKO|$dExI z>VES*gK-*Mn#{)mO#b%6-3c2+ys_m&qvD^iWm)W_(rUYopI9`g8q&o73$@J#MkV#X zvV0~$E=dyelxytuh|t$1%?fi({sX|_J1Q<!!0F z^A@mB#7Btx2)tL004eVK*NVYm3BoWH<-H(}hd6XT`<-n@(timKO3-Ow{cRRiA;Elpg*Gaj-E4QgLi;>4znjCf)s&* zb^%;2va+)GQ7y&C86o+P(4%Yj@aCR}>90q26pL=;z!B;_D^84-5Ze9)N^CQg_W6!g zp@$D-!y_2<_&XZadYjZ>oJdD`ohnWwHF$@62ci^VjgQPu!p_}U>=UugAn0}^Dwg(+ z@7#k&WiETraTm+NZ2+7}3lBv$eU>tJxZ|Zmv>~8ixQ=3&CL791KwJk)B_!24vc7Qw zlG;0}zOf9Fx+ta|8xl#4QL4#JX{)Dsc_AoEA6;i!9Hth0Ij9b)l3Fg7p(zrQ+7pVQDTTB*Lw8bkDsbs&y-=!`CVGvO{^T!> zwZX=W)*A&mYK5kWUQ=L^HULxsrXY{5F6uQ_Y8uArr9_=E3%T++>pu^BMas`@2!EfJ zx6k-YJ}UqjrfE=vq(FXoW&WA75-okcYOFHM9K;%SKrcQi5D}{Xcm%Jy;NsnniUIHhr@L@)Fv3QM_?7^QU_N#-pu`|PcyerVr8)C?P(r0S zgnl+4UvPOKTY2X;*4ZTc4ZeByW6(M1{(K#4pj08YRQ?HaphTf3WPi7M;NpqbB6m1K zReb)zs0Lb)Hjemj)@$-$60`O6`GzbFH%4L7I_E>Ho{(kmu*&p+ook%Gc%4>c zju?`UrgJ}f?rNSi9w$F~-c~v~h?q|Fox;g9&)7ZN&dU~Qzcsq^3)>$v3SZ>Cjy)Sa zTd{MXQ(h-okn&4nDFa7ZhkM?_*g8CP0P&j{Zw=~hzoFSn{F*!2j;_1}s;;A{eD$7c znt6`|O@Y%K)r04cN?56Yz0j(?!6d8|p}dIgLOq{ddQr}3{axJ1rT!09?*Jr8(+28} zJ+oumwy|T|+Och8$F^{lj6q94NWbTI_EvhB=P33{*#G zp1T_LOd+7h{AcpWE%}T-*N_chMH-%Xq2`prKmO5bu$ zFDA%7s_Cb-@l1KoIr8*L>d5dAP&d3ax6aYly%x5IpLVLm(ERyw)6k#&v;0}Wgf@o3 zC^S=k;vx+@dDGI76>ecgyuG$xF9XF-B7Y(d$ENU7p9>pe{U8uoWxZp9n9f7NmAcbA z4#6W%jaj0WO#*{eg5sYZ^PkGyLiU3yG-kMU>jq=|MO)&~0RXO!X)Opik<}`c-KUV0 zay)|0A(Tn^?<%s1DLSSN^S6FtV|2MUH~gM9_U<4IJ8YQAVTdjd6TNIP(57r zJqk>+-Pk5Ie}4776OGo9S(~bFi1z=C0cbE21*+N@k04YCn$+f4ogzDW(PxNov`{w8 zfjngsFcT0;gesVg-?MGX?k{gi7x3t;mvutj8MP*NF75e!mYOuTOh&~RXlTO*s6vE` z#6;dJ91$*<6~M|N>`;Z28IbS;=8&R05}~Mggj=6wWWx|~c~E_XQ3aHM?N!dYc{o9e zT;S9Y21|nV2n%7$d!G>Vgi#$-zQJI0!f*p)f*^!V!UPv)JRUy*xPy0SKtcx^6}DDN~4tTn;7EtzMqVB#D$gN^t7 zAG9o(0E?tX0kAC3OMqzdD@z;7UpQL; zcLaCTWx4-$vbG`nt)h!;->udlcbogJ9 zSzjQJTi`qo0J@qOYa~UN7XAaHDyasFCT!7aPPS~4z|MZBQ1h-kqm2(?fyuzLj!&)8 zn>-qiA>MBlesT2`KzW=toA|h#dtAPZ8-Zr=aFGa=mLdQU8jALhSJn}~1jcKrgbzl* zMGD<|rx?sj@lqkUXH5P>rB459SkTA10pMpS3*ZDPe}@^ZA$|10MJ}yD{*UaQ)c^R0 zjc2(4bqxv93>4X2U9r$jjLcF2tov%N<`Ofn+NrGSpYCJNG8#|5>Y*29amr~)$Fj{# zH&A49Mf$fVMmzw&x+0O_`>)Sp)}69#Wg&4;3=SyRI{}C*YepcSF5pbA9sGmF)nrx* zD&c&(F%AC#-aE0&J7&P|_+Jf9Pi%*#48TXlsQo+MdCO<;f(hj660eQBc25JfFNL{q z7A8$yKrijBoj@QZ_XF7Y4GTjcg=WF8%6A03K5#OIo~QltvdsR-K5$i~c5ZyH%iAiH zrWd-h{T^p2gQgb);CS(66z(*P7hnsZH-Z^Mr!*^-6Lk2rtyim-MgIUbR-`42&#XwYbu_20RIzoK>n`>l%O;;m zL}_0f@_6FvmT1f^ZhEJP1U`Et=3&ot_N;fc3ZDA;13C#0TuEmlyn{3K+`cRlB@{w# zUjc&xupqgzARDVnR|x?cduSV*TH+3jG<#hOYQr-mfJ^$#d~}VFZS> zp7Bw-C=+F*AUuf3i+InFH{PBpM*_2fVj;6KDvqy_(RjA1l9a!)lnl3?0$J=b{E@W6 z7Yrk=MnJc9k1t8Yb35ck0l9q?(&|+v3;)7{TkpX7n27QLx5DK%?PdsbC<^)llj)lr zmGpH8hxnC}fIKLW+7_&&G;%a-S6d~nZeyCC865foifXlc_iSVB;O^O7$H%2(xcV{C z-)lu?Z}&d1uxXG$q=K$&C#UjkA`j2?pusAYZU?)5_#8?5n15&=f+7X`az0Q#_9vfU zhi-<4GX+OYr>-1GHEG8bY}j@?ZA-wAA&tcUW5&+y*JF_M>#4=B>on%uPcL~=R&7H= z-PHH=G6YiVv%~!w+XvuwOD!-A<9ba!x_W%wJUTqytxcFqG|JH51=y{j0O0hNq!YHD zIirXDcHdOHt8EmW;ks$qMzmayHkxZ`Hb+e3>(U}9T4+f=jUgAE;XxrXF)dBOQyc0@ zdG_t#2veQE&e|u)w_mlFw(UF>JXeOVGDlLD*ZZ$+ohFjYI=e zdh_@cx$=r|`@89q0$!5BbF^jC<@=KPI*}zRuwwB6?{?oY@Lo;XAW{W?loX}H z9ol6KjT(-CKXpyszf^`LR;Yb2TMn;-S-$C?Y(VRB@dK;4#xh$ZWHUd@K!K!xm?=Bq zM#B)FDdittm8roM3Q86Zt8;`xYMGK;9+xqltEk z4;xB6q;_4^p@CvkJgFIel|xVZm6{Q+%Fxml0jBbQbVLf4d*?G;L{C?@m%Dd_)8Kt3 z5B%Z`PpLVwMap1yNnijgJ-VGrs#2GiGP_8mS@ryWuvOD*4>sLSHvmp46`IhO&oiek zo$C7fMX=+gXhUgu45zc%JNUr=!ZiWKIN9pUOP;?0MK$k8AGG|ZajcryZh_l6SIj47 zydopBQzI~q0m~XFm8-2&<5GazjjHx1g9aY7?51ER>Fwqu{voA5+msCqplL34rD`S< zDz|YckNnCpBv=XL_lOEn!Y640HnF5kDvwH%ULPqgy-R4_sMP{fc9My#gl3*)!c<4G zM~6Hpj@0UMR*Y2FAq`s)P4~Ngh|s}Uh=xfft^%oqs$`@c&_@$z)R0BCmN0b2hnj(+ zCsN%Wv)s-?LuNDCH3_ur&xEf4*S>6`BV>4Ctg)7(qtO0UtOo{W>kGYx1lPsiFGi$q zN`%?@-2hdg$T+SuACxACL}%WdTsxUBZ*nmntYGr;2wfuohBKDY#yh`yu9|rIp}j$y zQfpm35y?qr?gJv2SSXHwU%o6YyL`b$ThXbpOSZlylpER_-_;=cM~16~!lG zG1Ao1l;<%~s&66QpnJ|36QlYC3~HIiPUwj`TcMks9Ch`W4KKCO4HwD=BMKS~Ckbms z8wd`E9K1=Y4q-;35>pk$tp4Zr=aFp2Xtl9|qR){63}UY-C8WlK1MeAVid}0t?^Ei! zO3o`Vds3-4;kIqO7E7hW+Wy4%T`BAGWl&E7e&>%OT;Pxev`VeIqeAC~D;Kkvva85^ zd4!XrK=cOtHAVhyT>cJ^4jCwds5!mupFEOaIOUzF(W>g!?zs}I6lXsSW)q_lZ_5v$){~3Ss;YUui=aU z0;f?nM1{?7p~F-qpD5elHfYLX2cuITW?%vpQoV};$t3qV70?9(g57#+;;BHIgxjZx zF%Ud(k%5!opg`P1uDD8AB4=lr7!W{FccAjm02vUwN6ty(giWi-tg8?clv!tO5_|ff z5fWtefG(7@gbO2w4#B6BADoyoM28AAz%B+Z)0G%e0|~x(!-{LG?8+j`P)PU{ztp0} z8{O|A3NDoqh|)jMAFjW*D^h1~PprYoiA0;_Ioj_7ihxuUD;s$@*_yS#K1y(U#3nBTcaCJ+vZ8uuzQ{is}1zM<;K=gAi(i*)h2IVUx01%Wh&&* z?x`GIJeO$+cVV+n$ub9hP+e%u>l61YnZW+N-Fa!%(v5z=l7V5s@`Pa^Pg13rxfbf8 zS~BEmg>+CL5)4O`8knRCVGF!nJ~VW+daD4zJx%#K7k{TK{$s;~*b_n~D?G>GYPZeg zQi%1Z1a&4Rt&nOxqB$i>O@|K_7v=~b1bZUZf4bdj32R(bhz%e8SM(LQ`hju3e_WBb z$*!V=HNSHd5_%1;!ubzSh7VGUc*HH^L|@eqqK63roE9BMA+nlup%`Jxq6Ip^ z)M3B1^4i{>F6}FP>buyks~$~t#_Fn|a>#ndO5hy&llSOfjZa172^=tiXZvbo(R;(z zbEJ&2)aj)@!Ns#D_RC<<-;yyj?03B-RvVS)~| z=qv<`upqO?+8jL;u|d^zFbxVNX}I3N)9~eEc|YrL9~(W*Alw!zOs7jBi6L%m?G`eVpt>iq`!q} z!b~8GIzYfA_-2=^J8{Uy992=`u85W5u0o;-lNqWdTk{h)=Ug4AHDiBq9o-KM8!US@ zHsKwb++!ev&Uyxops?_JxLtQ|Js=A&Gx^bgsiNK-(A5;QEH@ zBu-VI_H%X;LXjnf3E<~b#HFGo>b^tj6gO0E5?e;dTeGg7zsII2e8iSEI0{znpMb3l zD9>&&$!u8>u~qR@ht%@c?EYh=|0c&6zt3j~s#oF`TWyY8p)-z>sET>=l)G_=!ZXWO z(E^S2G9}k+^I(+VLlZeG?MktJo36ceZcxzr}7A|-@4vk;(aTB(*|BQzelhe zCMSjiM{9x58L5It!^$=53sq|%GgYuGj7l?uh-;hJ;ic_p_WV)(3Ej%evXMi$l~R_4t(#^p=iUsB0Uh87d)sWa2UQ$JO(ILdp`1({di)pgAhM?%t~2T3!R!hXQ#9B0T6pf;gW*AV%Z{TRh(Z z<*q4}+GRZdP414i7k!$*$5Lr*R($sUVZQP6^^TT*?B`->TZoAY3t`R?jIgTqN>^Oqw6utbMqtSh{H=9>Y-%}q` zA}s-9MZ+@7qtRNkcN09|Fxq>oHJ0D-otBw0eSgxc{NsPxtrYDt%(V*8jEE4BSxv<0 zMdgw*PK?Mk*nCt{oGQ zzu?6sGVehK%0Wv%enY4MH6*YD|4wQh?}t!3+O1#YjZ9fM`P={#f-qT9;z(>D*M#_O z)7Y$FaCoVs{RDH7a6Cd_fku^-H$e#A2U<9P6X*6x83u=R82Hzqz=R45g_p1E0Lc#uu4U%7JM$ZleS>w<*3(BxI)J&2`#8R`P>zFmL2(3Mwp z4iC6BkGDL{1;U@oKj+ERBaR-EjwpKENAG9Olewfe3#Iw`njMF3c)I7x_e!}5sqaZ$ zOQnZiS12(F-M@A=qoROzqE8M5_T)Yj) z>pwZj0Z{Q+xh`4VdlzN}l%I&k>QH3L~|S@)K%XiC(+{Fbhx?3{6m_D#?s~(t|I}8Wq#O zU)p-~`EVwb3vlEsax8{gI%B74b~cCYas%Yao&qj%ro zH0g67Rbgp7)@K2ey*>$@#(Qu~L_u3?@5+U48oC3qj~m!NSvEir4JBZ)*5OjIs9KNh zAI7u(crBG(7Ax9DG=SRVko~!)DPtd3i(N2$<7fn*Lx64eAYUHnt=R^u&{-&gWTQQ# zOgmf&LXAAK&Cy<#U?{RQhP6Nr+ffUYy3UtJ#x(gZ^AJqony_I#%NMs8D@<}aOi>jb z^D|B;G)v&)tPI!ch}5*DpI&GnZve@V{Q37ZxG!=J!ShHk7BFW^S;FNTRR9|oxU;9b zg5YNj{x#*gz#thf9{!*1t%wt4$6)OWMqZ9o2BNxQ5E({5gf|xu~!_nM7g(XeaP=x_S zV4)Z_5=fM80zzb|CdIiNmy}&v@M%O2cz9G7NMo}y^4j0LT9g(Wa&6xlMC}dP5oY?q z+u`f@YVzssryqj>ox}?E-*SOFqHuo>a9ZEhJq|qIdyfnXou0&8VvSS+Ztqgx2vxou zA@JBz=*0u7gj@HB^=FJlO75qlx4XyxP0jP>`t?&ML^n4?E<=ZZ9III7i}YZ)DOK|H z?wF|f{qSn@BDB*jKm2Q9<%=}aH{lA4o43&7#CiBUigggnLX0Wp#ufo1GnywYupWUm zR+BgQn10$z5<*runPUkz=j8+-F93mr&(T^v=)MnsIV8y$q>R*iMxo<2*5g|D;210s zey*0c^Y*-2Y?PP(d37F)UJ#?pj@PWDakWr0z2G=o5-96o3ENcqC~)Jw;j*0>({0QB z++pCwR(aw^>-((R>;7iJ`Rv|m#$IC!di5lerxRGBS}g5Fk{tje-{|l5;p6y`E#-#B z;lgctzBav7pSi}J>V~H&{xjhZUj1jz#Ps#^_TlFAe+mBg;#47D=WZe!{q|z!X04MO z$lVAIL7js|tpy3qNOCoW7elXAqSGk(Nlat@GK>nlCE{N2CkN*A+wogC z(EiV2LV4Tqj0)Q=YA?R5LR}{$VJ~ng?z8&gZeBh&FYgj|Tfa6gTI2acE!BITKm~mT z_ZMnp=Q9yfUA0GUzW6q1yo=m=>91TjT3EL2c$jdz5nmbs!K<3bZAKv%F9&~P9+)jjg~=0UI6c;~J=OmF$1?{sUCZl1XBaukto&dBkY znohIzc<*xDhrFgqb}Dm++~|x>T;=k*Yv7yAF#UBqiDsRd*b@G1;|}H6xbNGHPp?z8 z8uBMAReY&vmmMkZk@N7-&W@5#b}(zguyh36%&Y`ZKn;^_SIj6BZUI3`9EQ#kz=P(Pcsuy!VBCSc#Kl>SDV&+Sq;O?n)3{6aS$|Mv&oT;TC4E zayEaBi1kIZZ+A4h$NPh!?oBd)jlzY`Msw?@I)ul%;_o_m? zwbQ+_uTV$$p_S@Yp-{Th^)z%h5TRcZJoIBS)$K9LV6i4jpOqSZ_pT> z19kCQ9Z;H*;%=-{zj_wO>XmyPX%lWJEiNCo*UkXz#d`M`@$hXb9|+zDLg|5jj~`u4 z3vzFV|J`nvvhc|i5PpE&$c8rpfVB+KNg47ZJ{B&al_%Toj_ zsf(R=_<=mJ+gjxA0Rfm4M)ftu9tX%jIqZO{{7peKisc zFLtI?g7>>Uca;~k&>{CS^r`&O`ddk-`oxm-9Gdef8Pq`$mw0h=eUzej;r(S6ec%MuPQIP3-fq5(uatyz=o)z{ z|D$wJDgTsBD6HQwS|MSMJGUxx&5~GK5d%EusduG$CZAO1?UR(JGu~y-oMim&5%C{B z)hjLZG%uH0D^L5DAB85x7Ree}v(5G`(ku0S}q^DWkyQ5D@@5&~zIpgMP#0 zjYRK@7q+7g2yU6vgC`=kF8Li2ZAryWP0t)NL${N4prp*0k?A>GUVOnBQ}h>w;(>`+`#}mB zCZ%3dSv0P&ptf8fi;F#7?$A&#vVyjA$So(|5tRoTv1rgP)G_>mMlsVx}#wItcXjhl#RnPBeQyBW(70N zCV6HH{t7$duSz6eMrWqR>D}4=!0l&ZJr*8KZ*Mv1L4`(V5zabI~VUDPcG53O^ll&7Z_lION_%8xzbxtNV%=P zt$gGP-m*l@pnX>~5b%7(6lv;%iICIral~4Kq@}e-s+JW)X#A}Z24)>+)u6m{ocJ4K zt~ATp&5F6LxbxH<&59bhA*utQ_~nHL!!;vQ6zR3lUj(05WU`oioJYz?|H`;GwkC8< zKOCIh+W+?UWGykAbheAqKNwkKY4i7j3o7J;9%xPZ-Bpwa2lMfKXG|#r)42Y*Z`UF35I58LZLh1g13tK*eeiQiSeGtHxfUM z6eGsBXD)(BubOF(TQoE)Zsl&)47)*)99xL@p2QXqy=4AC+4uiT80iorHi1f*ceC_w z^dCa#>(Pez_Ve~-$hP4DA=G>3WympE#Ctfb9p?SkP%vMFdm*v|XNmTO{e|=Tobt%F ztZB~!&V%N7;n-r=7^*U_elP{HSe1lJh6C}(P~wHT_Dz%Goaa&l^YniN3b80S?AL&U z3K2*E*8(eG{b{zcaMrysIylz3&3203a^0W$l#W#enM%s{6X^+D;)^e^f87TO_7sQU z9m|TW;3j=0MCBTt5APu^2_Y}#ATPZkU9YPlPEUGY2YMv%9cbE_AqEa^R0Mv#nY>rC z^ypV4j&{l-iVw>;(?ASJ86c^hlcoKJRv!E$v4;{fiS_o>gPnO1cfJpwxe(NV#|t&=FYJn5v#!|ZqlHdw+VxjvsiCHp&$ zLBitp8;7}A4J^~pOkGvo2VCfz`%J_{AA|b~w3}m1| z@n?E9coeh`F*JDr*b=Hx%B)Af8m3g9fk>L{*V|DTNh{NVAl7edbqrfLseydPyZw%l z=sng0!6|;jD(U>(!e)C?vONi@f%pmXj=9RcbYB-^b2X{Yhd?VZTf{a|LRw{TmU`bc z-T}o2sL<&_xzOKnI7x<>TzhqDKUIH3l>RyQXG9IDB`zB{6Ay~lK|&DEVp1N~&O#JF z#`?{yIp|DcZ|;4tIM^BKZ}?gweL=EuH)8%-yN54nlAomAxEw7<9S`^b0D z=<)Z0+1i`d$3<_Z#o|YfdCp2mb?%4V3w0CC+em6wpk=Hp*>^+l&m&2EhSg2hPQBP? z?3LdAD>mA{8bRW2qy&5$y?E%q8-@$FT|d+bKls@XS~723rR256^L5o)=l^E6&i6h% zbKE|-uzwx>mao{}=IQb9<-LcN_)GIC$UyHYc?fP1C!$LTA$drn3UJ~8PP;|+VU*Az zmqVOL4$7+JA*@Tb5Wt}Vlw=F((yUS~^}EN3?1;@t3KcEME~w*V8=sP$Wx`}v1I!C^ zH}3`6*(Pas$|c#ERjOS7V*=d3$I(SZD5T3T5aXMYxKJWz7>`Kt($m-o&1;91RC@Sx zdndc*FXt}aFc#@_Z8>SSzp*7z&pBof&w=KiDmMz{nX}T8zL!%;_lE7+N;|GC$`h5j zu2LRvf8?lcU^l7uDrPnCCqE`k%M-gWtx9=2Wv~-ZidFcF3`$7t984z~y?ldcjI@ff zwfoi~mL-gwh%V>y10V*r0N2X_DaA<-?%r(+io;dj7bCp7XdhCXEdUgjBmae_3u# zX6fLM^8y5$86Z~qYFwr}1z>*jS4#C^jw_y}rwQ_K^f>nOfHG)RQT2v`%8r7IlDvx! z?Bw`styOsJ4NFm{(Ut`l?WLukE#VBC2@Ik;vLBRMtH<5QhD}ep)2e}XT$kpjEhUvL zC06r)TS_hfNzHB5Cb4ESq9(RlgJW8NP*h!B_<@yOUipEQL;m8}Vv!e*#=-DuxljSw zC$SWpDVoz5Y*{(80qJBe%*lL+ixu9NcUitbzHIKjUX=w+o4>_lGhiH|vb2QAxO-W7 z5s`W2yxamR-IB~x85GO%X=zEoREv*|EiSsGv~qT*I^swnNuW{Gl9*5ZJ#SQs;IYky z!0qZl7nQCOd+32OrxLgyI4W;---9Hlb>D*`C)k~pgl$%cHzPPaLy7O$#FNNLNIjdE^MjS|A|hz_4BDNiR4JxPolky2GIO}Zi$a^yb^LV$D5tGU)xwl;mgc_)q^WQtE+Jk03hnUe*0pi`ycVl2($`cA#~P?WV>vN|9CXlyhiasbQPb zY>nu>+JA-{-_es^5bQeW{S-r%QrIGFjXJ16(rlIXA7N(aN=41Yx~Oll+f)QP`dYrX+KS>OJt!NoG;g_FPCc<* zRofib)R%UStXyOBbXi%m^0H}FR=?HMKX#g;Zn2RZ)?o3uGahVy>6#yWkZ z8J)ehSr9mBpaa^T5dvvSL^0uV-@bt099N8Hj#E}6?+K@o$Be_+ebzzJ(SNNFm4UQ6 zIIMBO91r+Gx%h({1D4sb%8eSMB&6-L7YJ-J8h7As$W8`-`vFO0cDKM@N?Q}Sn<>@fv?v1E zCLE|3WLOMhHcDWm~2^NAz^~fq6NywSPyLL$>$dLx_eDyF#Ds5}A&G(t3BKZMB84A+py#&P%0a)_~Qd2U~l)ox2h z7S+S)xJ(h%!&)L28KX%|;M$=~dU+sirUb$`s@HjVHgcL_t%h}$$8zOQGDer42<}$N z$)Z9Nt)${wDgD$|Zc8K#wa!+ujYbiDgpFGUm_=-5L<7?snkbYBAZ#MrKEA+FZ|Bpd0i1JWMB3n?rjOr=ii& zGLwt}Tl=MZ`ej>9liF$o*-fIF3-t6GhqM+nkkQLVY$V{OG}g{crTv&8*rR3rm>&C- zY?vhSxTO$Ahv6j4`m{%Ci%^$oajQ9G$2+v~$W>M0`p>4&#Io(=n{#1})&&_;`ClV% z*yx=ytK?=!Bxuh|cHt=6hKg#@Is53*!WI?Rjvmq*<}~OglAW$aWHU{%WF&wg<*LUN zj5bc?o$(j%2dNzD43Fc?e~~)Kji%>E3S{N*xx9+BOH~YIukmWO32fV|2ZtG&3}ajs zrlamw6PU~{$p7lrq}OlHAuVubU4EAcY5$?AE!~#~-aK5p>>lAjH5BIWITj$aApk*4 zlJsf;0yDX(XwR^lwO?&H=8>5H(Fj0+#{?Qk>Hxe1gCwL(90JdycPjcb12Boc;rRY}GVdmu zKmp8dWm9<6iO(Crm=jw~gg^9x;ei%C-oSJF+~a7hl`vt;7S24|U0{UIoV%+u{2hK! z%A}6^R4`UA`FDFBfxZsYrh5^_hBDS~9xlDR2)2-vk-6ZkX`z*D4nNm2&e0qH1;wTW z#a05PMS)-RFa4_^KHqkgT0>=J?pJ zCb{Kw88@2)6bNjwLI`W|5g6u?#xtslIQf^@;f) zn_$ac1z{_G`-6l$OCiP8sfFnyD~qQocZ)$eAs_sFXuq{%5Nh^DwOiA|rw6gdsG@nR|fg0w9`x?duJx6KVy(Qx@QJMl2Fi zqKGDgM)B{-#2Rh@i}r#>BtoJpKDf~s_Y!R$bS8bH{HY3e6!ki`b+$}Yt5w1M6L_<8(jmH(L5u!ZaLm{e;A>3Ln zTs0(J|C2#yCq5|_=%^ua-n`RiHUs}sjzaM3FaJqKCvLv@D!n?p5}B3 z&sxXi@u?jy=`A2-l@sD)#+OI*-zVXA?0iGfoFUq z>mwC!Hzn(_n@PcTs@-Qjjf+Z1jEh9ttENSS=n-ryfd!1Z1zo5QwoSAEF=mafxSCr# zu<7i$i4Dx7>`#Hr!z8x7^bDfojB7iQsp~xi+q!1~JVItM8`8q}KuF%$iUZWjR2C}a z26xHW;tJDnM@tMGt9!>P!)FN<5#2vl`@H?%~>sR^Er*ui-oAA zQ<;Q~Bw9Iq6LGVRdJ#T4TDiE2s3oL=1Y-n^5>IG>>k$r2F@#ork`Jm>`<4*Zw;JV< zEo||pMgGzpRWt-iDe+TZxdb1BN)f&aTKTAo=(%Je@l%&Vyl0On~+=Oaubqij^+R_)QW0^Rmd zM5}gbbvyc1H2>dH7{!*oF zx6;kn!v{Ho{zDC-rzMp6Hkmc9zYD=Ed;;T30pfx@$D?+pdm)rr!ws~WCw$A){gh>A zwXQ55zDmlYH?I?yyp?&P`npZERuHE@OIl32+Bb1(tI!F`HO!NhOL?bv6Qf<9;PJhu zLmKj`!b=@8MQgjo(W6py@<}1Fu_$`=g?1vL#Z8ZKXEQyW&^@twjaN4ZWRYBtq9} z7MTt2rk!F+)3OQ3W!@OsK7KexV_w$eG9;x;7n6Zv3Sh}J_|#U?`xHEC_GwYTqn+V$AnzzpCOmu7anePQR^jOD z3#?=)^1D@1+4O{-*r8-<8F!b0(dxMJp^L5a*$ilt_|_UPCPxz z9}}Tfs;UpgJQ?@DNVQt?ET6c@QWG?o-2m{ z3%DHfhaX#>H-G}v+oNMOrhi@HyZ`GEtN)jrj4wd^1e6@nmD26nJ@_WkoyZlNndLF+T)(Lgx_F%EN{X*e ze6!ca@%RgVpll`?2rPc-%XD~#&Chd}4vdp+W0rLDQ;$6#mlHlkPce8!&Xren<4}*W z&XyC|iHc z)>0XruRfaAx>tqTsT!`;iMD0jc^{x&0lP`u=Ggk&{*8dfL>E zaSM5)v>ghn@M3tp1$+9qu~;IOjHwB%nKEjWMpAYp2vTH&3#!ag5g=$uOZj>Uc_y~a z#0msB3WVr@Zh+XVH@CVB0*=KcFDanQ8o&#C4$=-5H42OOVtrs%!LKjQ)v$a)ft&mf!1_u za83F8DCh__G`lG_nuQP>YJIEMydnnRw`buyo$2L+e4e*w^Q9EIIX)Y9?6P(E-47Oe zbom5va)~4_llVT>Q9WvumyhOpG^ppEO#}-H?pO0Y3zS7K=4{{Fhs&$NUG{dz+k)&a zqdP`lHLMWwhTHlP+)8ZbnwDDdQkvUPvF~dJ+hYlyYX&-^N|4;NYO3eN91NNW`VZ#L znp@E8Rlm?Lxau`#!-qZPXz?Y76p#l!Ot3$eWsC^oEG`9^qrc<};9ruQjD94X$#vF4 zjz$k5_rh7Si)*RLrcM@G}uuL+<;M)O<@n%w0{S&J_wZc!bK zQ8GI48QSCWx5R6VpC|;%mTNRCjD6*#6_^6uw-9%a%*ugYjXYRRCAhRuHRCB$HirY` z2_Ob4l+BH5S}R!TJ^VO6rA+T~gHSxoSCOVccRVCzo^)xbRP;jSZlg zw1+l`+0pBpp_HeuwAxpWC&q+)5l~DK(Bv>0d)w7V;+vpTUNz?}iE^=;<7T6@#>~X% z4p~?-qc(P@0ntpV&sL%=!t}KXl}kJy5hP4yhR^WgrqUu7c?i>)(8L4h^<{?V(^^4- zGC$^_>20s0b&iL)4W#w6<0$In+E6tpmSCz-O2Aj3??5hsLIrW;%&|1o6Gw8qCN9n= zG$_bdmX}Y@BT9@zU0h76j?q^%M9EiNv`a9Wwzxd7WHhd`ys^k>-RPeetT48?BQqQ_ z+wUc;2FOm#PaMbBNmlXO@5Zvl3p77c{t9=Q5eb%0&VH$I$sb9px_G=b61gZP-6#F1 zTOq7COX+zAnnNl86?u5;!Jh7QUkXc>|5}!8g_=t3vSidVsOa}Tu7fZTl~8R3q%uhg zm3ioJXQ6>y1s3@_=@%%GFA>lm`IdmSV$MZoZ`puYxG~40GL%`2Qcb1xR|-$Ar>Zj4 z!M!0uMi8fC5drTJkJ-Y0K>Wl8^t&7VYvH%za!qN%Zs4}e8Kz|sIX0x3K!;kVE6<~F zhLsgS9fbLgQtQBM%k;Y7>D)gG_zt|_S{d$Gtee=rNi|_l2pFyQKAp^j?f`#rvSxp< z$e1}-CLUoG@|0m`(wBH@sZxw`GG38uz9d?!9C^2k8JI1#Tv4n)C0?o?k<20D3EZHe zMO9@QpHg6TN}6k_@NKKFxr?F9P!|6g@0WK;eB`m4YNd6gsmz2&a}AmLuuY)K9h)Un z=YXWC($n4z<-Ug{Npw`DhdNp)Z0&H1uRlLl3AXUsMp6R@=bi94wX#pxUwD!SFITx_ z<&L&IAg+mpUDfZc+G#i2Sc$hx^S3bs)ENcvO8Qtgpgc8i^Fo+GkS9O@Bm#t+>V3jmoJc}Y*^5GSmA1q; z!k5lRva`Clyfv}l36xUQjZukoUUD8UswoGglXOxJYPVt&=4ypKcywxBX}n-*vq-4i zO(ETJCUWt9reLYEPN*EiJXne6ESMSVNvZr$aq>>4+zpg0Q5L?%w%h3ad|0q#>0>Qn z{JOF?Mfn}wgeGuhO|0=!SLH^Sxo{`cSgiZUc$6nW0+#lV#O*cco5`IaKItyBjyUbu zEicj?5uLGllDm;Bp?$hP!9zE=O4;t;z6R04?BT;V+{lrCu*p`EC)}-)G(O2m>tAIh z4DT}IhW0s#!iU9CPM@$VmELk`HfDO+*fG-Q86a2+A>>V${3fIB8Pn&5I~7{C%yC$l zUGY~VuB-%>J%bxHekoQ>{pOJm0et1*)75=orKwD=e);}*hn+TK&2`Xj6xt;&X)tF)Y3`+j-)kGpHv`#$K35b}eVSp6wH zbgVkO8V{`a>>r!!VW)Qg*eKh*vMLN{t&OgTfd8!Z=2uR=A5!0nnqe|?eWhn*w)|2r zKugpl3g#c~bV#e)0I7Z$@2Oes8KvdCoTvEEA_C}x4Pv&wKGn7Xn1Xuo`a)5z_9dw{ zh4Vx4e2HFijy2JWVf*~0YmxjV?sf%B9}UW8xf+om6f;L^PY%)(-t~;4w)FyQMLAbc zO4}AnZA}X8VT#UL9<%A_n&Z>;LzUO5oJ>w7R>BLGZ@CxDMu&pCsx+2P+MH;Vi`7Vy zu%Z2R&U$xtxqk(bW(Pz2P|U4R;&JKEqv7>h@W68+rVt*@yWYRl&``YSvD| zY936&YOH1@!-NCF_nHsuWt-@bdyudrZpr&G_T-g^z(Gtoz>O~FIc?F7fV#aDsh0`~L(TU=N zndwqiCgvWb)ksxnS9L#TY1eN-im?m4S~z8byu+&zO)3+{TeK0XqyYC$A`QUkl2)8x za61kd*q=CU`62V>H|9-ltQ*p>_+dC#cu~&_32^&jUf&ZOq*1Q%Hv-4Eu9E6|4;d zcAxbXl}p-T)Wio`^t&-%2d;k&`g|~Sc>ckeS-GSh{=V{6wzczZOKMSfM_|I-{HAWg za|e>lf|$ydqpLx-x3HHU1o_$O&!#=XRa;${Gc@*`{KlT+(H{6%v$2KNfO>dsjOken z2ip!nbas}7nbC#;Z?~86$*aN5Osfq>kD}Ua+Iem1TgYa{!CVND;Q3NRLcu>J{U#3R zV|B)5jDIT>wjd8$x1ko%-S0>N64*Ch1m{53%qRqw-)IPnN#!3D{<{-{)iMx#wkrjd=H~@t|%Bz}B$RU7%`Gg1p(K`)0W zT(?{zh^ztKi^Scu2SHGiSNQf*_i!*OP#leN1p@yEx zfp#Fir4k{Sw=1hDuuKk*ORQ^VNQj6UUwa-*?iyb+LW;noJQ=roan@beCig+fcI|?I z#!OQ`7<19=?0mKPiv%?9$(ycJF0cT`*-poQz$KS&jW1juT6s7(^Lb&()A?7;uc^#k z^2Dq~p<7rWl+GBKOcRPWvOQa-Z|!^P**QM8TV+46Tc?kIIXJt=UQS(H`o#5==Pq>fmHT)ou(>NdcqqKg+puRhPDS+u+qt-Jv?}~)nO-&U39-7e%I?v# zcOi42-JBE2k@{!2PHkCS=(hP*T>#kVj2JEFnrUsNy)&;Ve8s8`+9xytx4PrJOLYJr z&P|f&stFe+Ytpr0Sb>4(9XkAI-XtEy_Q&Yo!4kR_&AwDLRx@8RrdzmW0fgfE# zZ41=>9lZd2K=<5)MYV|{!!%M8?+}i)Lf>DB_`DQ!5l}mn*LZ0Hc!rA}y%F zvaN#Lu{0WN05&;fW=c zOCqBn&;$>Ju3~Pp%CjPpBG3eo1Tq*YK6}E-l|y}#eg#UBM$~ogM$pX31bwj{AZ!Pq zlLgSxNtoe>qFzD1#I!ttxZfb8LEw=%NW=}?fiQ<4q^aPMmPo|+Mi)sq!%M*P21?YQ zR>M52znhKJ6s&|}c>{7-ysK$U{C>bv%1lfItc*cIhcG6|(l8L{OZ=NRF!Dk;Dbq0- zkVKVozs4?4*X?Q8)D&OmuWT`H)%@RyDSIS0x0P{e#^7)TLI_`iAv zKstSozz;R@VSBp&1DpAQiCZ2c>46+^pti1Lm9cPl@7U(mCDUn)_;z-7d^T3SI9%?k zB6_yJfIbg7jE)8l$OYHr#i!0w!8!ejLPRKx?*(#q3_4T_jnYf#iUKi8D6R?zzOU>{ z$%3L!MD4GJQ-Lk^1Rc$S33a$}bTzctG{R}x_+%W!C-W2Cz_p^lw1bu+P@UUF-)bpX z;vr3Z*10AuRKE3tFh*K(3B!?xMH9Qabz_%291IaodEFYjj&x$7kUhI3v`PFtFncs8 zR5fQ*LG5Q>k&LS@9*C-#iE0zuyYE){Av)-!1_f$0M~DO>4D!=?v;{uw+5McYjE)=J zf{CyL#T`BkNsUi;>JVs2sH>K@N=mUL=-HHZ{1bTzph!ybXU7`G$uMq6zQY>`Q8$97 zchk@EDcLS-TJ#%YJO@MR6n&V*a!{3VjDx~MFZG(;S7SA*{L>@oP$O%>?ov1^EkkE- zpCTjw7uY?-K+!#@SU6b6uCSE>HX_{laSK7>xLsV}a5NdLg|`~?o@cQ_|DASWu+a;8 zys83sxDk!7x(pSvb|_-0iWW*ZL(zf(NZA4&h=Nch6}i^nPs$E5P$SJTD0QB@EkTGG zLsw^9xKgqhm@Q%Uh%LluUr>U&#iBca2n=!o!ZNC`vUbmVg_1f7@Jq^)b(LxQ(H|o< zNV$Xy?-VcB$j$dB%z#ubdbjB^bG}G0^F5~Tvh*PO&J%>O!!N171uL%UD9vX3KT6<^ z(RolL>?!gF=A3U~{R54Y`@shik&xtgX&{Xj)1r{`DqH04>hXq>HuC&P>ZfTC^`29)ADecY!$#5-aPBr7$Bv9)746aNxwXzU#P}w!6)d5v9cO z-NJuf7XwBQth9EU%LA~YmzmQwT7l#o<6I!jW*v^?1df$A_;_)dTIX6jD2DhivYB!` z^96C{dqT22JPG%1ypck>W~jk26p70}l6l}Lu~6S*C;83#Z?3db(+{M zhnYnE^_kq~q#ymvs@!k*mP<`us5N-!P*@VsO(Y1AN7=t&!et6X4^Xx_JlYlNJlZdl zJ+Hl(N3~roxa_s)oJg}%aF-?{T*|Qs=+#C5OJhObgvB1b)9H+X-r@e+ku<_sc?dE0Gq6tzOBpH;v=ljwQlMiqi)p$V z?b-h}m^2UEn<6v((fj&|Q3LU;0azCkr_8?_5N1Ta2mHZRUt{~gZLk1Us!)ds?-<<>&mjNbzI1*TDWPMlY$TPI+FdR#CLGmhrfrRWIBcYJ9l6i!X2c zaIdbizbpr=QH7L*5X`&nt%SE=r07W0oa7(o8%xAwxfyDvA^>Rd%@8#ebgq>aEVf8m z+9DD={`*^7==0WW<|1>RO`1mD!%SY}i3d#0$tIZsS_LuMhbkfwG0UUW+Er{fv=28( zA>uCSbHZPzK`;{G%$Enep%HLwW1Kh@VMx;Hww4Rvl^BD!Wvi-+Z5uSfzKpFn{|QN& zi>jvgxj;@d*&-!KW0$O;kQdSv$HPOdjqv?-ef=I!u$&DfrkOM}m|had0!kZbqbON} zY%v>D#`0P=D?gRYRE8%=Umej&U%4?zUsJ#>LzY@Zq}w@vae}{@&y}+vD?NA11I-LJ zDnGKdHx_MG-l$v3#&F@f5ytX9QIWb|^;2_B)+jdHVs94)rv~L|dkZTv!s3=U>x~q& zq?BphvXrgQXTsusHKKNXoHhczxLpAbEM8VjVP?i@ToaiRit#XL77}E_=(> zyhC=jHOLmMM~WFf)ohs~`N)o|_}SoTOg5SHH6#4X3#c=~W%2una8xcP0RHcaofu`- zMNbHctoD#3bAO|f%n%%_a0s%-pIIdRQfy$wkv51NWXwPFNNx%1CT9`;!PKO1PlXP_ zG!1kmsfNf?=k`$|Nf~Z8{^_TzkS{Qqy=$o+M)F-5H4^x``y!2af2d- z;Lz=qI$zXKrUaSL+rM2jXKG)e1?AG*ZTQ_CwHy%UN&8K5xtFvOkergt(g4|bNEez* zeH*~&31x@GRkJR_Q)S51OJm6BLH=irGs1 zJ`|4_>-picYNJDKu=z;#$0Vm#`jdJK%9wd}xGf=aBH~q4r&6ja{^>$yEitbAg@3Tp zPXy%}2!bBE|9J6pM+nD~^L2ETGtoytyL&NGw?p_%{ zA#+0mTJ|`*AvXRihO+(Z=Q$Pg&x~Hbw(ktyF$Vuj8mr69`_+Yg;G)S%Ar?FsB8quZ zTCtDJ(d^94WN=rUm5tB1;{>g{=B%vi1zu1wUN#{SSG1f)zz}jYF|GZajG<=kA$~O# zo{yCOBu6?E#@gRGIym?>NA&t5U;pFrlFs_vGk4ol>qX*o^T%EHUDLHZ(#7^+Js|;5 zA?lpv&0iO$9JW+f3-%X-p4yWxpra}}FGT+Z|8Z5e{@P7LF;u>;le7DJ{W0g&{CuqK z>b4W9w{>J_Ve0`I+`&w-Y(6RA{OMtnt+c(osJ;Af4Z-|Zumb2pPkO-#Zdo3Kf`CqT zr<$wI+`{AHf0xI94KMa^_>j85LV#)C#t3lZW9OwqP2({j&GrQI{lp6wu^ z>7x&KzCF!|^kN&w*hO{+2y;E9KP@ezS=U=tVd84q0X8MNnm&>81rcxK+QB0dTWYqz zhebe|i_$OJmeg9m)~kZm*N%~qJB}9my1#LraSQ;Pk;+bpn5i8bV@?Bfmk$|pUM!d_ z?N3^G#cHsGy_Sak1F#;|0PeqZgxdR?!`=h`2_|P>PUA7hSzZI!632;yg+}_j zv(-$uqDZX^P6*}dn?p_hp=P%)$m*k-nma;LK6}wSLbY|}4@Vd@6)!}s4+$%l)%HaB zUJ>p*UCgle;D2BZXnUEXEU(L72gpftllS41BazI1`5K|k{goTpHit+pq}PBbQwx|- z3%JgYAh5{jWt_XXfB;&RwD3p-g`f_}R>whzUY9G1w?NkkXOYrIYEzG95>pcVjho05 zWxsxT7iC{O%2vKn?hv`Nr_40heCl6X)>8OB?fe#I6pS=v%zuBosoOYu`$+$)TS5M) z1b_Vuawy=bsBl|zZ`|dNV?;pMd8&88P(BbDG;g2BU z-+gt6k9~<%3Znb1%vnPoDIAsGo)MC+l4N*AqS5ifc+Um$hS#??R4Tuj=DD*D_VNt! z{~P5i;Nlt7va*|37xQGUB`2t@AR44t+iX|A@>|CF)x5z0xbxh_kUM>%w!Tr0WY(!nxcHn-!sB8+cQO!wQhf&kZqac>>y9(fMsK4Ga`# zTekfCKMwV_n=Yt~dV2iLlLfn1dtPCP=U_>nlc3k^WBW_GyJn($fD67ZUUmvpN zExM0$0dSF(8-&!kllWNNdCf|}>A@5KQ-KVo(ch{F&yPucW2aAEg5|4tz=!WFX zL4+P+-huO%_d!E$4Z1&O7nm;+huAQ)(E6Cxwc=E6`wjwRBqtrpv)iXh9lPw;<=NH8 z1ywF8(M$k=0pYS~he7h0y?ohVmfQzNruvh;W^$!gp7o|VA(tppkvgQ+;veETZ3dMe zTvd0rmUhLo>)j#k(Ns-pv3;C8%H8q|wY$e;8O1r$Gs-Ja6Kr*qEZiUjEI~4or?}f* zgw!ws)KizCmK&NPiwcr)EhZpS8_P_i+RL`G^OvlJUVE&CxW}x8?dPn`z}MuA3ZcRx zrmr}~i~gB{7i+1kV~HzW%kdzxzUH+1uvRSvftC-PwqXB7Io1)F-1Ca+=M~`U^?hmj zAngF~_|}+d0ofGNm~&E3I^!>%_e@VdzL1AV>2>+Ylw9#c8YFhA3JGkmIz*j{c9ydu z-u{DNN8!!IAU}u|)soLDs6y=y5Aq^j_-em!E*IOo{AX@I_faBL@xhq1E{db-)g}BS1Vvn{TDoevBA0=Rr21>jkNcQ`hG@lho%TklbzqW*4`tAo&Rlw(ZGf4L6)> z0f$%jkPfJ22LpUB?E z(Tz**(uBdCL%IX54&2Jsgtka^{&Yufzi&n4#dkkLp(kI=9SbO%At9~my=cHv_bIAPl78k< zf~@^n8CP`^+Q(ScSQ{RDkbreSD5Ll*vPr2EDL}a@8oWidsuxUvW}9zFW6==I$Ao!e zPbOTEfu%kV6MfPl+^>sGopAvM>7rL4{0(XCmMu}Ezj(^L!&ygt7L}~W+QsAXtIF=z zVAp0xZtnM(fiHeX4vkP~UFN;$Yfl%G#ZPD-Ms!|M*UVX?VnAp-gC1q4#QELkUO#Qb zgek*-${yGZ6q$8MGm0U{x>&u6?F6$T3(PoG0i5*%&rp5MDuz_#Rd=_mJrz>pE%?)d z{3;H_6$NvH0TumU1D`6=54F}G8+DAx^#*!`hP@s9eg1AFcBJVX{AI@ zYs%oJu?TT+{a(S##Ep;DFfzR`gU$7a3o<3Er6#+;m>eBJWM1h{xb}^FCuT+Xg=9#% z&A6-BNM|=LQ-XF+QwojeXa+Nn8RD!wCuW`d6e?AqhLbv0o5qX1b^B`z&==v}W2b5H zg6!m@L`;!$YRW{H;{l=71nIC^e83Y%VUH*=+Wt#th?w^Rv%^MwaNa>DSt|(qJ!xyn zp~gT@oR|o63ZPx3Q#|JE8YlXk2Qa-7_{!xojLMyIh88l$me-7HfG<=)4=>$-JgrTn zIhvmNFr}~Z`6bzw?1iZAVgZZ^yZAOyLfKf7?mcWlyKY3}^iDg~^1LDzmD9xu`=^i(Hh&6N3*q=mhvAiHeqO(Q_D2?C|bnfggTRYD5gQz`v z*#rm3pCglVpC0|He2yp_q9zf*)_VILa0sSeLPFdxj7)}&rKio{xmJJYsEZ5^E~s0C z=xc0Jfn(FfhYFsxLzNM@3O)W{%#gXYOh%SF0QXp)^VRVm^+Vr>*AzuE{1RczT}2Ek zb#l~|e0U2aZ;*vhbTNA?EtrCCg1i?D?@GDr6w6L1e(Uh<5iEdwg)E(ku&fY#(Q_x; zw~B}UtX()hI4duQ&VVD+?3SpXi0g}4gi&utr5_-3OoGAyRV0;lZX}e`dqy$og)O@V zQGWxKTL4|91&0RZV)srsv#Mpd92{EM^pGUJ2Un6ML!)69q^Qm_CqmAo00PEUu**k_ z?Df)6%xGkqEfVoL*OnF47hf!8M>H*ZrVARK&P<0RX(GSo|Rw%;=!oc7kf$c(p;vR-!%1D@z?#7Bq z>QY)T^y5>Yd1GmZl*sU56z%6)61 zN3>-@Ip@#DJ^=9i_+AGVxHQwyT(HySOt9PWjhWr+F@3+2o88Nx=GM)Px9z#|cfcP7krBM|C-C^JWoD~o+XMKXpKv(e z>laVul-89(Xnj^26}BzXFuFy{CUKyNIMFotkV@%RVkcgG$+WE;!s*jsqzF>7ur(} zN0;=lX=|F2LJP!tO55pAd3{W@=-?fDGWxgok7Pkdf^&O?o=X~OFD;-_ zgIvI;gI`s?vShM5EvN-+#7!9&BlAGjWejg%4Zb;YBB{b%e>&)Ze|RGk=QY9B!^`)n z30<*osE-D;qPPejzypQuk!Z^`Y-rrmxP%cRk4uVj&s`ZmRe>Y-{*v$Pkr`+ugxO|rPk%~O2X=$>E+yxsdXbQMauAR|4r2wo6l1O%G2?URMhqJi{<>`8$Wi*9 zKfA5%Sq+7;91UbR8Oe#Wa|E4I6+y_Y-e%{i79Yp+Z^LG}x_u_R8>^?It#Q`rAcE&k zL`UXCV?|;CS6H-7AK4tu_X@Bpyf)#wKMS+ORM)RN^iOM8zuLjZU_K+57v4iWr5&s=eQq@riLNna5eHH#`NA??p zKZJra-S$@2+DI+{y?^L{;)q+VE?YS8M_PRigEb04plkkX1uMgH3X<4H7KAbhIM9VR ziC9oEXprE~VdYPh;-oVdGLaf3>!ve}$bF6F5vEQ4+WprLx9=$8p{yyozYT=*E`|BG z?e(6DLo-!r538*|s1@HKc-`?%?n@LKYzRLn>sXrF=HTDl&t`g4AoMTxk3A7cKS`t{7$h8ORDjlbSFrCGsLt;j_7^8 zPa7w>%6`h>s9_}oHGk4liixez=}N8#?DU zWv`X6*Hb{iU7`em{g5c|DdFbR$#;Hbi6C-*-L6Zfw}ZFo1b{EQr;kQMPnZl79f1P# zCM(ap%TpubhHR5;;ad!opj|GPJzh1i8huRolaK0 zDp7JJqytKzVM35{$BAa0w9$Qyx1*QB*6yoC>S#eV@p8#b!nG0h_m+ZiPs@C;2^a!s~SGMAWQ#U zmhjb^wRjaDmQ77gE+1{5^qJn!bL&7MU3K=$LhaKcIdQs*?H>$oixs`uquJ84O9S{t zn$5OASZ%a1S=oN8bnVZiVPbVeOawL2QRrJ6G}+Gb1vCj)K4v$k*pa3IM>$w@6KfvN zU-6E%LJA`Z@n&evT!)6xpwN7gJQa>kRhql-4+XakRv3MaO0DV$=gZL~TiS)ft!P>2 z>JzuP7t3&s_VO(TTgrHrf&5g#sbdH?)7fbsD9*cQS--FKJE8v$^PgQew@+$MyTEt> zWL4(P2FAazab8_sqF_p3JNK(JEpuH_6blx4m0v-Q+N{-9_FUMij#=E((oJaV^%Wi_ z-ZKoZmSOsWYkVPVGOL6g6F2(1^M6=eSWn9&jSIVwU1M-B%<&I54jh60ufc+sF~M!V z{9Y{ipc+41`*G3#Mzkzj*ndMy#NZADx<;2ZNg14TG8)9uh8guFlyHq`2LT*@qA4SAN9sRa+IepkCfd`6Z2ojjUyhvX&lpqC;k{9COfuK!1PA5E% z%TDKPoRiUVm|8HXEe_7>bUMVwllk+A+&>lBz66cXJ{u!W0P;Je0MszEHwuAePFHg= zWMLk&;hqXHZ+wz*QA!}#$Cgc`c5|6>)iS&F67avL_Ckf)quqGAy{d`(Mhsfumidhe z)1O3V7i`V#fX!(k{S(Dcd;2!-;xMr+~G zW{?H@_}~S`NstwZY6;h2)nFKcU)2?zvy{%N?jTFTSdjbzE>HPXZi^6->bFj)oo7fX zTLfzF(M_pw1@lR)O-HR65SR~G1-H*XMTff}D>7O^!kiaoRqdt7hS+!^hZX$@Lv0oi z;A+lA3e^NMWd1Dv0SPN!P~zrAY<3Ty&*ll)+hqq@I2F!Gau-D>{;-%WWi(jJX>pLM zOFy6lU9E~|VuOW>;L>41nvt)1)rYqi6~qqoNz~ZODj;ansRS(xsu}i;xO;~6wSWy5 zWTX>{Eh~U0H7^L8Ub#{d+msiNt)EdhxbsF==f*?LbKW!;IGwia12Vzb7VJjS*$Q{2 zbSWP@GXbIgTrQbPfl%q=ybueS$5%pGIdk6z$iKH_XF@`|3vFEcfD#z!4g4Vj##Ok7 zfBDb~-LH}0Lx@z!dv=3+O01!VyaP6+`r7}!pI8h0z*Y;Kws1L(626tU^ z<6a=(I)*f+M(iG?MmUSpA)EA1xM1mA|N?9TZF&`STErj&-mNz>IsEB^`Rfr*}PXO#?R;9{6k9xTx$ zYVSk73Cu}p{I|nOUqt`bG>iLkXp3sINY!+jfa=?mF- zAfqVSb!QedV{$r^xjpXlEd-i%@dHkWB`^^iJn~Qf_Zj`azyr_2f`1^MnA|TOU*d%< zN``puBxetW@47+-2^RPF2SC|D8&ThSaIpeTc8aTneYbt@M0PI(KWH9`;@1hF6 zOVOnh7qc~kKe?f1wLV2R1ovfe60nZ>etp__iKNf*=RU#rg@ir|$>}m1Jnpuzks)sN z2&B>VC)Y*eQLdz;YZFAj#t(ft8e2VeIswDHW506@9G(9eZ|wd7iytfObY2mCc5{%f z)k@;C-teR%py-Jy<~id|Y$fI!xcuIv7rAsD^IMs^ph~=o5;Woib@s}?=+o#psPV{; z|E%XTZzS_vkZe-=Hi!ZNPjj}8J-7zh4=vuLEF)wiP z&^`ODe104v_n?D2+%a2+e_@{;a~4AVZty94kArZA5=uK7jU)rzq3q1B%lW~H86{r< z>qM`9ZLFq7I}!vd=wN4pKtJTc%_MYX03?c4e3 z%BysSFJP&ke0zjzJcZ>vh17yI1XCPdiVCN?$$Af~y~TiIYZL6>%YB{@yae>;J_RQ) zec>PZK$q$+$Ta%`!-6chR^86HYdX|pr{&xqkxlua5=tmxZVq%M4cBxSn@DJWgI?m# zK&zBUHd)!)oC{lM5#Y7111VwBM$%;f1>(yEJRulnfVqV~gb(_-Kq5Z?-#v;yAtIUA(+^PGQZddCNxN6_Er7u!LPlKl3M}n>0Ng=aNu)Ch5QHA{5oz{ z9J(aYS>srN)^H4C!b5{}vHwn`6T8IWq9>@m5E`iGXsL<)QOKgdFxaUIWBR{lg(P%U zCaCgb+^!#Im!fVr)a(AF6ChIFPKNW-Y8>3rocT6Yj}y94%DRXud!S|u*?TgfJWsT` zQDg|P!Ij`81NP@`U1(Q+MaN@L>G$@s;yFW9Dsj^#Y*7Z$IcaBSe)>+*J{QVuU9EEN7ORa{c7J*q*!ZkQ~X@=5ZL_a z?Ej?qJ~fdlb{fUr=d7mty8wb37Oj_=?|R;jgfq=4+Bfsu1#rxGdKvnzk|aTHux?B5 zf#c)`eaP_KJHqi!&HO%|aj%HhD)wx-JB!DwgYby9)5a*eI`tB%)pOFKov-<3+G-25 zQ_bO>FI9rA=9sH>TCPYoc>cYV3r15F)mjc6t)Hcz}SB}BL<{QN^TPEKO}baZ<~H{z!?RvYaYAzECoMBZj;xwT4g zUN4WGZsA$=>MWIU*mmfUnR(cFdbLVSTrbNdbaE6ol(|H36gz9O(f%iDGr-#7kmIdB z*XcR`ZZlnI?nsf=mNh7pj2{=y88es~Efv=j@p|pnDpId)S{=FKCz?6Yc}OET)~q$r zot(>)3FASE;mO)4U>^0Gh*Jr`K)CyEK^|DyobNuy`<6+wR|h&j@U%bG$41z?2rl%| zV|J};FZ5CCo%iO>mtP5UIDxw7;Nq)ISfi&yPl|`bdZ51sl<`mL8ULo*N?YQuG_UZw zRzK8g`Yz{3rn@iMSpH45Bj%EZDNx@1YMf{Ers4t6nm;NrwF*k#r>Em?lxS0TbO`&L zgR*5&{4?#fq(w5^B%(9fXxx>K?6m4O>YVp6tY7+TaFpZ_)$dM}&eRyuK^$8zfpox56~_fIFXt5%)vI1QaV&A@1{!{ef# zAhEq-I_h-qU5krFii!FQ7&&s%17gf`HC|tB$mitH+#?0%IadCg4(Y!f3)y1X!Oz9N z`7wsc86&$y4Gw^n&o_&R0+CRBqpBt1HPjU z;vu_0F~#63ejK2vriWC*F)YK3)8g!~J-4Y;gbjc6M|IJji`Lgp$ez($1hML<_tH|T znbiWa)1g;?+g~o<_xr$cC2KpfYg?Y-y&<8nMD-#tVi&UPab@jLU(y>nmqSJ~B`-O& z;Ol88&{lg)Fr`ZERv-70sjI%U=|oO0AS;|}ju=(nG(=wX3Kbv*S-has*!7LdF_foDzb%+ z8pTfIn0Q^|xLt7*-xazP@-1g>UMTGOg0Ai1=(?h2MR$i0{dl8wq1C`$UcxOYgXX+}MP>1Wv8+Hgx}R5aDj;xyS;Iur=AH4%9K1gutp~-}Bp4BP8DiK( zk>wG_C%OJoF#OuMVoDAf5(`d5nhgv-te{M&+V*B|NWs4P{>ayAU;X=ZG$r-*#Hctq%;RoNw4o&Z1Z%EA?gp=+Wga7Lu2hl~H^EatZdT0c zwwQ;+yNmhEPZ0iKJ6V{q^axH`Z`gdk_qIK}@HOvH;Dw`Nibf?xJNGDNk+y*LqEh)K zk@x30NTs)sPG!GnZGEqaU~*bs8-@D){z8YX41>U#thZ_&TKGaz{`hEEK5OpK4Uq}6 zgQ|0*M~Ucf1o@`#eUmxUNGzgCN>TQ*1QEc(nk7nwq*jDi9a9Wr|k z{+8G81{k=?EpwD5=bh8?My{Twoc*f?iNGC(-7BtIkR7Joh&7X6fyh@L%GrBEFTs=w zT`DQ>qy@x^BO!3D6)iZ(TB>_t`?C1f9&TWtMxX(@efeqJT{;uY^k$ZLi{=$C9N5u2 z3}$Tx6Sws#drmZi3thP_xD!k74gHU+jN$7oi=xtDcJP;lEl$r$8}>dGcJl&{D5420 zDNfeuhVToPwsVp6`h-lOt0eu`KVup3m?Fh={@8`TBs^@`#Hbn0&F8wS!4F;)VTX&> z=!g^H5Gp^y#nS2?8t!q2H%MK#IgbU`Xk5U&jC-S#u28b zQa?YIY|bWA)R(_i+lwq%qXAm`7h2VeY)G>K-nEmoA(CtyetM|8?*3uh(701n@_{i~ zI=6Jt$2>wrDIQV`2Yno*fXxI@0t~oO57VkX=LqEJ>DilK<9(t}HavRnvJcLVlbFtqJv+P(H`-|w zTBM^RBm#y_-oBi)s5|<2wF!Uu2Dvo}m%f5sSVm1cYMZD4^8#YE!#lG0eDsYsMC2E3 z`!5L>7eJm@oVHr<1WqD$$Ixh4TO0p;YrPPk(1p8&5LfWfv5kPvu`QDh2*n8<-v;L- zQp(*?@K|9<;STyoeR_Q-1rR#q7}e?*t*pRS3k6OY!#Mf4{rv>9wCsa?7jyY`1?1{E zi2*!}x30!OJr$W&TNyZja1@1t5h`)7=<4^O(X4J+QzE`+*S zBhQ!*U*rl|Jk&Z~goQss_xV$WiQ-0d|fyFNdQF)=@ zLO^Z{Zy#y!37(|k;KArMK?`;&<8WXE$Jtlt;0ZiCvSYx-g-^@}>U;=%9onsqZq<{I z9=hGt3ga;Lkmz6q6#h>5n)m9b=Ceu4Ek+2EVM_lO{8X@o5UZZ-eCFuS9zOSe3|psh zr9gJQ{rQt*Xgv+A9@6A$Ni>opyUh4nOVdokAj`^ret2FeGZlwG#nnK`3Da!)?rl_5 z$bc5Hlse<2m8gzG8oW7WPfK!<9oLf_%Y}bIGLkCA@jP-GKaI71?YG%(NHRV6#eAmt zak|Ab2!7F1^)%zzkS1l>)Vbk#aJ1)OaE5IuV zUbzRE9Ftrm`MP_~YDRSz@C5kL0rg7M3!|%Uwr~uvnLmv1GExbfESoiQi(>?|iHh*W z{~^e04Tax+=Zp&5X_j6?td(CnuE&;RYuD+B%NZy5FuYmL5Wx<0#>O@qy=7_hAedAo z6N+Ph`?r?elU9_M#|pW9{Z#ad10=>HpU~7W9z!#un9H-6*_MFMU5v=q(V~a7zh<$` zMa9%fp$~>`+mu-vXV7<{>vb&WHd~)Hcx{9W_WIp3I;_tmGaDEPHA?4i|1#*B%|C@W zc7kZw!U>V~S*TF%+KTLdZj6A|QZQjwcBo8ATfuOe3x%h*K$Rk)MQ46H{y7w6I(a%q z2dZOJg{WrR@vWq~=I(p?a3Jw+&AAo5JlDqjbyyhm8YjGAryFa%HPy>5U4VzsQ~Ty9 z!?EY}&dvm*28(y_t6zEUSzNctSB;~|(e79al@2nASE6U{X@)k9Ze}vIKVjfufEtBS zq917MW8GX{kkMVaGt|EcVs&`{H%}bHh9{Yh{mK#YI2c%vwL9QEuwOG0jTt=D!;o z`Ip+J`0c~-#cJT@E7U~rnzhR~YrpJ&Bh=Wbil1qgSD!fKz6H))9~Z^NJn1+>-?Mo=1#9amFR#9>dID2~O;8)|{M<)y=G{0uzj z{Cdm8cF?6ilvhl-tQ6emAknvuK>v>bdyF~NLAUiUw%?E+-jb>VtR`9sD5m|>kPr9g zZg+ZNW#^7YCqe!_dbAINgsHiRO2ee*t7P-jrDO#sJSpy*pSEOAPx3&`%#568i% zZOfH|8{$Y8BOt0uq0)`b;L9ICZ zaB_pbvdvU?a&fT|^`s;X;7|No8aNd(r2C^fhrZnJ)(*$+Dqi!qOxfntY7sB$zx+>- z6Kw}PQXWLswW}3hdcm8AT{cHn?T%M6LpDeBiK|G$^I>xcxv!E6DtGS|=tDOyeucE1 zhzOpoezb6@C+vl0Nn$yyaLc(HtJ+oqH{`RLJIj5S^1zP}D`{Jl)^RN31lZ?9!xvch zbi)XU4Jyrv%DzBjD+C-e2_orDrw|RS$i0#H8f*g%_#p!6umq?ba;NokW zyhV2wzyD$fu~w&akRii4F-kZRn2{y%{{pQ2hhO_9A>D$=w}1?x?}D30@vf>2ZVZE# zkRbXFv`WhG!W1{x4=c#jV6i+#r9)$@>x0L~4@46Lyv2Fqb>%q0AVQNW!(kA->U z!+g z`b$Z?Bh?7Te1LDM78!S(% zGqkggDHz&})=+=53hwXD9H)p^egO4KPZHs#_bVWR7*(N!9wJ}57d);~R)7<{Io>Z` zKc82I3F&9csS<0Q<5^6n3{)RbunjC%AC8W$Mzi?&srMF4@<3^NRQ-`Vh><^&i>3jf z_rCSeNbChG5WBl3$oS5eXDuBrXhDK|xk4aB1P96GS0bv*U&#&=s>^;R5b>Ic$nYrg zt}{qF_)~92AhqNY(flV?d2}{<#swMSmw!j*e3OG-u%hqJEH7h6W`%d2BmFQ=CKo`e z2>Hib`jGH0N?`kckDY@yF#!XgP?a( zF&}u64?DYDvGK1btCi@Z9_s-9RybSsQ}a^Ad>1S%(WIL+S>XeQ*mZ zWs(AueK2+-Bb0$;5z+L0>`5rF<|d)&rFBn7zGhGf*4T63muw z46=m_@-{cF@5ed4S2c5emt?D7+w27~2m?#37pS6rzfUb-ICgbSjM_Eta zHrg+2C{_Jaoh5m%-2A=}C#J7ACfcZDf@WP&^{V2AStpXcBJYW}*(&^@SfS1x)92%L zII+MsJf#6k!@M)5YmBvHT{)zemv9f^j;HSjCW#?7w<>HbDBGTGSrH9*tR$tF4R_Km z70J3)>C2%&b~co^owx-4zQ7AHaj*Q#TbZ#lzoF0JtU*Wl!2d5ytpdpp)vJs*r;E&; zhn@5RhY?JsdYT0ZqWh4l>b(@QS&?gk;r?6wT*vWOf+O7#0n|-DWg*xXj^^Woz7B=)EOyd0BYiC_yjVz0q+;n@zjuEbB{NtS~KP2Bb!fq#o z`VS0^Eh!H+<2hyar@j}zt|z5+2BR8z5egL2nWjLz_=}wT$hL|5GOGFAwzsx(PL;!1 z00&fpOSs<2kO9lKih0erojfT+BsJzxB)l zYv|95?C{(!Jg^t6>Br`ml{_pmq+|rXwm&9y>~nhg8>=V{n}R%a<~(%Cw~54iye=r* z9!1R)_LnOS3AC;|2?S5IB~hAM0&L>I(OQ+2$n*KR2}6XL+2Tc2&XXULo^UF;d~hlT zDh@C<Tb1n+yfvqJ3HD9`~*6vKNP>Sm%n0O%G(b*Bh z=z$&Tq{Lg^|E99c98uvJ8N4OQ=U$VJG28$C=CXvvFrnpS{XSc-1^#J~?~&eHkWB^8 z&*zg3x+=oMPn(>4=3v4R(OR3NSZK;=Z&PkVrOG!fuLG++CJ(w`e~^*WVZ;bJN1Awu zNK-iJXX-g9^B)_w_|kXTmE_6-Vc5U`qY2RT2XPCYwJpX?{qH*$Xt-FC(*7}wn;ZQ6 zZQ-t3GV*ey4l-InIZ0IGt(lNd6`Wj18D0HJYL|4su{);>X}Rq03N$?|MrPBKAk%LO zI|mG+PJy~WTj-`hw>CL=_<16v95P%sH+igvp*LkD|2{PX3GjTn10lq`dI$5->{&a| zjR?$11ralol#sA+rC!sDk)^luE}?`U4gA(6uZ0lcF?_N~$P=fT1pE8lHO;X`NG~1` zARmtyR4!1<%!EInbSd`QdQ7bjgDB>34j+(he8P>HQg}LMOY8L0?G~Sn=qug4R=j-2 zwk2xuc^BM?e0VhD+<7?7{nmuQ1YTU3tdrMw=XbnYQqjfx_9As&A^GBF91^Z^q?PJy zz^Scrm-!|L`F<6BL60R)Q}yU!g*aro{N z2Bi||HPLGy4+>VLyL8X4)Sa6=%W}2IG>=QFmGeZzt6Oq>h|;Yte8)(OulS9MO6xPdLqTj@Lw8&i4?lmE z&`cM$_4esvSV$51QbLxHX78-fLIv+{_qshSC-1a&-pqrg=BxY2*4~7xo2A+!Yxqs1LLNUc$jG7z{Mi>cbl>^1c>* zKb%;g1-<;|X1TI(5)C9`ayb@L+*UmZ1W|?IHvt8!&8@$ZNq9Gj5ErYaA#R74(%!{C zD}QkUSr?^9NV{WO=zEGYB+?zxAg#orb2p$`f;PxSTPc8zLL1ZuT0_YNRAeL)on;yz>O zy+A$dH8$TC)*pR%yA6Nrr$#dM^gg&b-& z6r}jM#G~^PLcN)q7+~;dJphFIOIaaFA7pqgtRIXf%5IY=VqYB+vxY zj18$AOMZ>GIkgA~=Ym&sH_y#ebjC>!kJd%yOAjzAyEiDO3gW-doh~6jdzlXu&iToN zu2!Z1Y9$9=gzEIrmuG45og-^Ogu5ctSsm%Pl0aEKin>C4fW}gUq&91lN=Mj_X~bwS zpC|%?CuW{W1I;9k8QDb1Wz^0UJ(Z;&rvR?etn%neI54(~B|miz*O2`YF*WPv8%)^CXnFAs3=ujD{nQj^VVOMb8i@lpwt@uJjZN*OVc$ulm#{%Yjwsij|SZ6==t-zs=<6~X5Qh;9Fi3}{~pTUp$K)z z?R>R5iy0DkkC}YknfyV`T8pf~MP8_x`~|^A3wC7cwg$c!G&d0)iYgb9;2U4!%C!{& zstzmJ=-PDOA534Dktdh&SDO<3sUI;l=KU90QY;sk3YsQNwHGKFliOr1=$+E0wHH}~ ztyi@0L(F;k_i9_Y{I{+Sg#APDLd-k@^Q1NvTk$QZ{z|i<*@@tcVTO=OL{`ePq;}x- zKYZD7`*|((Ib$h{h?37i%i;*MOHrH8O5MGS&;lV0A`V4`8X{`*Lf)hck5JU_L+ECao zs&9X?%lf_rdGeknv~dz=cAzFX`9b950k<|qVsWn@t)RF;CMwggB2!viX0|pCme^4y zE+wz4hJKS` zY-w-;`BmLZr)ORSv`o=C8FYXSXXIB#$#YlSE1QgQ$y;_AKdDE>J=-Q0+Bfh}qiaM_ zCD@U`O4}{aW%I$f8hS)kzSr>wwkctQzFJg!5uR9U{yD7hnyqv>(WUN9I4j7$8$3Uz z9zhM>>|+1L$6eVwdWd}Npi#$s9}pE1n3DaWFCmcq+x=eNf^dPgrd@=BEJ%VZ)LRJ& zvLxK2yda}Ny^DS(eFkQ9Y1-HI=FBdt-_FCGMPXh$drdjlkcd%lM|BQu_;hA}Q?{8x zgo1+w4s4q^$Z9k&JV#GBLCkl2+j{YqY&Z|#NF4=j;svpl zLVv|KEr<|=IwdLRp&is!QfrzpZa3mHvrVG4 zTkUUAxkcx{?fuz~m_1Yr$A0H3(mo&PnsYp$y8E?oH zhX55C$&1LQmWWCm@WRd#3dTLAe%^wF;4jG2ZoX$TnhzWL`Vto(M$P^Wpis2*GvkXG zrN1r|*=O*}>1aZmPi=7c>Qb9^CShbDd9!BD9ht$_&TYY>gbV#61UZUGy*22LOOK2a z(`q;J^9u6EeLjtunCm`0T0T3mVN){d5kmCdYwpi;a!0qW88)X>Bvql7WdF}wL*-9c zD?GSsQub8I$E2`IFTCPZ!uCiD`o1o4IVYmowSB~4(gZX=x}qB)d;(i2j%erkHa>#W z;f!D}A)FOl*L;dV%MD2MVj2KLQJQaX*?XTmwZkC}cXB@vhB=(;xbB4@Wx|id|b;32B-Z^yKMjETrixwrVWP_lw?c*IHi8zROXK-7;D@W_EV|HyeVuvt3c|I<222N5e#m z)FhN$w@ar#qeEmY;~PtEvUaCcd39WE2Rn*yiy2?H&Rp?34g`q>{oa%3ou{eI=iGa)N9-*| zHSk4Fv=8ZH%g^bTNYx7PeB)JfrvWZMiwfe=031j}@($ZLMwPPbLu?JLes&El1zTD2 zAnzmX4R7U0Lu*^=4KMwn)<&#?hjK&po|ejF{<*-u7HdB3vTX6PQ8qdq8N4Gk()pKv zz-&3o#G@n`8j+$_21|={=2GUOqmC_^eib3X5L*I17%!mW-Mm*kzT+G|!V z@PTmnY0DPCIAWH~^fNlIbn{v}v3-+2QtBb#vj=JUJ8li}h?qDf#T0Kvz}yp#K|~io zWPeDd>gJm%u;KgrjrokQI2keXr@+kh=tqntiQ-LKJP#y+^G1J`Jb|-gO+tbE7w$5F zM&H>{i7c;asX6fVa&PN}xcGuHtrn{qou;KK7E_7!a-|ll`6?Wj3h~JbrQ82PP@ZFh# z&HZ@y@_?L;vS|8Y_<^8J0L8~3f;$(`EJP=zk~I2vpg>X^w>aLWie{8!fP4iamn>?! zSv_2BkVv<~R>x*nK)juIfb zWd0A3(Q1(m3NxFJsuockRPK9Vvp?M^#{~IGP%fDcDd!3WO9_Emc~h9mBaKUmGIY}H ziC3nI*zd_G>in8}4b1m=wp-EDnvK)=`Im~vb~2qBu9csYWsBDGP2Zi$@6%PPmQ0gH zMt3BZNm4F8bCuosr%9zm4>2_Y8mbtQQ%e!DMcyvJXI-ke7hV7vgH>-J@Xlbd9*IIW z^bH@JUU-P#Qp9A zFT^If8&+y`_xLwNVtn6}H%J3+yvh-S0tuzmXn|L>d68-iCVaOPJWd1dMA?l{5|%T% z?j$i2s_*K=nk z0Kwt-N#2r-|I+>Xae4Rq{mmDBI^_IFwES(8giv9~E^lQ0iV0JRCwcM8iu|PUXxYZF zywO0mNySB*$+h{1jD%}b*KgwK>ktY&x?Xh4ziF_6&MEvP&IZi3Fo0R_5 zB&DYo@9D73hk* Di7Q*(>j@DH^kqzgh+0Kfa6LHy&dUO;Hr?L!^X~LuC6ISiV1#?%gu)DnVTkD=h=+oS zc<2gagqRyRZGVh!c_v_-B+UuGJ8GAj%fsL6;Vj|mEHd}T*H3c=Y$*}L5TO4LYuVMA zo%36zTxi;Kalh^W%AN&AfNdGxq;M^LQh^psb5Y^4Su%?d0(A-D>(%9cCi3Uy;M+3% zkClk$I?P*R#)IMS6EVM236*(bPZVuYmW8}tiMqUzH~GWt2?tt`cGjS;&#Ofa>paRE z93<4`Mq5yS27lz77JZs#x8dJ?{^fu1&A#AKb~@kGdOo*kZ}BxJuRSd{lqgoOk=sj^ zqa}It%}8o;QeTwU(YjS0QD4NJ5|Y8;v%D(wFzM>bJ}#+jqaOQd&1Z2Vik~5K5i~LL z*+4h@*?=(pdAmIGX(S8m@A}8oodwLoGqNHqw{B=i8yEcjlGICU-Ju!T0{zvjXCN-%xVR1k&|XaTjPaJ|i{+Db)5 za=RMBDiZALszP!t=*7ira4K`Q!DYwIee;d)-*pTRFw*aMjeN7@$>v!(YRt5~AjaW( z_mSynA$seD|I4z#=|q7T=cLyhvg~D%*8z{~%4LDc{-J#pITv7FBt~Idq;T;au2(we z?{Bn#B>px}Y6xpRH`->D7k+DsR=nouu8a)WMd1>{4tAl+-6520T(d`%_4I7m>LP|v zTg#Rc^8j1wDl0LuCHy$=d(}v>?AndC?gX%p~#6fp05xYGSU5SIvxVCB;6wI ziBF2eVMyo!ud&Gf!bc_Mhd#otj_58O_s(3uMm@HVrQ~Q?#tWy`B1S$oK7F4va&um( z{~jy>NbGns@)dt>VTPr&M9<6&0`-<0?TFuOhG1oQs#76?u~|Aa5Y9^kls zclIXVrF3vEyQ>0znN2G5t!-5@&>Fh%2XqAX2~!ULPrk-2fS z(Nb}qA^p3hYJA2awAlUmM?tZRhgd{PwI%ST4vK z*f=M9aHgzV;`zn5C3S|VU^L~9;yJ3K({SW_tyedcHL&W2;@O{|NvOc)dr;-HHu(UV zhw>}e@tQUkpX5sz=bu|clJC*BqkD-%tMfaF*`=aCG2SgGtL8r6r?=*jaOFt?w~Xid z@471$u6`hUp&b&J2u14aR33j8hqflwQ(T+YN%8-hyp^&kS1@Yuw!(mUXY8WmIH9cb z7myl7D?z5zW)BBDgC?6x{p0>k;^^~xv`y-Vuf2VX-_hsB_xjZIEvy7n3N~;9iZHot z8wzY;bFdX@M7H8FS!6<{f6Y`mbP;6SdNXnKVDVyBTDCMBs}8b^Rff^xW*Nt3Qdbk@ z#;+&MNR*A|{e^a~iuEg;iHaOwzIOfkG^e#3R#$#~kYA~Kcdo*=?y!586?@gvYw&Sh ze_3%kHD9XA(QEOsI0~ZsrL|NA^zM=PR2LJt$Ipd27)EtgS2U+>s)N2d{SpS#fu|qn z+|)xc@kVb{D~rwXHsf|ua6)+|I<7MH^d00YZBA#_^0vx4b5`0m2b}aAo|zaKLh=>; zBy9(ajmtJbw-O&^Zz+6D2RNR#!BQ7#Rv-#kn`-h1q%zfHU$1jP9zeDaOIs-oKzNh4!Uw= z&O+;xks|I){2$h>9^gOIbx-aal0)h1wPZ%g#ONEhB<{>?zK7l3Z`9m*wMQypum zyn*&gKAjb&If-b*lF7Sc3PZV!V-Yy>#i@nx6)s>=prGJxQQ$FK+GYLI8^c3P;kEMT zMZ-y((^cD4xj9#{GERqy0FqvPPH?+VF>|Kddeyh0ojhNUqjT(^w4oah{vpNhJZ^Tm z8*3+GanR5l8yw-wY!jq~vnQckTz%~H9FW?=R_%ls*IraA!9HthI;`xlGRiimRMZ^a zbf?@aG02jPcb~SWFIuQkvY-01uXQ4XhA-WO5xi3ZhlpepPG7XmbPA5F^L-Q1-U|wk z2*1fuMxD>c1X|2od`2MBCY=nMG&(+fnqF_5R|gz_0%rZOFDa28^@3}J9(l=Nb;4Y8 z=U1k-iv7l~OgusAVRUpHO_>~%xU#nv3XELKboudYZ|C_7ZqawXgz;T6z_j@4DDSb`bqeL&fC;~E)jJhSZX6GR&J}zweZl| zG~^L;`iseYt)4C4qH1eG=uZBvcykKx$hTMR$G6-Z*y&GUv=c|3sS~p$mF5q7jVfDm ze2cP$1sKr93TL^9c6zd)lsRE{E?XVCpp;o*cWUaOl=uK!;m3P9j(5f&ZQWld87?pS zFzM4x-fIe@NahdXsx{;_EK%T8`2ylk~gg> zD(9^&g#$krQ3uizc`i(6zpYEo-Z{##hC=sM78j1%V9CbU%!U{S8rHH^aoIu$rY~l4 zAYcT@F}q!lkZ{O4bRl zKx2Z`q_ocy>Fk@Ud=Y(h=n>Qh%z4$nfevW)b9oD2~)Z2~tg85oSn(6ECWgeQ{ z?P;CyMS0^z%(gwO3r*MXR6Sbt>o8J2Fa@XRzdUU3r5Ll6wGHRz&9=LeYQOEMm$-P- zwbAe$O|1>BHdbeEg29?;W^NLfH7>xb*Jzqq{bgDE5}k!-=I~5hEsMKNHPb4#+Sn~h zqG1W>*L*a1QZcHkXsn)s(fCDDJ=@M-5Gu3hkhFN8HmcPpThVBjqXY$AKqnok34~pn zobL>-A4?VNx3RL6jj^1XDj&<5b;_2Pr=GKvmDkIfBa+}&ofv(MlS z(xFgOOJ16&%HGJR+st71v0rp|+%}ulj!R?rnW6}mK7E1v5+w5?&*dN8w#JLO0K zif?ObX&;`TzsWHJi0W`yZZlQg?>&Jlkoh9Mgcr%cjiu+p)Lt3Hpl-QFpqJBBS9!rw z{%MdL5~#)f#mtfmt0JhRU6w|P19e@N*i27qc4(ZAo6enY)R8)YhE=9Xw%n{Xaug}e zWL!7CoW@WXQ?)6r?VqSyWeS}P8OLw-vde)h--|-H?%!^+4rQghxw_y&@m0dL=#+7+ z^;4DU(nuD%hN-pS$8(>uCq~b{($A&R4R?N}PD|h-RjywU@6*g1DSYd4j=j0fDpDvk zk?+NpZ%US@2CPwS2y;$y>#trr+@|ya)|sO-alR_IXZMjLakhn(I8qM!<5EBlg;OK zbZ0ReD0TW0^*qou>8!nEK0*0lOEG6Ag%kPTA<1M9hQ)L3{0(I2n`Sgsr+{k2FEt^P z;eWEfIcxj=(Ih&%7V(u|GN(g!J<6X`&d@x-ehpmDJ!-6;TqZp85i5>*@4e6k@}@kP zoabg%C`nu_Hd=I)PDX8TmHwqQPOTM@+LRgJ6s9$9JHz+2JxNI`Cbc;;{yxldoO%U^ z*_MmkdfReZSvbSd*LIC9B??fNs$JGdz-|m#x675ac#ln6%9(JgBA5zF-nKNVLziEB*N`RtJDrUR|5A1*W0Hk!qB7RJ`vMCwExaKrz*kdSd=Ud#@x zBsA%NVA%vJ2_`J%+7ijsBRWZO5L1mV!pJxOnkbVH!-Qap z1*A+Wb)~D?UXY%*oT*#sQ?=|(wJJGjG&r-_{j&rv{I<4i zz0HZu-_ob`bAX}{dmS4(=F?!4Gu8*EWovgg^j;?*crG#uCSJOq9uBB(HwuG)?l&rS zXJVWH!oPRQ(EU)di)?KnopUsiyDCh8MDYKxn(_4z{~%h=8B#PJGhx<2aHR(W1xqv_ z+sN$y^ZiIo-JDh=i!3sBJgIc>IH^=j9F@)HKFiCpM|IoGHJ15_NoWHFjK_jy3& zM8W2wIwyxd@2q2J)n3KKzOJ5{ZbvICw|3ctZf2J!HAN~O&0>$Ap}|VeA7C21LtxUN4g^Y-mUGnuy};0tn5|ZDxwdd#3_;bK^owRJTl=bo~d0liwq zFvm!Y`%7m$08J?N|0tcWlEVVhd7%udybd<2lYvvB7V52?t5aed*Vx{tnCCw&tB9U1 z%h9A4FK3%#qOwK~#GoxAy)&9fO|%2NlaH9hD;YG4nKNP?v2@WSTl$-hks${Nj8tt0 z$rDVWSO8PxO zgC0;dywO5*R`+q!lQmZFRFwt;qNFwqV~K4<@Rc&)AO|evTDhaHNhOM+V7-1z!zG7R zFMEKyG5^$;hW;@qlf(9u)=cg{vv#p9(C1$?)PHl0{lzmqDa6*E9Q%g`ogDp`bzoeS zK)-veX=W@g=$N};Y~q=gU)o2rB%J|FJtM-9{7OwBv36D za%*51JtS6|Iwh9PwgVIuOPx*u$q(m&YLtBBI3{wPeLlT#(ZBf;=<72w>OQV-$NV5W zF`eg^%Jx}@hVkTpi}enZ-Q2FxF)`X^pu0mRi6Op0BRbor6wLop_bmtH7na0NP*Md$ z-|SL>SU!b`Y*3+j4g@@sEe5a)(k)^z0#c$KU*12D+ZG$a!tR(iHw-qQJgR{(JS%Xq zt+Hh7XI8X=BxQz_4#;gWKgWihkkiI^A#a=sk-$A4;9^TF&emxK^Q-w|v7jW`{vvHd zc!co`R1d_)UgTd!8k2>iqSPivg9c)tVS2(C$`KL^rR{)xCGJp^IxAhkf}yx#Kxx@w zM<@0wCnTQJB&1=f;mP?-owj_G!f#rZGideb6&C*c3mems_(GWs=2cSbf~1W=OGlUt zEpTUnMG(xcfeS1yZXbkr(2YSjd~+NGR-5St1(j$fb5{(CIDrP~i6iKpdV>v|>;%bk z9wAmxFhnsFp$@N>@(;+bafqFv3JNey4I?7WNv%sGqFOA?4r8KP=^geUJWzEFhac% zAQLb#Ib%aLS^Cj`DO@rM4>Ns58P#v?yP>uS8lZ=v;Eurtb0_`f@BS7TGjPr=T93zi zfGl^*Vo_Q@4Z>5@WseuJCz)kT6LyMwH#xVhHhb8K61R*&MVnz-X5Vv=oE->px zbhvx?gE8GE){^Ry_-5&P|K~Yk}Z(-AqP+ue2!;r-S zgS^sl_|z=omRhDxPY!b@5|r)J9~3rVo~J5@8!nF^CML=BFJqu zDrdv4MB~OOGuJ^obE8X7zb5B!oYLlat;Jqq=mPoS$D0AN`s?mf3w%Lqg0P#Fpe6$j z@*K4=}B1yQ!a_EK>DoFM0YQ8T+_sSi{g46Z1hE7aHGKp|ll<{&&Q;U>1A zavK;ArV!rLR<2|5s0nCg{phKdQ&{HCKPEc+!^e_#M7_f_U|8S3_FRR7glIr8b&|OG zBm+D1fwK-`rgje>k(ho!az7nEO0k}evpETT+_gWnI()ZQU6IR-I?P^Oe=rKxb!wlnqjb!=i$OkvSWA zB?HL@dodRD9a-&|>S-+L@u4~If?xeXI9>1->euxjB`e&DSCb5cLQ@KaEiv7HiVm5> zuvU8lH7N82_8Dz4&c&q8Q11Rr`2qW_lBr`cAYSBh1;4?e9jW8DXh>mk z*W|!slk3@${myje<>|rmPG5s5OA9Jcd?XUS@rray)D^Ftv8XY?_@Zz%z6MW;0mcsl zLaIk&@g^GqXg>J?O89n2uJ0d><`BJMczw7JviyoTZVFMV;V-;f==^B!G5S^^TWVf1(TS_qz*^{&#;8TAEn>PKkwl$0}yjBeX4u?85{x zpQcMr!NEfc|C$dj+u}0TI~z$aY*M;#d*q)dSvdO z@%^>eoYMP2rH^yM(!Q&2zp%l~baVP*wHj^dU*OTao}ay0oP9e<=*6^;Sfct*S?RR9 zVQsX2L}K~XEoSxzY<~ZVq1!vNhOMp6SPTsK1aD)SZfZF#r%N@Moh97*gL2SfDN9gnOrkwlpn^y6 z4q<*g0vo7Ilaitzp!0I)o-<+aj>A~GON$vkZXBoH@3%J zSZ-@~GFmXEqpNHoHY;G3)l~n5PT~neyya>^lG))h?a~CqsHB1W`E*@?0SJ>}KLR}a z??|A0oczC`hO3sK1s=y@Oyf+ie>}fFj-MWbMf35%s8@dpV6O=@XgVX(GzYww&DsI< ziv16;u98f(N)}juV2?e8GJ*+50{1Gl)@Da-mr;ftAXCd--MA z29s*5Meu9)VGW5MyRjg?lEkSzLp!`6?ubW&Ij&koG5Q|Yo>3e1)_!i^QEjd8t+{Q5 zPD~Gua>Vy3p4t~@CVE(t%D@g}x{i(942w;jywSplHE#bOI+RRyrx0Lj(gv2L__D&q zT4<>ONrwp#V0nxhXxG%n{jNUjG|R_LXP^cvPj8iPPs2F9M(^c;!`fWA)VNSD%S;9| z)B6!uCLPRQB;}!dIbD(2TyUyd4qGEsV`IGEsKyJd87t2x)|x|dp9}e3Nai1_ayQL3 zo*F^p{o^}+k>V%@`BJ6p998G9AJL>&)v@D_O&@!~V|l#}KacMd3gpRW){fsk)?5O= z-nYmzZl9$Gy`ZBHcX`eOrMCGQOxnyFHbjYU4=T>`aqaj9|NXgqN#>X#-Cifl;Tv~?xD(o!^Ka}8 zpp5z(-RK@*v)qPb$+w%Cj-6h=8r^~;B>U=p4@^HRO|uGB0?Ww!KCYj5&$3hYy;>4M zZ6Z#lXgyU`Kh(MDOf}y)*?#OZqImqUKq2pzX5vJGRP1;vfrdKBA-I9UPiFZGXdii; zi>mn=B0KsG?+DJ7sGb`f`Dd2lHL76FBZ4o!WmuJskFp{tw-bo$wj6Gu0EDxH*QoPV zgy^%way~KG5RB%DmnJEvDI>@~H#fgANM=1Ih;EK)SpTSGvZn9fUKzzqym)iFSA+KK$8O3q9FzSLFXk74%(2Z(4H$$3%HDpoE&`AvT_ z;di-TRC26;`M9ayJy_c&-Ew{I!=hpn4Gt-tj&emkdim~=764gfV6Y4i67m~_=QGXq z4wac*yR+bJYd6PB$KlHO>c{&S5?&gsYY(N2J4)hnyFfLol_;lLPYfQ!yvldYCCO_H z_^darWQ#BH$^q6B3n;;Ougk(ba7|=XXHt^pB$pn=*`AMOGiGg0S{F9S5^_ZPNfe>P zu{km#S9~lv9*t4>qn8Oqyf_@SxP0rNH`-=a{HZ@j%3|{qu~6gt?0z^8?yU^ot$hLI zsa()HvuN-%QW=Trwy(u5j^ho~3^&DWlbl5(kQo%*oRW~B-quuQ95Ib7dWty*6x1V( z<{S@YdyP?j)OP5oMD0UO5wEDg5wUf|+wwJuOhh}P`|^I1&ZJl;=#NC9kv3QRe$r&XCuqTrmo zLm8Ea7F;S|>M3UTAxkKW{lHwsKk(7mG@n|xk5?XP4aZZl2vLDKl9gMe$?TS1DLTpG zAxr4-l1i7nd&Z=V>3Fij=I$(=y~LbWBzmcc_RU46kw^^CRF5{d6}Y?T&-+C415n5* zz9{{KtTMq7wg&5n_~a zRQ&x{m=RSnzTMB{R;myq%B~FZz3@?F8^XWB?nL^s$wuV3kev+sj-yEu^Y|n|o1Yw5lY({gXSJNP-c#aj z)x$pFw%#Ijh$cKL8cx%b)IPGIt|a$2-^`KfYZPRd5zQ2$l8sh}`AO*qB?(_Co6-7v zcj{Ek9i2kF+qJ{f4$j0DmA`~whn%04tEpuER_hz53dc2kIIyQq=KEto@9%)d+L?}* zX{orgixpnAiXD&lDC9d~zsr>yRHW&{IUa=1tP{TP90j6A^vYP8sj6k7zt9=2c zQ_GA&N=vfQVCFY|X`{Cb3x|yIUfWKn7A{)9H16P7g53Z z#!?K9Ym{yvi*FwCgAPfi&U?p2QNy?-huiZogU}TjiZU9nXB4eIVVT`MT1Er@YlSX( zp9NhIH0ybUSkdh=*Q5aA5nc29*bc4MS?#3ZrKnR=w5x>gg=TO-x~8GxxOy73>ooEp zT>kg5RvcPH`TLa8{qh()HB)uVfEhXIq7=qg>By_{{+_;z=Xg<-x(@x#>O+0T6viAK zrsi-_{MCTEU*iHe$SJ;T$kze{hxAx$7?D*ZGY%dO416M)Bo(oAOD5#EU zhe#KAkRD5?jDAN43)KRC2nwEjd>JDW(Z>vM`{#y=VBOOE6}RbKZZ@!qy9)&^1Z*89 zjz}Oxn9QOFBT|k;coSEYX^}`64H4zW(u&PpuoKdFEuaqF8GeYu-#s8$A9G9|e0x4+ zb&)9V1$%(R2Dxq-TQv0x6zl@zPgAWpMfyCAWOP^$zwcV=CW4HQ8$b~_>j8DaGNS;! z?K^#E69Kpil{*SZM1{%%cbVkT{5e7HE&9 z251knA?SeZ7eqfoczBTH_FIg|Ns>@xPyq{d&|hm%6RqJ}yQ&8uxj^foAXj<-g=iy} z{sNk-^Pk+LVA2f%lJ{8L;fEHPa-&NN03SRU_URS_L|`c`r0DAgobA62H-hK}Eu>-( zL`VE50C4pIRHLXgXMP@FMA|a6LmD>#tf24nKUof_0}{>t31tsZc>wbR?%Z8gs2*xh z(4Kp(KauAQGSVq#ek=aG?}bu|UoB(78nAz`Ob8NtnUp7iht< zVb#b?da>#a5VV+tb*$lsT&?~U9PEJ3)N}!ega%^hT9>^yE1VijgMeG9n zQ#w0bp9i>O8GfD&FIx|3oPb8yV+B8C)_?_W1N2CkWZ(tOurmZCRis18YHEQrrp;-Q<(@bB)(3YAl$>txreBNVENn%Y zt^}a}5n!fJ9m&~Z4?yiP&}HTJY8_i4jZYVrkN?RW80i3cG`&Z~zIsd?6l~}^0d?!u z`k!xq^Xt^ePNp%UacNWQfUnV$*x!dHw*+HUSF=pHiuEfP_vkEM4><= zQInYQT7I3ONyHEai4ZuD66Nd522nsA)Yp8A*jNRXf?oI?dYm;k zR~(p`P}N$Kv82I-XUZYk*o0qO3NlI})Yq(QnR1?iM- z-vqqp-1pu&_r5>g{r%Xi*n7<}=a}f zJHi^+bLKC_ct`*qUIdxU5OQ-!5alD)lcI49lb>xUMUR<~~U*FuCS@ zhv(WY?jy0pyWG#l4#BW1*2OrIDI|T&1dRIpFn(%+ekwI_7>NH!G~{j-HELeYsX_?FGH8Ic8Jm@n2knvuC3J#TD|+PG!KU9Z2>4SK zL5%ZmFsBMjSgEQL+rNzTT~Ixa)#`8*Y}aQZl()B0y>4M0_1*dGT7S@3c0U31#k11!VS?S*) zlUPL$i+}qA+aCo=2rYH0>e0ShLxWrMt$jF)7}QOaR%#` z3I$N%k^P>SG(WO&ee4PC7Ph}E)E{W1c82)Ru-}Uq>&k?L&sc*+XNe7~Sc91ekTHvq zPQO-@W@`#Z(b8fbL-3WC_W2U-wE`1tP2KuPNwM^>T4&!r&8cNs%(;@qh0bW?jW6N40QBj<|33QYXX|_g z@2=b8lbF#VISjRJIgNVEaR3LET*aw!mKA=d+YKd&mq z>;`lp9$t=~J>UM0EH#&LM()aFRg6dJ6YP;CZO<<5^GT+!f8DIKJ-)ZCf{DhI%nBVp zJ^hjDW3Br;Ohxn^8N45*1Vr=RM~H)(VyDuHck>9+CCD`Kn1u>bzGZ(eqX|r0J60k? zS@bJtSzgoPad`wc*um}?qsO)%X=$pB6)l{$*5dxBegoQA2UJevRZ0 z=u&V5L93u=_|p7Kw?1odLU!7I9gbD@__RCNz!=ubx64~$yzV&a9%hNzWxbYF3rn^s z*Nds^1K7%AQ$6;^tpL&7aQ&Al#Wjg(n7SnJcM!Hey+|oyGjk(=#D%9LV|DSzp5GfhEoVWKslKlgu}n7nwJcvjs!49lHhPBMoec*+)7C! z)xaviCi(MWjQpXC48)Ce$4uS=mgiT+yk7vB3oLTqOs z=`H%fJ_X#Y2D;Z1*_;;);NpJ3kR7QkI%Zc3zE)n6&H>u80{5ELxv+Xg1!o3y z2XFY-LkC=mFZntSE!PPwjqCFD?_UhGQm%8Mr{@tN@T0d-x{BNBuOzv-p;;30Kf|*e zmLwbf^s1E^G|lUV)(80Kwp*bf5<*)kXz?R7Ff9bS?@{_d{}}qjD|QF3Q4|5&`w=Lg zP5jU+7!)gMxeF(}X-cLLDnNYi*a*^hvuR~hQ`^61Qt!i27I3l6%w~t>Epe` zZTVb`2>}SUDoIB&=v_Dd#}v@gWoQ|U(I7P!3NSoM=?}d8>Dh(^%}>874a_ZdQkQtL zcWO`})2%U(e@YfGdC2A-}{<+tjjO z)gxSwf&o`1WBEu8T>J>vt~R8gDZi@!fGZfuBV6_W!4;6+2e>BZ0v}-zeJcjJ#RFWy z(Gy%he1S3g2Uqc57oK7ecZKe&P>*};N(gezDI4{*hKgew^616&z# z(xAdZP&#JIH!GHHK#(_9|D?%Q|Kp~BjO8#hR{wZ&9}x07bE_DGAwbG3Y<_0|0s#mY z_UfMr^V4cTPjD&T6UGJpjK+?LK!4lQgp0sahdi6hOxvj*)&om4ky9n)H(B{bsA7vH z2XLgZ+2r#Hctv0-tS2x9T*HzJ0S<}bo7DD0bLm|Mb;OUa<=j21YMLe!t~ zDrbFy&YT7<3i>_e4E21fe}R8q{{Av^W^xBx1X!VIXM;jC=vBQRY(06w%^MUM5xK)c zDxuzX;9}5=ff`XG|7AXvSgj!=;5~^pe&o#6-((B?ZOY%@0Auuc_+;m8tx- zglN|J2r(beqI3w3bTK?qv6ZwR-|j9+Ja!EK8r6kV z=v@V{yAGDe;}Uodc=uqVA;V_o9LOme09{N34#q)~5fAGDZL84AJ3v2#Q}7a;HmjQ*veSxVm-?p=O~s0`;!MW3cIaEu}zty_Eej4vj3)v+Dx^E>n!(b8P7 z5=20XLZbR<5ob21DkT-nj0R!}NV2pBdYs$A`X{Rz0%k?O&E{up3TY%s<0n)vZg@wS~;BefqG68Tzv7lH$HoQL~g)suw zJ&)o|$zl{lgVbhgs|g$V0d(ifuUF;3MhKkW^7Gqr)un7P)%S=S^4SX>ijmJcG_GS- zo^^Qmxj@6gdZ#2^WNrM~&CK3Ne+#A4H-;Za2aC`2c3TxZkgoZH4~#>JWeb9;*prP@ za%sIW9+r=3s#;97Ms(4h1kG35osec!*bi+7_?lP<5ZY1DAHou!u#SAB=BuDn8Tnmu&z#{ESSGC=kug z9@B|^okr373mpdV3QFb!znQ=D}t;v z@QnD$J)*F-1;x-1fPt$>Fl~U|{Snir0Q1&n2HK}1#vd^c8Zc)4T>*Y$aa>)duU~SI zXLmz?^o7C>6Ry&yLxQhngseai4SeLvMey!iGnUM=8Q9A#1Cae-9KPm&8v}RI3!C_J zN}~~a1Dl|)!3+4zzK0vlhtW;)r+|&R;c3Yeu>xw%8Y06R3Wh1SYP{Z7dYGdR+Hjcj zv?xpEkp`nN=@Ti61c{-@-kQz~XiBO}b+G$2V6XOFy=B0#6(%brIL-16aWZKZ<|iH)k_SX-wHHXm{JCk~%$bxAOJR@KP-z7F@Em?F(j+f_5BX913(j!0v=DT z5T@?-H6_G5c#c$c94wM-us+dCzS|%JFUrV*5zu{_0=Y81iBYp20A1{?DKJZ_Z#5wg zjv~cgKA-n#Nsl5LDPU{>k(;Gd7zF8!TP4f~%FJ|i;%xIsV~Arn-wsKfN}S%oR8%gPsR!gkUH2rH2%#uqI=NMP90>RW{jO=cOdPpLGQe+BF@8vk}T^!ocl#SfIIv6loo-*PxmhgiAmVk$?csDQl} zRR3FCKd|MRfCuUfa6R~dYlp*ezp4aKVtl}LIK6l1Bd&1(u9+Tj&F}bxYn(@112Xjg z9oMW`|A}kB#o{h}!B`VBf)Hz?R|ehbaquNZpX%-P;GuGURoi}^r%i38%ft^P&Fb<6 zVl3#D>gc!n-;R0(A1(T}39!hwF2Mur-R;h=12o!$lR0(Q~L^I&}R8T6Fz1CiE0eaY^6K?}EPB2cR~pVVaA~H5!iieGoSP zLrlkf5e=j-pzv6j;cj4pTQHJCz;skRaP)M)iAuoDy;wknREtYLGB*cMZt{!;=8O16k5)x8f60+i?bHGCsQsAvfEDmiv*uR&v3|=6828mQa78Q_{MyIuM{-|p zxo#1upTvj$@G`%VL8u%ggkRw=(d>OgQ1`jAb|6cb`Xj*Y>~$2{+`GV+$OnZ~&(51j zS*vqC)&#jCnSCpFH~#isI3}BP3m}%pk8rpS^thnAA>3Zj9vC79M-sqYVG46AL*xEI z%itKyH0gyH^2)R81OMCutvfK>jGpNUxG2yi8Pey;fM=)0acMNl?={=0gB(ViLr=40 zV>lL!2KQK@*a)d-1O3Kz<_t82Sv6(m&=Dyk^24M*cnaT1 zRS9iObBGM4tcLrT!=_;B01oQPxYG-OF>Qz)Sd7hP&{U0T zK2lnyQhqj7nXn!)Q)GHP{MQmlyY+O9pO6Bn^#CPC$ccZvR_w%39$W98Kv zYS1$RDbU|bdIq{S2|(^RFT4A~!Q*i*$6GCMxq3jC!UG+?f=*Eq!h6dfHEX&B;c1jn zCkuSCKa}J~g*Mw3_d|m|L|DRjg>z}5yX2o5bm$wH>VS#hNFr`4qshi0zx3>Zzdc1+ z`CZT=h_V2YfTTS{*`EI?%JzULtMw3N@&1b_`{>klKtekXGajR?sL^ATmH+S*Weo@( z{r)e?M*R0tw&%Z$vVh7yL|KqTJVaTxhbTMt6lK{sPKQ_f*; zyn>#hYy^n14~qh<7X(mf{TF2c2h{?sQyMt zlpUJ|9(s(jj9bl&u}x8Dp#JZ8rlzpgI17G|{lm(RdG0Nioi?Tq0 z0Z~?z0<2y8F7Zl0vLB=D*uNdayvykxm6SRD49AM2VcjE98&Rg@kvGx*?)fby0tqfU${%0?G^3*z@Z@M>_C51L z+NN;8A^`o$zhkoj}b} zy@}6T{KpE+ddkVnXGY4(3<|HdtA%En=Jd^yt#3NU6kmJ##>Ls)Tq+`Lj6QxOdiLg=A8;^Xh!_~CJ!cpwtLLz! zCmU1zHBXA1sgt zB`VqB0mIphFo0bm!TpF)t_8(ZveBxy2UL=NWzd*q9im0k6M zFZIt8RdGQQtdJcKq4oC<3D3ZhUk3q}$v0g!V=+}WyK{8gU&ym6QOK^cR4mHSe2=Mc zXQOIZ$9e5#;yY9ckO)0{3pivyAi)?k_$?alM@PUW7>nrdrC>-;&SkHlNv|9r4-8Js zx?d+_05&XXy!s9-SO-i>+6xn}moT7Uoi?~3{eEgeqZ*7vgiy)}(AHOmM2Ahfp!=lt znhb=Jz(=q7ZB#%a5|@mi4vrvIv)Z~CM#aDxbXpP4dEgDXPjpvFOM3lp-6^THoA(CT6;)VA2tE zAUpVtxg2zdExi-;hWn4;k%!;%)Bw+9pQUWaEp@zy{J;ldE@=TwPhwa3qsWzS-xyDh z2`70yVc90r<0P_>xZRCF({9Iv(SUgUgR4dbj1hn<6M$>SBV3vOC%7^JxMm6ixOV&( zaDB`{09?VnG3QTk1&r_kt|3ow4cP%C9CY*vuKNEyTtOB1zk+M{BV0kX@&T@XRRFG_ zuKEC1zp89`SipRr;0pNgKe*K5z5BV6?XTpx2NummmvwR?gqxNTzjp*Rg3 z{0P?v5#s*@TtT|_53az=K(GLC4gXKLKCRP7xC$Ey03%gsc7&T$vu>3I_TBS6wC!80_cxepNandhLrK zOg~hw%&k%`K=o?rU-jyd_dLi!;D(6@Ip~2X0Xb-`U~J0-@Y@GDh~hyG!h4j1STUVl z|A!nD0puW1GkuVQ{2%0?M}h_9AdFmK3D7TAaLt1p#Pi zub z6=CpqKgmG>PJo;NhWjK3vHkaQ5Z-?&2LbN>AP40<$w6Zeau8r>4|34h>?o%{@bZ%! z1a98=CkGk)lY<_uo)SFCL2N({dYl)q1Ux};^hpj9eUO7daT@T}M>*(0g!n%p2Z{cN z9Fzm(AaJYDLvjB<)Z3?Z`l#M!9kxmA@J~Nb`3Lp(G#C`#$yj2j!Ag6SgL>M+fS z9LPZt|KuRhBs*BEk8%*(lN^NiC?;>I`{2uY!X&aW_e-fqXP2A1z$#A9g2soe%NvyWrz?F$2otcp~w=Lup$oC zYoQJPEs9ELutl6*{8X^X=swhxcthh=PxIai`^p5d&)AH&JtKz5Snnn(GK|n+UqL8L zjQl>LUw;WU*Cz=U&&f=t(r#DcP)%*L418**;7hhJVl(jlHhESon{~ISOYAho@mKO# z>#Jv*V;Qbwn0TKn;W1KP*uB$APV4zD+^8bl7R|A1v5=3h24j-{QGu{DBx8WK{OjRn z1<_$a1=mQM_TUSYn5)YKG^017(n}@vzY2_(QrDI2mysusQ<~GMArd&$-hNlk=^yJe zYx+tIb3{Kmhb?6b_vw0IaIc$FfK{EBn1mmFL2K35<+2J5r>*yhAP@~_$;h?qD4H8l z^pgDVM`f3o6h(KAOV%QhroQKTU*}@M z6KxU1vM-pg^W%l%w>etO>9T2Q!gRJ8!rGFUHUgIM27NL2n)n!9W)}M>8PQS?Cak4l z$CS^Ie$8*cY><;3gcmEO`*Tp(dwue+=d^c4J!4p|@HORDNND!`{=>Fb^}ug1T+qZJ zICiI|W07gDtCwkx>^sw3{1{U$baxmOd0e};0?U=SEh6M6ixPG!`KXbdC2O`n0==$k zuC_7bV}1w;6sy_`4->`CaV08Y8AIam*2EICQ#h zrGfC0cF!ET!6^kpoC@TC?b?>f9 zlHa(=PNIDbIT6ucy+u#v5`d<#aa?m4C3toWt*4GLU|j_2I$ za$(KxS_pkwe4@)j#5tmh=O0+*=hLspysc?q5@futcy1uWKE<>w5msE$nj#gP$DwsO z9wlDE8*GsY<#PtinJtqc{+OBH{&R;dJ`r^>?=mfYJ4=RI=rTHA z+=oY{q$+HRn1zdLT{HiA+sC$HH^uyCCRh8$1B%it(u=f(2e3z|*|iep`KOn?8O(2z zjNGz2D5?q~hll!FA%1Jad{5(st%^Fo_dd8CXwF9)PQY=!ZaxlhNkldTkMjReeS|90 zI;i2oxvw+*4w741Bc!p0$FjpQ{sSIkTwz6>eyR4 zhWCzxt>S+DS6WRlt*WHnp|%4x_miUz5fmd6S3&$3w@Sot7->sD_3Vx-yiLRfX2C$k z+n4`d6&jc1&PfG{&7REI122uTODke6-fX^Bab<*jg!W3Ey=i0Yu7GLt_DcG#gdY~!5^MHJ{&rk@XSBRO z)C`%=zed9B@2ZcsMZ(Ck(WZZU9;lL;xq0&3j?a%0nOdm3A@rIQ<}XU$?F^r}UnZ>a zq>{m*_D+z9Ql@?Dc}Op=QRu8R>}Gnegqtj~7K%HQ5*Sdu#r zQ?4)XVmvF>4dfk3^CbJ4c>>o$`Be`I+Ee_T4UBnAqs?^~oOzL6H<*Tq?N0=+Nb^ z;s((v@h_W`--^Z}B&PocjE#A87aKde3%w!h$PM?5`WxR16;So=uf{!M?r$=_(&(PA zHL{#8JXRT}GDNGh$ag0N$zvhkG|cx-jw&unm;&ieUKP2kX7za6<^R^()BIRBUja}0 ztJhD$wUaQ!3KBO&<19O9=`X@2^!&?65*7-{Odq^P<74^PNiy|v?BN&op)&Hj%M;fZ zwfB5we<#@{lRq-s=6_4(RMP*ER@4!pZJT!zbs=qM^P-NdX4lWn`#`>figA*@9J`(e zyBu4kULmRL=Kl{td#PM%RT}S z$axM0s?Rs%8Yq5z_LH53uWY6B-D8D?K8N2!4<5LKsPynn89@*X!uI&FzrgD^F}QH* zS4bp;ki4dRaizC%Z4|aTa=h4hvAikyti>nYHsC@4f}feeY(;i8wc}>%Hj6SMu7^S% z?~q{KQ^9*QuIFk9LXYULo8o2~OpBs6{rx+6yaM`faXpgvnWwqii*q%|obs3IZxlEB zhgsKc&vU6EmEP}3DE;2y^|`l^$D8=6`dV8LdC7h7mgJ2moss1!Zk4~)!MLG4s|h>^ z3(iWsPEffa9-G0!ao3n;ZGxh4SaO_$oLmDh#Lz0WBdv2q&L6$yB__+P!EbUafQB^SQ%bbWYD4f~X|aCph-_fG#oRVSY5amE9WT`x?#e z0#Z)MX7~%C*krN_l}<$3XTOMHeg_^b%5Z^IGbN|_{zRL=epL$Y=Pk~j7=BQ3X{qz5 z6xDY-u}8b{8}$&#n5J_4k;f9N>_IBlB^9iSGxaeCDhRCJ;t?ug)lZ3U-rv3oJ0IC&=|6 zP2n_IepOWJBurhg8JQR;8XT7F=_HgTSr%4RUlv#1&}bzXtNGZd4F7WcROQal*>{6i z1cwnm=?^caiZnUZ*Cw{}0qymfr+i1J@7x?1~))Ef0(Wd^$CNkQxtX2{=Lc=UerFk(JuJl7}H1t}PbVHr)u{URAF1wPb zyOPz1Ni}3^?y&}ZlINCm(y`WdHDvf~Ivd#UEjZ3r=&!7{Pz>W#C#<$U8xpBaSdFe4 zh}fcswWpej9LA5Cu@AxG4yZS#KA2n3m8}s9WC>D#++=DzZW=UYzmymyQj|7RYqhJX zt;Y|cMnRU)z8^5nu+A7l-QdNo$E7Msg9PWHAxos42TbpsGs^fm_Fxq1H47`7g*0@M zSvgKtPIC`lFtIpjoEmRbZ}nSts)`n-L57zOm>2G7Ry1?Pmo01U6M5D7oK9tMDo?o< z)?X_miK)y7T2>GgSK}3r<2?P8jN|-2e^OtoUPapId3o|rTAaL)S9VELly%Y`-Z2L!--4es zLQX45HXF&><=CxC@-cAcLJQOS{hIZ4wd93yjhRTXtd}rH7Dw(ID@k&Ek&|>~(uCw( zmSJfrjcgkU3Zzl`e&@8&ie|kXOD{*rtjyNc1+9Ympe!_p0~=~6S9@8 zmu#^BI>a63Ib>%B#N`fyzw zXRPsj|9q-z!z**!H-WQRnrV@u^@Y=c3@zJV%|u=<+27V~mw^50D*tGA=?Z>kPC)0M6+w=!&2i~SvE6KkwK zBhI`)E7@gJL9W3VroNv*#*(BfNvd>QN4A@g2=iSt_6#=KZAvrN$z*E^qp|CRK#H3r z6|X2!P>y6rqmbwWeTOCL&Y-q**3xJl^p3oabR`Y^*>*X(LdB6L6nj}6bO*1RQXzYB zzCxOsY_@Yvs<5>!`=_6WmZ8m4FD^=`Rmrh+c?$E@6VzSzvr?h9^qf&?z&|hh@+(k` zHegyJu=se7rko|alM8vZAQyDMr`|yuTie!z;)B$ajBe$H#0+rw;8^O0(wpkK`WY|& zaKzYRE9bSe$V&oh@MR7C>oL#@Xu%>dD+kN<0+PZ8CY!bQjlk#B*zB~8&!WVr3AaBKX)ZL z;9VzTh62TA?cZFryYI1E^=%dqcvu(S>!?Wfw3MDa20 zKBFzU$`#gC|HsbTJejUtB$2iqRJ)KRRCuK&28xI@AV~`_T{4{ z-!{eorB6e5Q=E+awo+_Xl9RTQlh%^8$A~`>TaUf9+C|I9-RoomLua><#{aDI$Q`Jc z|3d#{f7)i}DBReY*fX0Ol%{(*{-yCu`EmOlrRabo5B$BX+n(a&qgsr%oQ=1ff6m#j zTaP)7e`6q>b3-3;P)|0lrq`Zt)Eq@UHrRVY=`}RDuAH#tO{9)G4@_bK+?;NZkyEd9D;xUY8K zWc2aP)#>H>+D%$J>uWFem&foKDKR{HL$-%AQ4K}n0^M1#@i3$Vvuy)AK`#)US@4PU z$_xJXz0A66<<@GSNun`x!F*$EuuSoL?PUFCcOnFn;I+FLG7Pi8)!La(0XEVf@cgeN zNy25qW%+XbIoWk1mK-{Dv90uvy!o||1G0fUUBxGrsk(6!S7#`>*scx9bG&f{aZ5tf z?6*klvYiyF;G5?$S|~3Ck78DCgiGffq}|M*X}6zs93ps&AHCoqUBx488GKV`cyY|V z56$;E?+Tgaa$XE=pD*4pjZq=gx=oN8@hy!79N^p6AtyPN*Hy<*+hlwFen0YMWVS@I z^|@b{=-4Y2CBP`{*b61NGbv$01}rN%ukI11;>E*${H}49^JA4~?1J#ccIoc0l z5^?g%bYj{*FPQ_047=Z;&)$ij2O^v2BbLw6C`cFf?~w8d>VHfubBP{k*R#SEbWCwK z-`?8}$J}Yx@sBL>`=5`nv(6#zW;T0y>p1uNxJGL88x1-p$wj}P*f0P7QNz|LQakM@ z3!?FPwu|7`QV$%L--;WgHiEIzY`)tl(0@bX%z}yFhx1#^zaPbhLS>CuuiL@ZA4dhz1b(b@vubGrj{%F$e5 z#~hiEpUA1azcW|_To8xmBapJbAY-xa6biV(4pG$m^va|RP4Da1vG@nBhAO>hyK=jt zW!=)uaNP)dH*54gHx<2;#h<^e{S)8qp62<%rsR6k#J1~=^Bq3|gm0_w9}K-=J%&^j zx?eN%hrg@@D?%MU#cz4`JKv4G45|2xn~DIhR9|6#c17y(C%Q>z={#Mk04B27IQeww zU1`Ac1jl)-j0C$Jbp*;#ETbHCW(u02h)-|ya(kuME{J+1QQ+sM`g9^*K{c|Szm-c| zq05u}q8FL}CE%6pnptGNzwayXwYrh{7V>XjmINvm7)8F4tqFPMrA`4QIyY{W<2zx` z48KF|jXIqt^Vw1|dD;tU(t~OU8amYJM`#X34OBshEM$R&0_0(0WffBC#B;QVJUMxT z2WbmkZ;%aDl)33oQP;$!@~R0prQP^OSX*S1ubI4p%ZV$#ek>5*eF&YZ*a zOR`STp!Y-jR9GjKDX+&cGtv9!_PqrZ3K(>o*qb?RmkD(3K2^cF#jj1dd{RF0>#`to11Ac$DV%$(Ou)m*f@L9k+{kz?ADQL9g&8S5e|DwE8V_5xwGJ^PGa- zl!Ew)Ua=6~wKOlvYx0czS9wFSN`9DT+XnXrPN;v_oT&e>g(s~WbJ1VDkN z`zWR-WcxMeRuC;FB)n75Hd<2Vd(JWTdYJmV#9%CwkcW+i5u?DEgSB3Crl9=bwHR{V zGq3zo+b+RO>h)L5qc5vDn(!uMCLz~kypo%QoXVXmO1H4;gmyknVii#+8tBJo<7rM% z3B=%$stD5rDW3!>qZavyCe#;`D01gc&rdN8&vh4QIXkS`E9$g#!rICl?QZTe&Y2!n z=#@t?R*%d_T$_qDMp!W|iaK{J2skyn`x1rNDs47nd8=(UyF-wM7%6S;LI#svOD1Gu zAF8xX%v95~v`wr0>c#TjzoI=(Vo&j6rrg~9(3f%c`HkEzzFYeA#T8d;#e~sk!X0qi zZ}Xcnv9??jHBsIDw_nYoxxIBy>!t#Hs z6r8_D=&6J5)P&Nfi^!eEtkf;EkszG0Ucy9;d+Y1WA7=SOA_*??9khr98zvno?()*Odyk8d})04GQ&Vzy|`X;Ll@W!HWgTJCjVoW>5aO*ne1(P zMX1;oD{{#laWgn_bIO{KE#Gh!t8q&m6)dpc!Mq$lmu!QtWNI{EAj2I_9rTXog-^b4 zq~g_OZM?q3Isr?H$&`#d{`f>-Y0F(f^QBU(oQ^lP?JYH0n814ZrP6VzK+XK`#y^{j zvHLbxQ}pfQB0=kFi6%|!mA3<_uirP3B`_egNh@xTTkFJ1CFPEj7dcAO=wNe4++TEOr|!1pFseS6aO`_?;F`^AJ_ zYRx?&_h3b|-WBa{-enB$Puc@!xyN~Wse_7sN75%@P9DMS{JP|sYGr2Ec_Ugogx(hX z6(@0>6#?6E%Sk`!uRiIeX68#VRC%y5z`dp-TObVBDY(B(x zGM&pjyz6-!>E{RVc~{mkBA4XqU&Ys>k99L%d2cIWqaY;bYdMnNV(K8Yzf~hN9JrcO z%o%MGI!3FyfNn+fq)NM$vd+lG9N?+>l~iv@Q(-7XBLwB3Gr?$(>2p_l_ZQt;(s?I} z_wJ2nti#&rmo(UliZ{y$f7h8wtS>BXPj6-08aVFaPDk2{HGb}*L3WMv4Jw`XGW|uD zSGZ#y7C1kN>bzZ(lPfr*$D2#O=i&=3IQ154Vi5jJk*Vj!zlBpcGEH<$c1QAQlt>!S zt^PjRY!?k|zS3sYo}evz-8!dcsVnobjUeW0zpLc0_#q+_{kn}oCu3!4(H_la?AaZ6 z$LHpChNalBsh3W4jE4h*{@S78iIf{jI&O1GNg{4VXi`Zx$BIPp8Sm9`552wIuP^sK z`D6v!+Xy@5*+mLwzNE%a+|ufg$@J(|giPrT^ytL}RFg*_7qPy4V{#Q>4!h}@AS*w5 zP^8YLaMJ27-o%-jv#Qo4axzivvU(kpWOEqG!_}oGSyv+H%&`WCw4k*z3`JVFoD+<~ zuBl(?+uW_90{3Ew|IQw3Tj$I}?QUr~&#S=o+F#_9RRMco8G; zZNG+eal0Qu^4yVh)y35eTP1e8Vv(>1oynNquSTT&5fl!Ca`8Tm2qT58sF_7^s-FwB zzl1uN0#@bl;!A(^-W+8cDO4v-q_2u3IAFYYm0{u<{8Hy0y3L3+q?kY~+@0u{UDeTv z+9qMS;{T(7dNhciY2YmdvLW5~PGeINR#*+20M*eC&S>IKDUT0 zc6+10rA#9Eqg>gMq>^1-`bPQF0EWf+Jq5uRVXQ>OK=0=G*N$6J7yQ*ERn=&NOf4hc zQFDj9vQ$~A;p*QQ&ZF#76nBVUy?Iw?m2+(Da-8p$I%*uQN=qeKDxO$5h{Ft;b(d_AX`3bBv&DH`#=JrXTOK>UpxaIS%Az`9ip6 z=`V)c@7oqb+=2{Dl_bv^)6S{ycMiH*Y!%A)YY0A)XS&H|9Kvs1mR;=rrpW){jFE`t z_0w9S8PmdyOlzGnZ|H(!Bf;kb zP{iU+Uv6KPU`N_*5Cqje!;te7n@f$}52ECzqj4r73+mUFdD*^0tWm0S!=&p)xTeUZ zbMvV(^2@g30Edp#c7AKW@luS$48KxM`clDUYoiCzVMYa9qE_4C+xPuB^JS9!51dXjjzHN{Ko9LFNrc!s)=@jRQKxzbaW zjzz^W3{Frf3`Dv8)rN(ZW-~w0YIc{jOLUEAzBEo}B!T5fuwpxDozBV+72L@K!J@<{#IYunSZpA5E(}8?@ zt*3NTt(pD>My>K7fseNuaaq^Iy{}GOP!s*&f(dzsGurq7;XYw5pT8#&Nfr2ryEw^w zoq02q=Bs8}Vx!By{d^y;y)z-iH4wU{kmx4=VpPBT^W-^zw`ty;>}cJD}wX z^`o{aX0n4sd#%Z_(_fiB82lKP$WSJjs7s%**p*ocynOLOdybT?|EB!H(uLCWd0 z2%9RoR6YF2r03g~@$(g;!-m65qd&)naLfUix*Iux!DGj}AbH*=>)74!BX*@EqQ_R( zG<83|S=-z^I`c%boPaC4b`@y%PGGB_!-feSP-Jt@##6ObO+&4ft9w_C4KcndER0oA zA2u=As=UtY)OsUQczPuwkU=DhUST+cpvL%XfnFz&M88SKNXJEflt7RO9*~J$GcgjJhJauzxv~Tqu5hc2Q7&wI|o=)nO`vNL+0~&_RZBh5-^+o znb8=I>a39Ccft2Qch7mnZ{|rRn@#aiKvs9K>#hao|FVWTH2lDWs~ottae!$$H?r97eUeZ^V+@c*YY9T ztQh=7#Dew)Dff>l_i56p4LVZJ>Az-wzZxgNcKU%VBg*k%JcT@{dTzbUHDx~QtDJT2 z%y@D4+3-5fW(aPMbnWPHRbg-M{A-#B?~uyUP4L|DX2%*y_p7M5ag*3suO4%og??76 z9OLIKT8B1{@(Uf5=cpRU3k%RfJdO!X|@=~obWCk-{Rzg-QC^Y-7UBU2yl1uzTY|b-1Yx=-Myx(o*LWJ z-Bs1KW?F^^&5s?J&BG@dbd7|t_5{dsPlT{dMcYJRb;;{9JyJWPb&12Jv`{vv04P3R zI;m$^tm!OxcTXLh`;-*bQB@W9(B65q1JE*g6u&VLQ1@Y-F(H$R<7JeoA8F+|-Jj}o zaI06fd|LIKaMuBti8*n7%V^^rdAe8EjF^~1<6#?hOj9B#@|33sb)bj{kBFRKXUzSY z+BQ>GmSQfyse(?5s2nCGVLZa1^;M?&Ewp z1#YJC4BsAqs4!%qkEbJ@o5VPO1dg+PG=+LFFT915j$7%B`~IGuJrHG$2OnOYkNp!HvYhVvsR?THd z>SD}WM+fPyU#QDC;@z|=!YS!%3yY#CtC(3^Qn|ZE2SqTyys6mS{4B+&QB5`dK~)7A0}RT$23m}R?J2}JUNV#nsH-7&A;0lqL~n(l4fQj=zA z0>!&AS;Y01J?+QzwH4K0eu?*&0ofBy;Sf`W;hM2wbk@>N(I^iMh_ie2KkqYaH|W_@ zTrR{{x~lM5R_Y+U9-@haM{qT~x6{>Eg_7fjC&laAoKowvA}u{_t`?lADfjCgUO#a#Jd7iX)v~4*|pCoY4820?Pe+r>do3~ z9T>w59Nl<^UG<$p9-KEitM05Cw~8nWvkwV^H3v+8Lp*#Q1Fhs(i8ksgW(t#jkiXa8 zg7l;oA$R_ecR&|vt^O2I(Q*=zNGHc^$C64Q)s~h(dEPku=5Ovh{Apz^HzH5uTT71( zuf^9pQk%Ei7bt5m$>>-T2p?NpnJg;x@-uNG1DWAvvHu~VK|w+DBbQztG|t#Z5^1b zq^{RDPFzY{@+i`zGg(QYhpt1ald)^NYm%``<7Y7Ky+U*KtUUQmKQBcMymNTeNS z{FXg;xm2Xkw_&dSePy9GU2pNnlgUvM_jHAv%ta3p-Tc)JqNm-Pk8kCJ)N&DrHpU^b zB<#|{cuu`}eUx8~1f8qjw+ZRS4{xnWw3`gw^6N@^oqBH^?%kwQ>+iMUWj4;JoG$Yj zPW0&<1dVAC!z`8GLU4{0ks0c!jvP;SWZTg3+cgPFzkO&K=0MBvHmZkDSZ5xn&{6Iuc+P zN^N`TGV2;mq~@X;4_#p;y{N-teo^Z&TqgEy8oSgWm`;q9tLJD_p0Tsr5K`GNcXFA~ zHR+%&-HKYR1{^-Fw-%V}3??0a-+-a5Ja0sFE55h&TlT`bxFXS4+cMrE7=%pWv)!aa z;4|)z%e$Sf4IR5luP|uT-EsZk90%Ew3Du^NIK3#^h%{EDmTq1iW!-ti=`{JB(sM^V zF!hVEG6Gko>t+lahV_hc9c>Ac1rRY^y;#oTb98JL-eh)dh2}h!t7JVcj2q(X#g|S$ zO#IWUIL+_Y3X+kPjUjZ}*#~5-(2XtfzTi`>Qj(?~eijDm; z^iY%Twyd*D6r9fq45I@DlbMM!HJYE=%f@yCZDv!4bOEPquJoZ>$S$Am7QGzevK;CK z3QIViaoZ|(i$z!scvoEe)K)ud|#VB(mi+ zX_8;#!GvBpZ4^^LpDFNW0OC2Y4kHwVcd#HM9c#ZDXS>e!OkH)aq?d%>Q7RtOpY@&B?doY@7!i z()R8w8y@W{p_L86Aq*w!jOysX;4k)Y^qDGppP)J2B5UWhtKd@35+z!&BS+13L?}wE z&vQtr1l0OV;r!!b*`ro?@0E53U_W>1ls5g!I816WV0onP7|l}h9LEb$@ZzsM6nq9p z%6*zEH(HrX#e7`cPEN_#jBiPZv}|Qh(>>5R**4X^3e%}T-cOAxmn^-dO zeOllw5}%ijRWtd_Z}7d2T4Ck$ATzp$ziG*Yg|FC0rB3Dtx>Z0BBXg@*$H zM7J?w9i(v221(V*MgqtS-d~LTaP=v>$^y-G?^IDAH{#xqGoE+q*p~y|Q#ZQPJ8%^^Zh$MBY#6;3XY|j zCwrxl6-aIcgl0hO%fL~`zqj?jm#sqt=Pb-$OUg*hiB)XTrP>m_oNe=3DOcmZvE{Y)UVslK#c?MTH0Jl8WA^zVVw@ z6>6_x8&T`7;=9LmNcjw_mBsgb$Mn2;@6L7p2(OK?bdn5))5&^>i|6SMgJDFXyG{$X z(hc>!JM^8evUaH7MlU;Ly`bSn`t%M<2CS4xwriWY>hZGby@C0ibbBAMo%`fu zr}possz)xzrTFwm@n#jc=9!+UgIs!#T16Gk5AqQZR<2s(m8N5!n~axSn7YR(3s#ZI zb19$p<`GaXGHLt!X9mwKm1a&2ZM8(F|2!83T?4gxnO8)fhde(0SpN*Sc{Rac$;1Zz zdR5Wc`QFmdWmlKnnemUa&QINLwW|9Lh}uVn8&6JGRv|LC($Zu+F*rj79s>n2K8U1Q zJPhi(*!GcQzq?%=cAJrgs?vq!yY_^d!K*}y-Z0|#o?X@H&CdDt+)`3=7roIdx*zWn zzLr-Z`g6Ak(5vrVF#v;71B(#iFi+ETV=MB+YAJhFJ8#cu!~4ybyJMg+BB2_3yxJ(n4;#HA=ILU6 z^L>6M3Bh49F$`i!KNxTIW;Ql)XYMy?{?_@S8L9=cnNSFeeKznr@4 zt!T{4qoj&-BI$kV{Q7L{!2QjUpWuL+Y-m7Ab~+y_!1wK~GV6C*O_5zxRaMbZZd(i+ z&k90vjm3G^#`Uk?j!a9z=iyu!&-gXM9%O3H7l5i>4O!5@nIC=5y7Q*33?P0UfBJd? zl<$OEDR25kzJ*RP-R+bJixvcpkS8QJRpJGn$D7Dg^lGq(vr{JF?S%BnNuBURk_B2L+`Aufk zsPGeTp^1V1rn2a9Zi0Pm<Ir|i2AIOM#7|67MCvAT@yJA<=s zm99Q6UeG}6{8W7IjxboU4KR*OcLeQWzPFR>^M}{c&d-G^Ie{NYnnCmSDhJ_SRw;CC z^XkHWEI%q^rOQ)yy;mEroYdta<4t1ibpo-iq$Fg@Do0B9&#XOlcW=9`>9Cmti-#*b z%IGTN+P$H9uz}m=0VK`JW0!VALutP5M&d4*XX7!{^+M11pygIG6E@{GY=>B-!b}+g zuoLBp)G&ZCxuIddNRf~XbMK$9Z${^*p zY3=)j8x-&L3DFJe-O0`KnK5FZmp<%n+l;hRz>Ee@kNH==p7Op8^z{jVaXxD(Hx^cC zn)2|EZem+oQd9nwJ9i^>YZz)uo?}AV!N5?=xy#UVe9E+8!cSm_S+s5Ygtt=*af4`| zjBi=3a;^JddkPXT;ESiv0o{r1Ei5b*PO3h#WCT;WU2G;p#cZs`yZq04EI% zlypXFqo{Dyl@7aL1{1vd65_dd1~Vq_YK2ailWgPX7|K{Z)$Ew~0y&;aY+Ia<_0$uE zB6ax=pZkc1%HLWTbQwdy37w`8Cuu4{-`5-+o)8_oPnp#_g^*O}3p1gSZg0SCxcnRO zjlXPsxoGLY$H29YR~GWBTFqd)fqJLY$N2HIn5gXg-?9AAx0($-JyP!7 zrZe?!S53=Lf9%=AQQIFd_oFY}GAg@6e7Z6((Mr zXwLGol!c2r6nZ|dsSFL3q=#Pk`7DBjqmvc^ej@(i8r0nx>b;e*=>fu8p>h&aNXrqD zBjpiueAbc}m)EU{!_)ntwe00UG9%Hjo#4ILB6#v9VFK=alZ2yCpQg8$=ODj6Xx_rk zcY(Q4TYR_i_nckhTY<|1)!X7SPmlBM{R+ofJtz9-t*f_OkGEnojfJ|r!>@|hI=|Fg zzASiuey6?A2rBb1Er0%ED6e~NfZc0Vrk<&M08k~W2n%}0vRiFcz*&erd=zU=C5n9Z zF88XPdy`zMqW(StQ539$b{2I3_>H+=H)al z>txv}xtbG18JDpd{(h;v(J2hn*i@FP!|}6Bq(S=GPm)mggI_kQGQTQAhnXE^xm4~d-_@=w^WM}CVXKiCVbA{1a{y}y|&2K08Hp? zr;7(>JkFwvTa)O~U`NAIH{-0V zduztLL@{cfNMo3?1aG7tMM42S|G;#hNo55grDh69fpb3Jy{}KCy=BHtilfPLg0~$>)Y-cx)epFYRd8`*QLpDuS}+V zqDp7_p~cB8)C4E68;bnFvTj>Dz`&Sf;rc`G@H12q)Da+bmPENK604bVz>=~r28=IU z1Xez>&^;gzkh5(L2jEO~go`XJ28k8hw)i^UKb7Fsd1dmy3Jnnwa|>gn;rtZzfS&OR zZA}gSNS2mI*k5>AB0(zs-9^0>EuiMYRl{u{5Vl7{)n^T`zUTOuvEaY2ksfjW-Y#L} zpv!RH&j;thw-Z(k*IJpZvrNKITD5R28~J^=oRP{?90NLn3yg@cLW42|rQfF|s7&A@ z!OD*Q=Tp{|NxdYqtM!%9?=su)CzBGcT{Ejh-P8RvCN5R7NqwFWF#Qk5zk}rsV_0#o zIDXM08BVVtx|?Rl={b`A%nZj@3l+)mtIUisnd_;?)xarRSBLBEuPaS9F;4k{b15^c zZs!0@ruxJH=hWatU*h`-OQce^J2mFbZq zmggozxr;3d(`jrLeUi!*$6?)RmOK)e;Vp^D>y6ORyHBY0q|l-%L=x_VhZ(XWI^meyMya#>Oo-so88Jj_I64xsNraOhN*= znGML-hl)z^8LkA5$qfqMU4d!USTp;0#4Qg0_^NjA(@HXW-YMJ}!Cvcnbe4<^mYAM6 zl&-1|n|WO+oLH7FOKqGTJ5D?~yY(d}&CRtIIu1JYr=qy0X-vkk&ZbdR>1eCCG%U3y zwrP!*RwBV}ex(v`=p}zdmR^^DfZwXzf|nO}RxdW0o7cS!Fxwshm%oxIcMN=wbHT}S zdwv+;;@hiI5agELdNeO0hJT7A(wvI3U=w10N-E0fGC`svM%iGrsoMMk_ejh<&HH#w zr$8nvk&?**4w}XNC%`FtoWSG)aO*F|z`kK<2~!}VbWSUshY!R%2%V*th!=0s(nP|H zG>>o;wTdT0L$6UG7ncjCLCPz(04@l{^OoMwS!$_x;fTqFpCZS`tED`wD2k7w(gP#S zzdMS`#FJ^|;?JNRgeFo;)QfVJQ#d7Nv%&?-g)%J%!36#{Yqti;$|W=xDAI-$s&H;F z1@<9|f7n%XE(W$(fq%0Ab?{I;X8bx+oV56)I`fFB*8 z&ZQ7WrAG}j|E?%16GW;-iZO$h6PgGkRxe6TifDnW)5~y*oL8M4-y5I=2QOVB&HP^& zKu29b6VN*gvpNBwLoAR~SK=$@MFQ^<^F^kMgyA>0V9?WG-)?b zAIng>71{epdP$ z2$4#YVgNxUKrurTcTtAF5Uc1r3Z#dfL;6F}k^fL-&L+>^Lis2Pf zD3d$r%ZA<@oHF3Enr%U~BWfPO0$s##=pbz<--yfUv{cLKqzz4gaJ1n{Vcolr$*f zdwUiCAX$oKh7v8ZQG*2c>=wT1Z`e>A?M%y(Fo6+4OwAj?B$Lp$7SscV zag~Xsc>ZY-v!J??I@m=FQ2y5tKJMz#|Iz<{pPYfToVM3M7ZvIZlrb1?*IlUjQloz| zprILG$_t-{T#ziS)AFA!MLP7Unbjl`e;>4=xp5!?E7egKj{J1ucUXrsfUf_D#5K^u*meC zSKe}r8YmMZT;zaU)Ze-guJ#CGk|kN@6}B41*d4{(9sL&JLk-a^WrMQ_T~N(W9eghY zu!aFSC~(@KcmmoWCXhnz#CHF;O8za6YjSWVN96xaHR*$tn(b%?*a9Z8;*Icx%;2S& zBeLrtYX~*S<|`EoL(Hgk2i9b{=->;g>Ee5972(E&K^9I(Q?RAlT-m!o&Z5#>(|b<4 zp3|$EuS5&#ORnn-WsGsbB)x-__lKcUp#E!|q`N5pp!!i!kRy}M#YdHNei3X^m6rsU zaL#uW#%peaQ>TRo#vVWRQ0I~hs~Uq0{SWxhJqTB=6C6?KXSmV-X%*(1=Kvq z#gkcr?ic-AFp*e3W{T=al;is?&*gZbt$>?ASs4wtd*El zrS<2vfk%sJ8_TOuLTb=NL|jIoOeKnfTDeeCNz72v;|rXkP*NB%43Hy)5k?gQWj;Vr zl(4Q1qbGrp%9gu9~ZRr^#Y^w-E9LI z2K@3bQ&_{RNOA9~AWS563*WLJpvLWyn>0=^yFQ@&=@y>iM=zzlZ$=Odcv3DphXWl# zK{eA~KLP*8DgPG+un@wCmHVruXn-ArVk$<0*cHD59V~S|;hs9R4tqhhV0^EUO4z+r zV+U$NAQPWSGqL#SRV}kuPh)?c+O%o!Dwh>xo;_8HO8~Grt{fBG4Xwcbj3(Gc7*H-H z?EpXNyesVzU=si*@@;s9f&fE-i;kk8IzGNvNeNCy>QDOsn3+Xa203$zQB>tz9XTyy z;T-%FMQRC3jM2YZ^jR-McFJB|3C@k8;9mx+28*IU)$lCxry3SZR12liD9PfFzWp#mTCcSuX4-s+?kF zBGpOWD5_HqzG@s(R5plOiOE%}$n__pumf590HpC@U$nP5#V{18bKQke2hc$t;vW#E zL7WpR1!~(mlp~Kb9NAGppprCJ3mCczkeHX}%5@z@Z3y=b`9Ug;#)ph67Ej(P$@(8V z__t|d+fCz;4809k1T}0dU2tMtMrnshIiN_%*q0#85o97|p~2MOJ-M9za~&x#xI8}a zzgu{AiX8mEdJjZD2u-DyFwVvQ7tMp(N6JxD_U{7_;aQx`s8EaryVK%0?d@3Kl0Gn2 z1^0|u8D8CJC3}sk^o!K1Q_qG6k#=pV+1Q4{@^eL4o1 zB?=1S%p- zh0h0)f$(B@I@Byn7-7o-IWz;r=kypBb~%z>RvA)Wv=Xb@T(g^_F%N2y^-YeLDf3~% zsoE`CPUvMQ1csP@(@tDssnq z(g)!mzV2ZFNpeErV8v7mn`Cu-m!oYftCSFFu^0;h~d5M{~7n&$4^pX7wS2csFjoAw@kux4iLLKxw(1p_VxDRUTM@Lk90^=&T0Y}T9-Y9^CyUH44q=ju~-&Zzq(0M zdkR2Q%}G)u;Lw&ZOTobmocamQb?S-M;bq@7nnBDz{P17R z%qc#{g#)qd|H*9ZAZ@%tX33_dy(OIML=M!a6ZM6(eIae0Fzf$Is7Q2C(dWsnVzqTz z1^USSSb(OV?En&-m&t2_TY7m3LWgRJAr`C7`>zH7EHPDu%8KDuzo|`st`BI;!A!Tr zJi;HE()Z)z<6BYT=HC^XTja}byZ~!(K`yRn%Wb@XTWzH^Kda2HXbY%TQyamom#)lK zy;1bkpsN4)jEX2y9HVf?HsmGBv_xp0o~^$$i` zRKKMA?^5USTzXrPNr5A*vYgOX=SW!1b=@71gjgeDf*5PWg-m#lY`S=jjEo^Sj&zLY z!W6LGy!(~y?Tm~?(*4%L-(3$|S(iFm2*>ZuD<7ZsDu)C@@mTLKVEJ)wT{UD)R#u_S z?J*ki!UJ5Lfzy!;aH}(7LOiY1<`USD=f}C(1iHc}sOBL--~>9RBg>tbH;v%KnMm~C zYedE}5$Fwjj6xFqS92(`UCm*O8!*8d?qQ2=}As};5%0ZR7plbr7tmT4SAd#*5$WwaZ zX3W68_qhmM^;f?}ut0PplJ01ui=d4*^gM{g>F7-|E^YZKYZgFBZb##>gDdBm8d%OF z1bGw|j&{!%<0e~cK;`x#mjGFrN-WgmRPqQHiY3_b3^R+x`AUINmh*Xd_aNx$8DcpP z8YEFHPGCtk!;GAZXOgA}yPg*heRl`$`3%PQ`r%eoL4eqUFq#)xGSiEEiZAwvaKSvv zvR;BcV8b57Ljb^thhlNE(wR_8wp_f3f8eUQC_5f7V$fDoh*#jTiBaKb>9)cz=|Cv+ z;n|&6&jcaN>Kg?Aho6K7ZXb9)SR+@X8i05InU8FZzV7X4Nk22wkE?^h$s4uza-dN= z5i?dbY3#H`RRykEkiUa-7cl-o7zX=4$QUv5i+Z6OnF=M^nz%kBFJqpn1q1 zI0QjOkhMJ(HMDk{mPi)q493eZQLk91=`8=qWF9XolxG}=!F6U&ZpvX1_MjIO{2pImFi7ropE59PH$zz{X4_@&ymlouFbqCOA#v zivDu|^oLH92CF(M(>P7qEWZfrP42`60)l6vGz{;^)No!yF~tRp{)gztz}9WeC%i>N zgK+-FGH{bUGCRdXW-7XOl!3~cdwn|@iaYbm!>s>@`R{gCBT2-u0Ue03h_AS!8wENy zlf>h5rMUrWn3zEa|L!}T|-2^;FC z_!4t<;DCog6ty(x_uK%np%p+NclGdV==R`xmV7w$YeFyw&{kB`m0 z_)+mFN4GMyL1|-aa%io|P`T7s`OkbkB|0N;`6@SOu0Fh7@4HL&|S^Dz+e+2qBEL1VS$`*5Y~&GWEznb%w_4k8Jv}1aM1L9y>Fj|=sBUp^{YkYj0+~6$#v!kK2rd#?6 ze)PmeXjqxL?x2DdquxOsfdV4A=Zr)4&PPg_`K`>Y&B`Liqb-4u$PiQ1*IPMR%yj&_ zqdgP6D62a*Wx6(NafS8s-;S-`U9Zo-tf{=1fL#>8CW@KK@iK4H1~YT-3!Ys}hjE5Ewxw z591_@CJ1}=(HkB09oI3C z79MQezCJmIKZtp27QQnU71Cq5SXrd&sC?t-a z#SYl2B4KEjTIgY$e$n*v@g@&!Dn?NZ@xXTIcAC*jU{>|Xnf%7*5a$vx;f z8xyK#y_6(xQQpgVal^`Z34oF)C`rLnrcEM^iN8YrNivz{U|t^HnS10RX%v+Jg_Ytp?;89MFBN*1Xa^h69ELksRSrg(io zsDDBL3=SF{n&{KIf5HL0hGvvM*w+!;=Duzx6UKGJY$2ZMD_ua6|5wl9&&_>;e6@g~ z0KWa(IVta3x?^`w@2v7)Y<8^#v>p5zDGcjlfD1X}zW4F?I_f6@JGup^c){Y%({IPlgN3o#j#s~PAMGf*5eLGmu?>3k?y;9A_-SQ>L5rC=8IS+=RxZqD6)Exh+$mC=&c(U5h9 zj^kZ~VVE56Y|HwfCg6g8DY!8SuhVdJM+{_rKi{3M#+z%XrG_$vA1;T?rA(H#m; zZ9h)3@yWLPY;n9MI&iPgq!}jOGmS|PYC?wB7qRr2h8ptqSI3r7^h}$5`#A1PTaXQr ztfcr+R*D_05F`ErE%Lk`x&N4+;wgZee}La{r`Nf>Kd^|O_j;!Wd5K@=$zCgxrsef; z8W$J3Fp!e)XHzUvntSz@G`Ib3k1y@X^mpi&ihL(Q!k-+Olh_{B`V<-3HwIl?&V-|Z zKB_a%i-E7U*{YR)PHOl|F{{Amie+l*#~O20<O1G{d9LU*+Rg5uL=^GC?O*^mW_GTdSIvIj39E^K6<}92 zS*AAsBov2HDUGJZm{sfSutmw8(vSPFdbSjVc0uM8C$JZvNm?I9{wn~(^kg$f&68ID8}MOm8?f>Zl89B z?Ma$Vt`jYzn-u)$Sm^t}n~wtYnhUJ+65%TM2sg8}Dtsbd79^#hbF@55&7z~Q(m)rXGoyJT zy=-APNiDa(|H#<-bTT9@u=wmyPDJ(WP)cO>jC!hjEMZPR@%0o}8@@v*+)6BDGh6k$ z=eNylv9BOnEXc3*y%==6MfOwN^58_wKTpC@0{Rz+eSzT+IBnstGSv34kPJCJV-zY( zv$t~aDlqdfKTx|@4A{gBzIEe+SwF$Fnto4+J~#gWSx}P)rVIrq4V4du5ictVUaZ7I zikO{mERH8AH4@GCfdJHe6}(1!LdoP$q0h78Ao(0;XV!QhM{?mD;){>|+4j={y`8Ly zhB*{oQS2CcJ_>e2!Zd#X!zSMT2%#hR1dn15_K`vGE4RB@=PK8n@LXBBTC-2TiT&?cXf}p+{UT!Zq9d1OF4mB24*n zzMGrZ%sMfAV19vLNa(yUvZ_yLYw!a$e}imNNBcTZuLLcAtB6$}#uL2|)%A{qw z{dUgA>3(5l_z60;rs-Z`nIbVVXptv<>*MK2L-eyX!Zgn1`5xYbNdoH~19?_aTQ+#C%g9{BiwIhBY=Jf69Z2cwb^|N~+x?wzGnAdst zjk|5r_FW-$Rf`@EEU(JKMqWdBq^|RBZpq$c$St(pFAWRsjaeGX!#aLW_H%Ip=$v0V zYRA=R+b(YAV+fDU_uMcBcijwh;pR$PoY`ZVk1y%BePynnw%jb2(y`Bx-mgx(YeFuH zO|5X9Pq_)rR&-p~eENI4tJ=-W`|SRa#2@r!2>3(?lQAKv`8?`jHP z1&ELRmoUITKoDG|?tbvsNSV+!9o0?)*x3}eH>BJw{(lSXPh=5_Dnb*VoOSb{>`%m# z*e$!~WxYNM%ED7`eh3AG7-9J@W8qXN8$+zxc>GudynPIfNipK|$4LPoLHvPIf8ZMw z%;>mHYv?Tt*e1jfwr zX{&F=S@6gB;G_LBJ_;G@6Cr!@qQ-bC)+c|1gR{}j;DoA)Q70ROYaXk+zgr&7T-)HKeJnnTHCxdJyU}kXsv9teMXy~!!>AbgPvbK%5%7Ur z2Ql=dlt}cH{6$E$!2bT7!TzHPK!&G9KCyTn3NzjpD5ukc>#$@_^OZ0Aa|nmSvc3}r zk>2}jC}fwWOhp9yAt>n3ipo6r{CKaSF$z&@NsF_UQC3=+MfWz%MnMXY^Uvt7GSJ-R z3E_p1r{5y`h%7dm1Uh9yRFzx46fxAgf-9+F$v(>&%-Z$F`l2UV(;1Fnp(i?LGSwhU zpFhyZXOQK)Rs*-VDn|8UI{Q&C2}|kNZpc$S3}#C|n3U(jgI~)ZHGA=$AWOmF`Phya zlH#7lsJzWC!ZM6}P|7?`1PvU0kxth3_BlZE>ntpIa<4 z6Sk|RVr6$nz_zZ4kv=sGcCA+Z;i`-w|Kol)T4$PB2_ukT(`*qvY%s|tk z%2-ef`xDCLG<^0Fd3zG0zn+-;lKzJd%AMD*V0YZGm*fc9OQh{bO#XV|{TttV!l(2q zki1;pXDr=N-PqfC`T4g$T2BVJ6DLj@#ESSI8VNp<_5_6o%SI7BE~))WlJN11OYlQr zT`$kt;l(-XgDJDhm_(k~J8<{B-WAn1E7f#QG&S5ndsn-cX;s~zvG_VVjDb4O>jKnK zhH(Cw+k6Q_E&m&Apn)k*rpc!AbsH{4NJobCxYShX;s%(alQ7~!M74aS3R#akeJIhz zO){)?dG`dQPVm6NPzXU!8-^hp})r6DpR0}rkSA7#|YJHLd~?| z%D(CNzeV@F3JE%pKL`|UXIoS_`nqE>G)h`+%B9K{k){ml&k6iYV@NS=iPM5e#-Q$Q zB{Dkd+vED#dfb|@LGjiZgJRca7ZLOz3R57DK|vJ^&vd@HmQ?k7ri+GA5J{Z{7N`a1 zqQg=4rK-bxF=!_8csZ-aLLY7@$Obrq29ZoB_yZ8%HL%7iS(+MHWD8bavWJff#tzFqm5v+gvvlk@U) zMF}f7Z_#tKw0jE_re45*V`3a=hRd@rjrRw91bAY6<@yN7LjM|n4g0}nkImolSh)b= z2dbcy#aJO&yoz7r^4W)ARC%e|g70#<{C188XmT<}-wWjmM16qR46Q$*6GiW_!(rw7 z6niYDX*fNwA*uB9xA$8xc;kiyK5;H@bYCw(MOJ;b_ip&QSB8kMZ?pZ&5`0Mg6KCh@ ze;?Y}D+2{HbI@y__?ItAAp7T!);@7=ZEiogezadczCDN7uAVtsgY7oVn`%P$2iM86 zqxwLBDYXTYov#|}?8P<2)BEM@qzU2C*yEJTMc7?F10z%7vY;t7wH}GgX%9@YQe2X>K#&t} z$AuZ1um{JGn^}JfdBDcKd)2Mmsl2rHwIhuv+4Uio!awRoK&3jSfHR;$$Os0&__%-z=pPskuD){9tnm2VL$Ed zZYUGc_otLU0|ftr_0OS9h#cy3`3k?nDIyPu0n1&d)FiU$i?hWjMo(5X>J-KLT1pMg zrdjL#a~*FLAmaQ!nuf>-T|Z;NMHK4_AvcA04$+C6Wefh4^R>E`MWg@kW);lDa`B## zL5y@dr5;?NGQChNy)Le*%B2??nsS?~vkY(2g73DLNv(`g5sMOd?Z1=9WfxN08Js92 zMx#b1Zph4eTg-ifa$~)YB$Bu9lg!v&x>;qfj4oiOn0n&aLA_`Fr^h$xw@=E#ldEOd z(yP-nAJm02kzKOT@^w)QvYhg16iRRN9WvQY_-q(cICW#HM|&)xKk+214`Fby?B~oA zhWEJuZWqqb+U5*PI(ml)j~&$rL)SRpkG`Qru5tDrd}fH~mbjU0%j^(aow>QGh9|4@ zvB|>6j3}PF9(X(0Ga2BJb4^r$sOiq;e$sT$zsPg3v+q4s_A_4U9vVXQ_Fn&gVMk4f z-p0$_65l`aO8*z;XIu=5!e1i{!MAWeUtN2FXJK<(c{u$4vfmyxo={JBLyw5w z>08}HFNl8YQAl{D(~sb6_I`rkj|6P??;wT_l7zq?KY=6=BLhjo;E%Ly_FEtckdF1X<6?^8My1+7-y~(6dWK8gUDS2bJg-WV{m$gw& zN$_kya|k{p*MQds??=8!si-*LCq}_q4d@D;d3x8Aukgs}Ufbi~MYi|m1V37dD^+@5 z2t#QT983#lcbb(*bShPLJxS1Bs0ALPoyyKPTNYdyBb$OqJw&so<9Fib0ewirq#)$~tdjVu%csPCEb2uCpJ(k+yr*!lWCyK)vQwC?0PnwW_YYgX9T8<%_ z6!ruwoJ7fPMee9#*V!+rgu+GxEK%4Wv_fRY*6I%I7MaO2&VqD3QCad(eefYgAD2ub zf1b~!11#?t5l3gZOm9s%tuMtk{xHijEuRc8ahZV~u3zdqCq1ee#csPO9eaUp!#=1# zZPK)CNu?`LnK+S+6<}eiG&`Q3k9*ChNzAXORIB|9_6siWopKmg?cujKa@ISM70x^G zFeh!nA%-wkJ;9l9Id8_nar1qI-S{D5d5^#B;u;sU%G#uRLy|${u9;SWyvZYYhWQBY zAhyvC@Js9~Ox>u;6iuu0_v6=U`;a}}p*-*eqTi9wyFpXLG+{z2fWTsJC}j@5D@LE& zwUP&j)3^eHWEwPsQb|xm@oa1XP5BAkpjMp3OeteqRtqhi;kZFEtN8Lys3YnF?MXjN zbxKw|g)32gGg{uxV!>)Gq#)B!M6rsjIXxH4PrlJGTroU{W)nvD=Q>8vb)-Jxt>6yB z)dCNr=@SR%$9M0hE}zYqpfyho6R(-zpYNE$w`P+srcSBR1cBas9Y$yj6rqH|qp>zS<{*=TX2gVc13x_s+zel`i*Yq&2 z{XQvpxJiuI7~|bOWg^#xm=gP)z7hdaS%KoDn@4FPOK|D-D#o8;v4OC}ulrXg?$cfS zxKdSR6Q`aY8S;qvsMiRW0*-pOAg88;Pi_b(POipK!Km!*F}+aPUWo0@gT3{R!ukF2 z&Kp_vi_p}gq6TSOK`uqg92EWwn3w>n;_vbLQEyYN?{WN&KUQFI;GCUkZ+y_2&mjEXVax@rxEAFh|0b zP!*5Wq9v28`H@R&cY(%gS00Jm2@2DrCF7N&v{w<6Fp&|XDl3nqL{^Z+XA4r0V6d*B zga46wRwL`KG0H2~Yf{D{!H{X8ewI2FJ7uyVE1;6TRU@ZU`M)VuqfN65H{o}ITYgTY z@Y`dTe~*V@f8@jZqinekSNBzO5`)Yo3ny)^D_e=%@5zK8!^$3|))ZAx;Z}cZ=E~Xq z2?t%F=Qu%X9B+s@HLtN!<48iqgIK~oR3hz)CS`k4&TiNV+V41)OEZrw6A`ul?wIyt z(0Sajcb6wO-7)WJsYI0EYqIsaiNSM9Y41z_I~$Y(kOGrb z2Q(mQXrXO51C!Fq(J)1R&S8KrA=z1lRaGcM?OW4kRe{^lXg9+stKwsUbStCQi(m}9 zfXnHF(Mn*hh=%U%Fa?0($3WuN;C92d{nF&-Koda!heuk$opEc~_46h#9bOIM=Ip1j zrw>JxS~KL~O~>0w&ju2Q1r^38-|NTYG-x65?PPLk>l}UA6!lo;4y14ATlK-qmIydAdN9pbS zbmEo1r zbR?pP#7I~H*`BB}{EubjM6JbywLi3=YG9#TE~-e0yZ{23ilJnE*%Ictk;zs^($tb+myW8GM)Fi)qD!SxC^k+nXM;NIl0t78vAd-3BTD31IsB}24i08( zE1f2&XxXEvQ4=``1v!Pxv=kP`N=F^l_m*YzWK~PGdln@I>xrCt)sdv|6M4-+sZ{(f zhEqrF#rGzPg{I{+a~Z_xq|dZb&c%vl>*+l*s~=_9>C(kbk1>doD&8KMIF*zNjIzD# z%zYM6SAS4d8MFu`WSf@rA}ZmEphz8<4<7=R+e$IT$8<=fwD>l@LS%q6VB`VVGdyUr-Aj zY`7JPtjgr3wABeLOX`E`4VUvU!LhVFT#oWVBplFVv7EY~{iU>;IicBPm6sN2 zg%x5&uzq}>xr7oq#(;_@hvUsBQ6>~A;Bg3ArBf!9L&Uuksm5im9m0zsU7|Bxgv!*w zOQxD=nabiYErT{NT>I1f;*)Vhhhr)m8zfnQG7l$GfF3MN4izK`2k{FH3V&C_8=qC< zRJ-NUa!kHjUI@cX$NV*uWQlHR4wP4zKg?Gp^<@RJ3MOKGFS^+JHCu@$CDJLCN3?`A zccU7;XxB<^5xP#+BZGYQ0~v(}G>L|qTF8ln^aY-<-DQR0{w1F1hk*|4!t1TPXJSuYFs*pIJk#1Hy)$2sU(QbhN>apl`69Dn{D+-RQJ0OG$e|h;?D$F6Is-TtS7mtg)AvTS`~w zkTUiFJDi0jrw2e8nH#}9SehBZb$u=3NVu9)( z;mW#S1A2-#^N3KV20KkT_=PxHKY)nt=B)%QKt%A6t-V`$)GS^)e;l76))VNl0vufS z-W}ZPQ|Mq}YA9=N(MVR8C3rlhOBu3Yc>`ix#JQ7&eRlHQKs8d4u{6{&SX}n~oxtg$ zzc4_C$6YwQk7gXH2|Q>cCPnZl7{C*b_CoIm4S@9Ac>{#s|%(S08ME{#Is+MP^A1);PV-Q{ZGoiwzk!9O7Kj=;PrpWX#mWQL zig~XAYSEcqGb6u;#Gqp{D~FKE0`c4pOH@X&m5~EWoEJ%rE*yyUbNYIAnyT;@x~Fg} zAp|tw7hvQfJyLQ{;7+$ZLa#T`Mb|TM7l|elt~kTpAn_L4rqDXPRmD_)jze>a$QxBb zS?}TnCkmr!k%}nZC|(cz5JtnLdLqT8GBwJG4Kikf37^FEVjF9K6vbi*DpDKCr6u*b z-lN^tp_KH6TX07&Iwu?X!^sY}&UY0IFXB6QLdeKgK}gE+T;LQ+=*Kr&JV;zKNbIyu zbuO7|7(3-`D+^ae*j^SISeuL!9#wz<3`ENi5;6LO3VOi^)gQ#o5HdCTj178WhdG+V zwd=rTm;0C}h57GH`Ike=mBsKIlwu@_lPFXW2H6Dd);+}UIHXJimS*x88z0gcFc|h; zv?H((JZiJ)^YFky;e8-U!fa$Q80owhAuJqTyCcUH611lVD?ar^hhMQXwmXHUuyX+( z)&5;=7v9}6vg8~tu7GJ7B9kHx2;Veefv;~6w>Q%po=tM@(@D?Kpqmm9iWND#8B7SU@o`6UAWxM}zV zwCS*g{!lXSX?BeXx0b?U2wUWW~4iBTrKrvHlXV{kd&+TW#K2sc|C z$-2tCEm&g|lZYA$X!1?^Nt;FuJW-j#p+-aG7(u&)OR+qnU`-)G<8>$d1L4jK1Eqc% z7oNg72!&A#2j;#egczLzIOsUBYQZyzK>Z!o;7D`0h&|;Hk{~Ze@_Y!IkC>;5}ob$Je3mXR(%xWsVd}PjC^fHXGixMw@xIfR~2-+Yd zeS8M94$dW!xJi<6uY}_72e=v&R?4LN#$yn(m#4b!yMqenW|2*Y#EMf))h@RBFk@A? zlqshj^Fu@L^6;Z7vTghKKMn#lKp(Ac_iA9qfU9;}_wX4q@|p-$Q>Jm*89=_+l10T4 znJp22pR&oj?2z3{a&D(GZ%|Dr4%oDFDA5&pqQ5pdrluPJ&U3 z8J?ME+SlTOXWoLW03)14>*hud|QLE-?PncI((Y(+bj% z?2d~?>4?!Pk6)SlTDjRQ8X0o}Gm9oMU4ji-Qr2uM^LX+UUoKvcDcb~r(xuZTng_E= z5y#VK*-fNJN}=5dB!rZK1V@nIS`HHYeU_W5rl*Rk7ZA<5sM^Gt2!!;pbjeVc_1hd? zor}J8ZaTc8=R;)rBNvM^#WTE;mVfK4;e5ra1v#zG+P3$_nv^cuwr=#kLGs*P=@aI) zEB`}@IEJFazXBM7x!6|!tkwhMOYzJ`F0B=|uw&*4n@Pi8(1uMSgT%}!!_ge*U7XOW zCkBHW$i}^-mE-=V;#w{64Ggl*kd6OLFl8MP;Tr>w6A4@RbPqI@@8_xnJQGH)6mw0B zg!@Dq%lCVMAvykL$H~=A>DzE#m~vbw;H5ncAMMpzsJ8~3>|_uiv+^K>*5R4pwmisC z3Aw|+RBAsSHXu!bCZwsWtN^;&ErcHi<7SmTag(aksy8|mQl%?SK~uH`46PM1F4E98 zDswGlITn=?WZPJI_>7SI>09b`CUCk`_I%QAhe1To!kHZ^YkKaP~=f6mx& zRds~Arr;u`R=E08ENysM<&{&NabYLFl6-&X0h;%48aej)0Z=cT#y@%Ko3(Kwby>bk zllTo{5lW(GEx-i$gUnou^fm^ysigmkzyNB%F<7)eK>;Q=ov*;vA%*YAwUs zLNWbqROuctl0+Mmf8rVm(jEPg)lK4WTvl91F^}3yA15-g4xN`|0?ptfxe~oW3Wt`U zSqwRR{B#a+-D`$MChN$ykN<+*WyClw3ptpEeq*MMS~)~hh3O&mF`eejOeO=cQmE5W zmFlOZ9%(~V%UmnUgpZY#f_~F>@aK}Nc;600`Aub|oZf-I7ReJolLa?Xn!g76AY^`9 z&f@3*chRT%8giwOze~($~b^qA~a4T zr$D8LJ4W47CMT}n(yiBs_;~D#gH67l_bZxPXe!}Vs(Pi2tgyZtJZtLrpP)**hUZG; z&s3mLvcQ;3ruI&h5~S7RPNe+xrboEq39O0h-na4lOZ2wwcl|G7sZC;BlySDuKz|N( zb^P4hbJKyT`?7BhG~fDJ@!D|6`y`(Ze7b8Yxh6gBy*%szD)~9sIF_mG(&aN$P9?J; z=%t)Zt>Yv%nKbwvO5?E(aUN)^O2#_Xiln8Wi)~xadrC%om5H$0z>Ycx*pKf_?>n5w z;+sSkkHrF2ev7aUx+=^6BgDkuhaH8Q`#-&$bbtyKH)Top1ErF)5wGTc>YSo0L4At* zpWz;Hm~mnLYA-%HO#JivImlS`a_e=5N!_>$ZcK(gD_wyf9tY~m-bR-}Jna9=YvZ2C(8v zH-XZ}=JSU)n|4oe-7>^UPYLW|C5X^I(i@tHwQU=6vb>bLI>T!@s3L-iqBAU3rm>@C zW|~K}H)tV`RVZRp{$>B{3|oRTh0lATwTuNkiX%^%T#xs8lci!}0%`5xrG4ki1P5x0faI_;md= z5O*cv)5W=U%v;dv=w_1GOhTu@nkjl&eEtgQ33mOvm12&C68S&a_zZu2MB)Kzv9K6i z*Wn3}*#)~zczgIT&x8NAU-8}Rm*`Ik(0^xXc;QvJ=PL@-+HH`ql=xW&Bj5!{VqMXp zxI-n`b!0Lbj)WnjsJx?Y;Br;;`o2jGZmqIIi&)I?u4(ww{)>slEIw$OH%qa{<+cq? zie&A&W~zT=%>2|lT&B}=^wq-$Z8V&6ydA0Ox_;&J3BD9(H%eP-=!nwb`%B&Os?sbD~*DHlyi-V*PWXTvR-*?DFlv4ei4zJSmx^JYrO#3O8&K*t9f~h-G_$63mayCi&KC}Tv9yRK@>1~l;&_v&J5z|vpNm*#gC6P$Y?hnqn90=G_?22WvUVsGTSfG0e{V4#z zV6Lhw_-DtBb+63Q|Na@*O-nEN$71=D#aS-%SJjc1ziyIcP^#*2#KmB zQlB%I(o}Tf3Jj=&IrynwiteAmCESgoqLi&-5T^pK$NsY(zncJwtklQk?k%9Lq-B#!+jq1Q6<%mq5o4eDH8z!w0 z?>Z)Eq0|&a^+X2VihLya*dTujEsNIK&UZdSnzi<#r3JiiVWzGnKZD$x*u`Z3SW8c4 zAkji^BFP*JWu&YZ*RU~7{8aUj9(DJKOTklhPR%4YABj9)BLqDbJ-{)jZ`{KNQXAKW zt(+a}3@H_9gz-y)hNU6-D}SN)RdqqhJxj+_lkQ0M-RpC$vk8?f0#Hj{(&BX@t1 zIZ*S_wm)bml)r_Q(Hin-)_yh{6*Z9u?lW*j||K8AP@Vk7qTh8-p&CfAy@EDJO$-#yEyP}}@ z%q)fG+mms?dqZ-Su!5p;>#1=&dwD*utmp6M3PgLYn}P=|hO%`{>B!mBzj}%hKq+LR zLhm*gW)y{q=gkFlMsO99Yds=0W#~|erC;Y67-P5Wl^1bD>h~?e_ z1=Ol}GgFH58fW^-r*x#_h`L3>e35!5qKi{x!3ODS6kHdPo11YxSt8n*gm^k(fGs*2 z1D-ibF*v%u%ce3|Fy$RKG#bMi2ywnt4#k&6+->s4QyqMPE#f0pvf;78Mg&SuQeb>d zj)XLaGV!^13lASB*kW~ceis%I5upUwoR(Mgm_Cv>U8 z7s(W>!$xOxod72XF#k>TRBIBFXVB}Xzek^)?94yo3-yFaRdkN!2jT&!gp zl8RarUO6(v+7_k#T1|@q|2`Y&3ZUh>!E}TSh&5K*GXAv^F%6QXKMRsE3AeEEwy7~d z$MPi+d!YI=4n1q8f5a>uKAeeeKP%j;iZ^kVM|@a3-8OX_y!h^jY$~!5?<7c({^S+_0XLIRzhd11J zZBfb+#`XCmnTNIIcf{rEVKq?F^P}_2=b}XOg|YC>hKfFCD^fOkOQSse4D|{^v0Fn^ ze@ljs$}8d#WU0Zsz-NXB*SYn8;sO(#*I?qHAGh*Mz883MLx_SwQdFMppK4~fH2-2jP4#p&f$+yb+I?O3f3XG#`88ZvO3+^*RNCb=F|I~F6swyxf3v6&th$s%^iHZ0p0@Q&OV5?m zic7hcag^0Rdb8FcIqY`Ix)!~ujUzqmPWYX5EbYxHpG!HqIV_*mIV#WFH7dUjVxR6& zdHkMHDikh{hIJFf^0^}vF4uL||C>bG!ARPi%(QtQm~ws66?^5%w@THn7Z=Rp(p-kf#DM31bSK6TK zOo5BYUGZTKcR&w5EJ21CU!frJVZ`{86nWzA#A9|D75A`6J9F-_C~qP z=0A77cUxT+)p1jPnb9vj-H1CC_DaqKk{s9(j2l?9qvrVRj6ed}Y*Cl_s^M(7HO4Qp zG5Nz|C^3^U;DVZCtWl8h#;uz#Zl1e>|Z)JVah<6;7WJy&67P)&LjxZ1}rhTgCslV4~>oO+C zsYJ9{4e2-SGwd≈PN%6Z_cX8f3FpJjsr@MonpVq3-?*CEG6f07($AryZ~|a}(r6 z#k^u)2t_xv`m;Rzw`H4_z4AL7g2-Fvk|{hO^NVr6|4)^ues5$s)QyiAoJ5SowjJmA zi+q|srobhPV|Wf&NZ7iA=jA&1@6TB96`B>XigaD4>YoBO590yJWG|>~6b>ikK!mpz zzVNzv<-K*Cy6<~A($p}B*t9KuH~-3Q?>gf4WEw;8gG0*|f2`9&-$rx;y*<%`z5Yd7 z_ykv4=9xe=-wI#78mTL-e%w&r7_~pZp#73??_xu4$qwqfh*s@YyTDw4jBC4Vm`1`UsF?o^ z!*Q~#9^a#?yS!hk@0LR+hokP=NF#C?q6jIT`OS@|JntQgo}|F{vlh8)`t{Vs{%Qm7 z*TSEAL47WAueu$Om5zbKdDmuI*K4(&zUE8}^b8PUlc`2a8|CaqQEw}1`n=lX?Dn_b zmi9E?aiQ36hR;^whXD1KF1Ua{%+^q?$&~3BESHspDVDa93y`l~N#U+n@6w&P} z9VSjHy&D}iTC|A*RpDhV*v3iaD}M3)d@8V58Z#i*fJDDoH?1HGaML{xOdJ1013xs3LHxZ6E0x92i-%XTjo zEyJRFa}=7NnNZa%0c{re_6KjA&{DX@Qa=Rj`=Fv<`%#-R! z>C^7Fjx>_RBQP^bsI0T5u*Ju+A}K5q`J%(Ogk$HRCo2CoKZxmufs-2yT$z=A+^al? ze8_o?%&*j3xtmDAU5$d+Wi&cDrlF%eMb&H0<62oV$S5&RTfVTw4ZqYkCE<*CA>#p@% zNv49y2KP92?-fcfEv+a$`cjLsz@_}ii7(%t&$b@w8@tALsKvtsez;37-|E7xep`KK zqCqBJiG;KLKXPtQV?}ZcNzep&UFkN75u)~1pX}C_qn!ohD z^z}PmD1*RX(TIOe^Yhlt!%rs`w!%K?%jAUADsa@dDdHb33wK&0EvB|*rABonRYqg@ zhMsxC!$7caRziVLe|#exzJc5SDAP|p{WaB6&30KO-`!;#d3pA)Lk+tq^P9JhGQ-2Eps*4??3H!?W+Bd}sWRr_6?}5OacYl;bCZ7K)vW-v27~`Xv&&AR%=n`|w4TEyXd;u4Px!E@?r;EwfOcK4vA# z-o>{n;8tSA|2X{$Nu+15%tO)Tjh2)8GW$|4W%edu9#_lKKJ;3|NE&d8%=C|`mOtYL z(EeH+f!$lW4^!4b6(`_ra166}$`di#{HE{BO;Dxn1J&G;wFRAM?V>Qh;AOK)b^{?= z%K-s{;p?{46try4^XEgcBwY*y4WmtYya379g0SsfqTcBIJqhZ!Ski#E^fw+~~nuZwS|1yZm7 zG3hpWF;mZ^?^vTx)rwka-m4-{KttCRlhSPEXm_MFhlJQ!Q7NX){zT}{i)q7tfNPH& zzYP9(`-qwndF4)&r+_||DGF7EWk1&2-{=CmC4}!il^I$4P-mS*80ejzYTbM|2bD2q z%B7;FJpS3$rLQMZal_E;50%S;$M#hklN0@D861lB$=H-CRXN2?e#cIcYM={1qX-~N z!JA>}S+QZE$hybq|5lx(){n4(eH21WnZiOwjF;UdrK`&0%w<#&u;tZl^!ei!$53er z+$EXINTq-7Bwuc}Axb_pl~1@RCf@(|vj6h#)e#OBQXy+b55>NXg`qDhy5TvAoL$r| z)ox{Vm@y+NWXpR$gzICB)XQSgUv!d1>|>79%XTzKxKc(S6JZTaUJ($xpIWN{x*kVQ zc=+o4KYV-xv0)pQM|gs6FPKrKfp@BcNO&3>hzAAX8;k@;h+CxsKMaR}hBBOLa+l^t z>hr^?!{Q>Bm0J~+Fg3XNXaqs99n>peCxJ zL$>yx-|k4ORsKxrGv&2JFBlckQ;)Bg#-09k^%7FNSK+)LnN*esZ{R|oIh^4CVfF%b zR^IV$ra`(C9o(5}zF6+?x;X3KmBT=PguTgMoIPlKqhLaA4%FK7m&K&L+0D`B;{3Cs zspv5|l4ebE3OlT+kI6$UY1xc)?FAp*WmpNg_J5rB%i|i-e|~!VaE$jFbQOOd28b@F zrMLyeMkT32j!KN z^H=nn{w6W1-IOSc4FB+_;E3{~E8OvcM_SSFY@fn4`@?rk4ivWV1U0e^xOD$9IBe{3 zm#~LXp1=1XeFpJT`hqi1l&K&+KL7R7t=}>wJ{5S@F}|+lf~fIZa(26~vz$A?|5&e) z>Px=v7sA-+cFf0!RD4!+@#zeg<Tz_keiG1u!vvL`_4MacjW`e#?=W#a+ zteG(??%2lt!b|jOa*Q*{g(cCJ^f{JNJWXMi)W=T*I+T;n96TCx-dg}aH8-q%A$sb0 zhNzxj(OVhnwx`djMTFZ{cZlHEsYV0#He^X{IA}8c<4`95g`t{DM~U?&)K*#k^uR_a zqLN2Q^aDcK0=41ZUmjDj?vZKl*d>i~43%bQ)Ys@%5b|L-IJ;u~RFoFLTF)6s1wb-J<>_LmsHj8skV80JkUOhlNnE@jB-555L(c{9u z(T6?qCoTar;-{!~%w)qAXJoGo_CC>SeqLm+=JTb-tFrDrV+&2{+(&8i{EK=?EQBn{ z-wmv&K}JSw=7b#A9UhcV>|H254Y482!cs~qL+#i_=U1o83nJt#j>4Wi#YcKr1n^G>51<}l;L#(&b$?M03 z;nyhm5H%J_oy%G{p&ISeS>x|zeJHxN3svbgc0iQ}J!(diM`W%R$9)p&_kHy6dfbiF z1x-aM=6%^VH7_q6fl&KjIuBN4*sHb>cheVp8H9quS8S8Nzr*b1pkY>x;Z#}aOd8MA z*})J4)pO5gR*x4$b|b-zVA+fz&+Kr3N#FdqHhHg*%$hW+`qPIIY_jLaJ6@minb3Yp z9yC$*^x?}Z=o_3@zi}psQDinI9F4e_zjm?x1v}Z-VH&rxM(Ck#4JNVQONx^AfG)xLS6VV)iq4V zE^!d@H=Y&MQ9=$sagPtIztc$7YK492r$PAqbVXRSuPc|zZ9uGBK^;%5It@oDM1K0-@NVYh zu;1shA=N03=~h=gE8`=g^o>Z8J>vXL2#jo`gzxOh*TfzuUqmd;Fyn^M%|Q;1x;yxo8BA{daT1hp}w z&ZG!*aG8^fO=Rf7oIL1i%jj`k3U=lgKh54}QucMsk(^l;Ty(YJ6RND@K{)3un!ZA& z+EpzFc#GXkoc@p^7xHyb-ZT~a;dMqod-%FooKAgViw^$5G)zM={{h`uobP&tdS~%` zi2Wz7Q-~E;(9uH)G@_G6V+-IVBFp2r7EVKL4$Wsjj{uArR@LOcAfUW_$u#+&X;;Du zp@+(i?zr-Jy;%0+NG_NB!Ub9bnj=(;2iMHX{Qtm&$v7ypZdKghO+vSYRHL46$6Jjl z&M7fx$LrWR&kY;};YmJ`HW3?UZ1(FI3Ysy!?pr^h7dF3XGBZ_2=zAG^ZVLJ}=-PXx zKYHK)?*7^h_!$23{*t@i`q-N7@B6%m75eGx{Wh%Rf4kH!^f{UT^?Zq%|9J(QFPOXD z_?ccD?-+1+70|;y!1?+7NZ|S99$5_%vOT}-BW-VQE@y#4NX`mEeSS|@{YcKUf_;9+ zS3;=HvqF6zQ@{Y9>kV#0>Sx%1k5!@1>9hR}!~Qp-sFtCxb)chMiT(Z4mH)Y>qXS=P zFS+#v_Z1yvJLMJ-w9g#&TeW9^!%o`;sF-V)vkI6gvLC;J`3MD!*BhPzWPxoZj=+yb zuywYr{x@>)maLCgATdIL`kt?607;;Rp<(x%A9zdE%Ng)`QlmZi{RnuCV%36m^?23a z{{(sncVA7Ze*4^xeGxi7uLn{>FV^(8*Z4YE*4sUAL}JzCmml5RTrJKee*0JL{`*JW zvITWr_1hn`NnPs>SD#Qa`sUZb57wlvuC3lTatZ~qQ(Mn~$P#OcUe_mB=`4vw&1-*R znLFv%Dd4sJ_Sa+k*Z$XM>Znxy&)3QL&ySDC%Wc0~O~-3)q37y;@3-=&?0~2CfUkWe z|7UI?dv3*ARBgZ4QvspRgU@ltfZNCMfTzd$uM0!JQ$)k(p;gDewzvDse!sV3jnQ`Q z?Gt~H=C*5NtM4f{+cA;N)aLf|(AoD5#pv?r;gMjw!`m~!Zm=A9^BA+;{qmUSpL{FQ z_sAKt7<1k4dAA~<`QY%j8uj)1Iy=i(K3>Ln`6y@D{c`Kw+WRrZ?dULsO36CTz1{mV z^>X9X@p0Df2F{YmK5F;?f$+b69cz?J{-jqZ`8L0docEV=;-oB zJ+7p+^!pv_avS1YcKLw{$lNM#XjiT5vfa1Z_ea*{?T}_u;Vr;*ZQH++@$Gd|X`3tH ztKHGDUmCgCFuLo*MAre9c>DAIv0F8iIpFC?!zRZiw%stkK>ljWKP>DW2JU6Wu>a+| z+x!x2^|XiETPdjQC?J)@r~k&dz9#FxJnJ34e(yWmGDy=#!xDr>g3cVr*29XLr^{8H zM5XrYzJR;$o(!&j&6lb3o$p>tkM1XbH#XAh=a#oW5d_>{J~pmfC^_4=?OCsM{U0`J z=}=Jqg17iU(A}CbZ+R5C`+QFR3%K;2d_^DsZ&P#)pM?#bYbXXX( zu>9w8up6^jaeBha)zsq=G1TH}Hn#F7fo6F5FNcvY1#d&jnQ|HV*HJj#h_0x&x-qSOk18)-9|iHJycP z<-m`gn)!rO7rY;Y!YQ#7d2n4AXGKj2A~nsXpwb$dljI^VY*5l}u_pGaH)rHa5FCjA z)vwXhkD|x0AF4f(H+ERfPt+?<=SB4rAe>DlKMRHXws9-;(tyP&RLOdnp?Vpfo!kO- zhc-}w$}B$D=GXQPrnBHM&ET4tBg|OF_>`bJ;HYK>05dEZ6s^-VG~Q!! zBs8RKMlGtchQHWN3@O&oa#*otB@a3@mBq0O z9!Tf+m4Mrv9H4fQD8<3b=3B@ll4<*VZ&Unn31^${pWZ8XPE>rMg2rRD*{G1{tiZz2B@_F)awi2{{_lZi*JLpZW(hX%EoH49 zc`d3XJ%*!MZ9UOzr4FyCoY)__d{ft?HH3ZC*Dnb+g76t?!VO#JDVq;s#4d&frfe^8 zjDdV3>pWB(P|_M!cPaW)%EbVl1sbJs)_0XxEgkBI#l(T@uBmo?zus@_pygC6@^y)t ze-2k45^Y#3Jrm$>61S~q&P!knR%W$1735Ski0VkccPpQ-g$?4t9zb~Ro-?DutI7Em zA?_9kVece1<>>UkU^A$}=A^lOhL!NZO_!HBxw5#dgjTR@7 zb}kl9Vz0R=NBic^kO4?7d9Pp^EoJvf)pY*xz zu4g1(ZzSd;Y-BIEpb8yMFu--U?PI3a_ku!j5T3^ zL$ZnTa+|@_19*z?F_`vik%T$k#&5XMf9g-Kk-pqBFZQjA5;?NSKTDAI6nrolnBq`= zJ3j#pe_~4s>%x!ddW8B#WCP_qvR(LcZghL;$K%WL`#sp;B=g&w9#NCpiLPs$*1>S& z{M*_S_-`;5VJ$K^9sRzQF=uc`&>U{R z{E3n<#T@QS*7KEFFlUH~azNhhtt31L?7W&dE^^;r%t6a0HM8a1MNBEZ-`j3~(1Xn# zz5^U9HK3^4`F#LrBkpOs*z-y%i}BoK_HL(hsb-p45C(^e_FColWW!=g%_J0sg3EB= zVy{kU*Gx+tR95B@<9d|m_%p4ax_hj*mQ%Nm2B_*9E=LLS>@v(jb5mUi!=$q0Nc3cO zB}+-|b`fFPzKredjS@?hSJUJ1557Nwsov}O#vsvjBpypksP$N;X>w{|cuzEp|2VVvSA@*M=?GJii`&Khs;s9nJ{z_z<{fihXF}CA451EP#ER?A z3$uOv>nGjh5yvDKtB$TT%h~8I$sa{^?&{!1{7c8V=ge4myMZ}{%8W1$iw_dqby^pl zQOfw7ubd5wx|Gmjw)XbtS)XzGH!XJT=wkewMTqZXr%l{<(+SL!J6X)U(-VWM#%e&@~15C_YES+ZwA)XLnl^gwJ`OvpcxoQIn+^Lg<4< zR{-dez_3yxFt6M;z`JBkGu3u0-TBo(j+lV;607c^iiRQ#28IRUq+pbF|D(wS$%nUQ z_1~I($mt*YC+hEiv0)!RvH1nFtZpWUi!?Pj39+{G{r+k@sZh!rjA!8~q6mt31YUa8 zo2awY!9k`lPp>6G@_tLe;{=9eX3=nX;8V&AtLdn3m4f%N5T;v&(gdD;I5AmRyi_|j%cefps}Bw$$QTcpw-b_$7cRBbPL%LwjE~h{d~aE zW!?$!r4Ld3$WGZ?_=__Ujo<3S9;V9ecHzJmEJBP*Dz-R}-fJW72eK@her;nh9;}&@ zK0-WgW9~z{Ufi25ot&>oTgN#-`Mi?KrAq13JtA-Zu}rqW%_R?K*$Jjmf(kdo#c)*D zMAE$^28NFI435j%P)`bg`{mH(9-LCbyz1yhfBmk=M#I`LWSD7t7=e0n*%*Vq{&U9> zjTIK$l9k9oadA6zGpb3oSdV_@hY99uBT24EZ*kS7E;r}4YE)ikw8f!scB_E2&lUP^ zC~oTPD418sH@_Lb&P%WSUlO5rfg3u8*DbItr;C>p2c zY|h)z62jMabM%W1sTYM#i2%7Y5(+)XJRcJCFDU|&(kyY;oy=_4SG)rF&Z z$L0 zN^#&dHZ7YzxZ*~DAGr{Fdzqg()K9n#H?<56?>lnLm>#qr`Cq3i8)!ef<(2E zvUUkHfYy~og~Z=^`Jyo;U5&oJ_K$j=$XAY7mtqs+)%{eyjPV~x?E-8BG$bXTsviAT zt(eEAGaBy{=1G(i+JoNs-@`2bHKW4Wp=$s0nJJXGvN6ppqp%{Pd216p62}~s(Ag-n zxTRguY_SNfG+9+QStLD71~Z zWDw^)K7{7}E?C5qi5mLCtc|6#tMnTEyA>mEYQ z9okh39geyhYkk8$sJz%JHN}eqHpw38;a(-JA_veYz%O^v&l(H)H8|>Gwx;}B2&|GQ zJ|5{_V78rIrT4OlUU*x%A4~9R*E#f1G>_;CO6J?wRJ~K+4*jlJDxJu%7lgxO{cz5> z_6v>}@7Ax&v}4S*?$t6Z3PUnwZmu2b8mUO4E&4DiEFOjJm*rely5+*ENkNq*3;c|H zM&)xRG^Z%4>p92SI7g0xT1%SUoa}sRZvOlZlrT zIJt7Lo{5mad7w4NbY-Ib(8|mjSFEXA=dK0EH*<=-%`^w+sv=WWoP8c;kt@TNw8Xpi zjL?Wgwrcp%#i}y&KedWB{Y<2m^_(7z>ScXan7CzDH=IkDr`vl6vHe79 ztBWJckr)O6Gw5_Q8w-vGIbu#^+8wH0G|MN?)ftMYh?Poy39CA;3=#5)V8VYI+enDA z3L7fCn!@v{brd+Pu4>%3&Su_k_sKMqM=7{>tW6-ce|82T*(_^KjU)PdPo2o&q)5&Q z{P~ENGm$W|%9g~NiC==4x`&s(1Q|oPOavb4qBic2IyE?isi@Gn??<$3B1YPVK`}WC z)H{@W2(B?ub%XR`S9NyraG?2hr*So;t?h&Sz-HPISukv@zx%2Q{cnk}O zsSRR9&~PU4y43s4h+pz8VcV9105LVdBM)*>lZhGOf~BbVUq9DiOR(?i`lr?ZlLJAr zrHU!K#YV-Gbfo_D*aTM4X0r3u=8{WfdLFDrWQp{qwE;!RCS_GqzJ8?O5!RtU$B!n@bsdutbL?F zuKbz7Kbx25%&cwmj?vb+0&@+n7SN;m1jfW07D<>{LLof%$w#u`MoJ&kAqQ&k9J{43 za8m=t#km=|(xxoagN18ze^78!2C({&$ zT#9t=rys!yAg!A*(Au7UC=ykFoU{BFK;TpwLU!8)`H#E93(NtLV%x(W^KRHPd#U)v zto_G1KR#An^=V9o61|e_Mxt5zMoQ~GQMWZ*AM)3!Vw&=+w@UuWDcA^F|L=qSWeY!j za2W|i1OEB=c)HUz9c)#*ELrU3{1JDf<$Hoj6Ig|zYX+l0pUSdZ-DNm56SOpy?Zlcx zEdN%4bt2RO*^i*MPsJZRqJhpZK}hfHSM-_RM5?{ZqfO!&MrBwKP~ocvaa|Ajff93u z4zZl;aAGL{E?u}FxtFon#r1FWaj6eV6kLG>LIwoyEdfH3mIwE3xtDbzJM+?;3B!}L zC4C6-=EC#(IPs@#-;r;Os&n3fY)xDC|6PB5SS_d6aY=Ji7K$A0D8qSxJqo%sV#h7W zV()#F-+S$)cYew)<^mC|3pcYGpOvf5|6g8mM*DKo(U~m1*56inYh|mTnkXz&<>7}V zOF{{41BUz>*jquEr3;(Nf>u|40L^)(a2{8{PDN97JN~&(k*!Bk_l<^&7IIyyXLa)X zRLUO<>c0g0)fdn|7%D(2$=UNFJg_$Zvr3{%Urxj{egn<;sAWQs7o##&ZpWb)hCNVT zoXOUt&GKa~LP7ADU87OefwNr#=4w87RK>f!e@o08V(!mSO=YE*t429-mRE zQeM-r$MFt(7{5>~3-+{^0XpQ<_PPUBmZb#%!(`K|{pO}o?n$K~@3)v^>BP$4u%4rX- z+o${;DZN!@1DdiLA{@-O4MRuY^r&&gXTe>TMd|xxIKobHDI$jD>7hr#0wG%5JKe82 z)ycdE%ZjrgerA}CF5PZ^3UvOv!L9;VGH{~^2%|S`z_)#sfIr~YnU~rd-#6k;M-0sm z!ZG3<4JWHbP+p``#&nhRK3eAxD%5U)O@Ro~p9j;R5&7^chh)CnsYMXT_lMzZ#&0@< z>An9Q+Q0-&wyJpAIKn6Fys_g?YHXAX0{#jyepz80%v|=Nh8@Mj;&xsaY+=0%e#}wVyEfR)=>{!PN2zrfwsr*ju9bLbPv|LLe58hZfa26T7czsqjgb%c zS?E!LXdk$uEHu3BRphnaC^_O|y)2G{`ITDR##tr=j$g69;DsVfKAuLx3FEC5FnGc- z#V<<%mMAlV(Iieps*J)1#yMln(yDR`&9tTSn#ZW>T56ri|BNl{OHz`U>uqp8)+0?( zr3(jE&O-swD@5i2wzbB9N;p(&MA~) z|HSpqvWQO2sP0ma z+s5VCs`HGf<&;-j<7a=!AT2W}Nb)USs^1xc0)7#+v`xMaUS6gX(ZF{(?$UUP9pd$UU^GEOK?AknyQ$TBq@5a zjgc=7mk7oqs)Rvs%yEk=IS5V#eBE7hTKne+?m!fC>V)_cCCo6{H{59u*G>=U)uRex zi676}hYS@LO{{i}1Jfn42sGnvF044t7tP|k5MfgkL|-Pr5*35MXPYY6;Q3KAqsDV5 z91B4)Q*#*)pdHrsGqzh+t{{5=XcQ`F$c4muiq_I>a;R1SJ`(PLxknI|{Trt%CFZXQrvH29wG~b`ar*;4IZM&plx2=8m zkO%DCh2;a@KF`~Npi;XBI7;615$D1zLym&6ly7^rhyXD~`_f^i?tPxK}+}aF>ZZl+8EKb&b41R$oX-SoSu$J zO0^9ldG~pg>?0Xj^Bq$;YGaG;RQ3izjsuRW|i3D)}pMVnc>S z6T8AFocW(JLE6egcO~z8h=tyw4{}whXvx1&%PVvNKG=hIUm=Kssg^OLS*X??V39Mx1jdl8`$w7KV<2EY0~$4N)o**S*TA7f=RqLtm_B<$dqw!8(?$E_nX= z!wFH4=!^|BbzMGI;9~0LBNZ4{CQ-9`5mqTIJwym8S>K8QLqQN}q$lYP0rKbGg)!#f zS!uTxOjGNpbQ^N^)_mC}Z-Ac4QqXwbO)@b6gL9dKN{Nu9Gm!6y$GeyND_`&Lh4U!jc*ibv*Qpv+o(5ul%4qXcfRV z7~ZBN7L^ ze7y+tYD|dgxu(iF{|iD?7vWA%JRu&gYR)v4#Rs#*0-TkNc1C(=vZ%C)KUKPPzs;2Y z>)iU`UVpTI7K?n?0(fhP2yJs~+|9Rk>jSO#?~OfH8PS%^kR5!xBOaB<^^@W?vcm8T z3~BqCzQI9j-t1X{PpzVC-EwCqNWN^}c;Y?zeHNx15W0EoZuHSG`+8XsN)KDvjeSzT z6x4|H%_#d?6(KxqL3Yp~jM!o=!eGCrykQKJ`J}lDNyiYEfkQX|0htjI5DL4&9-xid zsru`?*;%TZZ#{?$RO|}cv~z&dH1GKe>ngTZ9@XgSB3g!;IhNj5_iyB?G!U~Os!uMF{g293(bfz{v3Q5GakFet`#H* z`VA>&P0ZpQ(95~4rLfDX>3`Iw|NHR86%2(AHYpDcdIb)x~I`xTEwfFrDL?zUPiG3)qpXC zZ;pNs1eg+np+m zCL)UHuk=$CH>*Lj^Gvw3&@i#Jto_~sVMe7!F0B+YmxiNa;C5@NNkU82t$pP@b!Z=9 z)dJ`zb4&$eMvW)7MpvZ+(_;`lj!< zppzuje5akUIhV|J{A+=DioP%l?SuEdQ}k-(?YbDc*%Uz714wm{*Du-$Lp79rL?{G+ z2GOQY8UDnc+4{X|+yHWO_Ua6KJQl~95!7+=`vGP^PgtGHWR>F1Z(~nyI+?IXCsq(5 z*9n{Lre;#u910^hP&*p^BD8DC&Kwg}VYHpr6E^QC{~YSz1`m%BHmZm^Am&WxYX}ct~4@Zpnn0HWh+v z!qXbYL4jDv=m@bx2je1iGwj+8R@v3PSNPT|_oa#!395TGOyTxTUhz8~ky?Npn)pJ4 zeUzWRArm3=TYoi9*n95t=0R01ftN^_%_o$e6`BX*>IC*~uVJ8d0D?MCMGC3wEO9F& zUCr{5ziK_tV?nY*pERSis=>yWM)PdWpC>avlyf0SA>?p}4`R0=d>24Z1nk0_ojscw z=RIA1ii@iD$x=WRuOI=WVkJ&-XRrcQ&fWuTCyXeiTAa7wvL8yp6J$4S*VI9J)Ikr~ z{!+ITK^)Ygid944;`AN!=$=0h)6o=^nnxSAF9++)y=Q0VVv0*p6Hkj!jvI?_>i2rO zDD#ygt@5HJXAqJUZ|}@?HPt#UHbgZThj$A^bzhffidBEhs#;%jAIMc{CSZ>^ZW^~RZ zfD#b&-{5whs!zFU1H%dD+R!7vV#E;DOiD5i;gm8E&@Q|spJ`1DK^*yG=mJ=e$>rZJ zx2X^!nJ+CPt*ycsTtb*e?&F9y69OgUzec>k1&uZLXY&eb7 zKH-2$|GwWV#0j;c2 zgNCmT;BZYouh-qp4FJW9*K={3Xz=}-SQ{Bz+@#E%TpCc_km&P$)NZAzYNkNTxmc)% z2E$WC21ehh)$I)comNUPrO|#%*cj*B>G+rq_AloxMV)kP@#<+P8^u!Bcv5mfc<*Z! z?N8Xh1rNdtuI%gCgOwXcbttt7Ui1gRocYVlBt%Kc$)U>vjr@TXw3s=RSO_)N?Rd> zOOk~(^@7AXK=1RW_~c0X{#*9l%G+mfQ5w6W@$wU#v(}H8>AY!5tQ6!z@iloto@az` z2Y9P4N*Z4qP$1ml7}xt!i+Xu#kBHv@qKoZs6~ps8zPy*Q2}i!UZT!JFCHYhzwVDVZ zBa!mt2!4OTd!PUr1!nGYftEevFA!?M_|!Mu|1JksY%xB_r(j7ZG2Wnm5=g{NaRsA5 zCXnk?qvW`poU?~rcP2emy&GuNHjI@G^wsya3_PmTCR(6D>zI5eYawX#^P_qbg!uKY zZxu%6P1s! zB}rFTW~)}1;d7K0tW{WF&|YtcVe*=*QO=d*n@Z&zf=^_vxziE+Ez{GY?qEGbu_m%l?}}Aw+>1yNvq^M# z&;ZqX*ue<3A@NxGey|!F16|uiAOPJnWvW%Jprsqt!*^nb?ScT9SGbLlAMQ0X0#3y{ zaoDVCcW>B*NrQXhndIbz=)>70nw#ktsk<|^G`ppKh<}Mk$;}GzM0r8C(AMNFubjOb zvvA$9D@8v&JyzoToLU7SqKbbwO~$Ey&rq8OzGQwD8UQU3{`0tBYx*4W+SHl5!VyKJ zwnxCvl{Sk>4R~3qN)}t;4DyBGi)S3prYv6x)@6zPWyH6}bCSxEErqLD;9|~kRS~&5 z#j&1F?phsVtr{f$(sCKbP3}RL2?;L_dAD(rsgf14BD?e0x~b3T$VV@KC3bY z_rNKWARF+YOT(QWPSDaM4m_ADU|$_m4RN#+ak+8uuYzGB(TNV6@=P5h27xUIbwu4d z7sH#Aro7~+7h~|wa*45(+)6*UTOaj~!Xe1q;?eMkEN57dS8eaH_94EE=R&0@ktP&U z@K3y}I6rZ~0beso+_S1igJSImrvU{T@v6RPzEqrvtH}MchZ-Cq{HaXC_u|l+`R_6GBV`5rh4yT+BY z*B1}uDe)dOu7{cN*nQyaI~8CsjPMI*Z+>6S9WFSVbMQF?`~>M9?2a;}P$Q(iz%TBH zEJOA*ZgtRf?okNbv}j z;iXOwyQy|zd^2GU@zzTojHbHJFalM#Z1p2vc!>;T>)w|y87<)r3!@Bt%ROa{Q{C?? z=m4xYO78O%!|!p+ohE2#*yBA8!4^=kHbe*fI}mtn)J({^Q%8(#{9<(#LxR1rZbZJ#!pJ>9hn^>+81u9%Ht9JwO* z@2<)FXgTij(;aK#%v`Yg2HTPuj*K%4%XgkK(NBjez?Ki~^kXL-ZY=5ipJy#rofy)V zauRcedT9@JUFEB}QM6=&fD3OraQ!bbDs!yu z?@{E^u#i{k%b%lGz<;&6d#9nj_}jkMDNM99;?Q^SB5N2h;Ke}${hyX;#c#<9C&eNt zV&|O?_EqEvVNm_TrfBB02%&hO{v4qwF0(a5%hp&P&kn>fAXm((AvY<(){w|kq2180 z@pXBN0LJtYj2=pewydr1I5^#VFq%cqK!&X<573W^nSD0j7fm}rY!)T*utdL!O=--%x-+B~<*OHw@;{g5&96ZLh z&x18!k)4_8poJdFWTl$CWktvN`FN_d$mrlX2Jywfsj44)A4Kb(%Bk~7cancF>=Wi> z@JBg<(@wERzrnv4{Fs3zwS&TX#H7@9a+nTgMRhil1<0g&Pti=BUNSV_qQTP`ghELy zz=R&}h5+-xyfFb4PeSh`4Ohr@VlqTW8%>1$`PK+o{LdNEn&NW55{^*?3}3VTr~T}t zURkJ%6yKT7Q&_Pd*b|Mi5#xYKMS-N{D#2$9KFaOQW zGXw5{&^B$cw_KH@*Cjq(N_!F50}yrZJB#@mPvYd54!FhQkRn1YLcT|Dv1umeI~Elg z(lD*j25@-ptuz-p@k@3GhWs{4f)jT~+hz~JSQTY1-o5-e26Aw49R7ZvUzofikR0h| zvz>Z+cx4=MTAje-gmDoyWQj;zVjc}(C}XE)vX*hRY)9?C`K3wq5LHNkm8NqjqTT%c zuo&P6KHNciSbxC6YBvCZaIak85>`ZN=egN=Y`;Rixh(J4324)2Og(rzQ-Rv zLVTR;S(FPy{&1vF72X_au2QqyNZPW!(A)2b?sfFx+7z1BMVOMjv7k35Pl}Tny%|Hp58vL;iT3<_|Sbp%N`+9<0oQ4zW0y%Zg58K>P~Iri0s_d{%k(M>~2epCNX_Yc~7!6g&lZ zGo4%WGn77i+WEL zh0GvFcY{^#2ZZ~o+fmb~G9rpb9$z3+&ioZ!)Xu0hATsW;L9dOtJ0H&>aR{wFqjv8T zTY>)4v>>)|7`$6w;{xSq)!HV;2bCc`X#*ol{O&r0hXv32E_~vyl9gRj5Kaz^L>H8I zi>eEIrCVJivSX+%QsI#F)M)Z$*;O(IP@wZ%!E$W=MY8I5Nv`{1R9XGK#opj&8}u#e zSZr{CE^eZ6=Bak`(dg{C2vh`fSntAEV`&mMgv|v<--ZmZ@sMbBs6Nq7p8`Hf_dMY6 zFSMONjSDCF%0!}?a1jXmYPh0i7AyT1&fSd+MG_MJf)BdI9o`BamxItncw5nL5D5g0 z@ZyCS>X+=v5!wi=*v{c-ogXb|WF;nuftjnwUx>IM{c>tk50&Y?3*O%be-cDbhIjal z2*Nlj$!HySvt^w=e4u+xmponZWin*6+c-iXIjR8hg@y+IL5 zAc@b64=r-8k9l2zbZnq^m{B%(*LLXHL*BmBN|SNyl2`Y%hkW(?P4%ZSYlk44F=m6f z3k##e5aYt~0y9cr$h_+Bv(Zhq%TyC&F@+|NDbis!GeO`o^KN~ndZ@9+Lw?<@KHjMC zHR;CJi0*g?goyho(mgxo79-{&8iR0E?_=h3YhpM!pJd4U@Q^UeG6*ns3B5H1`#WkF z$j3GgP50Uh^%#2@dV!$n7mwu*A^Gnz-vfm=RQ0zMU*rdZ^P=Ptb#=%yrhsxf%i;k+ z?xZl#%-!SI?y%*{Il~WJQ1G5RK2m^n^X!p7Ih|rKlZ24>164|XG_di)nL%oteUyz` zQCsumBzMuxs?x?0f*R^@BQe`OQeLM*=c8|d2eq}mcDy@n%gYGNzy5t88W@yb@wiXX z2E|)R^j;5v_)^W|CZZ%41vgR-?;3UU0nrA18z1Bwkx}hqrbT+`&i>OC|C|)yO;#@D zHl6;{>L0uG(O6+@QX_*wsRH6*nFMkX>@z9+4n3!FDtsNG;H;!W%ubsSaN!1t$&^g7 zz9I=l<@%+0EjJ*d=EjgTYl5YAws0=S|iL$XgP&5o(kOf>7^( zw6C1WjKWEFAy5g6^7eEIid|58iSlxh{W{Bo>6HE(Tpn+L55^b^Lma1?rHR}mSnt5WXO0AC4Zm}nO zFGKq|oqy+U!1l9j+%nWae6#-C!xRAdcp%ESo%}l_jOpN??6<*1i9k13N_b3KKuCr7 z@Tl(qv)EqCObA7c{^uY81CWf(-6!Aj62KbZnp*A(+_djUjG|e#rh!O zJZa5HW93ug0aK`||ND=YO03`|b-4Zer=L-vZhbZ$SHRC&u=6SAdot%LxEBmbCi*IA z3!XU}S6^K#HZ>s?a#A=a1kV`Z>=P2K=(u^A%Rkg?2RLU;LGC#(gyB>PmHK$C?RWIQ z?YTuym92|18tK%?yaY8vMi4S@+gk(3YdX%tp8<*R_~SZae;26cT4bM#m91#$4_m7; z{7Z*sD1S1%G4C5*JV(OwdTi344Y1&N$`FmT?Dybh!DF(F{%$n$a-`wWP#eZWV)iDl z^Sp#Hrhdr&CuJA8s{edek5v3FU*I9814hHid|)}7U+8p91c(@4LIK&|sg0D*wO2<7osobIQwVcLE>*T#`Phg5v+2}OL~J1(XxTd ze3Lmc;DwiPQwif$)Dn?O99VIZyXft1vo7}ivf)e}cy+4A;gB8Aa(76S+Co13=!YAL zTvf{c%1ur|OFrIQ6?%6>Xx3vyV;E8wZR?&VayiP#O;l7 zP7dUL@jk?~aR3XB3mZ~_orF?OfJhp6*HN4R(idxrDG}=lfI)E*(qx68%JD(t4bvHv zx-P_uYy|h&1$gXoUT3#q&D?Xzv4lptllyG;-bYi+^ypP!Y8{GQ@Ga!Bpqqg`vz>0? z1P2%F;P$QKs{(%KS!E15I+vOmHkIs$9Ppzw-Smf5My&Q_cD0j+dZ(yHU#mnB9PhKp zUCE#z7?%E9gv)M(Wg#YW4VjPer*qcuHq^{y84J-9?qJ>7qV}5`UaE`7w2KmbRy*Ac z5_vlvv*)hV_NMdqZ@mJ++I6D)0YGF`OCA<7H@ucpV-&$(g<;Q^SBU>+-ocnh)^BVR zCjSh>SxLUL5L4HA{{m}aqB?4G&lh44$LHJcGKnJ^upXM4a#=GSB!Tc416^kEFc8CQ zD^tToGxOsy^+E+Is^PAgcDbV#8tlGN_q}`Q4M*8cpWxm{SAY>{U<8m~B5yv_JR4>IOCdpztWs-`JGViZ99Pi;mTK z9BR>5M#Y32vZfsg9lpO-8{FdP@R~#-O7?uSti=6w)rtk1;zg<8*65W|al>K!Naul& zT+B6_vuQ=`NZ1X6HTMB^@0s#Y=H zSUVASc&QSZrEqv#E9U%;H%Bp)S7ZctZ>*+{NExJ)60Y8e#m6DiudF$~XnegeDdX%@ z9X#w>U*)1U&LR_4?4EE{fY?9m^(c+*V^wL*0~cpOSmKjAsN^vQl&u|cqy0?k%#TYJW|+g)E2KlLZ8_V9 zeVpRB#Z;JFF}r`aBn|lk0J4m?!u*??xs3=XDg1jmQwARL;MC&Y?jB2=U&VhD5sz+w zsKwgtW(qR)&bd8kbSr)MCnQK8j?1WCM5%fXPU3bUlb6hzj0%~<4tMQ|(h}>% z8kz>*$7JQEuQZ*PCP7oMGAl}gdV)MJ0lGFv@&zlJ?B@-h``EZ!`Jr9XCH31*)UVAV z0H7=2y6bbRURYxeTf9)Wwi{LYvuZduck6K9_ekfHLEE|(y)w3ZRd3f(;#Ev~gM~jg zPTKIBWzuv!rBt>TINU4A)jmA&v+R{VOKY!~VxQt}E!S9dS&wShQp#eDGRM zGw`8QsWIQ48Jy@1g_PSHKwJeVw#FGnO*6i<U22FR z9OJ6a>|Ic&8P;^}$p`TX`b?`NF!yviq=C2d(puSnHO%3Dc8|w+n0~$Ir zL^=T=y1}@4XFIvDbyg|qKGZFfu4lvAR0@ytx5jk9G2GKmu|5RM;RSqk3F5+rv+z_c z)zbnNv@o^Amx|PWLx7#cZQ_(b614Tp5ZH&_A!8ED!G$)diQ=c7-P5gU5*iHwqrftu z*GSX7`uF4I@G`8>>@+PDn^}M#{EK7Jt`S!2p_`R+6af%4rK`y>ah825B0W0*0Hz(vP|1_kL zZVF}HbH?90enrKwe9F?2RZUxRY+l7JtYPD1V1ye?b2Nx8D_hL-t(3{1VVZ%heMWY1 zUo(DxHl{@Qny|_O-LG7%*zSb;X1EECId2}w;)A^WwWH<~6;19?wJBAxN<<+t zRn%NM=Vo1(a!r||+F7s&3jX_F@Z0-9O0O%2ej;WYpFPueNZqY3$>xuh!LQ_SyV_1l zvd}^SEO5@8xqND=kp)}g01nb?^Jvmy$i~td3@$;aKCIJa^&_)H1DX9FjC0;^6)(E2 zZWx2VUm3Djl;;o~djyM7mb3Up5e?1F9q<+SD(`VoS)EpZi)48soQDRZ5|9j)lPSam z?B06?YyLt_R82)6WjbkRc8S+vK3qc6tf(oU^;ch!{In7UV+}ud7)TaQxbv20d1Db- z+v7-;Fp@BGV$n4l@z>^Bd%@ybK48%G)^tbNc0;;GSBY&klFv8xbM_1lffV45P$WPH zZo%T6N7v}j0}s6>iDGFtP6x;X!#J^2_8wXM0+HrRrH=j6wwMgQfr+hhgjN194B}pK z$!z9wJoRX-#^Uwd#2V=dooeU;D+&SkKOjitS+({$=Pb-!0&PAqFX<%56&EKsv%*63DZ1-;{{rkAw&uV4!( zhbUAvV|27*LUAR!?uwtZSwKg-M}@F1#_5U2^zL*tnB&;s#%F37ulV$O(*UZ!$G)5M zY?~D6gE@oRf0AV$p&f!o_0F39s8+U!T$f^&0|i4=$Y%BU=kKxi2}cTVQA)A0Av2Ey z$oI_}w1V1{$Z-hW3L7AM~zDI(sWDO)b)i>@L@bDMSRMT;JIKK$kYF~O#eiGRH#;k~;a)VKj!x(lHTVoUGM zO9h;dNM&4WX{GNC_P9;+o|=daY1olH5!n z`&X_``6NjA)BjJCJ=2dhwAuWuwmc>;)}{XITUFGLga=1gAMi+9Ay9%B{HiD&@@R@O zf4issa6br8=Lnp7ns1VXW=jS&rs*MN#-^WgktXZ#FeDjfk0^5lsZv_T&3i^J?ksNk z2Bd*vXEs7(KOorCkaiENlp`x@(NQD?n92rG&hZOq!B?LHRop)U;Mt@jrWeT7PTVOH zj9bN-GX~-x6~Ne7{o1Q2$Y^e_(IXm@03XNlJ@CL9DF|d$4xxhIW-!rAK7k5bQ9GO4 zs?5E6={JQ?igzHB10iKWx-a6Yd%1dJzqc2*Wi!^Pj6NHhu`P2Afd>I{H*LwJ7AAol z?%p746*nbh)u!xst<}~RZ*F;<X=CXH^eK0`AG zD#Il)#rNCi@!*okgJLD^0#sZ})8On{WlZr=51BvFk~!FIFMC+y>D(-&ZtNd$t;n+f z*q1E4d>6DWj*s4t&hxeJ)d<5>VH);e~X;V>ysn z16siRp^Wg^I4Tv!0(JUWKkAv&CGLH}5PJi-sg0IKSLp~+onzchmQZ(XBhtpFWGvf0gcD`iVt+L#7yfEYy+zh!gqGMYK-khUM$@#Z+sD} zJ*-7(8dSZ;nym@Oz@x8KL_w8!#Q==5bjSc%61xyLXJA*W<_mXpnQoo`E*Xj#QR5|y zNd7~BHb;!;L)+?kP_ktFqi2I{!gLdD3G6-g$iftvJdz-N zsLfQ+rrF9FSXFJf@WvIZ!M4=Ca8rFYbHXtbSuc*AVN7gYp{NquiAK=FH`^4<)nK8G zL)5W&WJc%1SCUy-%&;VPXF0!IsC^q75Dlkte}*GMQwG2a)(RbvBc)B%ke0G&QJvde zlS?kMS+)$-@LM7Q$P=u(j!*){V@}DJEwTK#!7sltqa+X>eOMBL`V?`#sQ1XG`z1KjRI#;ufNpeAfMw+JvOVrX6Xn^n=LU^E zU>(t`f#HW^vQ|xA-Kgd`(druc2f570qImlC!XKRx+V;*_`&mj#6$lG`-uBIM1=YD0dZiwyA`#%MQI6E;d0x!XD7Fc?8)@-*raHRdZN){g% zsc13hSHd+4#1x&kUuDxl`?6gXEX3DohX4nk zNf{lPk>S3x5W%NWIb;mEd3+CSXNrqqDxrb8Dw#$B3*O7@9ZK6>%WL8=-@Cr$4$(dGtcbhjKu*B+d)o>khsj7`;amDKl!fktfD>P%$Qbp0}2m&b9W?<%g6=ReY^w4sNoe zE&}<0`ccUi5$Y}NO6Kisf4Tn4T#?ieGqTS>qd^gEQ7bgMms~rAD52nR9lF#K# zn)o>-=>dBTrR98z^`FQu-ps;0XrYkE191E6E~He~8K@6L135k%v*ck)c_KFq+?m)a zXiaJfL=cF2(HqOa67bx_6rJ+KYkscYV<{W`s1fvlS=ND6ANe;?1(zmnX^B2MJ66Qs zw}_qx?UMQ-*HDjBU)CC`jj=puqd6GI9j|;Ym2R{H3mJcHMS5133g3% z`nc2>tI&`V4@8&fhz%JNZNzEu2i(xA39`%J^R50A6pS^2ZMNO=M-9wAi?>ae>4U}E zEW$Q1SO41E0gghI*;_Z%x{O>NF94nQD3y1_L~G~tk~I+khVpc!zD4{@=HNXn3xKCJ#b0gJByuX&jv34+PG%y;m43F12n6?T zHboo;?`DbsgGFk>k}Rc*C`H#e*;xH>r0GRi$aW@ad_%kZ`ab*kbHEr78*(M>$*pB( zzDSQ=A@KyjqA3Vs+!nKz?5EEbqX#-)iQZ(B%(Y6y7bQu*>)+Ha*1I8MI$Z#?wmspw{G1GI&#=;?d8we9-Co9C#pUyaJW<>3%x zK=y{MFj;9>XK<;0h{G9OmonFmLs1Kn{eWd3m>g(N*P1K#p)3p;`ZpNNq|&Hq*%bKc z(;}S9wz;)VDdi1eKHd*p1HhX@2okaf5}09!z$zj|cO;nLr) z-??d74XjTL@DKp1$h-aF%IiPj+j!K$f`5Ny1OLwb`a&s&^Jz6Sa4#4S9bsBiT)snG zWt~%@qr!WxT@_c8OhXS#{DSpQ3f^L`H~W4wwO((6)HSWx5^mq*j=bWk(k*Q#w}~4) z5&dthj;z8Cqrt3#IZbv>X}y8Se0#;PvNRQj5~b{ke%y}h_Q_9Ikh>yjC_KTydxJ|3 z-;Hn?n+L&OJ;40G&*~4=L~e?&U0W5HosTgzW~Gnr;TMyb1fWlx4x-xJC*`P`9l!9q z_mY8l7lP2;gjti!P6IE%iZUB=+u_Ra#zh-QWpT$o@{1?C-t{EMq2J`fB>)Nt*ycZK z)wZ|@84U)R5QR8#{ROJ!yNJd9tStrbe>(Lf3NwE_4eneh09(*fK6>#G;sa`AAaCW< zTv|H>Fz7gu^P)!r&%B9gxy?Wsx{ScWU09nsbL8T@UDJg{Y}EehNqe3mjQ2Gyy_m&4M@FU&}!u{k)DZ_s7`1*>Ste z$TaU?H?T^x|H|-wV>1e~&Wmy~k$UDf24YiBm%1l18O_D|Q`Yuw#IvMmDNzO!Gxv^{0pIW{5au! zSoUVo8OlXpd_&%ijmaR-d%F}XE-lwtFnJ3fuOClOi*4DK?C$PnVRm2CrtFE7JcO5- zf;S7=+0^tD*pF`rWWm3Qf2nBB|}CyL<3^BlAZx$Mp{ibEwghJEd#=sR6V z`TqLmC4rO*_ho^3HHRkj~s`+Fe^Y6sWb;+wW%cNFPtVO#a>_%WF zj{n`BES!_L_H3PNyRC_u380?a%gKgF85zq92g<0hd zr~G`D=!jcOYA#O2;u#W{Q50!oG1*l-VFlRG*S$2hyFJSw=lu`IczzCDr0bBdGQ|B` zlS+%`{)X^?YeybmYv!W~yvl?uHviKpjl4^ySz30J(pCr6 z&PT77%HE>%q9U}e>-tq_amKbW25WM`9J08m`7 z@}}E8`}ruDEc}cS<2w~MeuxV+b`sLZWxfd+JD~aTHgIF z?c`1FCKc#VW?AHuly&{dj1txgV69Ur-mH2qtW;|A=2JLWw_}$@F=Ol?8matP?twc7R=R9%fvo1Atz1|Icv3H zv8-AK$zL{W`N6bmj+wT)g;(A1B~NC(1n{y0pS8&o`Om||?3Yw9VBYV1gwS#bOu5ir zz^3@qZ6Npyvy0suzw1Q3&+De)RgECE_*Q-;_lo*GE|CR(qwk>d)y z%r~=s98x!8H@LU-X?Ji1l^cJb_8i|UoaCT8hsc&4#v%E)dLx5FnI3btfNqxyx)Vrz z)u$PFg>Nir&WS1wLO&=yxY8xpBKtr3m?+1M>{DoXM3T&3C>jZ5%wqm5`I|qI1ai;? z61mEB)bg~j3*YiaQ@l0FCd2j+RgXyzG(bM1t6p8xDvTyea1GdVp5+n15S_!Kt&S-| zGcs*EC!ty$_SA8~jkmVg8V7g`&FPZ7onk_YNh%gjiIXO)tgEG%Aw3UJ6IX1{!5&Il zdu_R;mk_A6o!aZY`nj&7RD#OI*?^^#^+eS-;K-BB>qm#f2!khD4Y3nAxv&B7rE?kr zP2j~xzqU~jJ5{9aq;0jd@j~Q_-0cvEGJqwKDvWHAPJ2}VL~{&jeF#NvP`KHKds{Zv zg%<|=7l@%E#cb7F^i?0y|CEC=tZ%Rp9)3Kd55(`#xF?D)HYVpqwVU}FiL?m$Xg)&> z!bosozg@?^yiteSZQt1-JVR#(0U=VFo@e7KcpPx$&z4uhXo%r2aumG{Ka_u54ay17 zwR_{PP)jT*Zz=V!FsksYkyl7+u8AB1ldvv?51q_2neGBT=s#JhzVuE8t(aUObDB%v z^1UEx+|xU|!3fX+L}M%x$|};1(7F;x`&2M+((J%y?ZC#6CA6Q}zENq^ESQqqhlSN? zY^{QE$kbIbRQeA7L)|c)a7CPTt%)Q^gm|GQxF_MqOh%H4XKQ<4Br!;)RApH2KONNk z*UBg^;IdSr=yuiwQa|z-M4zT*7V^`hTUDIhwpRs0=2=#}>XOS9kOqhDKplZ7#3Xb{ zQwoP*3hNLn$6^X=K@7f%uB=AhxE6bYbKL9G9dPcjHSI!C7D&Qs`=SE9^*Sxfqq!CU zQmBy zM!yPEg?I!)8Okhi(fIf`tKBzi1Uv-UfI9q~GuGNOZ_`s1W(CWQ(Csv!k^TL;(K|t> zOC~XsPJ+fLoF{b%>6(F!IxaNLyyDp7S(z}5p##AX&*|N3ri`K#i48a+hdW%tocv6c z6<|xiq)sMuAoOkRPS*)zadus2+a(mxa>-->HDVBc??cwg-ZYrgqTq4`aHgNX^7@o{ z?T5paJh{MUacbNZSg&bTjA@cw?XYr~b=`gZ@vq2fxlkN42kPa#tE6<>RIasopk#^q#%u-l&qySg>_MGFB<5_@XH(hdKDmo zlwhNEKo_`Ihj&Qja#GV^Ur~^vS?Fl97q>7bF{mJ{NczMh!G%N8*Sph{%axnMv(s=Q z74}7~Oh9pXO$ScfwvMQk6W!uiL7FK%u)fMm*B7^T%@!OegtcZ<)Xd7v_uottxo3&WkkO zEe*B~Cu^VY7Brw!<(|Q)e5$kX)_+5nr$sw>STI1SzICMG1O;9gggMQt*2Yj;`zl

HY%?6 z14rKuVl@_?X|~Bz$^%1G0%v5Z=oNpJ=xCC<`PX(gXdG zj$MWGA$Xaurk#e}q;~}&ufW=~Y9NX%2;ex8C1Am-L9cDG=x4`fV?rT1!m2We&Nl^d zf!VR4uq^bCGr=nc2%<^FJ#_l`z6IXxn7Tvk_(*nS1^rq-db8{} zHh=|XmoBr_-B04S=qt3lyU)qu$94!9^IT~V<@Ei{5VGLac5*;Z3SC1Y#NSyAjQ|7W zz`m^pJ;^{XENV9enO|RNLYaLW+v)35n>;T)XPT=COa{yApWj5MudcSX2F|Nz)~`s? z%QcP0qT%*PB;5kb}o*JPMKGM)`3W;GH+6@!u+qW=v%ZW=7%Jwh+7 zaIQRebgGLv`UHIX`oOM_#lF>-gRFgiJ;9$V%g63Hd~3ks;0W9@wgC%xlO=q9`)p(% zq6zeWuY^+zyF!_+m&bZ14DkQBcuAzBu(~@rd3$q=d_$-H+9RnLxaNxb2H~KWKd7YD zV$bl1$p3tM6u&t8v4^Ei(L3-27hYk~6#$ceT!B_`>+ zfeGJJFsHsQg7)s83+n7zD>kI@)`k0_7^EMXlivR;^_nJPXLruHz1Z2kCcqf22J{(W zunD;AMkhfztmk;EUi}I$bl?~Qn79TQX%hrkA{JO=4cK-|HQ07W1K2KS1WbQ?7&Msd z25oHwFw7!c;_sIKH^LBi1x;sYI4xr9tLqCd_q&FjbpZBEd@Xjrs zD!7o926U7cq=TNswKJ_O*bLKjM4%w_Jx>AbX!f+MFiM;Uh&NP~CVBD!4=zK2}HkAeQ5g~m=o02c3Lez-|- zJY=J81h7ec@W7z=HGg1Z^)iAlf0TVT$1a4S3MQfI|3%wd$5rut`~S3bcSs0Gcb9ZG z(jsvH0YMN1>6UKk1_1-4B&54V8V@0z(kUhW_Bp)o=icx4-tYbX?jPUBgL%x%nVG%! zT5B(!uQf9q(22d7aNaysk?Sb>bJZ8Ga)$f2j2Ige`89DE-AHQv))Zt)F#(|dC{ z9ZxX|sp6gmVfFXIc9Jqab3uF-zlOpHEea}+!&04&?-e$92zjw1BZKh)jszUj6=I%c zlJ_`lx}<5T(4L?+`V!D)IwDW^bdARN01a6Cf;SY}0D8IDP9v1K|{RpGdA`Cg)<+#JOZ)xQt8 z!EjoMl9ar?IQ!E#^sw35twCY}(u$0i7XEtn-rJ6rxl)&dcr*7m?gw8Ck*R-XQZ8Nm zm_#6Y6HxLw_=L!x4r5Z@dM^HMzC6Bd*&-U9Cedrn4%jqVT{pFEVSn|s(0QQ`7w%u0h#;?a@kvA{52$|YcdA{PH@-$t% zzlTj>VUD=#RdINYj8io=%&p2M z{R~%{rP)5@bS@A#jynU_KaqtSS2UBsA}+AydpKvX-Z@erSn^l-(b`#k5Zlj;ryK3Y z-_#Mg4xw8`A$AiZFKxB8uHiHALJsBM5V%b1Co);#+?;FEMiRJudP;DZp{*cG@T~xS zLmP$vwD#(VY~Mj7zrZDq0KXM^TMF5tuiN}$RQT10to6C3C3@eA59*z+^&H)SYm{is zb738CLMz3#f5#wsIyZbU_fM;oeIEXt907SEE{X>Mt;ETOpuHRm>B4t5Zcyn_YOP#@ z%tgVHcB(uP?I0#`mY@{fX^i9h@&$2KO@l@Ar?}r*X{3;(a$9-P?q?Q;muwZ_&1&TD z_^b~Rm$9HCcRfYm*+mt(_)+sNPx-^x3eD6#?!PN@!Eb3pMmEhak(E+oXbz`{o|4!! z?Z?l>q@%ybyhscQ^d7{T%Vb@&D$tV{N*b2YRdtR1Y+R^qL3=$Kq|1qcsYE!Q!s4B4 zzuDX3P^Yh1J~&{|Fo6=KZ|^{k)YBkInl?5^my)3OA4n$b77*N0PPHkohvwGN?#Y7x6fbU2DeQ4$|9`~7-S&KIAb&YQG+t!*so;Um8G*bGWuGcpM~UniCt z)OGBlj$F_#^AurdNphpm)sO6(bg^U2Rq&|A?okcVBO{M8Zc(93?X$|Ba*NX)r=*Ay zY|$i%m-w!Gt{D_3@okWk6*t{~@C#(lGG1Lu{%F{d1k(9aU9>T_H<3nOj`vHXtGpN5 zo@;N;XbYcOjGIr3v}7MK$FS~hyNDClN4B%l7}J%$o}J^d?c*^jmUC2nx%QAX>ic0< zsQp|;1yKL0Av+d>unwobK5Lx?NY$UiD8$V9oCZ0K8;^0bEG2rxFm4Q*= z<#W2?*vh(Z=aZ~sYaTpr=Q@&I&I>e+SXqi$RM3W#)-+{R+3d`E?6^?z3`dgP@TW{C zh%|>RSzF^ad(iW`c1@$UbhqDCtaMu}u8Del(oW)|ilUg}JgQ9y)xlBCaXNMJ7@FNp zt(|EI`(nCfTR)m2G1pmgp`x86o)sRm(;k=3Om5iMV3|GCrR$jINi#VN-G2Xl;c_FK zCUoHAgNH7Bcrz-yw%L$eRNIO4gocFvNci+w4$U}QYGSqf_p-Lj|XgVw2 zQC>H;zcoTrs;x^@itRAN#@~ZWS!kp%sYW3TsqM(PO{#rzHum`w2b%^@ol3^(vF&1n z&Qo`CqwW^7Bs=Ew!;|Zi_06G)`ijJu&kQ=@ihM#ZFO`s2j^oi7F8fv`lB&SF)FhE% zK8%pn>ELIIe02;tMlJ=!D_jau_#2%OZ(YFg2Nb6EoJpqXM0Sjj5Im+KsB$lwIe$`> z4Bgf;@kTRdJ;4KtIptnb`+#(52Sy0h0F;L>sp^e#d%JQkGr|8__~S^?-5-F0euCqy z9SI{I8eMo;?h4>*`q?|-5N777aCD*(MCZta?pP#@KFP1(U?lhQkBY*RQ@9B>0Hbr z1g%68^;U2Bn)vV~(dfG?HR(raK%r_O(vV+lq>bcnGGW_odtoyK3Ar5gS~EgUmS_-^ z`09jC@a1q&63;-d+LnwEy+h?*kIr9@lB$lve2*Fz&Ykfo2cSYVp|UbbGy_m#Y{%%( zO=`3fna*(SWQ&!6JNG%e0nb@#QN(=SuwjHCTQoo7NECs7$Vn>o9`lQSfEK1x#9c2;LIt^U<;`NX$w(<+$9587NI>5Lw1WlUXT`jGf!zY&k z>uW`9y1-T}q913WOB7GiSzLC8K@g#%}oqCm24-3OrQqw0<- zz2`?aJI>}0s7w_Kabq1FSI*tVjLY6#HyBL zS-Z`mi0ReC2%ard&OjA~>c&kN>v>p5`+L!7Ra2`HV{uw-tsx=Oqg|}(-Xt#`fHM+f zImd5#LMHorg{rG8t*s$giGe|hu^J4W3smyx({!>VID~`tHk7_H64g~;7^pNeP)2;M z%EP@*s6Acw)%YwWqrjuLMxi(iq~amyKRW0N8l>}#4HyN~w)lslLiu1j*0Oo~E#P8G zaB*ib=%lpjYvl!-NOhH8M?@l$WC=^eD`!Qta!^{CqR7#{u!g+-|9?+Mje2zagj^oU zX=x>PpucycLmVN_(a~vxK8zAwb_evD-`U?=vXmIR7djPS4OzvxTybyNTci`Hu5z-A zd=tzlUR}jX4kg7H5ye&y3B@a5>FEA@=e~sv;JMXYK62CHA+Xi%8DJ#)vX@Rm%3p0< z3^@G(6%~r6e=|2&BT8GNBD^<4Md%Af#jh6?K=9|_Krs5n^?)i%;lC(|m4xb0P@XUJ z_ZrZm*jiabqF;l?vO@EzKoknnJAfa$0!0hsfFY!Jbsn0%A!fvR3kiH+Ld4-sA%Roz ziLt|052)tgu_KVGu2NCIbiK_1pPX;?_u6)V*meeOlf!31&5337QgRCdY}vYqL4>EQ zUurE^=Bt9e(0RUKkfXdk2qIS%+@hh%-^e9&EK#!E^{pd~klQ3Dm8?**KN+IWdlk2nYehj%ZiXU0xBr}d8y>7MJ*-J7*J{i)%LHSk3K{Bw8e87Os6>w-oL zn2vgm^-pY*z=p0bS}8EwfEO3q-^+|{ke>@lbA^ERh}q>f_dv9ZC#Jo@D$1%RewD~H zD$ybm`jNdsw2Rk_vq#-6j^Q~g2<7yS(ZIEn#KYuDVs^aE3>P|EwW_51Gtd``LP9cC zY`fF#ppR29QHcc0?t>t-P>BBl_UQKD0%sdw^a}kMs;(lD<*%CP?=VRGAot*2*4LAF zh%YylkP~lKU)~pmD&p2f$#+S*R=#}R!T)#2trAtaAK~lo>#8>b*}*aqM3TGK7GInl zEZLEbssm8A##_p&UYz$51z7X7XOo!JstU1%0~8gpnZEBkUYsHqveklL+yx7N`p|Q zJ37zh^}}`*t)=oeAM*clhgBM1+j-3bnM4s+2o<>QVcG42dsNQ-WEDG3f6)pOL!9K) zP>3045<@gP+Ut}(zgt>RGWwz`U@+hQfP3srNC{Vnd@s2J>hKh_fzW&1MHtABwtRGC zn)J2IyARa<25!-cNgF_tEq39PfU)Yqt zQMs(L1;^_dBLzyiRx;5Uoq!Ns(eV(0m^MQ|V~i+2D?u0)Fu|;#MEgeI{%XzQ3*BfH z?tNJvH^($^Y%|VBUfHUu6@3B=~53tOG7FC3(nBX1r+AK`9CqT9BwW z7|vk=CZVi9EnV&J*kCz7d+`hOlWEnoew+x-Pg*%K0Q+@OFWW(E~+}dc^PisPmw@F(_)?nq~vT6mi=QthSkM#KvmIz+X@MR2TmDBIC5b z!-LMgcsblK_JQ;R%iY z4}&S-3C;LFVlerCV6gHXgRTEzFvAY$HNO*REucPO3og9aMFLlV0c}y zu%Xhe`YYnf6ZV%ID>(_gfW;A&R_~SgOjQX5;}k^ zyJN8RzZh)%4})O?6Cw_~V{i;$Fs$4Gu_KTI1;!nNDFB0k)C0m5&S1DH|4$ek^KT3W z&ItrEIT(!l-x&;>Tz3pc@FPo@?D+-6Jey039Vy0|XLGCP&OYqKsIhjX!~l zBYj57{lwTLqIED#AWNpEqqhCmpT+_T>@*U$s$f`G!e(WNZ%(Y^y0jo-Kz zoOh84G&7P6-g};H{jafiN=o^<3tJbiy228H!jeZq11CnJ`#9s%8-<|j3n;U^Pq9Bl zJ%V>k@(O5KX^D=`dMwEMAeUci&z~oZ-l$s<2t^p}VlzCs7ZSRG$F-pon>zUPw3ILP7Dh7w8-UY`?ES79ssc z{KJ*MXnS*?pJ$KtFb{L_ePDc6;Qc9cq^p1a#J9a&xXl+$lKZ8!+P|KE0;P5D00HRm z+6?ej*mUThg{!NAFu|w6K%f#C`~^M}UW@*5Ru>Qgiio-bCQ{6#U7)5dEKC_CHhFk( z2&F;`QHcINhZiK?SwINK_zYS&3c@5Y!s;JOj2?=R!;1?}l^q^<`G7BSdDIG&GYag1 zQ?>^Q0yPn-^!&ys!bMdjg2l%saER1c7Ud@2n1pcy=j%(7j=ql^h|)kg5`u?9AVz-p z>5;(e3$R**F!%)!fnio?@s5r-$Qu!2V%1f~_*+Kz@aV~l%vkAWe;e4r2c}KEkBemR z2*fEGTn3Mw;sub8yz4`kuYtUqdk0KL1tz24D*;*()K=sF4{nl!`RUeVN@^wT3UdzF z!vtMx{M!v_xUjENZQ!>;AUHLX*Ci_h#mZZE$47qW5kQPS=F4COmwb+Tn-X1haBK5PKBC zoP~=ncsbhvD`#&O(?W1C8yVf~uq@4br0VR#gW~qrEV`t>2|_>BD5nwJdjKB#b{LpM zuaTA@0gbUFH^2w9aK{gzCGgR`Mc#ZY?{w;hOC*I^+KpIn3eXiX;3z#I507i5iagXO z1igb&{zIXRtmtR;kb^KKn6Cbu55xh=3I=Jb8M!!4sGhlrDag-fgwd5U-B!==m&M2# z1<1Bw8rc@Z?}>4uHX3Y4eJiA;9_X}pz__o;pc6qPGE#F?&>}baL*4v7V$`F(;r@2P z7i$*L7F%7wc*3uETdxuS2(A8353<(^`uq4o3e|TW<@CcX;b{Ebe~h_@)_S?lzvUHq zYwUeLL^&VlNi#2jC^V4%SA5be)7HR9U}8vYM5wdyF6? ztxUG<<=(dK&t)?(6h^}tw&Vy-UCO7dDkZsFZRqbY{;;YlMes{XG zTu&PE8GO{=LV$enqV*d0V!WHZF-4SQkV0mWpWl>T!1>yw$`6H^|cewK zXDR#bi2!}cW+pl+YgrU?H%orx*N`__WWAb1mOs*_r+Er4x4Y>a$$6m^it04jM44Ep zsW!HPaV~bMUh1~85qzC4?xY#uzA#8!IN-1(dzXq6lBz0H00=SEWb*k6g^?F+)nd96O*0 z6cuPE?~mxz!Dhk zGPvOtRcGOtiXvvvZ>EfqJHn|@^=R$$mL6U)#><>M)gb~PAa^`19hq`sj} z05L<00JG{XC;1%1q}^fMcu4pn+(DcVek)=xAz$r>6z!Y-;Uv#ehwN;JD1lkZoQR=5 z7F;!~l3h0c@Z3zK3>eLo#r;{|?b!e@F-XGB9autbMSIZr6hx4~l#?vI2o>@+0?%S9 zxN3&c774fvqaAZH4XQA2*8#=0ve~lr0lxTDQ~MNjBpY*n^gEK%iZQ(v`jMzXs%!C8 zZN&D*4T*7d3^+>#Fg)#uTDz0M;QkBSPFkzFh?G}Yy&j+?6f1pbY!GPTqYCh;u8|}d zhpJHBHE=a+q!WlbCo+)R?j2BaBXvj2UJuEDYyanCAUE|tC_so79%bT#1*q+Mx9HyK z!YUhPhker6SU0SF`!Q%Pd)26kKpkl;C$ElQrx7nFr6~`@ZVbx6U}w3Iit{28m59|m zx;HFRn$;A3+BbG@)#zSQ9&qC0a?)6wpW}s9BnBUXY~SbZOq2WopSXqum?tiJI*mco zUyMP=vFy_E`N=dQwi}q?FKS}&V%jn6TP#n27d7RfuopGe?fk`f(Ww-%J;Dg|?sWml z-C~=O0VMYi4t}CR1lSI4OXF)WT7kL3syA{f(Y>i{J#Tu_21sM~(AvmZp#_7%+&{BY zgCI}9(8gSFe-u`!tkAa~Am5Z5EEFgjJjdM7`Z#}`PaTdBKOT=Lh2lxOWEO~w-1cqA zNBiWIX7uq%x;&Cu{}|O<(%9j*zXKh|psBgxm^BGY6W*MZRLY};RjiE)kU7Yi^BB}q zM#|gFeA+p|VGL?3+Bp;DdfF5G(?}X%4U7 z@Pk-iPJ=^9;7~X6#2DO`sljm3V6$`iK(OTR@iVt``7i`tb38Yj+?JigP#eN#BPIfA z`#(c%Vb#B(wy+AZPyqr^+xs6-3vnfg;j9W3f!TV5{_D66dbhzbtoLn9(KI&WbLsak^;#Jmb+x_n6|2f zCu;~SSuX?F&`cBNLd<2KY#z{|g;n1V8Q!S#9pOurEz$=B2LizrDDE&M2VUrsMv7%w zk3~p4&=qt9la*LXsgb>-nUc%H(RL!?&p{tY z_)EVL{SDa%iTqMp2eyzi$SCl{!+-G@Mn)Qoq?)gBaNzOgR(!RzYk*(Wb`h9IuB zt=Pzj4m5R*hLp+j&V4^T;Kp=yF@z{p+F{P5*Ir!3GI$)dWr_NnvOitv@dBURK^X5B zFr7{Y2&pa75Ez7eEdCwBK&s80qQW2?@}D6b4GRc>Ft*S|?Hz=90fe~)$M5|E;SOpO z>^jnre;^$4e}u4A<$nobt4cV8$?qVH4TG>%B^<)oLZ`(`V6=A-CjS?NI}Gk1%nJqT z?(}RA4qx2bO(TN2!Jr!e}FK| zL3a>ty4)8p+9&!0Br^=c5JfO$m=hzF#;hvg5KcQYBQ66*{|mxEz``KR`wxUc1Yr=4 z2NUdVM7$}O({Kn|Ro+1ubr#Hre;|x@2Vu1T9>Qq<2P8`%y7f1o#LBLTKpFvC8*-qzmmoln zD5D7OcBUIH^A*B%IKv(uvNBwHQQ3lv5->1Ffqqkj`gDOhTJb}nrL3sN8#HeGdbm2X z0%}a9n})-;k;fwwy{WaPE(~K>>mizDS478m9;;s#>ELCKdH`SWG6zgOQ^0m63WR@i z0TE&ggt7|@Q0m9;!5wP8nc%9QOZOh2ia$0!Eby7&bBO^%WT5=M32Uw8az2)*H)K4x%Io-k z0?=;bC)z=zguce0YCy&S4Jv@~`;^@RuW|P)RqPC1;pl?1zLjVd&&gEc5?peo#gwx2FBPilFLV7It&5Au;24zVrw_WTbJt#-N;|SPrz>p(Cc$4(1JWd zA`{ZC2NRMb5wonPn;jB0DKiqbJ5bcae31S?9mox1GT>PHm;B}5e5J%ZyUNE{L zVI+Pa9(&k<8q?5qp#)(s&_V;F#k%*6K)(hR@`Z7=39QqCG9dKv+R{CYL-)l|#Acu%*VY7X@mg zh2jD$l*K@yY-yh%^TrB^BnqSQERVJtE90w$i_#m4${#5iylj*rKK=FG*iiiuY)=gq z{sQzXZ4^J2;QQZeLCc#x^&D$~YoLE=AcZr4z$7hD40)Y=U*ZOs0vtrqAZ&#|JNlZI z(S7lka|hI#(o~C90!%*sNOg-SAeA-2U23VSgHB6uvfGTW{pU<^HE=1$noKEh`Ud{B z=Z`bcd@R(Vp`8&-BY9thQs7l)UZP6iG6aMC)^4s9MXX+1e0DkEIWUKGi$9-%>VgTf3E&9#7rQ<=k(egHkg- z$O36`csmvf7J0_MUvuu1FTai(&|KN3E?RK0q=VISG|{+AQ{~5wE^YBw5ft3Eg zL--3E!p~FT5C%KpPk%N6ZIlTv1`q~9jyKr1hTzfSa+l;mF$Ecg}*zcp0=?w^qUs;<1ZwmB)Tb=c=H z2GTm=-sdlqY`-@_xz zE0Xo~%Y5P0;5*+fP9)SPAHJU4M3=h5_M`|AvYty>U*Y1a|3VacaRtdbC*5x3jBX`6 zsAZH;acNu^tZkqb>d)a4)GA{>Rx652rWJBoYgLRBtgc8LzaCCJ_7mcGLqjO)*IgwJ z)mc*KrZwKhU~JaTGTQaLooT9}>93K?@SvOEdWI>sv`d1qW3a=7rG~+v+3W?NN{HtzAcMR-t%ii z%3PP%-$%maZyPgdz~bU3WZJ;b{MEDcf}Hn=Hzr-4Tv43@hbUFLg(aWj(ly9-P02Yq zaJ*)UGI_I)%;P{P^P&RM%HC2>K0YHijsAOX&-80^mMNCNUv>T2uSxVq+{{rs&ptL> zE?cDatQ)><_&r$vl$hRpK_}Fl*G9ICOknx_YQ`b|mU`6r9v)zqn7f~TW*V}W? zGyiJ;*iU`!WP6}GH zfxqc>WoS9peb*$0bFb9Qe+IhP|7B;D)kKI_35_4PO7NI>VB8v z*ND+?3Yr&aD~?INyn$R(;B_xAX`CbmVm!nUXu(KtxnS0@YW=AhPg^gM>F+=9FW=G~ zzlYIRDtDX8+}($;*c9iXPCI_O1-?&6(4&De2pd{Ip5hWmwqCs`o^2j<|9)^ z@jglNYvdK&4gnRrl8cMJTwbv>h<8B;bJhE}@5B8q2y0JJ$FoGys^ZUY{r7JMn@aG$ zr;vMIH60hdPQ|uzJMVm1eTb{xGL-RJc+YHF2T@ebII`i3xM%CL_>mj|?}xDqUiXV9 zd_$nwIRabIpY%e{HfK}6kKkCjc~l4?j5s{Je6$5Qa6qI)WY$WKwH{riJ#9E3kaLo#yMT>B z-u&)UV_mb|)mpMe<^qnBqm%+^wzQe)(?{ctYgK9SE5E(=MWQ$VxtH%pv9IJxe5qMz zb(=PFGM9VKB`A>c10vZj7Ffj{)eF^8mHU0)Dvb(#uGX9h?Iy&(KU1aUJfxYt6np>t*}|iI-c0cI)ZPj;C3Wy63js5D-fLJ zb|h&@@-q66{}PfG@=9d)j9v1Zp%w4>*SM&2_s2CA?+THJRUaNA66rsZ8j06xzeJa^ z2qt{&TPkZ_wAO~0@wf4w#_>cTw$GSf@QRjH}(O*kAZ-w#uvveBK8x7Ge#FqgFq8~S1 zjUAqZn^=mXmFG%^T(3;)^^IHFTkP@Q!<*$Z{LL0AO7W!6^)Hq$V)EOFpUWj?KZXLA z>Df}gWTFzL4LKYXBo}?{O-#PkIydtfhoO_)jp<^EW_{n`b9(dwYX#Mg*xpD6#LJn7Vj6Lpf97)&1KpH zLrd(8L+X#-2Kl}IE+uQUyCy-ka~0@(%YDJ>o?W>ZRe|5S%dSS{4g%h|1@B??5mWFh zb3ckLqqwxU9*%JTWZ9giXM0t7>u2;=sw{wZI=~@wG(CvdxuiW^SkK~H*2j+6CkL~a zeb-DP!citkGwvM{=2v?1$z;Ds4YB5EQc4w4_7Yg?#Ei(r6(%$L2z+y2DI;Z6Q@!Qx z-Mt9qOC~w2aqamuR#`KS^DSGV<@RC^fhYNUj)_LP_|Ht9*O)?<58BUb5UNMW@u3eY z<>=UcBe(A(+Dbh{pfTCyt#><7*5r1ZAnNo-q2%fNxPQ{te%43YlegaFcF;ER7R~do z$Rhed0D`a8lke?W-GU*%$1X#11vDwMBQSX>%q zjk#}8PmQxxokXN=L}wn$$)yqU`c&sfDs9U_B4J6(^tSOm&p%RRq0JuUo6d`0=HgDi zP#{brm&y5a<05_Z#=`;swYBCqzRMcozEnGF|F9Rdi$%beB+78r_PxcsFC+7sze11V zFJ>P9^ynTR=CQJ2$XKggjZPpI`TDV8&q8+B@Ofv2Ugn&p+Z;yj#Joo`1-6FtT{5U>vJ~hzJLdC*R|4} zuMu1OdK_PfC*Z$pX6}tZAKJ;0=R?G?ahtP$AwKN%e#vJod34?bGcakFeyGW@n{QGwSZ>cd7IGEZ_ja=Q2- zm=`=kmiq{MwGDgd?bP)`^)&8j0}Ty(6+br|gMs)$Zd4b@_!K%2E*#u%+K2sF898?CwV@OD#qy6QoK%EZA3P zWzI2HdugOGR#9Ta-S;)sYJ4<>Snt3{jXn;?CF&`)6)J1v6mjV>`Ls>r74i73*LH-S zL>bdpb2XRx)TPSQz@J(U7`?S9T_(Z)oHF&P7PZ4o`ah`*ugAX5Zmc?RQyBUTe0@5u zzejE8?))SLS~)urHnkvDHdtex(pSkgVJR7}pMhJ-M`K`f_o00o+l0SaNvXG3tZ9mhIgUGI;3CArQ>m$Pn$E_TJtFn?AIyp*qu$Mp|J68(pbp!uy z#ecUlD7E)=r!H36*-!YqI8=I^KoZ^;xSrzPk2xYV5)~qspL3J}vywP&Uw#ou)gz|FrmI_z~9J&k?`m+!Tj8n$6r)qOw zzm%=>cdiXMow^+}^jXl>UoDvZC1*yd??m;f#pA=E!v|H!-A|b+ zvaS7o2EtwP+x@CQvx0bUVM6N_cAmYIYW#t1T1@(e+g1A00pGq`3<^T%3kZM1aWn>w>L;dV;7cxc5C3hWR}HOqrv0{C@B*Ny0Q?WM=hf4^qGDgQn( zUo^N_aR|08-+=XVwi<>q z_l1ia=aL;ht-2bJmCQP+OggF5Y`^SEm0wMjzvhg@H6{G%r1GkbX{=6Yp@ci@RlJao zP|@t8 zUG`edL_>-I)p>b+_V+RL##Gy(?I+@1SV@lJUZz9`smOa8efU(5pHEcvlTOW!z7eMR z?YPxx8kZ+T1995IHH{Mq$8jww@DUtF6UC!iYu>hV4au&jP8pYO$+Qj0wnwYUR6Jd^ zaCtyts>Tpwm=K!$j5D&*S%$D0{0o!Nj))}mFGNfPz3i&hGOxl{Vu%=xEAV5ZV29$8 z%FgBgOPtBKB`p!s@x_D$_%<20ly}!^MV4qshLU1K!2< zL6xc2Er@ncYg4VwD7-!7r@zh@6cH7EOmx85A}-8Dy(aiUShmsL`mGja7spJk{dTez zr8L+gZ{Gyz9J7MSV!}i%;hUQvs_8oi%sQ_rVn^XpR_M8bUge+ilCP#3FWWM$^sTmQ zLmZ;?Ba46Feqs&0jdasitx82WMa&6YRQ*8|u*mJ@t1>T*zD&;*GfIJlJOcYpg>YpeE-}?i&j7_D3^E!9jjvny_I1gk zPm&{jJA0zRK|+O094CT?FqdE>@NQYJ+-gX-B0ylu4CA;Ex00 z7-R5wKa+F1qTS1M#nUjB*e6&D_CkyV)sac)Gx92-8wx7&&y`d{zu#?#-YTku%0wk; zKQqyI5TlW98xr^?HX=z`oUnx8oJIYMj9hUJ&V=LGD2`R?suDPyzV1m{Lf|0;4t+oV z6V4JlUlucdO0~xCm@rZ)Bh`g=**`qUGYnfzfR$u&R)b|G4qr0W=-q1NZgmP@-9xj? zbosDB+>FsguOi~aqSA@+M1@f6m3*d)EbDmaTZu&GY@QSW*YJm`MBgE*NiO&Gl0r|& zb<)ommn9S*`$!I;Av%jy&y1p*YCim1ao-1r>iBXfu0?3lZz6FztPbkhO2Upzng;}pK|G@Jj*-A z9FbZl$@QCtv_8wbNT-E9Z0Y=>74YU+-fg=1TdfhPKfHOq8<5-unNP>;mlHn9g1HOw zVDq@3KJn9y>h(C4ioSpGpY6{@Y;6pW#9Y$bk|RC+>6uC{-BZ&y>I1AY&7Vcu`?Io@ zTo!*wfBKQUjhJNOM)$FLg&j%Dd*gM(8nzaD-DSfX=9cZdmA&4wp|_d$#KVn=ELjqN z1*vU~VocDscSHT)fp9Zd`vKPSV|%xNv*GCX8j)d~kyo6NjhvANPi0IC3>Tbt>ibhn zDpCiu34AVsU-@5hF~8O+-^OQJ>%1NxQ|YIv6X<1@oImX(qaFVIeipVSd7~UAgRX$C2mMkVEyB5?8d=x1e;aq48j_eN;m=OUe!Y)Jk{zx{h?`)Fq~{&m26IzK_OWAt3s{+x=9-|;(}*OTw^ z+_XayP#Fjv&228_Fr#w5^=|&y*)~5#wF{`Q-uZo{tKy+lAV+%cCo7f5^=Khii+)T& zo|YhlVdG&ytKZ?I6@9M1uV2pE(VwH$HB9CgJIAAXk5u_)wicThk$=NqKzc!J8NL#L`D9(abZs+C>Z zdE7ThGOh!Ci<+T9kuiF&*dnQ5>V?bOY1JM(GlS&mkc>ifx+BR(qG+GaZ=K>b?gZWB z1SaoLUq(bUXD8J7i9cKBBP^wct3s6p$m^J zXDx>${>JL9eYX*JbD?~a^JU`T?*{eEi2lyW{2ssE-zWTUKUdy1%x(T?6u%AM?7yNg zEolPZNhtJlpN%^G%wV&>_M?-0&^M_|T=bpB_b0dn zCCzs2B}3uL_yGgVik0HQOBUQrP_GUuiof3t`a6c-EUzsLr*Z0L8KAv=KRDUQw>tHf zTwz-!rf?}RZ*w|5&@Egd2$zjmGg+=sCJIdS$U2n$B$?~cph7x z%T+^$_i=9Bk5+HJrd=lmJGxbrjcr+;Y-~j$}=_%ia(4dafFN}y;_l}AqbJF1V)86vv0?GJ{3%^ zr^^-E2+@y)+c4x08rTwHat-w`e%QPbHA<^~^@+*S_&2BaK>;5T9m@|L;x`($s9Z!; zsA={I!LQYzP}Gg>$a_909_Sn=IjFB9Dr_jitI2;}6dmzN**{5hLwe}cdbsle%hNVZ zN^CdJAnV}KQz=aWR}|JbXEn?zCDo`%LUu>#`!#Rych4@G#_xYZ_*v-gpy(c+F;?Bh zpz|}#7#lwn32Vn~9Xg^#!|SEt0Qx;Rc&zPV3Y1_am#9?}dWGb{(wEALKZe zH`${bR5K-b2$yr&$0vF4*oP!vP#GN^D}O@6hHTJNxGLopHyMHrISYfpQ={hpBX&*Q@4 zJXL{DrbiE!m`f7rKc~=@WxWm>Dob0IBCszE!SGeed}|?#mpt_DT^;u|DkW@rpTf@E z$UP(5;!A(9lO6F)?TG+ewh;?uq4o0!T^+e(m3sO?T`0Z{FI$94|HZO=dpHpyttN`E z2tnnB{O-{CIpc)ztX4K@?0ur}46Lwy8bl!k$9t8y7FO3eR9W89gWM3dwwRRLGGf%g z^A}gFxC&&u_azikT-Z)(Os0yzrzbh|CO_scDazz!nu=j%wN_W*ffT>sE(*_k^x{#u zvp^e$AOoE&4Q4IZu&W+8%a{7qs98+Z91Yn8Kq z?MnDXUn{+xph`cbXEQ*qYg)AM`b$A;b;0)y^HLd#E5WB>1hHwX;dKo*s`*DOlHVSE z{!ah2go~29>nqo@&@R^nyZSEsCGf?2BzC7Hr(x`ac>8{UX?>Xqnx_9PAZr=Dm-N z`APY4CN!EFyhI1M7VJZWA6{I(`lH~8_V>oy&$rlb;l-LT|EW-QhbP$#s@vc3ydG$_cv)ayo@s+`gC|>V)%yE@S+wxz0RAqKJTF( z__3PMawzW3JM6sHBhWTNHgPQ`IlWz=`P;p*bi#2>P@{?M)Zt;L$`FBO#qsnb6*7s4 zMv`u?$^Vb9w}6WC*WQI&ibHXyxDRec26uONEAH+TE0jXf!QI{6p}0H6-K{u-e$(GM z|8vj1-@13L_ubEv%w$O3?7fp2lAU+l(QNOc5y#?zU$0{+yS-xCWiUf2D}_EWx5VBvr($p_YF6vY zz5{5%{=;^CmZ&%wHn9PYlZx+aVDoSP?Xmb#qV5kavULLCaQo@zR%oc-kWxXyd;r0V zTOYm=YBYi@2cHMxUx6yuRv}?alG?u(IXVRKnqBEqL)Cs)j+|RFa6+PxCq@vo^e)J9 z&S|N{Beqr5nu00>n*bIBB(}5IcR3R4i$iS$Ud6Fb1Uw5vITClAwPmrfYpAUrcCGfB zF#Dp2hmD`LCy#<3Ur92{7Z=t!)T^%OeUKQNUHlx(R2?mT+uQ7wUZj-w+gCwksQW(> z1d-WByK%7lio*p8k{y8LqGwa;jYfsX74Gvwj@!yL=)U5v(BLa%TQk&SDbIHwglnk! zQktx|b^q8D>HO#>>i5kLK&rp8xS&73+3C)l#c5Q)G|>@m&5@?DGzW?71PRSZDC=uK&vjJ- z`-=?t$dK*;{PTu>FE>khMAP4iNfu#-d4hTIjO^{vH_vyyIdczJ(4oZVu^-$%uBgz3 zIH35dW!>v}-BrUyB+1k1*ZGz}%yT>Z$ptKo|Z zDDaWxzhVC-twW-jxB#~?-UYUQ?f1B5?o8X$(Fr^0%ztu|PV&c&Jm+TgPViT5z4^g; zv&fo6y%sBTeL@~~^P}1obu?$UgOBHByNH1xjzyvAQ07s*HO@b_l5aQRx~@d5#4Uc8 z#Q8$n8}y*|`B8njU5X0iVLr=jKd)ji5T_Ogz2BN>*w^{&yZss<)kO=ulg#ud>YQqE z0#C~aFLGsG`FnvCHgwZol^>I`Y!mOEgWuJQ~P*5>?m#)JwrgBtiaQHwo3zZM{stydt|2;s7>|rqOTjsdn;nH(3 z1kUH;-CwubCJhxa6Ml?@?dKYel?2_^vZ3+>iuvmErfjaSIiuQdeR$#N$!ObS+92;g z{=s`Z3iR{JmW`Xf67yO*8Z|IPd5j}bW4iw7 z{Xsx(K_(x`N|IVvAI;SsvQC+DeZ-}uND>A|hd>}wOt$|x`R6&yz#gb`98Gm%CGMxI zQT2R}`3wD9p8(u@qT3s|us;^rSR&V5YFm8m*%a&8amOgcD2W#NhQ3wCEvO8q95E$^UTEoAjYdbfsCr0=5RI@g^LIi> z1kP0PJxp{Z0t?AlO=m+a2SVoHW&M8o7Z~uHmPquYBmie(qLvRWrZldk3Lv|Vc%3JzpD>*a+bY9 z1LXXX<~SUt*?SV!FvOZOldkmo&Om>ES8$x{6?kD9IH!LOC++H*f}sdQ=&OxC^JOmw zpw1Lye&Mjq3Q#wu`*PeQ%cBzZ`h3WqhQa<+jr_~!(g&;SORAdCXZ)70`sXA^wfVck z+-|vQOCrN&9yf(vDO2#@%0LN}i=vdLV!d#ePXhCwhl5gS&ph?K26wv4hBEh!ZcMX} z@F`HQqo2TV;LK~()X2-|J@?e=OVrd}Cjpay-Kr(dVZj3tWEb#23)+rexsw9>L_fiS zNt6q}WUAj)bU`mW+ZZ-+qQy85>UG^Wo&)0&M@19A0>8qWto~;ip#M?V?*Y=l-K>Cq z0m+eop|`>3EUrcaDCA>AHFv&j1FIbJnFKje$Jp*!J7rPvZadf9V9y|aU<0XXRetxYn{ zIfY7NgPslkgalp^%Rd2KKlxkQxW6&6hW&Q*KHjQIAEQg+?tbWekVN%cMC zt1GEBbLTB;K+>w%UR+wS-c_M|i`hr|q;i72IGUKftH4w_tYCFrJr_Z&#f}#94z$I* zmi{ z8NI$EHum$$?~n{Xd~B5ViFOozs|7bzsQN@#kGCVd{xDw;V`hc~n&bGa@QZ*4TM;*_ z3KGcr%=yLFV~DWj9Nm%3?r6U(t!sPdeXDl>AGM0XxthT4iwN#P5sBe&*O%9hyo1^P z%ja7g1*_PfzO5F!&%;}vkc4a^h0*1E0A3)z1w3=irA$IsN*7dF?Zm2 ztjWXtRC$ORcYAOY)tjMPoX`8HhLj+u!6fm>W@_SDi|}VMdL_C@k5BuQ@|#-ksNNhF zYpVZ?GjB&0t>>B^8uZ0sUO%+zsGQ=fr?0oP;bapjm)}h3Wc2k4myBteo$}XmhSF(} zwN{6=9q$zHyaYPqyL@aU1oif1CI#kuHPH3k?Kx`6%mJjlKaOzch(3*eN1;oTbIP}` zN41e|YkptP-#wybw*(<(xCsSsYV&6mLSYr0k&VDb9vOde-L|zuctD#A&W0jaLbirnEp*)PTR*gKUK9&cN_Bx1K@{ z*jukv?~{D@ZkAZ!$TqDN1;6h3L=LjtOH(qdOl|TQSIHH6{8jH~QMAc0a$=e^cw1>Ze)IIk2ttdy+G?X(?THr@>1N99D*)t&y(tn~AXzh8|DS|B=J z-k4UG$-=?Oy=f}iaQL)2y3fXK<~n2`XhoA%U*=dC62X2})|}G|o*}|9AU&|Bng2j~m?3ay^rB7! z<%dpYm%<;m4i@gT&l%sDPS^}txvpMw``R{z@jbw1zQaXkiZ6%H`;YsPCr}r~-4dGy zpW7%2^Ku6Jvl)5YT))F;N4`bI6w>G?qo1P?v?^KhUvv1A>5~1B)FAgVIpDHTDJ5@*7mbK4ME7vj82y?mrqZz?=<-@@!Q|9I=X_j>|Ir%(Y#$F)l6 z$F!ES;kRHI?Z0>HbI|Gj**Fh%WApL6dQoMfgy-AqgHx;jI#U)_aq`F@A#+Tshb(v~ zL}T&Fc{pqRi9$tB`saO9-+Zrf$ryH(_r4@@drEet6XQe+jx=Pq<*JwLXZ{P!;*+0# zu0cMs9Tu1&ed1q~T2e>;yxQF)oHtE|5s~PHG0dZ`%15hz*{z!;cWTYZr0gl5QCD8|vg4|XwOZAn6x(u-b*{_5 z{}ufZ(O#Ed=@y)Jh0LHAn@K35U$)H3$b9{Nm}iA`T>$rTaWAjWDpX2tdIof9eiPSQ z)mARP>7LS1vL|GnQ^o0^W6V;)lB|LEyOP$Rh^vAvSp&bB-J<45hWLSMmMRDR&FG2V zrlNJBy~NW2u$~riU%1^-qUDg_XZ8hmQQ}XYa@RDx2}cmY__YMZ!*qfJ#~z9Ni$rC> z47K;=o$Ju+1a3J ze0-69x-6kfbX%RGDfF3oFWxma*WD4|dm^y!?vDLh_2s3F z)be_je7Nq&Br%^7DQ)HxWxk(X@Qo*uk6%%mhpfDLMqBLN1$~&@9Yaqh|Bxsx?}t&; z^lJbR-UVZMX~^9}K^3RoC%7ZD@}hau3#_#-5hs@4~3QrXLyBx z?#?A~_knJS*Y9Q3O7@=DR6%}61tJ_xDuitC=PaMh*o-`<0Uwz^p#O4?M%Woe59*0W z?HGjP3Ec`fUOR+6e{YlumXF_g1I{dPQgHmS5-y zRx4I+cvY)}qabI%%qFVlyr3j?HUhOi1DP~a4Uwgb1S=;EzqL(QV)W0~rW-6oUe#X9 z5*;oKP~98B;aGcuVLQ0q1OzpoC{JhUaY8Ym>9$&VooUsh?!c&b;r-{6)!M;~Uj;Ar zBASq@F}Xlo2F!1md`7Vr=K)Lo^PhPU_*~~QT+}DhP*%nz%G;~qMxEgoS+#Ub^S)DjnDaUu)+dc& zhBQ*wyA@~YIOWy|)H+W(?WbeP-}R2es+;r_;yLr3wNqFDe&-gMYsL}H)Dm!4 zDW%QWl41*TrVD@3Rh_5tkgCUr_xSj7p10a1gW~outo+?pk@jb0V;F;O_G4^spj~DB zMt7Zk-1S7FTYUPZr6I1YPtj%r$)iT60S%5wugo zv1#e|b|=fdwW5{cGfl1dGX#GmS5>Vr4Rt#An)@krBj?EniV-J#m(H8!)?K^sxz?&8 zk!zX`_1p>ado{G&IS$T$tP%Z~ELA29Xx>U#5_e}JW7(jn&y6s;nv?YV4Lv1cU{^|r(1m+>dK`mKH& zYp?J3Z`#In#YDnC&Jy0b&PF3@(_fT@Sx;HTyaNqwfx1<~%WFb|SZcPJ)zENAgS%Lz znfX;wAJT!6+Q;LXGW+d&IrqKF_)#{rR0S3MYP;Km5n3AW9aU=gS?N`osSMJM_V!dd z`Y+TBWP0H589UdpH*IC;z?W(hOW+|_m}w4JDU z&Nj{U|EN_iz&Vdg&Spxz@C&5n*7G*-pg&HwQqZ8vk|DXgWL>_g?M>U6eqxV>E0(fT zScxO7<{&(t@$_mHRgKrOs36k3)y}vZuW!`y@zeQIpE+?S6e~-YIqJo@CX2MVo53g5 z-@w0G-HX49`o+zw^`op(D3RKxh1ar>!fGU7kpR%ng*j)GK zKn&AL^TNz~`^&z%FssRAP13?1VW^MTomf{)~o(~n;++4<7m z4((k@;^@N6%|}^Wi#CF~3W0M=77|q>t-zaSfa70HoKRctOV5t6>Nwh5OsM56 z@g%*35x?Qz)|zKO9T34&=M<}UsD&+08v+lWt`B@o>KOe-VE71$&vSxV0Z5ACL3NdR z{O!SmK`mSdTWRuKf(R6g)|-*C21Ki{4zBu_B+cFvo)F?v|Y9-5oX3*nl@% zCEvksS}qNI9K0v=Nr&lhik4r<0j!OI%H-vu8_LhsXhUu8Mln z6A7dl&qq6GP6e}-=~qjty@FoH7L#~y%0>R?jNECjUCzfvl_1Yk`k?(hlYKXCm+P)K zeY{J`ioS*P1;sntl8RpNFFC&o>=9yTLi818zze@cpz486<84>-%}`S26DGLOw4;&Q0qtTTVQip3S14?kAFwuG5>V z88C4d0(}Ddq9~KzJEKxggd-L}R^#n&$DPtQtY}&{RnDaYXJeisKAUm0{ox`jR@}vo1uOcD z4pRzrP9LqYO7qdjX2bPCHTN7*wMib6pBDD$gXW~0=cb{_&DEE!scOyM$!R#~#+jJ7 ziByaYB9$8V1i1S=PR82QOywWoR=#sLwzP#ld9|(!1PDM@iTml$n5N&az3a@YAdhzW z&5L?HkrlkbtC!l8%~6l}-AON%OOIfA5q{SNcNDY;!-_lljCQi!*Uy{Y<&4Pl`ctx- zXq(sdR1w{|rgKewRJ)D25-2aPY||O9vFYXo3nvJ?7C_)!Z*1eJV);$Cp5v3H9+x!4MW>8}yA9rHZ4kVb8~9+<>|)ygrhW#K)ka zVHNp7fcAK^6D$bkGM(HY*;rR#E^ULca<9i{F`ZpDT)lj_@@82ax!e1cAYdU!=P;ml zka?vtU;^1B!-g{3P&)2f5&6sYEa7+u9IMa?yIkJ%K)InRl^u8>he-*KiYF0FkaYr! zx(3s)>4z~!D6V25F0jpeho0l=kxAHH+H8>cBZpUPL|8i(x}r6sVn%R80o0y}-zD{J>f&4pxJJks7eC}%S z>GE=bBr{prpr6-84ACyefLtjBo#xb?-|*MHZ&U5&2iHc6sduK zIO;^2W8cUz!vn2I>OJqCuGBz85ttqmC6#IMu5h?2=B28Wee=8G8>!^f!RX55Fah;&nlRxuic;Rgz@u7-^H)*ONR6zt$xB!Gv+ zsyo61b2mU!VAwQu?V!Y0@WBjI%iyyMIA7WHgPnf_H3p#q+5-Fgnx$~#d2z(@xvuVJ z%DG5*jR>txxDt`vuhwSh&Bv4lj|wUj8Na&oo8wxeaq%?c_PgK*K)!>Zd>-IH#>7p% z@DXd0ef}&{8N08B1B*Z~7r4g0#r4oYGcoX|KL7sXFQLKm*A^yy#jp>=E|c;TfXYtd zU(Qb8kFMRvteTyHC~AxYnQ$oAS0C(nzBYccG+i@2Z{a7x!ne&=74~6X$zZ#peq%7i ziUe=xNWPl|PWd+tK6khl?y(x;xNkbDtIpEi$+{?>9o3I-9AzOQgS%lj_=G=NM;4cS zps@Dt2~SO7**^L3E&V;R<_Ek5Sb?uh=6g?j-mh<4!xFE@I^_3G>8>YCB$c1eau=b0G_7Vo|V{etsR7Ax@H^!^FUYK_vJp@EKOk z(2W1<(V23Ju%8blE=T%aQ<25#k@M5@<4!;!XpQ@v{4FdZe*Ddgxhhpse}2>kj>Z8L zf0t@A{r2&qie*yp7+>S|wAhPOjSu%#`CN-LYy2$&sL?&o$FA{G!!lUuUX8TXFt3}g zx<{u@QV|jIhU2i@s;E^=HGVl|m6$Tn z8A=kk93lzMcA@O0h@}ToIl6XBG-D#P5reRMPqvvY;sb$js3nY%r>15YH5T#GyQ}WM zrAM%38I#!xaYXP{k#Zv6@A*#I*`zKP%TzIg*lMp0yi7(qR7V>lb6iVd@l`p8lC6s) z&#V=A0=`RRH{`vLCO+aRLbeytHlA z9)O@};iXW1W%TlWY#0>8V%HLGA0FfaRbEZKnJLq`x#I3Z%_CLWs|WSet&fV2v`@&F z%@^O0?)s`@niRS#!hDAr`V56sl$=>>TfnvC_augFXEF)qY$Ns)E|S5;A5A4z5sLg5 zNx4L>ELjmiT(W@R(n+?phL}>tAlM{e@z#2)Bj42$lNg9I2VG3>a*<+{NQx%D3OC3H z87QCkYqM+cA_SeLsq0Ehm!8P0GMO5z$Zd?*;Aj$LkL}+_M)GdXe7Fg^XGo-W=Y2@* zt-Qj=301TL(ZWn3Mo0NMYl+RFubIO+&@_^&e7(~NF^(Lel%M2z5F}OAAjw2WE)*sK z_kvLROscu=Y*SP;BC-g1WcTd$;6r{f{c)AR*l}Z2liB;<6zBzgt+M(EJz5^X^0wWc z5To+a&NIAor$R`Bdv*d*$o z?&`Aesw1U$xubmOd^ZI~%IGDxVB2)~WAUjsyN>YBm=H}z&-ZE{CTA^#Psb3y7bzzP zH)@{ErZJ<5*c)g8O8pW(#u|A~^-I&iNts;nvtGi`{6quglbsfF3)_3#((d_@2Bpo` zJ>5^uVK7W;uLck|dl3-;d#D}A^%DZ(Q=y1pn6KADV}#4AEG{Lx|;uQvOuA--!Nn7#9MyLmke#+!H!a8H!>D z-y~z)qg=Ax-@1MY?R4&zr2o_5QUUS>kLXdjlifu3A3dA)Y{IM|28vYcrVNTKR{re# z8W#R~y(b2gI*%>JvwkUTKQlVN5I!IB`1vx!SGb?Jj5$H$uC>{GkdMzT;dVo&{^t+t zJYu|$)?UEqI3i+7y35pu4eJ80G+EV<2XBFySb|R_m(9eFuBhBzn=GA!j(_ADCeT%p zpT{g;gMMJNT$foOl9k%Q)2+VKv|(LZg3=q-ELQoW$D1GKIi)RS`2gFHAMfeaaGc*TS}Rlwxzhk0#IE$ndKXYdERa4~%EUJ2BNv`CqoKkxNeYmz(LRc+u+ zyVYNk?T4J(s$wooqsrI7pGU~L<7Th8&6hOECp$^OIQnkgAr6g80-CWescV3eLd$a?=>+g+RmUq zwZX%DYTJj_6Q^!4Syyj64_@mI~U!5|0CVH z_19dCOj!Q1X2Q1?A**Dhe?b{&PiYIP!kB4_U?1vxtQG(RNgjz_K`gW|R2@$Frg)@I zWVUx1Q#r@V_(eEw8xZFA8N|8^#Rw^YvH$-A6r166s>4VzV@wg`&t!c@{{cC@&$c4r zC2H6n@P*ZJY@tAC)n-wazu>8748RdD_}VPU5;~Z`7X*V$tQ!6uD~N{ZJtiHrA`cXG z9F!tA6txO`roGUmo@uDm4(w30oV`)KiMlaj8KSC|dU9`RuUY|K;8~f|WY?OfHct?oTay$#}O+6ExrWlNlh*~z){{?2U6MLvmYhT5% zaIjRhl;n(sS=H%a|HDIJ`qjjhvx)6EkWC#3(Ids!w_c#a>q+^W9u3hm(zj-(**5`9!Q?tl8X?{z7Xtd>)dGu!u)`7m zf##7w01Iw0l*_*XJ9aJt!xECDX3?At={A~rrat_|cl*6WNxF9lUO7kX9~l=?hn_1! zg>cYhrW4FGvcNQjz7ItNQ3?pS^ypPE1je!8(jvG(Koeayo01Q&UoD$b3`Rx-O9R6o zMuQDGA*5JHIvf!K-Eoef;PX+vVycp7GF)rvRQC`Fx7tQ`&PmKKksLB+Y#GJUqC*eW zZ0Or0LNob;0?+CxFNc*uik;ST#ts?(cD9lm#6GT=m&F~&bRw5La>N9CMgPwXhgPidfV?=r+ z)!17pm`K`k3^`+v5h7AB9fXMk(9hy(IT7*8F;I=|QH_6L1`}rD*;0o0NCe@np7%kY zO<>u36gsxChpuGMt)9zmxmzGM0acsVQhNV+3DS8vpZm@fhBg^h$b6 z4?q|>u`gK`&Ym3c1f5kYI=shQ40(aVq?#BVGwGv;RVA7RMPW4oYv_DEHs;GfjM%MY8>?7hH$)cv_7LyQdoLuRxPsd9+7{u=0Yv9Yf1gPL;e~)UUSAaobeYjSb8K)Y)FS_X(vbUS^x~i z{&q+iw5k@)KV1W1u`*)U7>ztEZKD1d&EIKPulhCAjI((dnjQh-Rhse?MQ%X0*=o(wp~9nnpM-0!rm*HkcWovqxXp+qK{KRTo`$( zq@z4VX!8J~Q`EO8KLB*|cRRcVxWZ}ymeAWkI$QW;NHgf6K}7#*`**59h)VwV$E!)5nDT>}DC~eeobX!f4!Y~V3{r;6m z9tNbsYKG+AS|h~Kpb{BYVnD%$dUIv(JSCf2b4~9V{c29HdcF!h;yH`HJ8UHFs&R5J z(Kk8>{58jaTcyyazt##dTD?6XX~<|1(jr8c{s(Fxo(I7t zCsphmM=sR5*9GmSdc@>=OZE}OIfWMsh5iFFtWZEvwQOO%8lm81B#!Ww)M}`YCQMVL z`w*xkPtZ|@f-zxI%5G1LDi#Xd*5@jOI3^1Qu}~R|39WEM5M_D|8a80KA(wK|^7G2x zz15VR?H?$9Bc`bj`%tpIdPdU8Wgsdg=u(kv_;w(UY9KipHZ7F$f5DKcds2iw5=Y?4 zr@x-D#fa%JZwzKXs6|PB+v{)r`>rweML547P?^a>n#TU21bhZl{V;ry2#svez0V~J z1$$w+doPpQy9F3`0EuBOJcBdh!h2IE|FTdBqjvPqphSaZ5|^~!*20rBHf9M8g(yF) zD#*m>KfK3NNX-Za;;^xen5v=1U^Kq+v`@y%LLm+hK42qiM$s{#6;|V=L_C_+cf^7y zj2>gY!?1pn(`y_u5Yye(rtdZHUFNY77u!-`I}wA(S`Fk?>EzyuQLF`o!fI+(Y~4Rn zG?h6DVrkiZ(4xDiaVQakpAs=twPfUs=~zPx`u+~GbXzlUg&{572`sqDkg;Y4ks50Z z1`}~V2m!_gyJRjRC`waumVFhJ+^hec?qBeC=&+(u&WQ^-LH#>uSY+t!DM`?uNn< z*dm0PT(*aQqx{c!Q%r3|3>hv`_2{jgKhB5PN@r8snwtR7Wcq6uomjDHiIoGlAv#K| z3^IX^kW|a|6obJDFYcyv1byf*Wtsx+Ta%;Q{A`JkvKB{}``IFNmW=cd)NU9(p?cS=sA92f`D4TS#y$5k4aRQUA|B}n~;o&jn@FpXCJ_<&s~suaU8#1@F*l!a3% zVca?YEcqX^qAZUAIYa-i2?4|=c>Xt=NcqPm0#pANNHbAuJ@57~8vRiLpxV6;PFn2i zqv{^DG5K?qir3ywy)R$}*hZ*ND$d45$G#!Yg#wk>mi@5{te^rMii^Q?g#UdZRCCeG z4~qrj@ybrxxe=44sB(#x+u8n-UTtZAR=6frYj6w_WHMvCQsj^4+)xV*QSfd(NH-(x zL-AAAK)(dea}@@?3aPP_qG5-Jz;(*-{7p@fgqIeJu#`Gx1S^OBwTNN3zZMaX`qv_8 zNX9~~F%$&=nYLpv9~IQ5HpO6Ey$p+W3Zh=}o4jHBE!4aKI7Z?mIEU|(MzMw{ozE<=BQ{pch^ zx;_h(qqyYP2Tz}TXSgHab;u=TFY4{{i*p5=Z|BB+XLy5^l{^ykF#t&(08tNUgCsb2OAOt&s+b)zx4ta@i~i zQ-xQike9!iJC>?vx_rv&eBt94Tv6i_*cP5$;LmA1M`&o;N*S3r+0$T5Bgs>R1@}}=ko92 z!cK|Uc+DK8E@CFgM9`RD2BV|zXICz-kg&w2KkC1qmPlx=zskujIvAatz4bt(22{^_ zK`Abl(W<{W`#Eptmd@`+fvA0t>xbcDW=Uf}= zpPuBVUnT%I8)0Q+^huv3Db*=$mQ3xTS1VKy+AgCt!R{nvxly4Q0yr)0nTAzeFC(>pk4oJ+ z9HsAM^AM{cV4PV{09K(kymFYt{ z$scz>JZ~9oT`$QQwB`uGFgoR^yJ887(&=z(j$DGMf6--2F-`*Ir~!Lz;r8~MrmD$< zrt6Bg?7cuaA3r|gDh}8^PSN)y@7z(VfnSNx@hl*0=NnvO-hyI~*rKWRB6ud=bRM3b)0 zmEL?f&^tU$_{*_5+FnW2J#wjeYF1_BJu+a2KX}A*GMjCky%>_rC9r~IR7Byml9R5orU0xRJmmBuNNdJL^=`5s=EV|KiO=_VzYlekd#2ng> zUKRt9me1|%rVnW@h1cDc1$>>p89_Gj;IR;~2lpK)9NROGkhk?N?ie(YVI_hR< ziI{G<9lIIAbNak$6cEy*LSdYtyj1~9g*HEAjTYSKf^H7PHU2+g@0h0?nR(K%D`Ufc zcIS@6!0yLV^CPs2wB_>^{JlL4AIXPc z0{r+p_yszq=)Q!WmD)xxMZ4q{>{31H7KZVm$Fg~L>RAalhZ_wcEhOxNuiV=V4E&Yi ztkk_))+Zb`3elx)gcNRHUoOwwy`m1AM)T#8tk9pnbi1l>+$Oi1sUaAW6bWBmdh)-N z8OlyoQ2h=?SSJYxXyGH?k=%v8q;L)*syr|EDQzu%+Y2e$ux}bn-b?H-klPrywbuhj zl4VuPAB_N>!Gj6=XLpzWyz+Y)(O-%vt2PX@bBJ93Os$LNg~6iU5+C!`$CpB40=YlB zw`7pe%)i|Fw)>HME;!~YIR0FaI-*`KBrV=$zc_fkLg~IlA``JQI=XXx_IzExP8}bI zou{38e>v#jdoDDtGp0MdeRRnPV%3)sXZTJWu*$@gQ>pB~dfSIhZl*n(j5Vp)({Xd{ z`6^{DmBd-}@JbH~k!7W-XQRv5x^d?t67&!7LCNG`tTJGBm}E{mFwCDbL)=~;R@jPT zm?0%BEeA>E_a$QXk_-B`ckO4bdB+Wtf+&A{RHQJYD`z`5G)%(&b@q673-(jN{lKS{ zU2ehdXc1V8Jlwqwl?MZhbTfK)pjTb%5+9qPaCP_kGC}q~qgOcHM2KtUR}I$pmbf3-B9sWjTDg)2^-+pw&u&!6_fZLHaE7lJC!P z(3RPl8Q>#BggQ?Cm_(QY;O04;9315!UOcoaEN&~$ra5 zF9kY(4-?DHIs6k1iTxUo6@G?Kko7cZ)#>V=33%PPagzPk9(R6o=Kc5}g@MCJHLDMa z6R-%;4Df1NJ!K24paMtX(86%v3Ed=10VsuYGmegnazhp~T~+Yq!P8I9O&ScsQiKGf zU!hAfW_YL?zF>fMB>wW?qR7I_J)r^{-!7Ps!H$i>%$U)ve3g)}fsO6ex0Cw z+DeS}qeyGktpBqDzy6KNF>QZPa{`+-U6pKN8;F=ztklXN$=o!ztD`G!@aV`eda|qd zb>QJUY;%&o(wz!R1`V-b;aIqmzFqBoKE-wMaZ-k zx+mk^;>txnB5CkVW86JHutniknPoZ?Vfw#BwSVpE)bj^%wRd$zm|E(3n0mnjMw?*( zKRRGkBKXHc1S{K1a~g&ac9j-VkkmBtkkl5+kz6mx$TkYbtTjNahRC%!I8Y_NZdTrw zIkgG~q=vGolsd(S)0C}?(GK-pnj?x@I>t%-eL>hA49OGB#^TIK8oP}~uBrRg3U@@k zm4k*=gGf<6OssZ1Z6jFyOj^pPL7*es9wmPrG=$k8DLf1Yj1YhOURN+i;YlnDDa-Ol z4?It%vrr&1hb0_A=d80dUNM|qFc5i8RE`JdRCq#>=5o9)d*|rVw03*8{m8xi=F!=_ z)v>Cmor~<-Tgr4D?W3TB!_-f!ZY*2{$X*=WTe{G<%S7{a8CLVF9oM|TxRXE5{`?ze zw_>gLizVI8rz)#Q?p|}FG_s?1LjC;y?fkFgG`m6AADsRidc8v_&4gVqICB_{bLv{3 znmm!tT!`lz@zaPEJ67fIxz-6PYJiS*ZluIupbVpVNB_9}<3{NobIT0-q5{p&|ejqm&%oyavKE;3wc_YW7I7=nfxaWJh zj>^*l{b-_b=POe3SrB$vD`D=Je!z6C3CL2rrj$VR=AE+Mx=*-?a2!a81&30b1lrV% zjE3M{Jd7BN25#yUDPEaun2j_$08*71zK=c4yd1#4pDkaB9%&=3Y73pD^Xl#ozWiL_ zxN&&fbR~uG!dhD=1#%Fz;U9o^{9NT7mlPx9N@&I00q^k_0twZTFlxDz*|(2lfWu(=bxqc*S+OcxVgy;2wW_Bo;R)m!aX-|bCnolp@Q zm?cFHRnVVLH{8I~jT1m$2y@Ig=OcASL^%{?Z=JUJSsoEwaLQm4^t;n{Dkm3fj#2mv zuQm(SR3xb1xMzesu3EZy@MO=AZXI>G^9c<_sCLaMnjyIA7y*qjxJqnvlYW}*LFOhq z^R6c?bQ78+Vc%w#PTZh4Rp-%vhxik%<^IoP9o3$kNgagS-&ZAPU>G7eVAa{bPY@o zp4=a6XOlWQKi%vVGv7a6WiyYvT-+9vFh&I)FE1?A!^&4;JLm+PQyniuIO1G`Rn$a6 zv^n`Pq#pa6$IF&T^7M0%KanEsW;a5k_o@mm?K$1=kf0i-pfm4%QWzsGT@0+PuyiPgk+_UZ{(D^& z6^f6)$3U@%uDttELu&;bha4r_E8DFrB)aX)v8Sn`WcRyygm*wt+NAd`w~M*NZ>SKRI8- zu-#^%JJnYUt<{S23m?$?FwPDdbY>jtSuODf1mrYXPDY=4B@=O)R;I`LR2m|t!iap6 zqTt|UlPXz{d}u>KSpq_Kg>9l~imU>tE(I5QM^@ad;m1fJSh87xY&d4!I&@BBP3d8?bq5VIk=P*mVLoX4PkbDI z!z=}do%6X~KvuNn5&eIJy#-JlLDx2lySqD!ySuvvg1c)LcY?b+i@ODPCj=IE2`<4Q zNU-3LyYKhwS9R;&sy=hh(>A@^Gi`Ia&!F@3ck%S4Bo)AMCn`UTGbSh9mPp7pEZS$8 z{6vB}Sba=RP0Zi&&!>m)azUKz%a1?dd>N8C zh0!OSE;A0tUfbZRMC5`xlassQkaXru=P4lST?#Q-Q31hz|2{-|GYS4=DRDlFp1&UP zvn_vNz-ydBA@+uO6YN*@tNhc&#;s`HF^H9Au%)~Sg;jZx?KS&)KIcIEo%gqKLE{q2 zzoQe>KVs7jx#_kkt6tuAeglO`C#0|2CUGvk>chQ^$}}2u*opJGyHqP6v4x)9Lo2l# z-1>KP%jjeV!+)e;l3xrPu$(b`gBop^=2&L7KG~$Vg{WO5% z0q!z8>HjCFMS-Nm1fCB#e?r1%UIn~wmPhYmSjruwYCve)HOI=vyfh#JuMR2|c+0Ey zegcujSri z*ZK9-Gg1B~0k34t?V|5bUN}KsuDOl>NY0p)4Uno{U#AdP6Nq7HZ50a)1Y+sgwupV> z5nFdIv~RJ%dcCfi-L;|oIJ7O)03JX8hj|}ATig8?^K60wNeT7dSzpekw~J_~e{S$9 z*xr+I4_{NhYwnfL-`)!k3=erObY(Q=T(pUXj@A6`N;IDAxnMcu&|D5XM@8Naas{%U z&FABYX8XsDR1+E|ynu!WJ!-xistOvi6Rq<`hjOQzcn~pCuJgyIdU-%P*LCW6WW;4H z%#75tjUJ456K;8||j^cR}L@J(3tQ~iE`g{zlI#kzQt82JXhRSr~XUX^Zf z*mqEu{p_Qa-6hRazd;?Dj`X2}SqeIr8V~mAm<0W|(>h}hO_Qyk)r^|D=>$?NagKAR zXjgNxYPa=veqV2C)>~c126);X2MtIfAL1WQP3=<)rDmJ$iUU`d4xC;_AI6TxGQ}M} zlL=KdyxhDhI9^7_bS201#%Pu~>_84CFklUZHw;APHkAH19Y6jyQwli^8Dq>N6E}6< zk_m6iLO*fK)<+5tn8H5MGWq!;geeu475AhvzZAa=La=;}ivs?b8-XQ^#6^fu8-LR1 zU6Thbk>O%4YTttlVHTDy1=ew5rd!`v&Y(uxIpI7Yu|2NMVaIR+KTy{hDDf1@3WTzFB{t%9gyR zdaeHsSIWHp2N8h9m-Y^@fccbo;e&x2<48A90IGZ1J2{v#`1zDh2#_UkFfaI>9Fqw5|u;20ZY3sw?~xINIv@Vf^eHRoWs9c)&Deh zQm%GRtu_++i)befMRTK^=l*K^W;Uhwvp?mko->R!4fKfB?hzM(fz^JV6tNL1QR2-$ zeF|Ggx?J?HsQ)pk@q+(qM56O5uEi9%pP}T;aGv&ov+Y4Tk&!({-_1?Su4J~O;}=I! z297I}^(I?a=lI?KxD)UPa;g0ZVgEQ`^F2a-Kt*>Pfd4#BP_ojEa|{^5xdS{eiydLDPl3#OJ-V4=xJFX29Xwo<}A$A z=7jH5@5`^YX9cOci_h0_#B!>C|M>-TLgq8m;@=d}#nV*&>!$*0A29St>hz+=v(jN1iBO8kwmaR%#$ zpWgV_N=>zB%zYPnk4RjvRYo!P$Jh`gPP2<79X~^iJx0 zu-nJ&*A}6W>s2>dmmTFlvZnBDSnoiu!K6lvwVR*NA_KzaV|s`ePrpOR&B&V$YX9#* zax|beyN@lRGO6kUnu^;)}v|DWy-)#gVvrgV-MWp`CiYWa93KtpXPp)#@dw}1CE&sl3rUcKb*TI?#?oTgtZbi8fPMA1FWQ-s8`#eZ6cb|?+!d51JOorEaz;G})g%l)bq7=xIfwb|iVm+wzuV&W@jagII)^DZ zxdyeuBh6l%!BFh>a3W6y=9T&Il&xo`cr4Yk(#Q1Ds7gdDDuI?!(l;!$81C9~tXIzB z$W-9!=*_=Cr~f{^O+@o&v`d!T>k+-nJWKPb8eB|6aZxEA&rzh>9i1p5opc*Wl-b%D zJ)(8R_dl6PFrjvAtK$=^QK3kSZZsht6JawO+sEktuiYa&M7q1Ytdzjwt}Nx zB|N=ed^`IB;o|53I^fxN&{UkFDLWbQ{|ElvgbtW+9W>=8Yszj!yr3WcKM?Kz1J0{N z&AI@JUX%7h`mF@bIlg1AosYmi_}_%|n~It@68^tI|Ngb-nL`h=0m`QAJ4m_Sq5HSy z$a!mr`YFu^uP}+4UNI0ZK0={;iy)8#0?{Eb9J-g%V(>}>0`UT1k!rXdCulWg3g{)<5C!P_;+Q zbpXnf94x6T%yH^+qd#XgN`Uh7o5h1K_o;(U!o>)#K&@G2XEL+jz-^NDpT&RJ>W@YD zh-Yxb@F;4gX!b47s8x>f|87oa`^SdGrYnhyjUP{*If#u+mNi%i=RNYf+Y5_1EU==q zC|hzs%K=fBnQ2y!IcAduztxlz%sM$2pxE`(TNy%=!aJq$<=8(Wuizro-Pn2ee=z!O z9J$eobZDU0fi9CZHg>(6lkh4J zGd;5I2|zwk@WPKJcJ068MGI@+q^|$qw8;JCwL}jA8&TOt3 z)Ek}07W#b^w-cgyg^onl+~}_piBWlSE${I1*@!`+bPxBZ7OnIJIA)uunUEG^ET*}# zT1N$BU+}ku7q?c0&5;HSvK8i<@wCi61M^z-YgES8zWB9l-r^S8%+JqhPe<|b-iw5m zG)^7Mn3V+rm+XyXpA)J+U5yC05YpNL58-Z^k|o8Du*a)JVV)_929w}!i5uzZL5WYH zxN-3$ZDXDZ({ztf?K5LV+luOHU)jkZ@ zir>0BVq(-eEKF6G61N_wRIz!8y4+m2`FCLDu|qs9ou$jA(hG`w^%RT0E{K)yuA=&^ zmGYM+bYxRtm{{07ozL7HK|U?L)k>gtWRy;Z>cSc(qxN(y_Y<7;WE<=%l@zs3(8yU0&H@~j*+ns<7PBf`pa z7DOsOp-#fM0e(Z9tz+k$gv!q_4#^HpPepCJ)Mr!XY^eO(q}hkSml%~(P>fq9v@2-E zdr}sha2&XnvXK9WkK0gHy+PlYyccSf_DaFHcqSABv4`x`%^U>#Eb=}uZw%2V?1myF zS=D79j2z|5M0G9c6}{iTXTsbN933oE#7I@BBcn;y7xFr`unHX?_J(*gKs=0vWUpAs z9m+`JaI8pfE&VaLDofN=WlYVNs7HA-z;MczUVvudbo+v4)0;}pXd<2&x)qjK0p_wV z@qcAm9;{g^Gj&y2>Os1OT=i{a^{UecBO$&B^k0v_C~X?k9AYg}6y2Jhk;sw_^fY*YiUABkbnINCFKkTnF z*-^soYt44n??LuU(KwV4ofn>7Cq>3Wj@h2j%aW|*PU+d+HdtZrG-U#c-{~pXQIkF` zN(8eE+{R17P@{LoArhATA(l{ABy}RU=!13+XkWXbiYmBFPuzVWgD}_*$RKP)vL4k{ z=|CHa@P~iV7?dfGuMBQOTtxgYKf{E|9w-+^!&XSR+vhH*>}6rKZ=s(aM0T^+39Bl7 z5S}+$%v4*VBXC{8c25Eq3=FsF-MKb_9e=FtuygY$jFSG`#Cp+rH>rDIR_dzHHb}?b z**Z;r%bECl3>ZTtS!%`oNPl{N_z>hsc-ZB!S~4`PLS{n#&TM6~&&&0t9X~U_O?>DA zdz444dnP|e$8wJ_mkWdiL~SsjS+>o{m942~Y$vZcUiDB~e)DIJ-H6wU&bgVMQJ5j~!Lh>_YDLQeBol_VKR zeTwxgJx_fbSuAsiDy2|d9aRbjMfH6tkV$YD-&_%*t^!558l7Ckf3ds@;QIpf4w6Km z3@jxs8FAd-LstMJB}NN4lVG~6wVi6ZB*_;Zy20fUoWr}2o?uyd|Ne47X1T2XY~G%? zet>X<)!l}Xs&f9nSlQz3rz5QAYWJAH&+B0JKinr1L~eqWC3~Q#C@T_@BnSKd4StWZ zLYJjkShlT!B)9dod${O5t$v@6;_W7S88ED@6N#bWLZ0PWJt0WhuT}NH3L_-KBul&Y zs&{2vvGP`5h!Y(wcq_jzoRZWKpSLZX(o_>y$!9ChbUl=zPS^%|6DMg|<&wnyopr5) zjj|fS7*h?VtNfP=!cPJt{8pTnz0nO1Osg1F{tO10B!QQ?akQrt>t+`~hSynewEvbT zbNJM3n$u6(9opNxejBy34uE)>tx)&x|*tfioAjaL)#OP}Yq-J|~rW_rmYeejd|hY~|D zxn=e3a1J|is5)ohy1xL%zwk%_^N`&)RZ})?!?yTw!87>~V=S_mZi#5vtK(Szo!uO{ z@u}l*Q?8g$ec$KR%?n-9XNRH@PiBFl>6;RRM%p*I$`O(Z13>@}!#JUd`M%hdfzU&j z%hFb}+rMOpF~F@(+WiMX8e_*?g^LE#6_U=9!k{D>nAPfYXY8TEo2G`4qtGQXQUcE7 zzE7sd*;tlA$kZjsFoaGp(tXGg%>b;?TuCA)7zOX>q$R~ecAQl6$EJq&OqUwN@ zQer)-f|faGNL<8NmJeZA>Cn`b@)54aeni@|7OII6M+T8(cRk8Rq%I;(u+oK0Nc?|t zV5GP3knZZ%1>lE7ogUPn6VqxOJ~Ji>YYu^Ok*svg{hWacF<#=*s%}WlvkEax7}S-5 zj-kuUm4azm7T_^MInXMz_yN{o`y`J;M4zr7ATec?dAA(-&XDSF=B_`t8S-79@-7ek z5JQL;oVOZXP$-hS4UJ;T#HB~rmbiu( zT(ob|luh^7LVvWClL4Jxl~1x=_}4RtG+QW7$FSHy_`u6Qy%z3o4MJ6Su+dwU7N3Ai z;~ai5o4h<#oZv6HIiHC3`DcnO7ljJAx>sardRAmpoYdeTVu};=|6u=xun2cr0byN} z&)_s+EevGiFgXv7;|a+W4%k25z=fD=h~e+Vn9WPUK;KS}c=-q;-p6k-*QSrh=wZH% z0e1*eeXIR`;Z%C=#?d_+YI=KQI9*%firGhXo}HNX+vn6kN~-;b(C_hFP61I!manQn z22lIJU;Uotlax(lxb6bU|HYgh;JRCI55MJ8>G=j9$U_tBA%wxPLoQt(qQpr1+u}35 z);MMxoA4CE3nRWJnY$2-|0V>?6N?@21@I z2JRE1xotX?5~J;%;{%TYJwM?0&odo%Ov9f1Vlu475 zMO)e+bqRvUCHf6qv`o5f{d|fq@Ij zF70Ko^*V%tUfhLF^{xhsdM>M~pMq+d6mcogz zFQ>JY)_l4+xLh*EAW)kKKBD=PB*+Lh_SoN8N> z&W}$8MoWim4+B_Cb0S+sDG~9g4uKeG6MRA%!qkelm~IQ0Xs&kTv<6%CARk#&8-ba7+WjWPM_{X-aC_52Tw0s)QT~i|IFHt78o!p5URisCZ77nnn@u za9_p170wQ?l!xW_f1PE99KP+Ou-}F~2t&}N;Z!2x=@4sP%(4y1l@M4I*pp%HV z+ePYM7ODqlR84sn^3c(s(HX&CDJKtNiKsGC`8uMBw1?eaH4f+;mrS8^LXWxp#CP=s zEYf;%7H~%s3OO55U!)1|``IPS2m{0I?sul+Q|#^!|6MXO6;H~ll(kZfIu357KyfW# zxqI!X`=C-B|JJgCXbWks4VT{(b@pm z>Hv@@&aE3@MJA4DNX=hL8t$oWH;|4z0+_R=WGezpWLvYgFYY-*qme88`swZ~1P)N` z_!p}IE@{PFB^>Wk!^V|Z*MNj}B$50xL~4HIU*U!50Ua(Fx*jnOV-kkj{3<|G(Iq%( z=MAZt?h9V>Ul!BFNVsdpEL0B9tTKT(qjk(`D85Hrjm&g(xYVfTTJSsR&RvxT${IMx zR+B0k4_$#vfkpWs9^RcYEw|xWEIwRu8GVKF=rGX)^iM;eZQSE51>jOqQXj^W{=llT zNnAPBnUah9lCs?w8!Mb68{I^#JnJR8?&#)`*agURp|Ov|B{jM3dTN zKGNiPNA^{_oFKaxO=_o7F27zeK+;4vh(ns4e=;S0=4^bVuVsGsFx*2#y~0j;1Q9;Q z3rl+1eCaH=wRx{d{UZr4@M^)>_)*j}B*rYwDbk;Q@IJCchaVFumOC)zFBS%7C2}j4 z2Y|nEZW<+C1}1xxf;A4E*Fq>Vj~y6p_?2v5zO*+?X6u*dcWyq^$$i_U$C=7MGY&}2 zKk+g8w#q7uhu)@ULN)6AkzN6FiBSxHvG>@H4G>K_YT;W9`8moz*0Z+D&BgdT#q>$e zWjw>`svLfMQj6Y>;b~Msbz>F6O0%yJ0zT%bU4EQgl6?&>c%f`upFXj8o;Ju8h2}6L z`5opTQBlppDz)Q1e`i8s^{zscH;0@)X$6plK?NK(tEtR39Z)w6oC#^97S^hHJk`}@ zMPJ9x8L5A=Q`Y||M=o-uuVk>v`P*8N(?*xZZFly`SeN0v{GPEvFV{}TKR+O?2?N0T z{m{kHHsl}Rm1T64fv^&P$6$rTVD#5|d*vvhtseR@VdafS{jXOsY!Bs5m1r0z@SMn>XwRvL{jFdfdXdfc48IjG&#M+xVN$oP-s~+hvT=#$)T#a7 zL65FmeA+$%{Ro?KoKXf|CnFQ^la?q+x@CX^{-#RpnrWKlWk+25Ci4ogR==06!X$kV z*8l*h8e18oHX4uM*PhN`jjHKJ0$kKf13s5^%koe2_8=7=)A**zUAGbZXeG)KiT`!R z9#++fRJbR1oxobkB5xEuodB}?8U9!IVv%Q`5#Cux8&6CMxSXrSAX)^r<@&Aw$V$boATJYoikkP#cd z0Ew^+$zMLFYm~*-FyXnwzKGCz2t6=Cb#st2r=!@yU23~c20e|7AB)<`o<^P`F^!88 zhjwXJRCTtoIb-~$g{u;acC2x!kv&ZoaJ%br)ZCc^!zIu50X6-?cIypWG5c@|6`vfcp-z!e7Oi zIc2V}MUYk2c_i0G)=v|)M-!DwgMgAbLgd-SHYp`l$A}0&R7!S9BgJG%Xf-J30*yGr zSw=P-Nk%J2)@8-|i}5qjl^Lqw##6gecKB@QlfUOoOG=3jcz%?Ff-~yxi9e0-McLC% zQVhU79_44Ol7Q(}9M@ZJRtP~-w=H&fvE&M$99m?@4)S5ui?GB`RPnlODJe&sibY|7 z?8r}}2<3ASRpttpl%p}TVSGe&7fF()13F&0JYOsZlXT*5V!eoMDn8}%-XR&;9u`sA z{~^qZ%a)@qL45cjK2F2`Q(&z!-{b9`wd`P0uZrc6UUis7f>lev7Jjg33n?Ygq~MjV z619&|_nSv5xGl!^PlXwulRND?PT>A^%RI|zI2bb3D+v3%LG-^#4T%fE@N|U8K9j(T zkz3a|R-V{30Ly0ZQZBzlF}GA`G`ZYmqiP}<-p$IgkP+6Kw-Vdf;|X3B z-c5PdoBRTHdVkvx{Zc!^7+U`iDHW}8Y_XhTJ|hUDmLglwCIDlL=}42c(Z;*Ww$>O@ z#+w_+{v$9_63zQ&P`dtk24|+yG0UD`rxgz~(YL{nRJob;hHSu=DT_X+P_mU(I?M8M zR724|Yrin5XoV{4DF<^#%NcZY$Bt1YjF-p zo$nTwsxtMUD8r0McQ=-c1PcR-nVB*r;p`#G&a;eZws6I-A`jwJtn>jygqec?`Z)kukrn6Rd? z;LcG5Z6U{RWhlM$#8TB19PKv^Jl{0uOyo2lEv56U`|N559$iF8Z)O=HEY&wZcW2(! z9-jd00Hd$zxZ2@2jp|8_m-i+6xl^E*bTibcwC|X)b87b|fXW_jfewLr#;=(gDH#z; z(C|s%-zXb|XnCIp^73ehgFYxjIWbrl!vUw7!<fWROP{X_?dxK*M`Y66Y#qqZNhjEulka>M zBd&VI&+6_DzVzei+>W#tA;bp7K zCU3i%bJ6(65DJ6gO;)7-ub8K-tU|X372Z3DCFL7|4@EjI&n;}5-Su7;n#%Sb+MJ{t zIdRr11KT47TwoYl>$rZMY}fRBiC7|od=R$0u8szQsu>Ou-Gme7i*i`s16A7pp!p&b zr1UV$HGyMmHF9JR#9B;8sa;0uv}^g=5Q2N1$p5NnV)K+k_5@w^`isWZs-xsy&K9Jr zp>dinRNDAo>T%{94a zH9yO3nrxS+!HYC$mX@B42t(nSk2lgtA&8wF>!luooXH|b2!%)9V6yre-Zs+7rW$o8 zn&0BG$#Ans%GS%RlBEx%WJi2p3n?3apa{p#15*{H7_jekUMATL+}(AcmBmjylvxy& z!ZK3e%A=v^t!KBdX{n*5uW}n!QUk&i-8Dc?wPAGK4ATWV&|2eEF?e{i)>yJAaoEcU z4S%I)DejbLs4q$tq$3ufpn15tsd@8CYBP|j&!x;5aJRk;$hmet6HqU^PZv)_yn$J9 z+9+G~vZ#q&52$gaQ3k~#RgsvW9_qaLTFUXVd81%4|Ua$cqEaPuogqzP+&%U)1c(C2Eiooac-W_ z%8Fqr7zvaZn$kECo>)16`}!y;t-+;zeCJS@zkCG{d2guzSbE``TEE3i3&}FO@{b1E zr=h>&?^PVEn4ciYUNp=MC`!k1PDL(c@C;zeWqYWNjTIxVd8p}6RTX1sSbos?!NpCW z!85kN0R$jL!~rEFC?cN?T2sL(`_|M+5a0md5h@Ilq7;AhgsosIS!&R6tf2khu&b(JywaKHxZcxB`j>8xbBx>S53E>%n{MUmFJNQatLk3ks%7a_R|q9HocF z`s;6riY+VvEzN|KO$IH=glm$l|NJ;8^i&wji0tF~>##yaNR@6Nxd_G3VdC^hsM+zn zPsU-Ml^h2B3nj5FNFg_CUYTsy#Q@m4aZL(tQVe|ug!-Q-YO|ZosBw!kA9ShW-q3|~ z!uX1W`1l4{0gs*ngMr@L6G8r027wKPgVC_Q#oMRybYx#+_yo#~fB!kF$!XWFp;_KS z7XD%=By?5~q|9_CG*``TJj{()zqw+mEEXo6FgJ_#(z=v>j(%Od1AcqqIe61%YHQlZ z;xQI07K?Fn_%lou$jM4X@rOScFeR+39MxP;GR;nzm!t3FXnCz8D#_nkcS+IF1`;aU zgeEu;qz?-K zbp^AnJ2MgusZ_F|$oNjAO@C+uC;Tx-{}ahXC#sA4{5>=NdgJf6NZLN@20NYrgBT3E z^_b`C=EzfHLWQjjFN{Q5;H9v@2~D4g@W~jqDYr}ZGZDK}NkzC zZ9(l3MEi6W+5^mHaFQi7q=38H`n*FY1HygOXC~L?Y=SO+unex-A2FaFT`YPd85jn8&L7`({GGoq zPS)s}d;om1l4n13%V{`F89P$j91Y$`af>9o?dof3Gdm~32O%V@J-oKI`ho*&y=@~m z?A2-|Uei5V-+wGm8>-s)7mZ`DLcPhgKD+bEJjEPURyA}IM0GQ7hPK-6Tms=wBr}2a~T(>W&yz+%!BaphEQx~eBa%jP4UZiI}TJhhi&>P zEeNO@xV1VAv{86~GNwY^S`8!IAI%@jaqAyFR$l|h8V}gzoI8G8OxD~?w7;a^-QA13 zQa6%B*8;p`vfH|9PviSvHw)M zZQh@0_1<22?d2*EwOKTn4ViuZ8rIvdE8!CmYYwdU0*q}p6iw|=>pW%*UrX%K_e1z}eQz9}05i%+ zbs&UmbT~!SHwPJLx#No=B6}NlDl~!Ge^UN7B9WZ&o$5HXPt{5N7KclSX|X{x<2<#G zGgyAeY8V=)upJ|HXk(CPn_5es^b|>^yorCFI7-pti|4j?y#QaoqkO%+t?gega8^AA zCndMUsYczdx9K-El_kG4I%!m=PQom51^pPjDArmaZd z6;WA~+7cF4rYQPp6&{vp6$JL$5y#q_U4{26OiJ_ut|7nc&EP3i5u5&-a=qVCAQ4@A{+SlbtM=kLqIUxz1>=KXqX<-a!tRj37Wcu#t(|7 zJD;8>V2-X5n+fr+qH7J!wT0txAm)5ZqpFyomCCvZ|9jG>h~;G17(xLwUr09%$y_jk zzb{pH(_>>V*kzZV)#+YozG;tiZYZtjgzQ%Xu5aaW4|8-#g=Zo97|9yZF#BuYYXsR` zeHBF@bL)?q2ysup&ZyONLds(rie{F>q*s~<%oU^)Y_a%R{bK70A?)AJ549^)(gppmmqlt-`Nu7vUbxx=f5w4d@>ZIjtSlWzP=+V4bzDVc zDhW6TfY7a|84RvMNT5n(TY}|Wb9ymL*jZYAd1m~bd&hsb zg$nv@q{HG&3GLXVorg{tiKJdYu9!r`{#lT z?JNSd$b^TrG}^$;GC`w=@anf>;)>{&(x1YK02%f2nZipW;n6nq8w0A4KF>BhwooE7hlKRm5H*}OB866&VASr~dYW03dTEhI zZF1Wfl*p;A1?N!nthU$JvckLbM(&re$og!hcEVNlBC9i3K`Dh;J+}SE`n7NQ{j$?% z?P$=q;chcH%-sh1%pqcP5fh>uDH*1xyeT70vFPRGxWUIq%L^!xv3ftBXOtl-eqQ{< z94Z2e0Zx}LSrU3JwFS8GU&5aF>?qum2K;>9zE|cwmqjgME55R$WdbP^d z*t7lMv?_Sdt*S7npf^SQa>?2NSox9TUS$64|3|8Ev{K({N`@OT`=N=%XOi^n$T{hO zVRf&Pb5|o(wMqT=7cC01ktuC6r*Og6^)>Jd4@yB%{;cW*HDhedF-qpbaf*GQi?Xpe zAE>A>_aM_Lbjw|n0z+-_VI`9L-_`H9-}Bz7%rH7Gl~+vdc2b+|L8WIK5*~y_1gh|y z2`@Y*8RaA(kf}ubq_=IBHVa-p8wZhk!wefZlh3HJ36aUr{6MLgCOqJXNR)+vgJNu9 zIYEG5dkeoS7KSJCwa3A6n-g_})G=qqR)$7~`=p4*{%nIlD6m=R^(%97o z@OdKGNpHWMQ{$YNJ{T84bcr;1hY8K)B_qDgPb zOxO5rExwVm=w?CoSvcYPQnJ&=UdUUI2~K2G2X|&%KmP`%bpusU!UHi%f(=n#qP0eV zB~3ah_s3=Vw0go>b@P$Ct?lp3GXogP8h>^7&GW?1`N4sk*0g*jsgAF~Uk;OU=unq% zeenpBKi1#FGaKYKeFH>~cxuH8w~!}>p0Ms-X6m?`cQ2G`ax?-}1M>$2Ga3;S(ACmi zY-VOf!y`YbY{f0D&*xO+IcIrS`ot^p2B@Vh+B^ElmBL4~F3uC>ttIAlkj9vdj`eKC zJ~ds*27Cr|-;IYT0r6Yz#`Q)D@0ygR{nqG?MV)axDEMOua`A9F zy_cG37|P{^AV7(bXI&5@WR3e-={%3n2 zGhT)=VQ)r7OK`WB;N`D(4Va@NL-ZvH^2qb*>buQ~bb8_MCc*~I5K-HD;`!2BFuh7` zvi+%sHh)+BJjVGSFLD~w!5CxUfFlt2^S%#*Q(wnm9VI^JDvpbtTH$BwX;gnHgDtq! zfMwI3;L0U?*1u%tznogoNJ;Noo!$n-@q!XJw&eFM4C7O4zR!%Y7}P`V*BaHSKWtRD z$F_1!;G`c<91xwb{W62MYjM145qHWd=cWIZyz&Vny>a;PPt$`}_4`JyZo-T!ko#fF zzsCKwN~Yr5Wf8_tca)bZ85{A?pDG1e$PP2Izq9%5_8vcNAGdEabpIsIwykz9_t7^X zpE|Pt@Phe3P%dJehS!)g2QN5Svdx}ph5rvoBI5cNe0F>xv z%}F2OW#>=FSsQknTiS%)*MJ7_T8n5HCMG>lEek(Q|4Ml>wWOWvNd>QG*jIq#J#2q0 z>l>PhuG=tl^dXc6`93ix!bA_BT4%iwGf6E>>N*a!N(7#Yt2*79Jgv68yFeCFJd)<) zJz@lnw3gvdtYhKGS8WwlFf0fadYB9+QB?X6xq>K_xNnSM=ET;N7^g#XP?lP5k)$WX zQqd25vNEH?7AgQ`}k3zrhL<*$?V_R;s0GUAz&hOow@_5NM>JI z()rVw37N^>ZA!d!@d|=nDN8h)@Oapfw#ulR7Wxn)TmRI*r>|om5wcI}A5Y{g(OgO)feYQzrA10$D#zb8g6vo8ER z{{9Q86i&TEkDYTBN_kYdno%4Jw zRy|OaCwHHm2#T0`pP|+76HHTSACx+W&kYax&?ZHg|8BCryecIP%r&RI+Bt0afA$gXPh|oMk71G?c^( zlb(<<73IFX-|*{EQ3P(&;>6a!ecFb;rB8c5!h0NQX7|)EohsGo^7OpuFI=lJZEG3+ zjMrcqtrQHu@YY7B(WWGg`-30Pz$bR1}Ps{odl7mb7u2xm!w8d=Z({s0vQoaoSO95vjh1ZaQ!xU_Mb+LQmWZ3C z)eSzUVU!c|T&qXAs}o30&ecr7%MRtL2TX@#goRoz&nJs-+^~EtR&NlZ!=^-jmeLcK zZo#THs_+V7`;tyMcrZq{G)^D^$k{LHYN)%cWJ!0sScdfrEOQ>!$tnaDPhQELUSoaXl&MtUO3gp$F>S6Gmw*A*?V&YpyUZG{Ww^Po zH+$Lbh3Mb$?1njth=;1=wAbCzP#&ZS7F44f1Gl&&S|_JRHGsaOvzT85l*mIh1qj8= zVr*~yRG$-^q@0;&-tcSn%RFwTC0UoSXZMi1g;og2K9wZJf`5ttcdhqcKXaU2q!c}> zmr%ZYva}Mc!yX!;&%v&h)2Y6c%(e8$voMY3yZ(%eEij%r=46Yf+Y~JoyCAfqB%Lyr)SOy zNnv6V=5q3|!?BAtzbrDiYw@Uh&@kd!xnX;;S=}!L*&vGh1(lydong93E;l5S63ngO zk-7XtX%26<YWOA|9BT7S^Z$tzcT>|*{gzi*K6kJ89~`RA%U zhX|jCdi2~P9lR!L*7K7O5HXPuhDR75jL;hVPeSn_hrG3B_B+j(9;Kg(3VL(CX#&9% z>Bra+%-3?xXa?I4eQ(VZa75h>X3_A$kxw6Df4uc=IWa0RLh_PN4N15 z#iY3&jpE>fYX(G?mU3c+=^uUCtX*OJ3p0D32ihx3`4{GhRuBOgCMCiTdK}LNTCs4R z2ZMvYw6mBDA2E1*x~O*PZ4#a%GK-aahQS7@A|baykjIWg`GYbQ!;@tNVnRE&3WhLy z|6rIWCHi$iJ2(`tDAup{>&%knKV&BHENhlY|M>MjQB)9(X@go|lECT|OsU4}AJL-d zrey=h$YFQPoaPEiI>M)21F`Raoxv4?q;Ah-&0Te-C>6tL41o{}$3ef|3hf3ZG_Tk} zLyrDiff#D!F=ElS6ldT0V^5eHPPcPn2x$D)i{|esc{r{R(u(>bign}Ce=dEAsrdL1 znR_fdd9E|h8<>=I>B%)cm|eU_q?@CDBIj3Lxq=;=Bzu4ezsxQ#G?>@oX)NV>{^ zsGhGaEiK@J^beHoUK*sNbLkFgSQ_b&r9mX6k?w|-UY1Zmx^tJ16jlLgeAoZ`X?E_N z^PDp?d+&*vdq(y?A?6VV^mypYF+3Q|@Lv&gfp8%Sv z6R+wou$ylhSDmgpQs2HCOn4gH{@TS{K#UFEj^_gH_WP+8Y3eGQ(j2gp8ec(v2-2^J zk@{xUxAydldLbDJ(~p@}%{nF%9+$^d9|m?Oh6at;Mp;?!jsjZyE{1|9LxYpYW;e3$ z$ENuv!UIY@-PSFA_C~hMm)&+e-KN*U(MaNjaN4zO!~)AW#CkzFui@tVnfvX=iYFg; zRs6}6*s5D=xcT+s>(=IQ`oimpMv~A-f&%C86gK3!rmS1$Je+2IJxTUE}oAX78Wz` z-ZF%YbE-K|z7y9CPDmIG(St~GlG&KPn)nF{3}@$o1SwysRTLGBgAZq?Na{U~KB>%{ z*1^V2Hcl1jgAOtU&08+i75Wu(AOCE*{jNVV#T2dHb_a~p^dC7hQSj;h_7iohdtYf6 zboOj66c7kLAXJcbv|m36{#zVFy~tAqi)`m34)w4tJ@Y72T=-Z_uDcpj(a|XA_Pkoz z?C=u^=zqCIb4$L{GIq?v{mNid90cV2nhOM3Sw(Ja*Y##xZgRSH-ZqjxsO5k;7cC5?b zT^-Ps_1FvqWaE`%J(dIkgAbN4Dc{(kA7ITuF5@p=yl=~TEMx4VGV^rZIKxW`R7gYL z9r%#f{^Cx4s0EL^Jih68XP}B_fLvU#W#Opw_Q7dL$eM?J^w2BlT=gUk75}Ofy1{(o zSv_#4YdPEgBlIR_G%;Lx3Om&R znf}>v+Gpi*T_^H;V#Tj}YKtj9z_RVHDc{3Sk~QGXqr@;}?brYiavj~yeYLBvlE94e zTb698AJ~hy1C3^iN3~#mJpAuMCCy!;XX+wsV+{YfbhOsyBCH#*T=Aykp1MAo%cbe( zcF~LkuoZWW=MjCOlM}qSy;kan(!!ZE?*)Y8?o*rLg)WCV&7?X3Cg3EA;fk)-Pb4Wh z{tH*+Lfip>rEf59giJ9=L5Pdbj=$SGybJ)#)&IbSp4Bv+qp>*yD=@pc|6z}EfpeSu zr|3OsHy%_0P*DjE_J%SqTbu^?;jo3*tP5w}SP?J$4@*0QGO$f9HPc3=D3VgUD>HTh z@!?4{FA_>aXZqU+`G8Cn$tVM~s*G*Qz%oMDC7%vZGE zHo|)q`Uhh~$IYML=Fr^tF0tz+dR$UCESKzF$r-AXiZLgL)_|2YC|SUs@DWB>pFjnP zzyqhb@UE+q(2}@tLk;ZRO2Xh~%8V^V_TI(_p$N2|a=nEEr%xYZ#%V=&s}#@Vy#4#f zr9{5&!`Srx&t&gzSJAQRgbs5|zA)v@!enwdApmO2K_n8#WOBad!qM@qv&lz>8>YH9 zMf%|OXkWtvx{6_0cBs~NBm0#^ev;u%kuJgp#tRAp@N)f4f=RfB5#JcbE@dklY?cpZ z!G6__|8|9keIcUax(Q!>o}}&hfnAAIYy^q#*jf|xBZa0%=O(74s71~7B}t?1^Pztoulb9`nQ(F0n4}>M{AKK4sUOkJ04!=hBS% z7nr_$=^PrHg{(2{!tc0k(B$lG#Fpd(RMCIlG>D@2>i4KJ{8is}O_f<`oEwnf|0-y> zCi!SmFrRVlymrK+^42~1%~|T~@`DLbrelwhMeMgXfB_E0A$k8@^ij)4K3PDTV3yvR zzQb4{K3#%*&bExFI9-8pKU5e}@v)*d!CNF?Ef1();T8vDB0 zbP9$D8GPl($xf+%^KabOLPrNXb~u%fT-q%N22&mqVqXKuOWNHr2U)j3tsAUBUUtc0t!Vto?=`O9$ZU z7G7P~hXn!xed(p>)-uN`GXZ;*!11TL-fN3JYFle zG$kI()!0-c#u-`uys&!oOtf35p%jypoV}LFdnkMpN_U?Ju*0lWfCl@X`cdJj;40R? zv?qY)ifsBFJTNZ-`3${Bdfo)UXWy20_#TPP>;-PRQEciZPpoOmmzoD-Yrt~+4VrZu z8J9@Tlm4!=;oA-h!{6Uw7AAk9`JlR*-G<1GF}~c)w^5&Pm}|8H`>`vtKYii)&S)4( zZ)DfRxqnS?GGSA`Lou)H*IrUGc3ly^vFw4*eOFylex$7yCe~qr*?+!LA~L&0c(i4j z{1>C2Es14g_sSJ~O*2*?;8O8X%oRLAD%mrMBLOmsu)sdVL zNiyGB-!5WYS^FZC%jnSEMu=^ zDT@7RJeLqV&)U}lYjKO-SM`ptiChaS(tCuMM}J?sJ}g=IAR&{e>|=n#VBRaxmeCeB zU%It6t}RA6ph z70u^$&mE)MwC93j>ubT;Z}fkAm_LhrMLhT(~kP zW}tMg={s9OF!f&^+CNwm7e3VieIZ>y{CWb)ynjQ?K&S&UJ8giKGK;{1&Z=SheM3Q| z!GVCn3uo!i?)ovVO1U5Xy9vx+&SuoD86aHJDqd}r5E$Kj)Vr2nKz}gfL8JpJkOU!d z)70vvN-;ZetBzK#xWMWW39Ocpc}gyX>N1}RuI_#cP_Gb}bIgKYfe-{~6)yKs%u{fQpTLUZk7KM#Q{GG>w(kkJ46Ktj36CnF$haA_}Lr(@l6+gZ>*>q=`)iN&UO#QwzUrC7vgM#!)1sk4*rEI_fb=v59_ z@;@{zF4UV073cj@&K|PG6iaV&%P3FuYt)~C8zzhAI!pK=R;|g*n5OsHJ1Dk_(z^_Q z4?ftte}s4HVEuoDk>pY|d%CMPVfdRwt}1*VcsXH9=uwjl$VMw^y^|jj^^I3se;#e z|0~T2c~*t`>bph)6~%uN@W90XNkBS3%lQw$4xa%%u0KPJW0s=L{C{RNa=-#!QxyIA zwv=VvjaaV={q4sBWFPr#;1i0kg?^!YKe39ewdGb5Fv>#op@(W5#1bel@_F9d4_}73 zMk#p5M;-N3hiR3%$SA5l`qIfUBn&cA zOWEmRQ!geJGTYWMX;K9`e%<-Y7=Lr>_XcEoaE?1Q1M!o^iobeiXjOg|n?ul=dncnd2SwK|b2KWf_}miW`2+L{iW$Sxe-ZF}GYdUZRa*t^+X|Kg77nh{P@} z<>|~oF1Pd^Z^+@P`yCc7TK5avI_Y1Ql=2)k$^Ibvko%TC*F(cQTSDs3rx)X3yL}0% zM`{ZFJ#OQ1Ex$m75E4#jI$fVNa8Aa`b0(^eh?xorJ8-NgsuXW5CJHU0S?^a~=gqk6 zF^$Z&jc1i^=zF@wXRQYa!}s8}*0Vbv2itIuH>xc4fq+6I<#@{`AfOho%fY8w-roY? zZz|n>wwN1!-|HC`Y%^Rz8(P||K+J&f)GNk!P^~%V0t00`?OwFqiZu@CV&J;}q%uIn zJ&8Wuqvr(M^Jpqb5aou&=W^S3Wg(6PPuS-CXny7jp770~KUVD^!u#qQ%6U9Tkk2u9 zNsG6XiS+%{AcU=Agy8?wFh^RHg``W>svPva>#Q1ZZs%)0=Tn>_neVlNwDvGhe3C5l zG>O#jWuDDu@)g@KjMqJtNVx`%RU|bn+z^IG>Ge zMu>@_4!-71)+N?aKZq}AaW(SNFVM2$cr&n$gfGy&INGfuO?wg1@FY45bx5;LZ#_+a z>rLE1%eN>+2sR4gnGL{H;c>bu0GkH1GWQhT-BDxFodnT_h_j zCxzAn+i*!^-dz~KgW`(49sN-kFxqCdUXF{mFe{=Qbi`w2w{8l-%U-Tjn|Hf-OI_&4 z-+r)KiK#7_lMCMBgzJ+)^709!4y|28LraOYfiFfHxlqtR!E%S$=VJ3V!TzS<$wG ztK+7EfcJVU?%e{osoWq!Ay%=NL7>A^{>t#xGCcwIDW$$b5 z^l~k3Hr~N<)JUNSR8X!GblB|zqA9j(sPIZ@*%b+MK5*o|9oh;x>#jP(asd}94sMj9 zUxD~WoMXuP5~5*dO=QsC*8P=s%#~qCx#cB@{e(%i6keMzCUEb6;m5JYr$$WlGrywtD`-&Jw_-VQv|r|%#V@YRJZ9W}YemBbP;E+KRHqsf26CFtni>bwrq zi!B`_Qu~^E1h$W}dEszfOfnivok4WAgoL6|kYk*5w6-r`oSXIVZ#KA~;Wa-X$3$^W zU?T||gxWx8s~V2a1kuevLOJsp-s%X_nZ?YZ;UHqiV3!<>$}Nd}W(qRKk&H6Sab#VY3qRKYDF<*MDdh#6N&-NaMPoZu{k@x)rNgT4Eyuw@;rzTIImxX46M@r(yz-I#l4>oii_ zsdtrSb7oo>>}z`M3eH4Hq`}L73{V4=0@(!iXIG}1aj2>5j-%Zlq$QUm1AgP%-l z;-f~$ii5;$dGJx**U(nb75-OHV&+8E(P=Q-bw4-jMjI#`Lw4d;{ZU<2YluDN!7sUF;|^utxo z)CSlV&yH{Ur2MUr!}2#7y>e;|&Jk!#YAv`a{M&0vJHs`Gg$cCAvkho3Mr%5OKMeyW zGSTH#j+qr$L|qB{V_^3Pd81|{>V|V_r^jg!olfK(`o^bV14QJLXncoEq`cK9d(xGk zxiiomt!e9U|`;2Pb_M{=)}TF@a!M#JXHbJ3yrn6297WdXeMVlt7d6Sp6FC zFPC32IY(dmBT6A*D^T)B<_5h{Sy-a_Yx@P#znFa3-_+iR$Lwd{&rUnWuQOpB{)gM~ zyV1IH;nl~QbF(HYEs|473gNQ0>OQk*ti`8|VHX4ILHIrIV-@v;_*`|4DtJW_s)gW0f2d+)c|~NI z^H!!p=9ehGCuMxa?b|6%DQfphnQKvufaib;Uw>Ud1G}xh+FC6Eo6^b!H5BdPOjk)< zknhbBoLCH1wD}tncOX#1lFC`1#O26th0QZ;k5TNuZTCoX5KTGkH6 zH^b#_4SxTPdTBQrask_=s09aNWb3Wq*I*HF8rVLNpiSV}*r;&Ql=%9oi!X@0nkEH| z?4yz;E>wtoOiipZq7RdGLADfZfljYjU;}K7P~|{&BHLc1`&0JgRE}gcXtQma$B+_2 z4bD$Nu5p;W3w^O%U^IpcBF|-%Y-d&o{t^I{87a5=5?(^U_GW4HDCWDC2oPwt|NBsh zdLWA>&_T89oo8kG)0VQkxHFijI$E{H^+(sgBvR|&g48n;jrBcJb|mq$Z+POGDZa?4 z>BGu%6k}_@6y1ReO1TtB6}yjoa|j%QW%#mXA(1RaLUT?nKZaP1|0HPkwa-+j+I7<8 z+YMJAydNqV^Sk!qTuA73Ego}>CC`N_-cSV2O4fg{_O<~?9g#UHND-5AKMa=?c|CPs zU8{?56atz#%A{8ZEcCsBWJB`{!N%s`BJ+kZGV zdK85`d_J$XEO&p3r?k)gGh(V1#gF~s5Zs*^L>r3XM?c;e}&^15AIHhMx9 z+xN+@TZpT-{}^LhwJ?EJPt_5LHQ{=34JvXs4b^hn$eQ_DuIc-CDYc(57B8$p_fzn* z$BXG_gZ~z7j88q(5#gqBoL);Ts5Q#ZW2+AM_gOJjw*How{mx7^!;(CaTTRbI4*bnA z`#qRy(C21j_P=GS!Rxfb?7w9Roiw%*m{udd%lB&iWkBz*dgg)PeiN>2qT(htQ^w&^ zOF&1SM{+S~PXVloP!%~o2FqcjyDa54Y5P7DE3}zq+N4&^h+Ni+iCni;2``MOyP{mu zO1NeopQmtfYE;|0?lB}1=#O3ZgVo8hkFIc_ug1#tyR2g1rQ`Cwxu%RHNi`aLl?`67K}H!70;3Km};#7%ni4;X*%b3{yI^h0LN04s6vXUFewV z{WlPly92fa$s3qyR%($kL+RlZavdnEuW6%_g4(4P?Mb783;Qc8?Vx{05=@@S8(kEdx(~&`7YKXlxxl(V z#bWzj*e&ebFt;0XY|q=A70m06c9o|1RN$QI#SqqG-n41rxCD1sk=!j30{z|`eUvyc z8me~fhmzb;c^&;QH;%ri; z%^7-u==~GVoztNeB;BJo6NF-Z%P%J@M{gDU0+}~SLb)F+B&75wmfY9%V;vK3f|9$B zQReyD-MNxSO-eoVs|05?@GLJG_vp?gn_6H|$AIK>VMYBKWkFuv{NIa)5vWgw$>HH5xwzlH8+{%DXW7pS5vi7{ikA|%} zCY;Hv(@TrqpWFUeWU>aX72^g^7CLV7$6{WS{LO&7qn3oOQa81J>84bA7-I!t$Ii`VhW|6dX8ayT`wF8$V z6|7r6oajR>tIPKVK+r|l{6&BPpuvm3eYU%X$jE56(-|NdgK23IU1_1C8t6`3=q=B( zEg}*)i<6nOw19|L3%as){r)YyxLwiiT#!!R2jNwBGDlAL-AYN2q9f(4g*il$>rn(D zu^w!KZ)x?hpKs?`=4}8EoL1g@cDbC$6Zc#F2n$P~Yy6jJ)LLGP8E$GFyr7xy{bAE~%KNS^1P zShDL@1B}#fK7-!>39g(+4g>`?BnO!lS(y=B83F6+T=CcUVPk!U(ZSNjCdPo%U)Cbe zT)>@pwaq%Q-9rkXYh^%!uMcq{sSrETqaNmCgUj-RMDY?8AMA<)~WworwW>re=gCwPV~lWB43XS@Afx@LWo<7`m28)64%14z>wVN>#2G; z?4M)x&JV~w5fMq{V1%~YCkW>;1&8F=w6*KuOiZ{E?{2;6Cy9mFnXKyI;%M%>Tz){w zi3rIliF|-f4E+5gaN*YowqYHJJ|_y|)CRp%f}|fe zr5eA!HAEl*ffn2tq_IqJKfh%&Zl+LoR2)GMb5=x&pFOaQWGz;$IMT-t&_O7~u4Dm< zaYwr&yd*}1N&L|@+dAz!_(D~jDLRCO{Lx2=@cBWGAvvu5KAIDN?SHj0B_t~EsWU!| zE+A3=T+JZ~I!d&w$a>H@@e88neHyYVKlLUc z1KG1|BzYm8$Tx6fvd*}r51RX#2nck;Ph2Rj7ooKXT)okWo&EY#BoN6uWH= zX#6v6Ht{_U#B%4qcJW&cMXk`#KiY6u?dYfZSC>LySMK-qjSY|7<}?zr8qqfU1U-By zl(ssWo23M2&7SE=2sOu1@}AaL1rj1MUC2SFog(DUi9z9M)jf}=^i;nABr)s9idv%5 z*;QfvK;~osI)OnCC`x^t8@V7a(1%I-yT{N-b<^XXKx$OTMmpo{kwB{5fMABxA%x=3 z^Ql#K5jTS1xK}J|TLYW5@l<*2#}ZYzSBkPsHD}ajR1EQu#Y>RgUpx=qZ9VBe40H3L za%?lwlw|M29h_FMB6mXtyq3=h5wjcx8cjQ^9(WMoeW*^d;A}FgQx2tmj1gJ>9HIWr z?;WuvUzP5+OIrW~A40FDzn`EJ0OnYbwTKyq9I5jKWy`RIm~5DD9lK#?L~>1qyjHV2 zwh8%uk>9my^;9^-H=up`=|_r?@I9$lt#EVNoKp2}#AOb~Z+?r)aCcSr&L)&+@$oG4 zPlM=leJ_)`XG=M3i~V!%lF$ZZ!m}@$p62G% z%xo8F`L$@}W!dV9KS`Pg#+xjDs0r~)IG<0}c^B?gK8h`q z&$i5B>=f+FkC%R}QDb;#TYi?7Q9PZdWn_E*)%})rA)cwF@Vhnvy07L7-^$U;(sXRc z{`BTie)hK6n-&(@to{eXbC(XbsSN{WMyxe|=yaq0zN9eoP20j16ev;u^{B|YEn0$2 zOB1|M`(KMg`-M~=?owHnoBryx_eo|oS3CR5>!0<IJpTU)+Vv1EYXLBx!_C$XIfn<&M7FyM`4$t zfIw3F)$uvzk`YoPtuA=)GkLxqc}5W;IL%0XV|Fm0u#vniH2{c|WM0C{kV-15@8K_) ze#h()*~b@5ex-RBhS~2G?!9rXpfwchSp(z9_lP;*NBEl-xEr2y?(jbebADeE6Pc#Y zOyqw5b`(H{tJYz`U~^zw_t?@#7BU>|pPK!$Jaij--s>*tZH*+u$5HxQ6AarZD|w(< zTS#a`&@uV?%hgmFV5k}3lqAi}7l>$hWIM>OT0}iXselmHd%Di}I z7AK|Q>AKD-ZYjNmUgu19uzhW%dwaXDXiF$Nc(MOG+Fc^=)={uoAzRP=Rrcy|HtVB7 z#U&~<5$98d>CF@>94FVZ|DGa5zk(%D&Po5%;$T4IU(<^AUz~CMAD!u?47=ksj<-Lp z_h&fQgl@%ner>anV!fln)NC{ojXwLTq8K|(=|?} z7pDHQKdrsu*oq4Oz<4s#J{!(Q5qr2M8P4_$ogbCe?PW6PXS8TcJ=PFqq2d~+oZHTw zvKek1y_D$}rHJKWs!cIIe2MA8eOlC+p!*A}`dhIQolvi%k)}k0(muXRv;`5dJlHxe zi4*63KZ!`eu*K_Fhbo{cqqEoW;-saRzgR>7lPbA8c|u`qN6}uSMm9xHKq40T6^(_kD1cm2=cse#g(>Tt|p>^O_0S3ep4`@{dD-K==lCTQ5n=uo$y+nkXE{}~05 z20h(>9sFAUIuXkwd`S#1{;PpBL}6hnE=*&bFrq7y@Gy+eE>4VpGUFr??nHpBavG@C zFcrMGngT9l)syCA`7bZA6c;9)fy{Cz+uZ@NXdy#F&zhciy=XK88r0K6(wRZ~7uR)+bY}tg8WvfhF(Q-}xDv{o{!8lBrtkFcuRlyJmC(C=+{3VS z)7Jv00#z#fP$Vr48pooi4X?E;F8OtUCo=~zr<9x5!0mXKhQFZCXvb6cW`@Kv@G@Ai zK2eM{@a#GIYf3Mxh49KiED4TPmvvB#?8OihO?<28LU_G#x?~{td8)l>r_J$fw@al#9j}z9pV6@Gc zMy)|>Sv5E=80|b%&Jx&uYKiCeqAj!i7lmHu2rwwws1}@O9#8!Zy}hsfDV#qH=fEHK zVdBEeA8<-uH49H_QzycT60v05sL z*T+~~<2U;b6!>H(VmJN*$Jx%=b*gTVi{&r>dlBPbw-qBhfIustkWI6$##KB)$TP=M z#7+!4-aiQuGenMKG2*RU%;K(`)(+%(iRQj+;DJkeiJGoh7(oJRBWnwV*Z3=rw+JZ9 z@o*_G)4Zd+M5V$atQdoNCY7Ul%_EJr-O7@UI8iPiG=m-OgF6ZMhF9Kn@Syj?&ra4c2ptQl=}R%IHEyciiSD@WxSZ@{@CKKA3u7ugro}TYqWcG{m*)Qf$@?M0<(4 z3}ylX*(a4XmqFsiKw1$SvAy9ax5KgOmB4PyY@`0?2RflOmA_1&VwU9Z+G9DvR{6>6 z3x8Z1;#Fk8E}f8_b$x&unmR=k-QM5GBy(d`LwbZ?5=z#v zXcPy}T{8QhQ3Iet2$hjJC8RR|`tqyYVoaqG;?IAnCNza6k!w*>Z~X$*a6E{A=KvN- z08o~%c7}SDhKL{kr84+k4{m8Ld~KE~D7{wzRxmi~5mw1YloIC5OB`Jx*`)?3Y+UA& z=Ts=4FTl9GQ`oJKwv$D!2{e+bW2wH<84d_18O_j{rqbKY<=quFaK}?mYopfM*oO*Q~VI!p%M}Z$AlRbO#q8+(YbuFXmg+00Q8suxX~pr|k5I(VmKuI9iK*cewE>lxB-HYAdiE!RV_HyaP% zYTooG1hZVc9$x$x%Ftf2u4V2J?M>=)@Wno$+wp`^Dw$jKc!ZxbH!y6DUO1--W{ibV z$i#aen(sCa^3Et9)$RTO99#50$6a@6sIFOp;152$jG{gS+u-W(xoYY%q+1lx5danxZwJ}<+9H)LcQ9po8Uy( zz?e$52O6wvqvnX-y4#6v-saBL$#S;Otuj&-2JTTK4 z8|2Kcih_W}ahlFQzX_gL4OPtlF~Ir5WvlP9R!wj>Qeh;G&mFDrk`@zLh^18n|Au4E z6QsRchS?w*Dz`th+pIz8TE~Dd)k44cww%_Oa z{$9}JTMU#N__+{Ia-xmx+p7D&BL3wVde2Q(dkEMYVyU$0>3cj+#wKcx82m;~k38xz zXq@E00V|d&!7BbQMjgxp*3<7kD4@2V8_3BUkYwsB$;tP4itj3WJV#;j{pkHVHg+^o zHF1kUmbOJj#%HOl+%uLGMoOg==g=yY6GQe&Z@~omUzB2vOX~T{H_m@Rm*y|NnOsZ_ zWWyo*bvHhC*Lv9F&%@2zn4=u<&!FQkhgxntowJlae7rXjSAY4YjB|kHAIKpTsNwC{ zyj6xgCaZgoGQKwbM;6-58a3>xNo-CJYf-vR2a(m4U8kQK!Ji|!FWkKx6!pvMQyN;Rcq|Wd)Jh(P|>8l{2G&LBpaIN)Ac`IXr5ZXWfH8@THa1;-#(?}aE(r2RBU=( zHTm}CwCQ9Moj7@VGQ(&_yh*O_%aBxL+u7QPz6*jKu@mS0^x*XW zZt~H1q*|wSg)M^3(1?Do;q^8(0VD(>qi`EdaXiRkZ6JG;(vgG{0``;=9cGHeV>a%1 zdekcW`uf$K%`%m|TC+k-FIkzeq}{TeIYs}x3XRSIdD0fy?^v-g{XZ{%ItP6VNq?}O z;O-423`4{nu$;RuPh!lf|6mh;dsjDm!;vyABbhM8r(*F?_j3F{HCcu&`$qdYHP5Z> zh@V1g`)hPh9-C)xR0CtfIx;71z9x2zO#Om0vgI(AuJOiH79a)KM{UtqrX5PEW6@Qe#;sU!whUJPadQdM}HNAgQizNY2w#^3feLI&i4lVF)em5wO8G?4vYm z5??`46e%eG+3>z6Q$vuU^R;qDm{cFWnE{f}QBG~sZB+tS$g#(SB%(-bKA&Zk| zKnD;By_Wn?TO{Na`ak%v42WX<&M%&8Q#i8x+?^y-^s{dm`N#pV&NnQfSCu4Lk2D<9 zXJHO=D@iX^Ma(82(w{NeY5hJW`t#7$wJ6b&7x?i0`P~B0q)W=#KZJi8#c1Q3s_+Up zd$u@$uW}Wl9Hr1*^Z{chS?Nc_6kFm`Z}79vOQcEb^hceWZ*|?do1z2E=l65 zzQHawHcczq?YboMh%{C-8B?|CDYzQE~*%rUt=3Rc~v_9;u3`qYptg2q6I+ zc;S%V;-XJNrdv9>W40ET`iu-v8hCyu_E_^YXIIA|I&^LVXO1rG@|an~J!Qzh?Wq%t z4nRtUp-B?yRrfTan;_TfA^pvmM`^t|D0ig+ zy~$p}H?n(Yj^g>``jGEN!JMoz8WjmGU`jO7BT1F9z>9g9cOQNsqBFt-O9 z*19W?Ox+FWW^DpKh*}OWVRo*4I(Og)LQ1jpC(v`@f7>SqKb_OVO+2VHk+a8`o8rG+ zp?J0;{YEV-HgYGVRR*t8{2Hb3`|Y^6;GyxmUV<0T;R2B*z#nSV-4ir=*ct=ESa|4x z&hbDLGZ*6s5z!fAw~1@)e~Tb7zpEz7ssgH#B;K?b4^v@{Q8i5?6tQCQgqaZDIc^Ak zaYGD!B08D@@5=9Q(dW_f>(fpAFkbD)9`c)-U`40^te&>zNtTG}!&NXr1qxwOvy28I zGCCriF(DNQI7S*>T~dLd3?PT&OY)&UPSMkg!xN|V{e1%7k5|s{w>XYpYZKs2MeI;H zOA(wk-w+MUO!#Qa%Pl`|XuesPDy;qYFM|PmK+%QW>86k+ob-YGOYjsqvFr!iwyTM4 z{=SB(o{F4lBpH_2o4dC6V!Kyme#3KbSfP%v8p2#4|xZ8ef+ zD~K(GHqq=cBSk$if>IKbQJJ)b)|D4eg77`D#H4|R*(x4uq@5sFq%p%)dwCZdwtwTbD)J zC6tKb^)i5nGzXtyZSg`XtaBng0Sa^cg9BoTC42m11D813Uyh}9lKk@{Yxi>ScZliu zM!5qA2AaJme&~c!eRK~_8E$qfBHUj-@w-~VTx!%5=bq5RD#B;VX_^>#$Aq0o?4PT7Ras7&0`MBDNerK3%DLvfWNW4hs*h#5{^9{!j2+6FQzQX5RQc!ldEyW+R#j9Yjjp1smMMV;>p303Ge@0p6bLxRGx!sj+9j3 zpbW7^=;L(wf3WqDTKtLA;Y&e4*a91HANHaUrGd5sJz%U@ozF)P**--UQE^j-WrvFU zod|&SpO3$v5eR9q4*1aG)-c2Tc=# zKrKU8C!@ERS|0$6=;1OZQbEJLV1TC~>1dUQz={V=+&RzSY_B;)rJlh@6*yD_`5~z> zdU_}@k>h*4SyVw}5r8{OUJb`h1RP{NuL%$E0z!)BZOOjSX;Dw;fQKnCH2D`D~ z6dWI6DF*a3m@Wk%^IvJiBU6$2&+$G3$tG2Oo_#!ni|^6B-CYA~;YgJuwzm_so+@c4 zAZdr!Y*O$k?5ZyL2hs5j ze`KECc+lfspnB^H)JiD78&t*_r3@+dx4hZ4w914@;K*`aE1JfwHYvcg6C?J(I>UU4 z5r8I57;)KrMRDyy9yp12_)V?Ywv)KBY5njWLEnE=)@2K+06G>t)p|w_pdQIb%OZWR z_ot>W4Ly$Djk}!MFeuf&4U781XtgoLf*)u!Y!T_%dTRDg(7<>7?Cx!Tk)0>!b^G&| z?sR&n9CXoBj?m>8IeP+-`V4J0BM{J2HdJKiegB&;l9cmW;nA-=`pelH3VxN*pYr@y zWDb%Wo_~@#uqc|;M+=5^C^ikj`e_iJW6XirrY{TR@Oib z5@uQi-VC$oC7oVi0I1XjOjE9EuWiF(eE2;l7ZHTsNw~VnQ{w`98n{&71Zb=Qycu@F z18U~~jXFh$A@1)x(h^zs=nFqpAq_Sx&ep-`Wq%_$8)j30g!4zp99C06+hGJG9bM;j zDT1`3>s2nPkW@VDGsQ)DV#dqV@pLzdPi9?rOkZPjQIh$`jYq1|nsAe_d5T*u0ONUS z;Y2Jbe_KU5jd20RA$wF%o>zm^kUgS@-{}oghs{gE0^SUNLznlRR&cg+UJtib>T*2s zZ@?w6sc<75tLt@JnBo1r#$QvUwq0O3 zqmN_=2gK-FptBz!(6gTUD|ce~_Y2D(qu!&9;t~7hVcY?Ka!SK3w@1CNA{AmS%{RI% zx*aeQ!v%2Jd3XD(4fpZg8-DIVI(ScNh#FFTi~8_*9|x_g!V?H8Oq8H-~iccc06^*bDg zk*Pmp)Fa^*I&bgk8qL24#zqP5^xJ-VcfdNHPaD+Y*Q12qq&8GOeqNp@L_Ph6hni;R zlN7FF+>&>PPo>+&_YVj|Z<<@p+CZO4!i|hy2&Ge7kJBtSi;n$dzlGu->g!OgS%X$w zVwYH<^+}LHyQvfZq<9I8Qp3Kvk;$v3dXV~+LOZ-MBCz(z&E36&$&4+iC!Tg3A2g{M zS4*XvyxOL7d&tan5ln+@-^-W0Yzs6Co2_k?=B$-i5^ib}>2sBKkx*cxdE1e~D>^?9 zBX%5Gb2tuKoDblrGo*!%0VMT}Oqj-!GmbgE``J|A=(!McI@@n>)-uhpGE~lk?5XsO zJ8x-J9KlS7C1#D21tqz>S5=O<0ad%|QXj`xhs}KUi7h-EsvD;am*fC`2g2Db8y5{4 ziT60nR=mIWDM|x~mrmb2>@%!;Jedqo#{5wRIOUc@dXG-1Ebhp&O9z#ws{-d!}gMaX|7{<8z!vUF5ujkTi~0?k8JW*JrDJ5RT7pYPk0?dF($I1bHl( zL@Ry4GrH(PnyHg&t8YGkH`40C)vbWV7FrBYwPJa0kr}a=pEC`iAYApf#R7kPZl>iS z_WZm59`R(_qtzRlX7QGb`>%%O2m|uhZs{o(Mgqf`h1r3dKqX1(FaO8fd&e~u?1`gx zR0OQ_B3-3P@1UYW2#5&M17hf*69`qTp!D90Kq4R#M0#jS3B4FfXrYA`O6UTiy_5TU zyZiRt-M#nj-hH3<&-cuC<~!f{o;h>oTjtCh!YPtaRW@E5;VepmuZo!4Gf=o54pTOT~RuK+_sxvCqaSIdS;{| z)IiOP)f-)e`#svfjz)WLP<(_FOurv>LDxmKzNz{Mj|ZPEsITBzf4i~N@G{$VZ+dq2 zpdtG#lehAk$`j>-;iWf*p1&K@IYSX1FAqQL=*?gBG&Xpuc`y63)m!7IKYqS!u0(9u z47&YFUv9>Hy8_c37ruN?x??8g9G&d*K|{fN#5dkMxsv#lHx(v(8Vm!uc|0 z?VzioIoxxXE^*)E7-i^|!lWl!Ay`pK8E-p4W|ls3eR;fkWA^&L&Ki2wCND^*&Mq2P zX1m67x%#qST)B4tplGc5T(NFnd)Hu-#c;c2;ntK5MvYg{s^J+|#4OKObFq-UDjyDl zq2;fSIFXk{yQ?-YiMsUiuShX@bKd;r9fu7wQ-}b$(Ri`%xlxOt3R7vHbiPZw&<8eYhl*r`(tQy%(M-xlP#wsI{pTXYKPh0|WpW3@x zJK8&2i+aE7b>XA~>sM8I z`L3T->iaX#zkA3t?l0LE*2$;4V{z1?O6lM_B4r+WMU9@@ksbGj62Cwcy;hF4Is5_x zcAf;x*Kv_&k5vyRyXtp0>I04{j(5Xl5i3J`Z7$1{qjJ^#eG5|HT0!QL;?6>~*MVyb znu~n&@VIeePZF|SJ$^8MOeqix{8ORYUq>0958ND3eYMw9FRc{FZ?h73E7FMF{b1e9 z-@c1-eDI6wxJ~EPd{5wkZT)eqDh_dkMMKoLS&!>Q{~}@$I4^*YBv;6Hy|qe<+y;i;0fDW^nN0I997QN}$IhUy1sFoRHW&n4geEx;O%_cWY*vDhtIYY7WdCxH9UCXF z#4V{`&7Smmlfzy))?W?SYW`}1~ z_8o)uHv9DF?MZ*+$||ix16*J}&nl0bBLi!674M8L9g!1N_i}rV<~ED!D2YWd>8rJ3 zzkv}@U$?thAa;}hrgVv^daO(pdbV@29Zhv)9xpP@xjk_j^Yke~u~$5*7HqCQ240N( z+p42eUsZbjC!Xy+28$ZrF}R~sGE&wt;56Wl8nP0Z`5arsRq9vd^K^fSCiyIlQy&(& z`NQ)-qryJXP#Cd}EO}Ywm+A#=cJGl{`4cj0PCoGreVm(Fi@8x@r?NC7V8+_6%{JQ( zj7cWNN^vi;l*@>4o2-dD{ocI-qpXpt=dgH(*s|$Yq{1?A#jk-C59udPTF-j$&-RQv zMNOCeO-GN*=WifGPAlbQVqX3@KvBfD|#Q5t$IIc(RBy>t6KZ z>nWf0<5#umNDd56G!Wgx+2#hA0*7iTR(JoBXtOu1Gi4lh=zuW!jwck*60+%pyC8z_ zwid@K*wF=3a>{YJ2%<~1LMqXg1|PoJlu$jlZtT(pk$v)c2At_v<<^i$URJo@&R1OS zUoq2GK30~bWlA>DsuMv#@^PrDrDX8N9aA!9%$Eunso)6})S;@Bn7(#w;~poB%f)Oe zxeb(;EI9}EB~+8g5l&SYKgBZj7%}-@9gx68J->3t;?aB&6WS_CkN2xVvlk=ImomCD z%ntK6nY2Pec^XVA&3)v`7OZJSdB;sEGYDxWF=ei`0`3m>gALmu@ApHmyXz$v?aj%u3_g4H&+t!Ht)o?JUPhlC)s;^#zzdMP+TQ3e)%wQf_; z;(#C)mU-p4-WXQaqWDR1WnFZd)2}AgyO(p7o3pIN?^DqbG4fOH@_JpSyNVm3NsO5O z!Vn5sIsEDp4<;q{T4ts?W*iQG5BlfA4lhuXx2b@I z3aY4}C82GA0!{>g5H$t+wkL|Guu+q#)XY(8sz)rgV0%Xd02XEfhD-IOTIj*Ru9Q`; z!egvNmC5lI6^v0qj# zg4sd;BG}<`YO;(9Z2!`@D9|oKnA*4z&^VWoz(k9^{lg8zTz;Qj=Rwg?sONZEY0F}b zPX}kg=G-@BgZVC+KSt@YnL|K{zh&eACP3LDa@MlinD$4D1Htl-QK4cxXMtzSD71`h z<@aqcpb5a{hXCvp7}(?6W%5bcU`d5qv0$ax1W^lM&eWRo%K(-LVC1r6bYkJ+U1&jRv9OMtw1Dn%TCsW<_Wm{QBC`N!u?5EVcknc7$*K;btAw4+0nJ(Y@K z0c@h8DYJ6$aEK}Zm5fGaT}9p+65hbv?2I%OW`NYO z_wZ$MbyP-QsWkmX08O?vl_s4^vtSC)NP_WY%*IqcyHqK@{6&h|MS9_lUqB}S>UnO~ zc#-m`BC-7D=mzcQiG=w$4%KbkA3xKE^!FzRU70LDN{?wX@7<9KY@0_lo2W_KW=rdP zd@%+R3DRR~-uJzr3t4P5~gA+ z%F4Sk4(W;S2wubEYrz%=jRl!MDh8oC2p`z#Kk$kQ8AqLg%z~l5+VPS1%7;Uy)lND) zJ}}8YTi0Bi#xq{E3weVkbV&t@voJp-fguvy+JiI=9jDZ%-zL4nqIB1 zVe*ExUG1Qln`c)fSn5PRR$u!FpAq^X+cv-Iyli%8!-)~^;HyEN?l{h_Y} zieaHL^-_oc0q(s9+}L*U`s|1#fon`n3;F zeNaB^H{J9L1UZbI8XviD`ZeQ8qf@@GLU=i9kt79-mE6ATrkI^v7Z^*-SIJ#zZ4E8y27bW!q>iq(Y)?+xIDgMw zbg5syEr^!!!}x^`-p-%BU)=~^3Mf0zJJB4_%t)TAdr73+Ts$bR1 z$2?J)BDHs7JPsM1rgF_wRtNdBmZVk-&w}lQyKnrcbOj}<@K$yY6@znK(>KfQ+Q0~} zfY)NG)txBC)SmV|uvpKHAFyI4Lvra2@Vs=UOn*Q_xulSD>B_gGj7Bi5WaVI;apkSk ze1N+SXX$KaD<8BRR4HF1a={{F+1W_V^G9lVQ1z?D{yDzVBf{Bv)_SLeT13gt{R@SG zVa2e51it1NRra_Cwa#m}i-nRadnALxPT$vK%4UJ~Lsqrdsg~*+Yg$f->^G*YjEA|v z$hn$c610Dw3$W`CHe}3zp)50YoW-xN!+dh^sq%2Jk=nKump$Ep0<F4wO;Cua*DfV~z4 zhMAThFwE%gT2XOv5G3%SFR0w&FnOIEKGIasx;-?OM<{>jC+pymus{qSxdsM4F;_v4 z&J9fDePy#PncI(6o^x{Rl@PD+8=``KiS_X=lLLwMmejHt>z9n)R>?_yjuB13cW0^3 z3;y6P0*`m`s%b0j$7|(LOqU0!V4DiG%cE#!q6M86r4N4{(q z8j;7p(4mdUJ{D{V8?|__=vsi2iiw$*Ow86F3 zd%i9B(&~b3_Q1fhS9tZ2#^<6Ll)XFK;#CZWZ& zEFvBgU|O~*4I7AoO=M-!!d7(ka8)8ise>S3O%3ijq zxqCIo*v}gX?>P2l05?havbP`<&*jp0U?{xf)c4jvxQas!>F=W`yw~B6U-7mp%h(5} zZKVnaG8IJ-zH*r76Dw!p709;sLY_6awy5sSvItrahv5#!>NJz_AI;9W2HzcjHDWX_ z?khS}nE<1upg-+dib1|SsucaqW0-@AVhjOvtMHkJCW{GbE=~fY*>!vN$5PA7!r3e0 zg6Vh(LWEPw1m5tDyo6nIOv|%{5dKJ1>kTj`m_;Z-DZ$-9*hhG_$Y{gpj!E&1eT`#t zjA_w>c57#4w9S$x4S9NS$*6c{aLK$_UyL5Oq3s|8VfoZZbg2<%dZOW?obyXmgzXzP zAx|aRG2?uq@no|mA$PR&^n{T+zxm-3lV@yT-X54#Yu47qS~{II=FTmYFy=l5fK~cJ zBlr4H)8d&|iKQ>w4#}+{{5#b(H^9!>+1B8_*-4o~|K=EAtK+k}9p62a?+2r5|3-1T z4%wOqj%IaJ@pc^>UE@1t-Lt8Y6L{Z5mp`>dbRrY}8+9@m|4ov@%80DePFsUoNJvRYKD78{-vk@K^{jr~*b$GuY8qZQ+d^a#OUj=M(HMO2yKa)RT^SR>@CQ{A{ z$5<&EWBg0$755TfcNiiPd7t4U-=PE9(SD2IJX|<$)sF zC;7`|vQvv(R>DA_y}Y)}=0@ESeOhT_#zs(Ki_A%vA<>Zs}f#t1g|%-zB=gh9HG7ORhG1B9|}lsSWP~^YJW5#aSb0ZsVuT(Jxy7! z&wW&{Wv05fQ`(gHX({+9BjL#R$Go!04p7K3u28sOn!y$xc`{_+3uUsaQhtU}-kEMd z#2v@_)fCxH1;43afc5LdBHTo6+ccdMXuLo&@4SF&ZEz^O%tli;bNp3k;9~|e39o%5P#+P`p})hgE}Ye0bhoZXJzBAre6oyoWKLV z*BtXrTtWb%uJ0&^+Nz@5jh(ge5pkf{)Bz|Ka?JPV1EwrrHY!~SK<88f(9K)u%&tz> zmZ<=Ae!mmGZkzuAa`6DoDjkreNaZ|F#d7d^d;16%CqS=mlaC{$pD)o-+u<(PFONy7xcAo+;V`?=WD&|1Nj!kYc z2#FQ80VEkEK@RDV!KZ~KcmSg}21vxI)q&^bX&xvN7aW`D)dHl)sdNm02AP)xib*Ae zt6x1SrU3N|0A*P>xpnN=1P(0*h=QqV<|`m;XDNXBP+9i^3=*iU+wl+fYpl}-K zo6I-f_E|vv%_x98j4CJyz$6I(r45yvKP>MkF4yP-ctR~-M@<%XW6&tzpvJhM47=Dk z*kuwcZIG$zGB{FLOewlH^le-C6C@CMzXnvD_Y&{NX;|YI>ouIRGK_>&*!cOh*mbFl zBfG@f%reDX1{o8~N|$sLXR-t8U54XBFO=Jub#lY7&3wXbW?Wh>gE;;2EoIcwOZRWp z?&2Q?!$ReqyDF1X_>-6Pmb6D$U|12a?i4o`!eCejbE%CP76p`ejBAe|rHK+`BS}$W z9gxT{kragl25NXN@IAc^iOAZa^iCVjC`(!5=^?+L$GClNaFwgy@|Su#IcL zt!j{>eV5AcH)$h=iYgMB|@6Zf{SAyw1q9RX5ExCaLr=CWxM_$HSl0@ z-ohVsYxk)5oHQ{4JflsR#OLVWFV_IN*SwdrWQSI{`KJn(p^{`O&64%gr-eL%KJlFeRE z=0Y&Xg^1JSVREf=GI@s(GNv|01Hy8MjqwnEj4_TKQRqa+rt(ci{R@LzSR>2GBPMmO zQ=Fg#uoJU)fV2x+iukxBVUT(NXb?6>q$o(l4}SSIr07-B%_@8R~`9ZZQ=8f zW7d`T@Eb7(?MD0r5XOPzz^BX)Erff*w{g-Mz9v{noHR}vgcr6C4YNhTP>O<(ROE_; zmj)h$=O_4ps;z5oLKq-L@PL><9db7bHwjU2CVq|tw}%*Aj5tXYq##PPCZT&F@#GZb zHHjyhvX2lD3|q{2+v^LCP9gyM&blfjIe?@Wt5)) z;VrVq+Mk=ilipMDyyAHDj`Gc%)r#lyJ1f#D_3)E1=h~V12=_5BNDuhv;>EQvt_tJ& z0pB0P4kAW!NQz%!3B|LD4v{4j#yMr0h$lE1mQmEw=cPC_7`G|m1xCCIxJl zR?)u?fNLP=wAH0J(U0WM@Lw@Ot;}~I%#cd>m6*rv-Wr%&7(3ESzVLYQCm3(c84^7> z-ANOE6rM-e^6cG9C)9Q@$bBTy|Ycy!Rl zIQx{N$@$10E3+uFJb{+P$iKap!MYQu<4IH30$uj|x*6MVfjvPwMS6==yk-AAj2rg> z_W{HtYX3eg8pn|x8Y60gV`hP(LUTeBMepMpB?L4RA0`SzLXi0qUqFdZ5DzhzK$6y- zF`}uW>KHT7rj^nihzjHlyoFCBu2=&zy;=q1zx9Zv-Hl!F=^IV`DZa0|@AUE>aV#e9 zugfZ_PX6AY>vr-EI;+$|E8H-jN*;N|{#xu{GV;V3p7S(U!Y;q(xit0h9v?mT#or(A z3r}B;Jj4E&CnhTFI`UQQC^>a8B{xtHGS4evcf++XqqJH*8LEUiHvKP+1;tn_sw?t625? z&@9)grtbM6+@+TgM@~RiSl93pqzGZ~l9=)M^$fU2rym!?-N<0?_buLUbJ_i%sS1h{ zV*({EvBTCiCE7L<*kxRormrE^57+gZkVt-m+>}s>BVQpvNf{axeXK1`q*pnS`~ev% zLGjPS9CB^2?`AFftLo=xh8^L~_MX*b;v?N>d55CSqKy~T!nv~WB14gie8B(HeoJ6A zn7~YekQ(F#3C;x3Xi*Tx3Z!SH6)(D?My~w_dZ=fF)ygT?`nj!@V_cc^Z)q@|hh)rR zic(a^3qY!o91>pb%-IDk&UxL**Zz|c^1uE_f-Y#iyoh%@E$c>G;YQP!^h=n$2lbK_8A@q_2|e`>}uR0Ps6UpWnY?=i@26|kN>Od)q%WUxBLI0q3U{p zSQ#c%8B*Q_>sRd|F0*Xb`95r}E8=|QCV{Ol;&i&O>H$0@T^t`vEDD?jju+L&!C4|T z64zBKL;-1R&C{f7tVW6uGy|?q+CT|KZ6$?$z}@(L18m4+pC4w8i|^w|B)>=AkTB3Re1v$0aR9-r zl=vYckaYNVj7+Py2}Tkg4(PWLVQ47amSh6xH~ZAE6`W_Ur)FZkxpE5a;O4@;e`a^W zl78}iapjP8y;kAMhPWHf(m5?kwxr)#Ke6fkQQbRC&^yG|=%+``Pac1nHKU(@JqkY3 z!TJNM`6A~|ueS4&AloIIvA>TYK76$uydca?5JNk&FyuTW4{jL~)WOUR;UjR9viZUj z#2;gfFmj}6e(k9B@95RM<5!UO#Zw}+E(9MMv0SS`|zg&(MnXemRi5-9?h0}XGI z#93%jLfJwI=!dvxEFDOpTt!jB1(1-{w>WeN83`YV8Eo~|#F$_dNQ?YVQR}5}+XYq> zET+^L%Sh_R{d4r~n%`nw>~6-$6JMQ`Xklo(pF@5Bj$98oQIvFrMH1P8?1&LH#j&$^ zpgM9C??CF1XC*je(NSm(%u5j1N;n=JhBm_xV=|(OA7C^wcSuh(O+=vK@Z1FlR6%U1 zIrbdsNnd(2S{En4l8S<5DGEUfkn>iKvFLQP8s-V8+Db_bB1SGVN0fW=`BZ#f14uvTT<+6Qh5nqAxo+pe?H)*)xH1;X1L0DK$Wqe(5PSp2{GeWt=LBFlGGT>slKE>$a+XvyP1=UB%_U+_5|D)CvD7n!qzR zFW;B@_~QM|>z3!$&+xdN*ZuhT(#tEs-h7jHuSUPOLf*V#uhDYF>gF}$*2nbj(ZM;b z>L(+zEb+PI4!0AQkV}*M*(MapVZap*3(XS-?mk zlu#_%3fl8PXF+~e%kk()v<2oQh{l>dhRj3w4(E-DXqOWp@MGM7m(BMP zwg3moNsV%ThP%yD1V+3ge}Px<)rt@~NMA@c$kg~Pbl46uHK$sPaJtT-U^XDpk6}f3 zFHX!tWmafcwCM4yUflywsFiR6`aN17BLV8LRstqB%tg{2{=%4bU~+>?3bT80nBlJx|nsqnScZ4xF)Lrv~j1uq=B^RcJATdWuE?v&i@CLR9%ncP5Pfquh!I0 zoVxP<-TBAoA6yA?eR%U~@Z}G7O*a|cKB}Lh=e+{bczp3$bP$~V{yB;056i0_s)t`F zZDE12rVFWK>a*9d1slWDfQvPA4GY5DCZWN;YS>g9A-h_HzyZp&PK$OO!QlQBsYh8)Eg};ZZ_cWKb#RfTxaEi9t_m$9IN!a z-q@eW*+`x6XQ7?hsc%`hh!Tn^HNsvcX_DHJLWx_IVS>nl&joR4O~rLtH=a6Fms=O#N2S@jRyOJ>)BV{88vZpUXTlW z$j8lcTjLQc&g~3K>qEvL(eKP=rfzNMzJ}gyYS6lPu-iI z&UAmTzxU6z=HC<*=^j67Jg`!b@i_AzdwKtj*Qnez^4I@V8^(yh%0yl8iXodD^2QbZ zPrQDmmZbSV85FY53_HZpd2g2dx-9yVnU}elS=5p}(e13E8{M^}rt4_pIp=9cXCnO< z@pr~!&B~oqDx5Dk8P?B7uAk9azeMXD!DRHFK{fO6pYmA!H(5p8-}#>582K6U&v0gl z=f(*xPj|l0(Jj^u@DPXT7C8rjI1fe!G-|yZg^opoF`F@79p3jboEUQwg>NO%?H=Yn zhMOd+sUS@J2+x5-agZ3e8MXrl$*R6XkOm1_rNudikTGyszS`SF5t4HsA==pl$HHQZ zss_9e`Oz< z#r%J6NT?%rD=%yhccYgh7X1{*!xD-T$`Xo4YvJ5kBEg8aXuu!_6<8_VhDbvIgP5-{ zzW52o7Xui?VBg2s$E1{n6cj9a>sweMEnuw30nix9})G91qG(r@xE5d&Bk{9Uo@dGI|rU|qzPKE?8oLP(lOq4-iQ ztUD>9FFgwV0H?`PfK&t-ia^4VxmMre(Gh6Cx&Y~Pct6Hq!w>rZj$q8cy{0b$5^H8; zFPfK9!zF)vs#`GbkiPdka(fHz2Jk1?Nct=P4QT3s%XbX2@70JDGTLZvArP z8ne#Y^`7sq=e^%N+FgqsE#kcWH#L?1j`Cjr@~&x`_`i>W{k_xv?+Q7Z!p#F(QG1TW z_kS}!^>1DBcYCSh<~b0bzD@OSliCKa|2nMkpJ<6-znQ-~UiVk?H%xvN9luF7kzZ{$ zDmCb?hV$d%HBAJdRqz(l6AeRddsNtS;QOV51oUH^p2VQ0p}L_MA!xSUhzQB9@#PJy6y#ic2 z4Ue{y{wHCqa8U?`H^!zl={AHBQVy4onQKoHBkx^ z$YJpC7@4*qVF)e3m}J7QEC@}7ufREQo|+^4L?04{B&vbuwJ!<_z-{-@X~^CpMTIe0 zckb2Ab9SGfm}hLzoyj@T^7JFm^_(-PTWazV#4~rEe!7$PP};%uVp*P=GOH`AZSO|` zshqR6ze7Ci)D#tZKZ&NP%l^grR8u{7_anDi)7{5+L&VJ*;|MY2awNhkEx|dcBkez(sO736|4X-h ze&&i;W?0%#rw;9Z5nVZSDS7$-X++nhXn@n%^$<1AyLY$@Wyx%HvCnj#guFXAhq#ZM zm#B+!j_#i47+48ZN6}bq1*m(zT3tim$D9D2w!RcYz6tpb=Z*<#XBL3SLEgioV>n~P z0UeHECjI|9La>^*Bc7hIm@c?;OUK;0!^OUOwxX2DYB_ph@(*qsgcl>d4ts~A`wfY6 zHo{$DQAe@m0DCnmk%`tdJcQ>M7*1P5;WjZ2jwd;5AoOsi65|PEdO|5&GN!xT+YsXi z_W*uNy!9q*6Q_*y<0C*pnXS9}7+W|kPC0SwLs%$oucn3f;n8gK$-N!sItfZ!efwH2 zZItL!+)Wk+O(rcS9!MV2QX&Y0}-vYqTJkbe7PjcqD{~L zUGZTzXYBvfXZX8T37oFk3hz~LwH+KhiwcoK63G=v#$taLROatF+KQOz6oAVN;Y`T)s9Tx)tI%u zl1lGXsMg0mVoL9nuI4wIRfw=-8}EM*I61sBNxyfR9Q7YB2mY0L@%OI3mASYU1isU2 zlI_>AUSwOGcY|QrCZ4Ls|IO7^7D<)0v0oy;I8^`F*8Ki{g=8y~Yx6Ijv~z$S_#nkL zn+@@D{F=c3VnDf(Ka41Wp1gy$JQbVN;5qhFd#u4}?3a|y-O%dFVEM6@)15?a&MEPX z$=ey0CwtaUg?7j@sijQf1u`c{iu+P$-P zbU(!AJ*In-#ps!*e)`E~`D&P+@*lSJ#r1JMXo+4rlgUE2CjJgdo@EpX%Uy~>>)}LM zdceTuUBF!g`B^W=qBETVcM%k9Rdb7QmjJknF%fOvAdDLZa2KPuzJ}qEsh?BhoHcPv z65~;10sQ)Z;Ycs~))tzd*{bQ{xE8R`a^jr$`%q@=`N!fxVCunr|5)fc!{g#VVn+NW z?Dl`U3G=E@h7vK4nB~0bVk^4tWn(tpZI&P_Z)jBmc)yqnBmw^Pn03JWU2xV!fN`S| zEg~FE;w~{^-aP1_K!XHbC;Bq)5w_7KZ|nOn=?1ibzb|xpQ?B3oe|4x{vCS>uR!I4 zi_pg#UsN0%3;Wdj6YwShMs*GuOX+M|bc<_CSkcu5J?vEMQCI%<$RsEYRgEXHigMd}G_%w!E->Mp9LXE@+OL+Y|12 z_E}6P`U3_SgSn!LQsyhtC)_wVEtfYS%42d$of>thU{=%7?aRcq7sRzy#lT4grSYLz z{FWSX&4_m|gA?j_h~ac%=d@-&O6}%AH}-`=ycYAZQ@0;)00&GImw|O8=yjy%Cx~N9 z3QG8)S*UW0oYJU<*OD=IP(`^DT`~`c4 z3;R*M!g2c2nkF=H0w)yKsKeY=KM=`gT3?vR7M#cud_1(Lh;Cd8haAp??cG2BAIm?IQ&J;JO zAKM18aj$9e3{hZPV%2 z-<9mrDQ>s?d=ltek1aOcjMQ;kM^2AEzi@e9Kgv?x}b*Jvq+&9TNZ>#0!t6x;5=8|Ny^_(fEp(Vex>j9~3JKeBd z!*-p;cFO}ZU+VA9c{@MMC2XCAmJDkL+H|h>ClSA;mJB5Et{r=iC)!!EzCZ=*acV4l1xZ>=aSL`#c%^*H^9*|>lZdrWp zqwJr>*#pbYE3k)zG}W*!m2NI9yDpafv~&0U%>&D2>88ELB;Mwa6!}2rR3-p;@UeP? zRm!Vd)5{mgr_r$cw$(dNAW3REWBvDC6azRYpJAqbG@ZRISv#qtujp%a%4?-+@nyfN zx~49{^L(Tae^f!E)VO4AF;+oG^Z}h?Dhs{pUCEC-lOM2mKZSl_n~SidKg7uQ#j%`I z+yZ$hj%Dd2NkSxTL*Q}@ERqCiM40|SXGri-OJRO zoRKVvl20RHweHVpsk8f1@8NXk-GQwA)KM0Cf=+pI8*mGIJyV@BHX%}oPPc_Cb6Qh2 zNu=J!&K0v@PKWO6FT3IWQ=PIlns*Vxt_$mPT5dK;^S#in6)3$jHtqIET7K)4u#AnS zEJE0A!G2CF-^OU6SFdyBS#XA9cbrwXKG~I1)}~z&p)}R&(Ut7p`MopCHg)s{y>bWe zE=!z6jVH}ZOgjmEZ}`qpHl)n1{l>CcqCCGXWL#&f(wD%dSE3r~D#MJy>bj-_WgsAs!EgU=6h<95kW{!G@(oJ4Y% zLG`N95({kkvY=uU+XR0rA~@WduS)Tng($D_*Xz(0{XNmHq4kf|k^~5TDEhA22mdaq zI-9m9Fy)f)bPqzh51I03CDqH@jxy#^6+Pj_>4{%$lTl5nM$>ik0sGvl(pS+vm0p8e z_IRvs50u>f0C6a5=D}ZkckYfm{+e}a6@9ggTw>+Yg*mU{YR=V>4E0)Kwm@vp?va6O2O~6f_8{1wA*t;>F9m+ z_zUMuIDVu_P}dx?*7#F4XWb!0aATQj&kn4QQpRKKALA8B~}ohB#|l5DPLX z?IN=-{#-XLsuDQ6?=ftKc|U#d#G2Fnj$|Ee{AxqkX&$AtDfHijz!s6}E zh_K}KvawxXvpRQ&b=QoiG;J|=!hf_^zK_M?9f+`SH&7X8w;m@Z7-sB=y}ymcpCc~W z6R)x=r`ej5$E@PIuy{t|k}-7NgR|R!0iv9HrJTjOdcCZ1cf@|#f4p&cB`c8NNxAL! zC4;5vo%vcX*gAFJ;uGwhU;sny&=`5WH`GiK=9@(w)0x2~6Kcm1FtoV``XobbOWM*~ zAOE7@aVKX6--Y?EDokgUsIOeG@|Ft_CZ03n<)kQkpn*dT)Hs3nNO>`C=3;O)X~pJ0dga7w8??H7#{YC~x_|K_rIrU* z*3SC$gAG*!ZN#>46VD_$HgPoJWj>7Fq<}fEy2Np)xkG+po$-q4G)gBapg8f>@R;ZZ zuElJ0X$YUZ)O=w$OVr3kHW6yRrXV_$3^kKcEUog2>KkUAeK#8_a8X3jkltI zGqlQ7EWE;>bFsoNsLYj5um-GGEqCsVQm2{M(%nC$DKdDoPjhDXVcu6>cE-QpT&)i> zyovquOSXwEMn>Xz)oy ze|mT7q{73K^x2?Ol?$dOU_)Iw<#(1UG>M5O)5!3FA`tOwY&u30It4L`2-uZTw zYx{@oAhb1K=&q?p{kvD{z&r4@*|FZi1d~uAW zgd^~VK{!J{Wm3Cw@rFST|{p$hlqQQ&zDZ`W(bqgw7osu@v>M{)ztZQ%XbA^e2J84Om-(zMJ5Hqp* zt~NV(faQik#rMYnFFI+8SO*inV*}RezLP;m9~D5^g8r_0Mr@Dsh6@?AD0x|v^}9xW zDilw-oZuIWvvNy06DW`Cskuq@WecZiRkjiaS2;NgL_DenRD zqO#UR^DFGN`>V-oTm3X8pn_|0*6)4ucVB#2uvJOo4ubRchxO8!Q(jbBN?%xNqG4+u zxw+R9@ZEe%i0j)mwZiY+{*z-gjhA3%MnwUxTHkVF0vF%$W{df|8eLLr(4-HFd$FCy zKNw{B+hWHt%BoRs+r;qN^Hde}{8H^4C(MY#H!U`+p{@D6FL~Fyzc|rL&kf%+lr`Tj z-T)4OdUuNcy6)$ubIt6xQ1`0n+U1_!e{+XT-~DsSsWO?ose2q}SskDDym@?4YV`A` z(;_m5rK@H43+NzQoQoxGes--k<7eN=DD4lYalw8D31;s*EfOA>gjYMxDN8vtilG9U zf-*xm%cs;IE5bn5SfVlH{`|OZ`C{^=oY!7sb`G zY1zDzlUPw7etNLceKH^V{wTY_&3KqQiv4W@=DM_W@cCB;_dnLLZ$;f!J;S7((AQ4; zOa6Biw;XS2%;ifmMpca*J#eu;!Y((^Uu%0K# z`=hBqU*V24?-}(#m!sfx$l6H$35Mx9{1YeNDV&H4%s| zyWr=Hr%>FBHinrFJ|Bvr9i)#kt#xP`{1v`)k& zi^ICsjSpHE7j_=WXye!o&|)*+g(7hm{kbzwf4F|@&j%j*xTl{xnKV7`DGPMu*Iyhl zcm^#K)7Q-@daef9H7e^jQ{V3e{_TTFW@Qc7HvRg^smlt+t(huT8q?L{kn2k0q-a0- zXw-RnoAMx12G{W;Qp4eC_w0$pcNqOulBET6c0k{+D;K{G-%7>i>6hqQFz+M@3`;eC zv6tzhG=vpl3R|UGzWB(9JSBZk@VAWC8T(PB^7Xle(bP}Yvoijc>8De#SI*NSJnzw6 zCGRihjAgILI3et+!C0EQ@4%_CP-WyA?+Cf?&ud}zI@eaNKJgD+eSa=Ut89MA#ppsL zdu|^z7-n`~v+yQ`s?0@RFY@nNPkTlJ-Z=5S|BI`$jB2Bc_I`19cYO)I`%^k<%Qctm9bmjSbNyCXw)vZiK!J%kG` zUv=8IJNI2*=3^Zhns)k)TsC|rH~vi5N4)TZRc1IbjKs=zxDWeCgL#g59AD`U!|PC* zyYc9#XBD5!PZ$UY*sRB`Hx#6p!OnlK{qj3V$0sejld_pwhNcL}{Bmx2r~Wt(UNtKy z?$D|c+Df;gxOmhTDNwCsn&9X7tARXv-gOuaJfRU9Nw=rizT{3lf9kC7D`L@Qgb>^usF0Ox;I?z-$8=}S#vKNP+rd0WfWMxNRl&pn$qkbhC_Y2t2hSS;2=u-BTBR3P7%3QwNvmiLAfNBplcTE813RNtgRnQ zBeY>(&D3EG+swGLO}W)qd+90?PX}(*w#qyfQs<`UmP6wAV5`FJy0|hQ^-YK13;peZ z$sDsxz`oN`MGT6w*sn+hok5VWWO+Ig~ozU{FIQVADygDCT*V?_yNs$9Ovj zXyK_9d2TwX;?Lu87Igu5N%a-V0D05`l>ly10V%xibIA&LfknwjP9qgD$j%(t(egS9 zAmLBC3T7Oi4$-M8(qj*sjh+Xbu@Q%1P<9mz7L#)*U$ii5sH26qI!B6~kYDcL?7Ugt zrt5eu)M{XWA?h0~Ur__HQoiECNEWIu%h*TS8lbCoenAt8 zW}(a~nuznKwJ?=YZZW-B%ffmH)x}j=l#5I1E{!~XnvCpYNP7~Dxd`CO|R>~Z@ z!&*eCI+lpooJE4~2Zi4GOhdL(5sVf=m=cr@-<4cH71e_7a0k&fTeR+>Sw*4WjuMnP z$BnSY_>Bp(h_J%H&W5b*>DG(0`C>ZtBSmUblWZrWs6-1f4TNIgf1vl%r;Fe=}X6MKLkLh=J`E5ws?^)fUz_L;3lyF zOx6ApptJT{ULs{92E``+^I1QId=RkI4da;qfCO|}wPLNxpyoLi&Je%uy@4U$ML45? zA!#>bVz+A2-kbc$Hh_VDU%iil5z1N07v=Nw9fa+H2QhruMlq8_Ea|gBP+TB(9t;R! zFMW+4aN7UkQMjH&Klk?DZ9?iPYgRumz@bGVjac)|7WcH1wj`jogD*i>N0Y zP`lDzKZWur*Oa3a8O!)3$i}Ca<0x zFYyKrN)097hWM`F9H?N?OI%<~>*U_{CVwWG5oDKSC!R2W0DNVj`04NU*eDdNcD<;u z{Qp;PXZ#Im$*dVJfrevyQSxCN8>kG`aoO?TP32qlt6*l;!1=jCIK!wf z@&pyv!*y=asLdpm^L^3stS_(NVVvG%D|(gio5hL8sh?_&U=41w<&FjIR($RKU$NRc z@}d?&BQs;I(pA^q@Io2f0OFV>0nK!xx&(bUC<)s=c-32k4dxV|Fl(4olwB`et>HU$ z`N+Q~PJ{D!=gM<;)ZBPXppjo^lG&&Z6|So_aEt8zd_<6w9~JD{sgurI=Vss*OY-|3 zyqJ3aj+fx;uQ->#hA%gwn8U=bSZJGfKxX-#C!F*7UT~bSfKzcAKG;E2LVcy5y0FcW zX2xNm&ELV!Jy*-yqvjOSqDf_SR2CK0MHo>`3V9LLf#q1CIotew?J?%aFP5JTtQkCk zmSYv>NbICavPJAgnY^s8ICspc=10Yg1dzETv5Z_{Y#Mlr&M;#Y;cI2+JM_GBc7|$B zc?NUus7LAwVPtc$Rpm`TY=320jM#3DRjti+%>7MIhUmYXl9Wg&5^v{+sf+tnQE`vn zy@6J}E2Bp@1FF6OZ(3Yg)fc3leB}FnX|+4{BuNo^Q}QUH8rF9NuUFdZI6qUa7Tgw) zk>q#-Ue;N)-iNbHqXF-~1kjD*WC1T9Leg<$%FcIwNcdGI0wVt!$LNH56t35Kdcevt z+NGgB6ruN%yKnymS?$){8Zwf)??|7+toarao{4CN2f#5+z4xy)ip z(vx8#qFqzv6L`QHfm+nUZM}DF-+wqzhy)C9S(={5HmIxB_=BSfv_e}W!xUOO-g65B0c|NTB4D{f5%tUu=z$@5JU-Je5 zhm0u3FQO}PpN)BLgz3#fa~PV|YvVakgTwID9GF(0M@Er9`sn^^xabALSZHncxdPu3 z6esD4@V7c~hE>28S~-qhxXdr)Fvg&XXbR=-8Vq2^5#07OAw!V%P0^mdm?s!9ncG>P zFI~(4`@80NJ+qB-(TJl__F%e}Qk-O&`MO0R5S)~_YC|x#n*0;-R9LXU>Hg57?X6Hn za}fOKBl;kh-j+3&c@o33R=FxpI^wMW#CVSJ_hX)8eAv6ODnErr3%Ypm&(!Ufqj(aP zzdP1z9Xfk5eBJM5eQIfJ#->|4CZ>hEFD#rq-c*b89x024a;hwD#c;L%v8-OZjeuu_ z9W50}#HgQIP~|_Z%l1xdl?VMr=&s#yaIM}R7fj%-W=KGjdi*kgnrOGnF8)uuXx#qW zvocX3`@Y)1o_?Q5&T|v%jlQ6~SU$z1&Z6N7ojdFHY#W2s$wTikJdvL^_~KKLryC?%q1MU7+C>;&+KENa~uJQmCuW5>GTWvk7Wpm}BWf zNTLpb;Romj8+Lr2Mf+e;a>|pY-8KHY8wHzp&Xz|D+l6F@YHKa-!pwWQtfC9=t$iT1 zSFHnon@)`{-@4m)SHq-_jM}pgo7cSu%O8cjulX0;5_jJHUG}ejFZq|gb6zq3TA@9A z%-bfZvmPS)TkUTOO|TL}SL3i_Y#J%nWb4C|QOTrgp3kANK_Ggb-X18wJw$)mqVqMvzYI%<`*S6T%aTbjyH2Lk*3swC7C#5HsX~PE; znd?kVoGF4apJj(B5y5x#xk$-mg(~}p18SR=%e!d%^q1nDjPE{=V84@hD=XL}HAt;D zg4=Uu*moH+KgGY`SaiTP*4LeQv1B-5k2mZh4wHUyh&(5EuZBU>(U_thJ5T#U3+Yg^ zQp&9;#I?+g#%%@V>d}vI5$ua5%WgK0n=bE+t`TEhcde%%_D;HH-~}aPvpZ#R3|Js3 z`THXEPARBK2en^pC8So(J-fKYY5CifU;6kZ694P*Ls{~r9@Q(>I^NWf=wlb8hQr2s zm&j~Jx8#u26aTNFNyipQo(0k`v)lCt@!kBLx>Z&%UWJgpAdp%JaTY5)0k|bO25T;` zBb_fnLe%jl9slOG@j3n#khPJlN8X6y%QwI-nEsvHR*!NOYJ-}o!zYgYu^1}MsxDfg ze6ZWX;hlUyIuOd;}RLADmju2lX0uinVUNB6fczEzmWiLe`E3kQpC`uzyui%C?3P3@^p$cVTIcx4eLW)W zH5gsZMsrWME!v6al2>zHzkXT1tc!(*!%i8{9nZ^St1KOh%`*-$vjYPK-(>1J$cYPb zeK!Jw(F8}u4V}SMW9m2>S$c4&GmdlS^hljK4o#-sWDyt6k?De&=@^vSLCAD!1gh;P zY_>E2^~0aHSVA;?hZ{Sz@R!U-h&bRjRjBxTzl*Ce5k^2ZjK3YkU zYx6^L74pav8;@H(KT$D{@3-5&qxx`6-QpQebxC{J?3uWe9Nj#g2}Z=Bm5eXFTFi{5 z88_XVYKV5>8RoULM_>!G@n+f~=)22#SM3q_v1~l64&snaa=}wU$hU|<2ij(r0XVY* z?Hk7hI7<2l@>9WWBEfWCQ!oOn+nD$5S11LodDFT0m@rNOXq!_|glFj$BKEk;WfNn( zV`Hi-y54NP`8@YoA75&+&7rbsav6s6WnPHKo?6^ejalKy*dy4-18fHr0^c?qr3Ag@ z-=@JoF}oEW3%R~8w0w{hbRkLjOc(qeiEkaA_%vDCYH;wb!UIe*mf-=ePQUy0oVR+n z9${cM2eo?bvH3#qPTc1g`R_)_Fr475=|Sj+c1F%*J`X=uj4f0_g!t7RFD)dCIId)z z60B^_SSkofv7!p^=!XX4m!WUbj*ZLa+9PlUn@|9;R5{0^ctdy&HQpTDgo~!TXj@!@ z#+iDAn9<`)5EDJdQvpb6G6G7V& ze+E>hi7{)(Z$DbOh2NqHO7H15l=OJ-RgJNeZSK@7zuyy?@(x;;0+a+;iGTqX#Ppz91Q1Y`oyE) z1$Sh0pso#jH9k-zt4Se69_Lj0{IW)>R8LcqaDFw6vL}0PnhNAbT~#z(*F`pTJc z0SjiVdKBI;PznUOqyGz9SUSk#PKwXa?Q)XMqkUuu+cJe%5GS&#tDR+pdw}P}Y11Jzu7*epvL;i{pfr(bCstJ9qiHG%Q zaUBAjaZ8pl;>C1W8(gZU7pFQu%D2rm**?|9ALf@t(zYJ`H$Td)?Ks&!!zI`-xF0KN zJvuf&Dx{4zc_-OL#qi~B6#E$*GASoBFXx*%Ir1ylg|G7W$7gd?`)>}-4sr@kj=cHS zx@g$FLI1H{{&}N{YNs;~%%qhy!R5ektT4MT&6i9-3hTS;SAYg^@mFQ~Bv!OZQGcrL zP`X{yC(qhX&i1*wUSy+M5&67_g%acco8Wr3>|wopUPUargUJ7;xagPb@_6Y? zCQ$2HFh?UiPx6*9AL^}cQ}k)01dGQaJ(*zVOIk%35L4({##8w7o=BQMPjJARV zbWjJxa@b*k@i`SRk-^sQjCUWj2*;fSYjfKOOZ{J`v}wGuUU0Ctz>7#@d|=mm$cSiV zyd*%sW^k)qTU_3Fle+PF!RlG;`YY$jr}~JrNiOQ%92h%h1-$8KR*`F9C=JuCl}TDY z`;k~Sxy^{_qH1x+@F&?5KFD}Y?hry*x(SGM711?kqHT6vTW34p^PJ+V^y4lgBuaWz% z%-#1prym#g?J2vVqT@#C&c;uAkkzqFd2=iKugYTJp8{;}^E;q@#9K{|R9slGtpQZ! zfn?>ZlU$lEJ=n8{_BH(957+mMGDY|xY488QD0woCGRgTM?-irBTj;H0f}5~snI~al zTWQJoeA^snIli7$v5IFl2&98+&U*OOPXVCX-|aCm_PbjFZJHBZ>wx~(e>UxpKdq%C z(p5p0wm+v8ZQr0DCIAWMSBjl{k049E(32-u>8;OmU}(Sz(25?rVWYLX*}5TbZ0UDw z1GwH>U>~h~Od)))w_nhD4?OCa;TuYoe}Dq|A=g_zIWejJC-v=S-aY$Uu0XXgIAogQ zaORWL*ljA$Rq`YIy)La&7~Fdr76v!@C%xrbBPgnz$%DlDpuux~f7&0(QYeCShX{T~ zRfdH4Qu+TUy@hPG8r+?oqkC<(8oajdNFD3AjO`e<9uEB(y&FwWde=&EH+K}E1O46p z5jbGXWc*ag<;Q(VTxuhqv&PkdNDj+ei zp}J%u%{*xmMETkVu2*|(e96l3Im+bNc#+jHQ7(hw7_kA_4kC*jRyO*wonm~o@?fiq zx1dp@vLDuUfuF~#ij6Rub{gnl;(p>88RE3ZRvt5IP>aR13T8AMc_Ba`} zxij>*ZUX}F(+z|+u)E`Uw<$LDE~3cfM!{n>bp1UN5aYWLEv`bgEBWFELJv5+Bt(R} zO+U*bs_o@JYk1S{5D7*=vLUmQIpj+%q_`jGX9aSMms-?)V_WHQU0&&5ez6Uq7j+62 zH6^e(#Tb-wUoAd=mI*7p_$d84FP??pncqah^r(ZnMfVqq=~H>ai2inDV~ zFS>BoMOBiKN_dRR{|N3jk!<)ax`ijyEpH0*kn|f`(L2N z)D19V#MB>5LawNxmV%=1L)#2zXT)PYhOG_!$#}~RD3DuQG82=GbX<;wqV|7S`NYUV zFrToM)~I{>bqZdG7pxQB=kR==C5f1Bu*{5Q>Aqc{3#{^iDHsi@ z{k!iV8C078Ci%(5GUuZ%nH}7vLb=!TM!sQ_z-!HVc>N%Hm%ylKxOa-#didq5mcbxe z6TbNzE$ZF+V&-~3XG+u-eZ_VpSZqW$=Z++D zl{cYB$=^0Lv0v+n?Mb}y7JGiekODjK|Ih+UJ&B?<>J&h+8l0AXR!NCR^$MMj1ie>p zOE$ZpwH9?bps-8ddpT|SS>JnOpKag!WykA}z3#g-CyStM6L*j9M9yN1`tABRza;7u z)_fn8Hhu3crI8N)+r@Exw4#Q>_J1n!1YkjI;CE8v+|V8O(SiR~CcpYy<*kL|% zG6BsM_%nzoRl{AjaoBRSTmU%s-E!b(6^-t}_XKB=c~7&kT?rA<)ptQjohe#cmLkoa z(GzZ>h4T>iJp{gp|C`R&m$^adYg8ZY{l8spA(>aFzGT!m$z5u+x$30*`wFYT+6=x2 z$5&&l;RLgyXk)v`|FSvSd2LqlA`#2Q(A4S00sX?q27wn8(XEVsVDB!A1(Eimgt=>6 z-|@({cb2?iOxHFCW&~R98XS0#A(Osa4ONn;{1yPpQSY!G-F@>;0Af6V?OyZBn{2WA zyCsh9Hej(4mOq9rBKm9sVHBajao*LX(Or?c_U2BK!a>OC7Q$WR#r zLQaIhDe#@e2jhdHh!YQQU-GA^3I#!PlT3QW4Y!+nLvfE)f!b} zGBx+EkNdc-H``+KQZ5aS`0~9-2sc%=%)7VCfSgvNNvb_JHx zhYxjXxX8JDnM2skwALyE>2?<1)FwJ#KZg(0kfMRqsiE{;(@U~#Qx0g%;nU=Pe9(TZ zodEAh$?10%X4(ZGj`65N56H18hsN!Aj9oa-W-8wROn00_iXy&Kew1iNo`Xl=SwYh% zGG%?%PQS(4MepzE@=)k!B^xV%6Vz($ED64l1B5j^Sq-(MOg*Bivd~hgqkKe3PeCvn z=#4_7j%qLQDz1?r!GcAR^KySF5O5L&2d1)p>7b}$W7DM0*zl{&7HJG6ta9Miq$Zjv z(Or5y(?m%i@1>^tf%q+DJWerzf|s?bx4Z;?^sweK@ph_i4_|38u_X4F?&5-&t@31% zs4GcQ>!|E7&oD+rMo|Y}sS5F1@^}KJf~YMNzzNoY5b3wfD|zT%(XFNGB+D%qX+eA_ zUA#`zm8q(lS2FEuRfaeaD?VS;m3ah3Q2l1Tnyx2IoembhgHot+7eQtI)h;t1y)`$*6Sd)tk z;zpZ}2{Vl_@-k)V0^;LD8#0#0h&jH}&!f!QYA{w6Cay^ube^8@`qwRhR)ic)>hhN* z`dBx4=QLXl+P1jUxIqViFcyp(zbi|K5s@!;Orc+Z`f|Hr(eZAct9Ib>>WAHY!+tc% zY}lL(n(l8Q<~h8be#2=#x@V8xH`g_4FlgtUf%zAer>76DlHRsO$=ECbTm5gR_wdI_ z^y`v=w8^9ns={`f_=C;>BenBZ6mF%8C~$Q0X!Iv?^aD+25{NQo8#YWS0tQ~G+*8j-i4utEWUXoh)}1JI11&^trYE0jGzj3Hy|2R? z?3Q09)eoT*ipm;0(}gC0|HLJ*wE8U(-QI7(;0WP6dkKP2GYAf?pZ^kUz^-C2#8f%vm3`VQP5%Y zRp;lXeW|z?4e3r^jxr>~kA9y05Ir$`94FO?KbvA4U1GEtQFDByGoBofnHtKmK`&+1 zSz;cnVa{o?O=s8fQY?T`w1RNz!jLIRVzs<^imiPIH9>P7p2;?tjTajxO-r5G!i+$8 zu9DBBqaMNMDKmWyI;hbF59*T5;YDd`Dt4|o!wLX8)rRMWgqyX;R)hId>9Jvgv|X7# zOo&s@x16OE#K)K>5ZeW*O=rsS(hB)QoY+vd5EewZ=e@2fgKC55zbgr1{$2SkT8I{( zS@SOEc@$jK%irloC_LL>Jc!2FX~^->zGcQwCP!&*b!--<7E1Y&jaDPG1t!j8pAn@ z8RjBIy8z#L!d=HqDtmwF(2;NPV`o{aYONx>uayqpVsqpObP{zW{@1-DzgY$|R%%VQ zG&c8d+?$(DKiO6VHoDkBBK9esyma>LhxVnT!(5eqF+L|Ds-o`9_(DD$X4~w+X43qU z^B`0nWyS{&F`AbCl*iGZ(wr5(e8_!&2TTf(Y+OX)_d;&$$YJ~-8M4S$%sZ#$AkMeHUGkM|vuX}5g^)ie$-LK9usFRoiuzNv&my0|)cIhK zy6_>Cs0h8ObY4LkIgx4HL}{2P(;nXETf+t;@*D}_R@gnmn_D3}tyN1G(jWFD45xZ> z4gD4Z8|1iNwz_l5MivS>anGZ0m66v%dc-u|^3{&->A#v4LUTVyUVIh{olC5Y54FVR zG3-81Yjrz)o*(bx{Ne1dK4kWFtOFJ^S~KfWMI>-$wyyO@S@foy`a=`*9$Ve}Z=Yc? zgQX~>G#@>x2I~33N^*ioVk=L6c|344g_Tst<-C!f`^>gBH1H$m3Hv{4*h zeV+L>+)A<1X^3I7yf-mq24~{OKG#(jMbMX{fDEXg8JTF&23rVP)uiW+R^EZjyuOEa z_4AYr^ESTL5`J{B@-@I4Q2T41AR0(jnG?WraxFUFpYOY-CET#L-sLVot2Kx7X5YH2 zvpw{*_N<(`!`t{wOW5!Anzup1T4y`>)NP+FU;Xcf)?3z~4YqvB6A#uu3Tt6Qt!E+6 zqTTT~hQlZ3hvz?P_vy*g`=TkE56)p6zlZ&gjZ6gwjaH-6E zB?8M^lv+QZKcYCbrizmvhJidO(O#q;!_hyU)-^u>#Bq=NqYs*BzmZtKPzS`@f6swX zkOyqGS>3LMVPvqu{sJ{GciJITB0|8&=L9@5~)QU;#U6@;{4L^}tZdO(7}&Ep#L0`sa1?V%_iH6XLuOw9Mbj zWmtTs--4I!V;iLFw@)vSXFE+cIQh;y78?`ePeTc)uS55}e_YW6aZ3J3tz~pETj_4= z8#wr?EY}E8_#jqld?;R3GYz8Y*tr^);~*e&y&Dk=8UgbTMpX+MBGsd4W?^k+^S}T? zkM?EDh7I`!3oGD$;&A(eES=(_PW&N8wEOYgsJm}Bqlxfx4LyaV!M-(xCf5}dN8dGI z-hHBrakxA+b?tVFrK0;HTrJ-_TmtLtR<4caM$2&>?U0r;ET4uzYrhU>j+NZGy=~r| zn0q22Ot6Mj^l`q!&rrp^m$@4_;=fWWMR7H>Z4s*b6X5~fnM3<3Vi=rpL0?I7lQ=P| z$M9L=-152+hB6sUKo3LlcuTO%2mZ7|?_@OnMm){q%kLhgqkY;S-rHurE>>b^CeSBk z-rAPJ#K)nRfhh%vs`u8pCn>iH`)#WC>gkIIJ3G-qOrVE^x1%Y})}vkA#6KwO6#|R0 zOpcu14^3*`wkOWx0*JFaJnV0;{$&R9<*V9-W+N>a6d)|2zb1FJ|4}Rc&(w;4ICAOp zqVbWU20$$HsIb&-z4z0FkADpfJ9i81(WvK8@}6lAEJ2LU46@`y6V}m?OMnrKvivdM zPU%wc-Yt_BaYL|{47feZ^hh)zC!3!6argy)+pFgq=tf(|h zP2DAm*c>`@naR2gR5=Kob0)2sl9!}zLrnPsMLSl1 z{sN|HABB>-DuGcREdJ!4U7IvG!`tbizbhYw$xaRg>t%8Vv11FWR#Snyo}DK?YgT6G=v1<@uZ zJk3-!lJm0tJ-u6b)ye290c`DJLg9ngpwIE}f~cz! zR2#)jf?}PL{{bd1IRfbHAGz``P-ysy`PUp@maCofU>hj0ufa)Y;y*pR*Xo^Uu=d+- zB2f7nTpmEC%KwLa_k(s{bfEkwnf@;CNSFO1P?r~RZ1G><<**9Jo)&L!uvE2IzO@K! zXS^X_kIREK{Ix)9XM9SRcrfJbLW^#n6HMV^Z7;k{4{9(dJ0}0Mu21p1B*+NXfwq&c zKF?cuW$5fK*IJ?PkK-(r7Gt4%1`}F~P}V8iAr}hf`ij&LK;v>vS4D4u)ZmvBGmGSz zq!{?(cwL#H+lb5WY3ApxfhngRbnqppxLcqBA0)7iuA?W**=|= z>X6%PCRwzFGMVyb2;>O&UAX_$4}wIJi@4x8xI)Qj@p=CeIrrTit=OnYYcXanlm8pvNBTKu;8*#3eXB&&4XDDde_Zw1GO$sdP~j_yZ}jwD+*vT8nDpCPVS;kX|^ zXMQVUCogY&3kFxE$EQ5+;gV?FNUQnqrOp5cCo^TTD1&^rQL>pogGzinz-=J<-x-!6 zY==+Y`>Sw)^sjMc;~ZdRE_rHdW#;Y~qW`D_(jkd-s<_tiO0Y7yyojL0_q53WpagrS zw#IQKS9@g~!Cyq!nV^w6`JsPI0tZ23l0A_fpI}g?UWD!_RLw69@E?-!B@V(fMx>Gj zf!yI5v5Rz(z7xxcH(B<8t9#bANa;V`ip(=`| z3O;sL`j1D*CTurr1Gs~>r3EDjC9=H6>wrW5aD+;_i+A>-ThfB`pc0CrBr5jfLzVw% zghRQv-`>2qpc2d%fM9|%sTi7EP%ls%EIl0wFNDYRhP)keK@eJS#6K2+_yWh_?YAP( z(4?t0AaoR}lL?CCAA_DP3CG^@3Vz<4653ki*(2(+L;T2UjB6Nz+I(+?-o{Y%c{(Cp zyiVtilL2k3IMe`Y1eq`Us20)f(ABQ*H>SAksK>O140*3FBh?=k_j_dnDB~bcmW`qGmFOm#=43NtvSQ#F6wqhvAt}I#`neM0X`D3PkQ=}a>p+sw zTJWHek`MX9R8@so66cxIRh#~g%`Q_^aBczg5<|>es1cxpGSt+PdCElJp#Mr)$pR&S z4{8kWK`*IgNM|sJ$Kwz<)8f;&=Bj)0qaR}4vOO3<(WY<9l0PTgx(kH@yZEVPNMY}F8c;iSzwlr)QJ9W@M4&k%o ze;h)0Nu#w-n9zS5LgY06&@+dCuLAkUA&3zsoD{1RH)^TY%2R)c@nXY!@5Mxo5iP_x zFzt9uAw`uCB7gWC6$_2?JE=pPr^Pr+v9Pq2wmbGa9cf8sDwD~J!6|AQc9!u7B>=Cg zr!@7R5}(R;Zn8sk3pJjLW*0Z&+HqCLJPG%u(|kcuJ7oKMGQ7L)kkhk$`OpUY#yXmQ zGF~=>^s4dht3ZFNTos-de8hhSK^z?+*Nt{6F(&SuwUoXTMJ%B@Tj$G@&0M6 zE7P6$q27%LYi9-I@lw6|`qP|zzDXQ#Bl0tV_}9`$opj_nGX2^(5_NZlM)smly!Nj+ z_sr4exx|a^L=3Y~eW!#aD)F1sd3-IaE6h>m=Z1cX2n7W>Iym5%nRhNIxB8tHU5fYw z5O*!jXr6~?125vDVyB>pZ zw?7r8G7p-fWd@V+VJvP3PguJ2sO=ZQ(;Dp;FCoSr0OtGJw3UV+i^s192)=Rs)I*<{ z_%w&8AktNY;q*~E=u76cfWY{251zkNjU5;k7?{$4%^J2XLLT=yjOH>rjlJe%rD2fg zL6WNY%Uk+~j3v|{#-aWOYhax8^>n7GgbD<7N1JezeK*HmDWWEPEgKikIeDlgNP5-k z(3!jaCSUe(!48+_{7~uh0M4OQ13qIpZd?iwxyP z>Tl0P3mwq^d(ZGS#GM#pZWQM)LN>(3|se9(y! zuH?-zT;rR}<}WgD?aokyT0LZAwHb$ENF!b}{T0x_2et(>l&HB1Q2nsjU6_x5aVKPt zIGX)LM_@*}P?{T{HOd;1U5rqme@&{Z4DNY!Q}L1{_3DU%m!Wbc#R|}sZ&z;QRsL*> z_b<;r5`MlZ-wWL_wZkmgUeSiKlrc7KxAGZqD?$Os|A+EgyTMJ~#Z=Lthl@Uiu+MB8 zyY-omjpWrEW0`0S4oOmiNLu>xbIj=BE%2woZPNV0b3;kZHv!pjLDKvWv1a&_1_9x4 zjf;knO?&Km-2h&CWAPsC&4hs7C;x5mtoPmNmmEmnHsb>13ouE2)Rd~KP+VkPiXVQOCjf3;^w-Fx`eEH9aCJr{jUNi5WNgUcem=r@ z4Z2+xS{T~y)O&dm;9&Tx;9{;4s&PA7*`yI*$GDwg%V(`1@B|GLOfbthuUBrhg!3gh z#}Dv9&3_L}b_!F<2qt%Gob%J8+%x{2yiIi)?~Ib6p7+BF<8MpTXkbXvmKk{vEPqf; z2+ZK0uT0d)EKXbN3dRcJD=J{{8Mdv%IdY&lQew%ie(C(lS59Yxt2s4gR1{E;jyLq`VOhJM zVjO2+Puc#f%U}aBb6UeC7 zw^Oj}io!Cz)vO0}cyS{$L5Ji1Q``Sw!y^H2@T{9I$f9+ef|Xaimp`*X^pPzaK;m+- z297!ED?-cV?ECHd4#=-qMmR^+`CsOzzW6Rt+2s8-M`h)Iw4!iyVJzJt1Tl8TOdGUq zr=^w=VuWnyyp6|DoedXmsVxhs)OjZ{DJ^s^EyOCzT$1y3MSjJ2xox^FZz>OKY5)Pm z_MdHwZR>40VcwQSzPgmg)enek8*Cvc!Q$Wg9t&E=2y`Lcl@xrup>ivj{f*{kLwsk1oZE2m3I4i8s^Y|D z**Y2Y#|CgA@5t3fG}!^Q!m=mpo?sVIb-=l+6BXLj2_ zipA5XH|yxbEa`6NnL}L?FLKCl=8%$?^e|+%iX>hT4~v+3maGtbWNN&~@ZaZjA4V@> zb2astgW?@Q&2=ZeON?DjA%+Ow8WFBN31ccFPde`BWi*jY`DDnp)7bB*6Hop4ZsH>? z^7Z2MqK$Mz(IZxPzWSNV<15C zz2=zn!E8y*BnZbR9s}sAuGPlM){FlDG^jH8q)X6wVEV|QrtdS4cP9$7wU8l?k#gym zsE|nDhcii}zXs@Pj;9jtvwP7aqp*CeA7p%gb=06<;QiazlsP4gZb6OICKiu)XK{1u zBl-N|CRE#_iZ4Iwn{%Cl%){YX9iB~&?ujpD;Zz$nOO|flVWK>N>um}?+LA)8pgCLF z-4BSz(d)5438vZoJ-fdm)URKuMwKc)ywKN)QG8HT*N8HtE9`U$D$aMXXF1&aSf2*d zFq+&F$WagD7A1Nk$r2x;_V z=J&Uq4brLi1B&;CSB^}fOf^Z-!ugma^v1h<Y%31?L1zZ`c?zCTdB-T+G#RMJb0SCK8)G4TYN&ce;Lhd9An>W}hF+Huxu zLtg(js`C;pNY$vomvt+QO$k`Sgo$nxJ=nJD8vLSs`G`(~O$)GHH1f>BAv}NlNiLYD zSKi+iHAoXKxHBCGnmT!8Ud!g0Ki`mD6p0@($n0uRQB%O-<)gDHzKaYIJ!^4&Xb!_v z^jp;x=4JZX-yo}H9gUS;z8aRbw55+SNFsyk*?%$%3qS7L_prb6A{g=7yGQ%G)A9dE z`VOF`n(u9u-h1!83rICILnpKZa_JpG2t_)fh%cdckdAbtpplO`l|bVB>Z1C9bSN^ncWw!iP4PM4F}URHvp`N$1>(${y! zx?I7GfDs$%^hm~u4|kviMlGfYzY-=-ch`wrxygcv=h6XwIVj`OHb$y9B2?=1`q1Y1}vo8y_LH0Y6q_BRaG&QAv&h=c!_S4T#rPb4&+mOe8Qz`In#22iK(%#L)|JRZaKfn z_#)ZnG2c6N4d1rV+@|opuq@PNU((^F>zmxR(E`Wk-w>u+%>COHDzujynIwNY_X>9O zf8kyJE95H9%hw~t2WS^?aTK~Zb7!XTrj8`Aui%f7 z=Yy)zA~j3`e&fT+xteTvGwvAC8gmh}7OfV;AXgF})xMB`Msi$|$uFz)tnFhifk!gK zgR0lC^BC^Gj)spFM!b0@h7sJXr;nv4|F!&7rTs*Hz-FYCJo-#eD|7T2@kMn-`NXgS z)Pw3Eg4>g$InkFfnlXu^%6ZHc;++6f7;!Bd8Vw5kRaBa^{6~3|v75^noOBJ6d zTeA`vFkE9NFSihUY}2>|307qm6&@-@oxb)SZ<1iB36c4(E;W_#mI zwNcC#?|7y>Encz*AGtisjua`1AdAx7-5B;42&IttN6Redt(~3_9^hc;&N%ZTuDUAi zXfruGF##Dw1}p9qpLnj;%U=^g!TO1iy=Esoz{*fT8yp}4{UQ0tYbp`ox^8xCDi z3J)+fY}5u{5M7u=icch}UEMQ`Vr&|Wdr`&p{raEZ`U9lHhXS!HHg-LiQ-=TGzV|M! zi1cnTJk$rO#Nmj#`i|h8kk%6gpBc`MiKd^5pM0F=kk)u8`upIeleXbVl#oE_J$@p_ zny7uJ$ol1PFT!<^bM|FYde?4*f0x0)6jjk)m0NU*v85sG`f9GK7jkA0?vO`QQ=@X! zy#ri{F)L)5=Y5(1_qEb#OZilTCV`VcLTY+G$DofaYgD5$g><8GThoe1)91qJnPtUa z99hgqpp}&eFQ>mKqC0;n5e+=Kf@T zi^;QI%+IjvjU6{N%`lH&Q~3?cW*)O9pZX*VKAtp7EvdA)r0_VuaB!WpZZUE0zXN<| zSS^;gc<^AHQ*ER7&Yx|RqxYmwq0iyfhx~mp>$*raB~^v>5D!$Rko8-~CMlZ-2HkH= z!|tctwX7RiS#_(odQf-AdR$oz=2#4K81__4b@cD4CJZ~D->_unIBRmzlDZzY+;<1p zkKqYh?p<>?=#sL`m$H0T_N%NI;=n@o%`4mk6-*L!=oK0Qh;G~x;tcg!cYH(On|DEPu%u<;23-yPS zPo$Qr2*0v|(&8`&mcm1Hjq;aDgVSO`HQtG5W9Ss&XDUJ8uFrFr`YxA$?hDP0?ic^Y z!<R^IuNezQ@BL9L@7D&9cLj!4&MB{eK+S0Y-? zk1o`>s3Bgj9w{c)c)hm`)IG|W)^OVA>bb5E<5oP<DJ3T1W_n=!--v4LdGu6HK zkM5O2(uq!IT)DH^Xd{m{el;je7Jo+X4_v~I%G6ZKO0?OINWCQpm%fZ+pKJ{jN?bnw zT>er?EaADO15HpF;1A1J-yMu5m|1<{dD;m_q~o)aA{MpF5v?Xi`D$FqI(WhhXnqH* z3)v93(U>0HBLredo z1Ll~HV-M7Ce&>2_Q`lk=`Q(JG^suor8h1rBy8+x8GMY=uWIVQ$I&`ibd^7Qhwp?^G zBayacaVXx5Dcdvp31fb=+Gw2@Dl{x--{i=k$l-e((I$)WjLUG|4~P$>x&3_y zO`M_6p3^{%x3hbg777{ZWiAk+VhB;WwQ+5n{wg77VX~hW>No85tBme~SO_1Lb^@;> z%5DKu@0Eb^n8LEEOme4?X&qjQO`skthFK}CiY0dnOhw&7zm`DJy`lrvZeBTE@Zb2< z;BRoeYSYO*Eai@{x*?mPmqmnjm5yY6^~P->;znzlp5DzXZ6)^q=76s^uT1iJhQEgE zyh~zO=1QlC$=km2Rx8`f?DDPDtDBhp}kI0>#rvA8v_K<|o zZq_znAsDv0sycF~f>ekQ+EKEfB^CzQ-jGDbF^F56k{tiC?PMHoe1LGgA^S}4WG2}z`~HuJlVjEP$tWhQjgQY$_VUn%fOQoL$sHPhWp0Un-%5Bxma8c%!)5e}yeou{WH0Q@;?k z)!I?LssnG|;sW21H#J%bp%Y~K*3!v|nB~XW?``xv#Yxo?W-g_Qbx={V4T5-@d$J zTISf$-@Q$yCrmx>qYs+D=W(n|-(ak1-yeJ5sY5lJ!jJ#heS&^Ja|8VUNi|I!K2GxJ z`}3?#xaS`i>(6)E4OY_R&zp+aKHJKRiFdnM!ClAGE8eAgLvvX!Ne->nn9gkit>wJN z^IT?9)i6dgw z)kK`7sRS9-@;k&Ro50$`1`8Ab~hC69e4vCO%$kz-29B2LS>g151z&=Gs{qMfr3W2vA+WV0k9yl4^Z z`72{+8QCoHTV7R`5cFn3#R}2fzpfbJW4D^X@inr4%15buGP-UbLOIr9!UYa1A7%Pm zdhF9`LU%91vK&}c1lte$gT7Zv^>U_=-F~R=_9oxka_fPq65?xU-d~}f=bpt_#i=5r zonkL-xNnsXG$BQ@ih8-k%VPe1l{@rB3fRiEC%@o0T#-UqgDXl&B*_(BWm!`}w0D-M zEEKtn>ylwinevU!3`F}yROJwroe<@P|Z3!vFGr47Q>&5pjLJwyXL!`d#fqhN8hF2*~cP<55JQxVqKK8PisC{ z@Y7JfBihf|bmeD(RSn+bWZ))QEZ?-{&o?|P9B9RK8csj4`jE3MG?i6j3N;emfi+GW z9BU6JVr#A1jAL4}pky{AK4sv>9yh>qrx1W!&cJ3gYYOkI?1b+cRL8V^RJAbabWtcbrkVHgBHj z$GNi#T4+02Go_@uxMjEVSLixMlx~&2GYX!mUcpOuz(gGhPweD8tGGQ}L)J`n6b0|@ zEV-<38crqiqfpk^t5baZ8A^m9qO(g@I$nLa5qb(PVuKD{YL7-p}0Q#?7d_LOP^t7}oc zXOqpOXHKGrICp?^={jRJk==KT(m)N&k9ok{w>=L=J0RDz5kf0gQIOd__v})h>mW9T3N@G(hD7 z%SwnZTbE+m0&8EtC6E5o@w&*QiwrM?V=|BSPJZmMvTxLOayU=IfUZtR8t_M6kl?$l z?EB2-YVDkePo0dnV#WMH{kR;TvD$@!-oaP2rz~kHW=2lD}#2=?kLB>ACo#cG{A7<}|b>l^u0G zc3HzCtGGXOKWfok*4@>1(?|>xWG>n3%l#B$-Y?>HQZs(vz1d+ePGtQJ1D`ZVsnK2m z9*+%3t}_LL4(2l;r@DOg({HB)cl2wr=oVR(}04|ih#AM%#F%;c+F~t zPUV3SHzl$@aLT$?M94h*4U)2mG~oCaTXtKIQ%I2g(o-8g+R zrUJ&gL^mgejOBjG&6#O5=cC?hq5fInd@)7#2z7$r#~EHWQnS>1$I(IacDVCn!uzZqmI|fo404kF1rF=kzFE- z%X`1B#f#M;P0M?$B`?NmzzL=vAUrP6nCa6+O{95%7li!{XURp)G#r2D(RiRe4Q#XsCK z8kAer zztCIj9oo1nA#2(L?>L$HCQssf%okx7GpSIgx-UH$JrJ=L7pRV7VS}D_c#DgX&U#@3 zpnciuqNn4&b}Vx(a=HF;Vy=I`Q)}FOmW&?5S4PKAs)em{KOO{68HX&J#WwA6Y4p+6 z2kBdtmYsQ1I`v*6u}Nlr3>jKj-uO)_Adp%!++T(CS;G(a;|2v|MNLHW_~s?pq#Nx! zvC@#ik?QAr*OWoX z{f9#KT{-_r>#%;E@rbm}-_sta@LHOG?XlYDJFxy|`wk6k@szj;^-HeC1GO^W+Sh!;boJXOgid5mvz&h_zTo3d(dsUBbCl)E@F~H zV{v8T>uF?a2!(J;(BX&~>9e5ze&16E-NwWseCi?=>G@^YERW%BT$z_(Jeisw*9hSO z*+fE#VKlDnn^U<;tv;7IuEC3!5cQ}SpG36~L{7(6Rm#qLJEWr!-Ag|xcNQQZd9uBw z9l|{_nt&BZOrk=>2ohwTdh*`Zwf`SP{SVA%)E$x&-+IljOZ}(|mBllzp0gi~)CLGA zz6e-v&^;Q_i%3~ZNSk2lqhi}BA%Kk7W~}5Hk8i^lowf!^*t*)7a(^Yx+5-@(@Ag*9A_ZLYo>uW$;Ap_isQhwa4dmB3Upaj0{?^8 z?7X~sjq>X1sk{@%$5{Kctu4g4WMYy5!c&mYP3CEWC1sTNPhLsgPz+%Cmr7@+=;X6S zFvVH{ z-9q@PPd;9)^;LUD*FhBVmbf}LO~m^4hE*4LTozz2e`VOk7Doq6%3s}6dXjuuW;@dh zmr2}o$Y+VO21j%Ukbv;E39c~N5@)R7goQAkIN;nT6mUZv<}@b<@191saPp-dOA_-u zR8JSND0!_q{PIczIVjIj|8zankqUmy`wvofJ0k0G3l$oBlr-Q~CiwP(W|lpa|27pq zs5AR%c%Yq04x3q)5m&Lys+cetd@28Td&R3x6Z)d~*JJml$zLnJ^( z=tsRySHgiDjbM;$)81&gk|oFY)bW0ox}XTdH=ZDUQ*i^V&9Cm@6MNR@S0+lQhM8}X zQdyt-iY4Dpd@`7vxk3b+mz=~MXuecLUU){=e>CpOA@O+GF>l}dbCAtIoV<^cD~Q=T zr#V@-VB7D$B=;1(O|Wmsw^*$mZyWml4EnkMF!QyMtnp@mBQpfKgz&O1)2}qep?R7? zMJ_w!rLUKjMq8y(K3AGPfRN@yvb1Bv{e@UVK?D~H$W>m(-f<)N?`G)bgKDh#pa_>F zl1n+Sk@q9K4$@P(oIPIhuv&r6y|Rcm-d$#ZBVG)A!q44Mf(gJLP>;Cd?$OgC@zD3y zZ^>NEyIA8LabfgfrE2u?2D3cmBi)ixlym?I0$m}QHBta7Q9cFB#eK1f)om^PJPArT z3uY_eDZ&PEsPAffAxvouc7C-%?l?_iz$?fX09cW)Kj_W01^$K3U?*DK?UX`!E&(Rx zHA~#sc8lbCq6CiMGK6eYHUWS5_BKO0whAQ0Sed{PoAkzMclkP0<~SBqU=>PCmjd}- zUr;?NuIqg%XCGe5ru0o@spWT7;-g(X8nPh7sQd1qPG9(E-)xlpP<_lLo6)EIF`WVI z?UW?+It>@yNk5k|@3!9H|DfUf3H^5u^{nU86NSrUXTcK_Wj)OAL<4u5Q~cH<(l`m= z^F+85JDVHOWOOP$(X$l%$ju-?76BF#PF~MGsTtEQemQKf z{=*Jils?zqApCh~fjgcXP!X_KGMuvTW4Hqt#8cyfwfhfBv+GboHQ*2Ohatah1F!V* z&D9svSd$GR7dTH0WhK+`IZh!@(KUq{EnRRaj*fSZ+G(P*={khu&GR*&pd!dgP6P64 z52BLc^^y0mfQG;_)`=bj*v8ze_gE_}fO}ZD4C6HLHU19Z!40FaZ8Bx;mr#S4^;RbY z#~a}&x4OZU0An1q2!6pZ0SLv*xOMA7%2y)F4pxFGgGHCq-g*BD`mWO;}b$)tzm5bEJ;?mz2eA^bFI^7@-p-%6q0; zHs)TAeMV@?U@QQ#$c@3tcs-ou2*V~vlH9aMe8x_KP0ogYrL z3u-$LSgfCp8{-t5OYeruQrCkxxdHfkC%!NE@*Dcg%yv@!taOZOpy$bGyMnQ5d2&-6 zE1nAfB4*b;dhSxzZj~cz1tgmo7q8mpx-tU3yczA&cSwVbpnF;U61MDt z9G*{D|BokK3Tb>pckV}1AxCNOLAUU^5jq*)9lQsjezRX0G93%KIzMePyop#n%#nH@ z6qh7jujYSTv7>(YI$m)G36wDP$dC(P*e`!qlP~^@=J@{#HrJ6TU6R?O8Q$Ormv8 z&g6RS58G5^;Wdn$8THB(T{SK+ogTcmt#;_&H727yCv}!LW;4Hod&DaH&?UL>U%)pX zue;@t`)TH*ePlWFq$^M0)p0MRDEuauV&er%@~Kz0If^L}GoY z8WORTIoV=DMtRg6-SCcVE`4xwr9i9v;I`+_#YFBrOk?jnyj-?xYE*-A@&TvhkJ{gi zVCbeA4RE!X8UKab@DEhGYbZ~MPDO@9MQQo7@gv3qBWQ8r>ZASG_|8P`adTyovi2vSeV;ezGq&0uL`Y1Le3&GVwUve)|V`c_h0U{2&7%C6>`=bOVjSy^&Z_TX7!9mq%m8GRU@d* zEaoc``dpU<67aA0-i??%4)Jz%Wxp`o!rxCvxxUoW?`)G!C#`84C%fznSm6urYJ;Tf zcPd;AKW8m)9)H5B9FOQZkCYubf$KE8c8Zg|7?0JV2~Aj_qG*N$fR%scz&xR+Pcd1F zij4ZzjIhheLe72`{ojlS7nA0k{apH{L2Z0Lh0eq%-X<>e#=}~x;5x>x%OdvCyqS_T z%}EQG_!1tkck`oik+&;Z$RZUx+4NbSkC!s1qxO>N{?knOS>&~6%YL|T`JOM0Gm=9; z<2lpEzwZ~}C1n%B$RHLn&gn1NzVb`4yPVTKOF9%6YR9pOuy1)1`{6uS+B*4Rs2q3% zWz0OhtnA1bJI}YTWsLn`MpyxgqjSY&*Lo+4vVi*fN+-3qhS*p&4H}vc-nwCe>#?_K zGCq16YY(pNd+%kIEqbJ7^)4;Vcb1h1Bd5#C8Sa>^pmM)b4*h=(J+ew$>Uvzx(~-&EU-kaY(I$FD=vWZ?!^u8yDX`__^>XLOgU0@D`uT zO1%HllIO}qXM7C`!#;f>N%-^-;I~ViBhbkh`!0)97&+sZpICCeml^N>tJ*~8FedM| zJ@-}%Oh+STS)!64r>MAR5H5loC5TO2d%?rDF$689zZUxA`w64XKho;Yo+tXB*mr}A z=S+HpVZFw)L@#jotp9y^h!WqxBEkb2+eTnKZ?JW3C6QLi6Sz`1zzO+Lt^Z;BW;mQP za=1$v>0vS<9CBn}E~0pNFD3ELNP|h(_FAkR%}%0aPdvnOzOHg4U^N@wq4i4%$!f;( zoJs0$(L6j;e~Q%^ z5>Qu}6L63RkD`=46A6(jeJ8xUm({8mQplJ6ZS0Ab>~j%CF0(Yo>HcY{ND7&g4d=Lo zEVOEKJXh|qpBan)Oeo)(d;U~Mz$#;D(h?E0AP)R&?@z^@cPIznu5d^)Y2(Qg%Mm4G zp@i@th1mn(8J(Qr^A)H|@ZqiLvYYnP_m!D*UMVwceQEPPL>s}T+0qQ`Pa+J!&xsE z%#Ml##sNj_LF=i*8^P8EA0$K4zvRiM0qVFBu`N|ZS>lTYEeHhn-_r2%NnRQ5z)eeBWt>?0>N4L;Ytx^2cU*&(rbTlSUgyC!b96-{ zd*&rDPV0d6R|{x~{IdC>0V_cw3n=|nwS4;vkIau`kqt30ZbjH~Yxlhj-Hejq-fl;P zZocXP86TI=r;7eL={mT3SqS0+q8q?3p`hsPm--qj=?ZL;t%&bRMZHlk^dDhXeU@dh zFZGEq6F!RKUPJmin0G#kGn?L{N~f6pCtY#Q_?6qXYCm6{nyx5GZawwi`0ewT6@TlA z0G)bn$M~eiF*0D1NDSjm$4$kmeyrPe5GyoXKt@ZV#*1#sZ^hq}a-JNr8p_mYj^=rR z@i+fQPO5%lD0h(JH|%Gw&b)RuMl@V1)=kVY{GRzUsk;uGJv|g^EBB5VH*CYANbIiH z#+B|d>?&74Y#!Gxu1Yn5arsDfhIu4ALs5=)waO0XP{5qLDPpaOzz^|%P}S8 zw@*6wWqGwIQt>C%6@t84R+C9uw_6a8=emVRY7ZJ7O_KWw9fIH{{?glj+^V z;}Nd<*<3-LW9?7{;yFN10A07M+7f9I))v?u93!W>IP%0L8VgcSkb(U!1Fb09i3H_G zn?|x48LibDmo?U>qQ~uGKoWcI@-%(TP|m@da&$G#O1g2D9@j9sSz=b*dvvMD%a258 z#ml9=j%=yDM}Bbihi>r~8%q6#gFZ1>r=6MH;IVQG(c^;@QJHV&y49#TCK|BIW!WbV z;{v%f_lq&#Iw`bX$0C+@kMSIpyb(^Kuhy%Fr9QAZTecDd`%*U|$ zgtwhs%x(-g&?*m=71RA`cEP{N=eUf^_^$6MO>C)?9LTSRIqC2`Amr-<+g+})C6p6% z;7^xm+2<`}T%SxF|Elrf?Q73oUdqAf1heZQ%0pFl=NPeZFc{AT>T#@OH%|{H{*UQ2 zlgrm-rwid{WwGl$%m|W+<~G@3mmr~CMHzBfb;ew&lI9AeM6xOa|AE<5 zX<=f)C#xVpqEqxK`pLbd#cSJJJQTBx>E?MJH7|93 zzEnE6|5B&n`>Ul-PyFx9`W>V}Q&eO{+~z$?*T!10?46*p+x&KV@j_FH*`{^hUJUum zX}wFgE8sRHW6QcvT!tYOEZ1EIMVS!QsNQ%Ku)~79+Y?BV4|Ygl;X5xgf^eC|Y61u| z#c*v-sx31^ByXG>;3$}ijPqoMoE0M~R!_Buz>n$bI4HG0n99`moe2;TG`N^g_tm*h zKd;Mdyi#+j*K@a}>d|Sdk<1vbQV68JyjwM{dlan8m9n;*ytuKWX|VioA{{lG?hV-9 zI%=$A44C`yjw&2DODVG08AwwDSB;C1hmPB`2*s!bHG}3$4Q2^^{=BU$Ll(gCefwV5 zljKLZINfgzP9knAeO+GPu;n7#IpAB>Skn;y(pR2St9aAPmTlh4DZpv%_w>Fim%!TZ z10z5Awcn|B?!A{REX947EbGcbmH=Z0HO}kSA|T6{T?aAN1tq^bUROoIV<=hy0!Piv z;ODfB&{d2`FknD*1pX@VlFcEM^8Z&_OMeI~c}7>4P!6wv9rV$)C^@|GgF)SEXjeh9 zERx_??T|H5prC$$%6?N|C#D{d!UC^c5+hVrp(V_c)nH8ejrUKXimbmagP$ImGS zHfJRIPTcw1LUUKkaI2K&1IWVl9BHq79}M%Gf|spkx4<&=N{YTxL`j1nFbmm2O2l`;8|{eoA!(Qdo3Am=tN~r#fHc1EpDUpKdoZDz&B};KEM6 zn)A7vos$3fBOH&^QA1sGZ{xnFUnl>*RWrIG!QRTZA*|^=Qlr~VC)91c|6n;)375dq zSmIy&%tvl;HmDJ6P?Bi~iFa^<~wwN9MNp&;N=i+=cETSV$`EarE)Gfhc+i@CdpG&mgUIRN{(P#=+?A06NTk z)heBK$AJfZ36cZhh1}7rgaVuikw7r>0n!nH1!(ju^_3VBP&jR75cw5>MQWsKCmhI8 z?tp3Hc@587tCzC_BR*Cn9R1qLBcu-c)BC&NG&A66h{kqOTCu*~zIL+uAPOH%agb(E zi&81KCap^48n{Cb{H`)l`kH0|*s;TqgRbIMhw+t$fWJZIK{*czf#Ms8U-zMvGr)1(h`At(P~`oN!NMWzBRyxT^D}B#xlf z88v9}Cx%G(-4jE_2L$N2IziXiUZd3)rMJ|1y$L$A?q43+d+CI=^79(osWN2g;g-45vT9d3kgRX$BF^Np7|ma?HlyAY2;4=OoTbeFB#4*TJzEyB z*wA~{(qG$^nng;lxiF`4O;o6nHc>C?QLsXWS_*R{Crw2<(k9GK zGg`G7#?DH7IU*-pL^WFKa+kUTLvxf*{Bcc!;zyr3qdEm%FDrJ}wO0zwk|+V~FSEWu z8uYD*nfZO7JsdSD1I_1Q!bGY~kjR9kQ$P;cSYVjUXBY#y|!grHsp&fjyBs^<>s3Q9=*{FY3>d74? zc@FbvDN@w^nHRS?)`@e$(OqC1o}&dLVgZBHIWLX^tbaih2O80{<--y zNa}(d)f95zTCo7jIK2t+vnpWkc?FYMj9!MNVLn>HcD}3$MR53RJV>aZ^nqcRO@ehD1l)@Zn!}_&ICBs=sGxWrW++3ojO*65cxk_5WTtb({v)m$i zu_^*4Fm1Lek$@G7CG?CE6B;M9(J%o!iYf(Z?6q!Wl` z>#Rb^Mz?aNPK1LW7p|;u-^LrG76#Z_kMw*t2Kp}*!+tjO1k&t(Tzf^;HS0(V%|OX= z;=nX7WCJG?x>@?*Y`g2Z*I3%hbk(qzlT?~$6$0d>gr=ZyT;sE3ycvQIW~dTCR#|5D z=QeW#8E+8PS3jBGQaMU+|JY7w@mi%K0`+9i8;{VH<~SaV6OhXmi6nB`vr^JO!#IYp zzm*C@=--n4pw~<>;K|k;bynpVCZZI7NBWAXyc&JxW=Hv804*tvEP@xR8B(~-P`A(bQSFKxMor&E-b4pbvQ5$H2tJxZ{Y&KOTdGR!i2D~#15WS$oPW7^j+KsI zc~iymu$&>~%K%!xMqZXsI?zVz3xla&IC8w!sOFG^0%)**H-Ty0*NFTr3DD9IVh zj*=`cLa8~5ZKl!NkDdVDa29PLh6z5A2ZG1dtQ%Rc=o0V$7;iir`HH=>Vc6K4>E@Wy z?P!n#UP34Vp%p|$$v|fGZmJS%1o+%$4XHFPXpLh?<9?vY^&IH&owBGM7qkSp(x`Wp zeGRQ;-e=d@bqD}m4@bEkb!^tsyu^0rYYv`bx<`Q}7*V`EBHJS&Cu!bWY+l!Dg zs{!_1{-ZLuSQ;kKS~j(SB|g+N+_hRK!g;rW!o2HvCY}jE@n-_IvFNI4s}Vur$sVN zw*-VRHh_tS0}H~xAKH;V=t`u#Yg)k-ZYYxF# zEsB4R-rU1YVp3w33AnPMUrQMlc-$AVGH1freIKcC(N_Q!PK_frp!I0O=$g*5#!}F1O zaGFXd4OFFm3#Q5`HlRl5vHM5#`A-5l1C;_VB@!~m*6Xoly}y|+7{i}cxo#g>o@Wzk zhfIW;JE0Z=TYGeHG)@qm&cq*CA`RCbrHWF-fg&USq2lISB~x^s9qmaYEQ{pNd(I9V zsiM$7TR1;oyg;S19nX#pV64}7MFvpiE60SRftSdPYWh>x_bVd?uJ0{*;$5vnxf6MC zX>#foWl4crveRwBTC)4fudjkc&8)p9i&+ak1cG2UJ^>ug+y$-F${YH>t<9zVI$WDG z?&rBP^fYN{{W)GiX26HA2)1gP2pPhy(YSsdzQ)Brz0cTot_V8!X@7DYip_axUDqT4 zF;@uSdlDZ~Cg#csx1aPK`6z~V14TP2Q6QQV(^qg}nTt^dtoK-I1wBwvF4-AFW9VyO`~ zyW+Wzamk0sIoRP!`x%{n<6Wu2yq)9c`6V7FFI;O$hP(4`6aThCKib}|^FFB5?KpP8fh%)4te-#A&KpInbQLMUS z9p_ne^e`LjlC0EY@Dvx-L>P&?yy8Dr^$6ySEu$3m$w zdaWi{ASQodi^>3MOZ|gh?pDC*rH=v&R1_~C8N)J(r;nHN-0(%PjYmP z8DAS$g?c-c=+coW87Xr4n3!9+Eu3_imANgnK+^S|9!Rq`gH6JnSjs2!O_Ju?xdNJK zBSp5fHv^lyKrE}~XCU?<*_s3_9FT;2h`Aa9Me$HTkC9Z-@d0C(*zhOcLt{a{c$>Ys z6F6+|@hhTchlk?@sD)J1T}?tD4AjLj-)E!*H5L!-(iXQ>fmCD9Q3CYF;EbU(NGjOA zIkO!b@qm?Xrh(G82zWc@Hj(`SQmXq>e<0y6BOm)ssz0)5=dYbMft7Y0+Yb3;0~Q%K zWq}0I(gy$H05H;XgbE1eFK@IWIxz`VU^EA+bN=V$@~T}SjjSA->8olXGr2uaP?@7U zJn^@V|Dvm~{pCNh4X-jYsThPt+jbYoer2mfaa|*+uw{UAWQu_gDsDvq2K+;oekXSf z0p^s4$R45pdAyJf1rZb%f(~4NH+{L%WJ4a;KS@si^XCCp=|>@1pcD%E$O_pQ){M0P zZsdc%?30zkm<1dzLgLRV#f9_s+2> z!G-3MG~Gf4D%tc>TeNEpWXF1-BZ0;*apv8)aO$0~D`!{BG|Hy;a8sN1mPpii2}ofB zG~bW{t(XdcucFcor$p^uQ#O0Yx?3qke5cy=BYb}#TD`k-QW^`!kDc~k$5$z4p5oKZ z?}3@sNt*h*@0krgo}LJo1$IiYCs%6>qq#L$*D|VghNHQ8sNQYw>9+A0=mq`wg;$ki zP*1DgwFu!gkR`T#jg-(Md5x42H?J$SKo**`5;wojlYEx4m}}yV*}6Z?yk=G>Jsfh! zV@mC{@oU9GUl)a9R^k`0^WKEsl5UOh<32jMC%p%SP>cTOz$i6aXXs za`1?FTQ`(5*&VPKF30{K0AN6$zcwOqw_fvt+B)Z z4PI+el@&j$W~}&0l?wc*>H&UGWpF>E>Nnv#)t(98swxBVjcPg&U#l(x@s+AO5??yA zc;FAtEFSp6nZ*O2tICq_nW`fRpBmy{U=lq|0`Yv5$5r|Ev&~=>>W5&RCed+{F)vAb zk51&{`g7i+J&SSfdYuj3`k7g0N$66Q+R*9z46r10sJd-vS2ZM|P16>da+Aybjm4sPU zQxawj`SR=6M8CqX;(p~}`PHxF2mkx`{{R2`r$6{-fE1eKe_s#FU+}-b^sppo8wvaR zdRof7`A3Dfr=`gIk4ox{l6o$w{C7(JdccFD*_Zf7pHVLAck64nzH#e2x4w7l2e*E7 z>nFEOw=&(j=~kXw`EC`sRp?fcTg7gbxK-*_nOo&PbZHD>$}jk`7J)*ZK|+`8-5J-6<=HSN}nTeEJ>xi#_aKgIa> zPbHQ(4&F3Ma*Q9MT;oTcJ0{BIb{T)%F3l);^H(mV8b8wAF;ObF`}wc_Xir}KHUIeF zuRSeifBi=#pBg2famk1OPRXU;aPZ;Z@Q*^{hp5!8wZGwA{F{HO?RA-hIe*JPvW*|P zD&C#H{iixneI|}R`7ij#?mu^F?;V%+-8yjV)U7kOUb^+lt#h|7+V{U065?BDT^%-``*`oFcr+2fJrSJpSK z|4fwX{BO=g+>`!$3yf~^S66-gEWZjA@_#`*Z3?_UefZ?XKWzRD{pwd!FlaS*2TQE4867OG7+0`z!vAB5++QT^Ip<1vs`l&A z6<)ZgwYh68v87Zp&9F3@YHSu0CG|56z2(z5zhlwyBnvQNXVlMus7|rN;zGr%g!Xkg z%jz&ad+gd~XvtS`K&?vw=J&HZKT^?o^*@Fs6;3!9axKk5VjGB8WXc4Vv8+xFS0C_2 zq%P|kjsT@VaU|;Gj_2Mi;X4o{03vHH>?AxFjX!SDK_qP#>A#)FL2)fki zjty3E*9f*RtA+NU`_G82BY4*pL|U=>BchqMO7+MMOiQ@R_fD)ALB06H3BYg2dO_o%f0uqpiJ>Ofrv8`9pPP`DP#JVMwRIV_Ss8@DjTt7nz(! z(N~1Fa)I^i6#M6Yp<^eL9fLD-58JM5-F41`T?$SdP*!A6!A92W0h3*II`1F$^5=v% zgVp5%X>{YxV;X^OC8DB2apDBwH@2(X>p=^MiSkH71|9YoF$^BJnr=1iz^;%WzHEBm zw3nB>3EhC{N=*Qz6oTsl4aX)2bHizt*Bp9)t>2~7=}1bNN2~f>IHNSH`{QGUD(oHX zE|O=ny)re(tvcIViPx$!J|K5qri>$|-T&!P7xA(!Bnumua042kS!aV@0W4YakAIH# zLOME4uc~5o+&5VhPuYIFtOL12@ELKygGI~R%FwQi^U9VRg_Mj1FF^1an3dWt;~m_B zzM+c3)>U+qAZv53BE4*7GLT{x#}C6 zcLi-4dR;5tLisI(!&`SlP<0_fkg!AmT`TW}`>7L1Y!=f4)tdRkEX)77(Zkr%v;@L2 z3rRg)IDByB;f*6=W+4?pwsT(AR4nc4C`jY$Q$5uh3&iCZZgXS`Und7zL(%E5R68NhuXaQ0I*b1pyEP+<3m@)N_xU9* ziF9+@^ieBmJ0apbZ*60yQ?tP?VBQ&~w#Xoi!nZMw4{_yj?Q5-FqV9@ShUt;Q70|6x zOH7TWi5sH*LjpL;)++P;zDSb{-?9M{u=VY1a6GK~8chMTvc9KHEmj8>Y&T8m=1M&0 zS;te$fhA5Rr^Z=u|FQ5#HgTl}?QTPp{4kb#7{|tTwN^{Km9D{72AfVm+B+@yapMN( zi*{1d9&Gs5rJ(cr=AfEqaX>nH2j%eU@?FY?t$`DeB&cXtD&eOVH$~!Z;|6#R2VmwQ z!7hQ8s`dek#di-c<|L))5;Tb0;g5$lrhka3(lYBP^13)UnMZ+`6VI9&DTn&Yt@+%_ zyURc&MQ;??8w-0k(ns5_e&Kz>bS)UTflMd%#XX zy$7-so&HMA$$gY1^zYoy{iYH|j9WgiuhgS!g>lV)ve&69<7?1EDZm# z@A`vtHV-(?amH&)%Jw4jx<+q{$+yiiEjn%Byb+B1UL__#v$zm9xpSFeX-I(L!lq1y zE-M?yAAE4sYOKGOf5M!HU*?*Tz=wtS49qswv`VHqSd0{XR2j&E!yB|?nT}YSk0~Q; zWD*-P6GAqFu=3i4Wivz>CD%6y90>V>=MIDJk+mFYdaBp)ghl)&zrI#RCA01tVs>j9 z(~oXN-eOnMzK>w3Qy1aGLtlgB&ta)$0vUWo`an3Hm)agGztj3{VM90JO==RVVm)+^ zVy|-M(|oayN|8f%M)WTh<_U!vQ9y`FuGaUnEF9It&+oeUew}hJ`v~M@0~oQk=}Jsw zfX_!S@kRsra*Q(+?q5HZvuwxhuGUgsEM#uxQUp8Jt znP3(C#ES8J+m&B}V4Pl17ZZLDV^M)??-0Y!BOxg(4p5FVVxBJ8SC@ZYp^%cerU`7) zd%N|qDYpwDGf=UP)_}v*&RBnpM)EtMX9zANN`oc~+k(J=3z9)(8X5Kz5}z*GG2@ad z9B1&=k=w`CbpEe?K0eT079&PH4Ke3^hkpv(U;eQHeahE#62*woL+Ik44JcbM9 zvq11-za?yxd~Li>O?V&~#+M$h99bd$FX86)fbnM{8=KD(hUb%7Ppz)4`-xyUJd|A! z-|AQEy}bu|sq~pH>K(#N9zRp%a#dw>tP!1VN^n&t01@p2gBApdp(-b902!yq}0K07vGuBEN4Jke#e zQ*y^Ui+7VRz1b}Yq;)x$=JXUbk2A+9EFf7donUjG^+7_Y(bdL%ewtl@v}6h z*4_(@A*9XI;PsLTCNv+v101nc?3MY%Iz!KSa*uc3#z;l4t7Bx9u&WA!TX-8hyO*?9 zT`}vnn%K_sg#OUkaaIB@`zxt~*vWEMEqvFyopFrz^!Gz_cza%$b~-I@rZ|pE4q|U| z)JbeyCcub?Wwxi`OWqpT7?ZGUZ?ARleYusqBC`bGe5GN05(vLp{oO|`e<1W3@YVIr z+$qq7sh*@~le^+(6Pi!V6OYR_^hfcfojMW17t_8wqq}Jx{zFgQ9#DTce_ecY-{NrS z6~x;=ZVr9xD0M44?{6YbneWHa)0Q?X(_s6&H6YVj45{YF%;NJ*syy$F+tomi%>(I- zc^IP<6Hgu=}8aRS_XqQ5(WksUCbC~vH9)wHf=8B8~~$Vkl7 z{}|W0!xhe{`JoCZK8(6d;GI05<~t5wI-s{UJ3xdf?)J!?Qr1;=vE;d4YVMmnQRlhA zbX#B6spnJ@gTGwyH|?AKxXXMoE*tSKOB8oj7h(5p)-1W8s16NN`> zZ}Z29?Sq^%opEaMmvcm!oIIWbU<}uu&Syh+hrluQMGK;ef?zArpuGKhq$0@0L76V4+j14F(Vbkbrb5I<<%6y{UM-#V*>vfOPG2gkn$>m?eYiY zYfnePyqd@FWgz^;>sh3W>zS3E%_z@Nh~5>YA~<9dP|;KmFz37y;llo`$wndK!tmlA%rZGj-fI>Ip;b} ze4w*{zId5*j9a|=gkmKzlf1&1_BY7JP>RJPf_g)jIz9;?OB!Qf+S?w*i7e&5VKe83 z*b?4iQ&x9x7CR|p$LAeoRZkz;pkjq|L?M*7urRw|@~J65 zK3`-(Fkay{Zk?st8ONG%8owd;3{jp`$3zgT6AIEtpfSNbLmgo|0!|N1MlmI4volioLO_?r4`v_jxR>)B-bz(h=s{U3Q6`z|k-*c*oIDD{B&DZH5%5vl z3F>3X@FIzL!ZTGc2y*eG$$qTy)CH7iTH z@d~}WtGv{Y1%Zd6MT+d$EEpE!PrjHZ70#Pt`9Ys6;WSE3H zc6%IVeryns-=q%ai2n2-F?@E3ejEJ$VlK#9k?{3oq2Y*DEA7W9@iWH}y|U%MYof~v z@zY$(KoF+eYo-J*O#{X?ZQ9(TD~f$;FD8@TK?k3xw9sN*iP}nA43?eN$hs=uyS2y? ztn`8G8O&;;F;|W>0j;TMpMBDwP-9ODr5qQvNghLKz%Cxwgrq*&LwZB+bsEX5WPs`} zl5hGr?wMSj)w5U-g^hVdNgPWlyzWo*7j_o#4BiW;joy+3v{}G`3{v{$*&cxc5a}Nr zn~l3E8~OyhJa3RMZcE?y$#q9hNGsdJD^x?qZ9GjkU4-xO^zLc!9}3>4DY>4P!Q-2?ztf>Rx`f zRS-dnVzHBOZ-<3ou5?w{+;B;#!yaOnGWUj}ucy>Zj(Bh*3~h}NEpQ;Tub}T1L&|-U zJp9~JdFomPgjsepQIKwZa7!qq3QdqTMX8JsU`<=6tg_3|fya{zQ-FQ$);-e;fJwd#VpMECdKBcF1FeXNz);UCupaF!Cz?HeLKy~J_CZVMlauCqjEFpL29aSfcZ0S{{HU)d-`3@#bjsI;3+PhqUGkeK#Ye{omYu(}IU=S(j+P4*w_QJja4L)Z zh#S*hj)x-j-=Y~i@gVA6hdgk;^5%iw)NSUG@sl<(MwF>#32Xc%pAdE+N&1-?-d)k< z!k|2*s{bJYwo~lUabQmyKpx7~VB178bX^^4dt4Fri_-MLi@$1FW%P0Jc z#`H#SIs+`yh|Q{PEM~1Pc_VY{#Mn2SmvRf?bY`u2p?K7$X>4jf`px=`)f-VYMv{S9THG7m?76G$LM?y&q9l=~3Hre*>%AiAe| z`(Gcy&P;$u;tiN*LTY0eSPsmUz%NdLjfmj7)eOF`Ag{k_Z4P4b!1+w4@-t%9BEVUb!)M^*Sg>njqCv)XbPM=tcJ~t|| zMAkQTf5G5L_+;%VU5R@uj_FNs18q<5J^a@ycC(29M)$z<`gvU%+@qeu(v5blb<9Fk z=*K(%#?xkg@TL=DQu7zRKgl{&1m~Hlukrf$QxJV#>I`$__{rq$)mlB3!4spRoa0V6 z^qN~d;ohN;%#+dFU+`*l{ej;p!4bmvTi{S8I3>jfyo#m>?pADXpcK8@veGbFBbt;k zA$=?Fc80EDt_T;fx^D4M9@sLWj5D&<96upnP+i{<=?m#p3@EcjhW)lq64^;8vq;>V zv>Kycpaw2oEN|MD8#80ld{;Q+VOE36z*AKQn$8IMNPh@1Ebt`d03a)hrf?Wn#S z!IWHsbm|2qBHX$4En))BiQk;gG)0!Ow879R`y_kL+Kc+J2Q{OcJVJp2{2>xY$PR;{u5;g0F&r(LN#LMN@~~3Olu9 z2^c|4fen3QQVLapk5Hi1ype9xAq^5^i|fMuFz(}LRE0{Ynl{Y*h!-}>R8#5SBp0o~ z{$+&EC<$BJiBv-&-4xlc3#tr3Z(OV6=MkG5SeEUnT-MBZR9Chnxog1`fxzso1Z11} z!%axLe{y&KwU#?;cM46TPcDfE+8^Vpy`V>xHKrrqO!I&>kgG--(t<$Dn1SAAAi7aK z7zn2}c~g>RJ8CaGl}z%XW;hV0_c7S=tvZYq1!FoJD}_Q>tiX`tic-VTGrEWoac-kH*ZxHjW z@bHgM%J9vd2aOdph~Q|zO{n9Fym~nNWmO*eA_xvPy$&bp6&CKT0vn8H#g>HQu$sAh zgrvGLoYYIFc2!FKzy(%j;glSbS$D)HxiyZ~1V3Dsy%D3uyo`XSF}`l_M?|?u$cfAP zViFS>&c}pD?R-SF&ZGgzre>1EMlaoue?3DcMQ22C5f6C&Ys+cR6h*|U+2E@OG!s2^ z1pLk8zpL0%LJ$xM>T)+JF}JlV^%Wk`W@6SMC`97&sDEn?phMd7H$O&`B3?Qrn7Rmw zt2DxXmk(#ZVF8&Ef=wbSU{y9n%m(IOwmDGI8@R0Pt@IfP87$|uc)G4sxv=|KJQM{p z@qD{;`pgx_VkJJK6a^pWOkp2=l9}|->pkror;hg+eqgldox(#%>_YqkSFBe!9h34k zk`KWBm+`hE3&Rv|mb#IyFu{RbKbpL^r30T8LGM(!c=;&vFR(JocK|0%bWHuaA z#n7(nlqB?vdf-GySRR$C%71Mz6_p@{jZ#Y>yJ=ma3=$(X=>hD6?*7<9JSk`d$ z8g5$R>Zm!zng$nF=8Aernt_%v^Jk8cg80*2X8X!{p4l#E!O|I}!+h*%wd)%X#FV%? z#d!T0V|8F6)Rl`wxdS~+ivL?aSV9UT-n`z?9UqhBokQ$+-@mf3qvg2du*#A7x=*Mw z4Xyo`or2$kV%J3YCnj&7cjoeJ~OW3hO|^o3SZ=LL8Lp82QPtEzNMP|sbXw6NJgW; zJV-3%rKp|`)pC9Nm`|md(d&rNInu9+6H< zLK`~mmXbmc)?eXnG z{BYiZEL0%_=X+Y%B9-z!vEt26fUvcYbEMH(Jxje{Oa+Vu2im!4t2I(!($*twMZFgI zvt9;K8s&xrg>2BEO5{g69fTj5ZAJ|xDY3$Uz!_knC3*38uj~`ue5rj4bC?0nYVasVy#4H;7lK!1@2%U_QNH zP2fuu3Un0WAMP_VqE7ywpSXxS6AJPctD<}M4cy<<=&$1%OSfkv3OMPJ>TOjZ1PKJ; zrUEhd+no42mEQNhsrB_|(cGhi0=}`_dN@Jd(CKwbr8z2J2E722mIZU=HHlwK4vGZc0 zgiidnJHTmq$U6~G0z=gH-QGigX!?%lA3M}uIuU~~lKPzCoDUz%N5y7HXtQzT$9@0U zM&7}eAy|p^5D_Bm+kMLEHNT(KZ}aH5#KLRKJ6u%GV5cJ=d+M5igra7P*t>dP$$7c` zEg;3+OYSUbWc1C?cC>eAN!0F(IzFCk5=;kUrT8+C+CNvw-!-hl7YI=~g z6yu$Qcq%6Kq4((}c}`)xT2T5vJ3yju#IJb2bw#HiraL*|XZ-in1dOZPx?~BVZ@#9L z;TPH~$~6>bGYqb^%W}o9kO4Y6{YpDIcxk?pg$R|PsC0GkuNB4J>{Q}zb4W2i!>=0f zD9Ve8v(`8a#q$@J*B_?nvh7ynxKMgqLzF}48KWx}(g+ujR|(nt^;fVwsyPq|w1RzVk@YZ$4+J zv_=^r)IH2NRBr6kgM@CkR*pY8UMGJIOy}@L4GK*9q&pf#5SeR8jr_avE`R#?XBLFQ z)*?`pbh$w|&+7yb# z^#$Yxja$c@ks2sM@Ja-G3w}mGEEewLw|{Du=H^mfHD%k-{bxXebJwrfIoTKmL(C4&taBiy0od@S4yj z3%(M}{~nEbi?6MZMph%gPwYI23?AyobD=)(NoGS(?swG##Vsa|?f4#YC3eE;xH~zY zHuo7TAcAR10_S~x6pcDpzk!f=xp%1GbrELfRhNCOI%V~`$l;fDNCLcZU`+LFi-fY6v zhdc4TY0-ZA0EgboiozICc!z2&#~e)c0?=NtJY8l9omwNFgq}6$_)R=O97A~`7Aqlh z>7tW*r5Y*nvd#bivn{x89}vRg3r@_cL`n4;!m>W zBT9cI7^Yz@aB0ZsmLqb8iL4Q*)ae9Ft&C0i@dvVot{gcNg#Ky^@isqT!*t&|MRmV# zRy!zfE4V2~5xtOlVf7CB!LO$hI7s#xDr#KV<-LJMW^%1z10vg3?Wy-hYvnY6)g?yH zZYvgh>IFoKh=l_@4Z8wl4qRzrMxC&Q@cPXf;a8wpTSZ#BRHB>@P}ETdd0-##Bq@=r zT|QntEt%}szr3L~rR=NDw&YT=&qTk!IGZUZfuKFH%-_06X>)&deV(e8%`B@o?FuEX z%EW-o0vqeyvJJp~0Nt>h2%GUO%Dm+y8fvo@ddD~(FoP@D`ZSlCs7DG1>Wi2qeb|*se~o< zj&1;fyx!)0Xx@=^{f@N}glP$#=^g&h$>K2!uDnCk4oj8%YJ&Oxmx|ByueVw^r_^z2 zIJwZAM}p}kO^sh%_tyTHgE`M0EP%jTUm9>Merb?TF%mm;Lv`7!>slj+MGt;B+`OrrI#BevyXII8Hx$yT zw%HOFJ02|5(woA3KPWp`N4bEi8ti??*FfsC5mQXvUMvEwl42a4?kK__DneH~es2j^ zgrns9MY#8jxVaGhTEM{~IN`U0r?iN)!(k7Ce?On627SQ>4M~YtHu$ruBEac<6=Y2G zzC+vpD|)5|Lz6IGD_6|W7OI7Kdks{zDc>a3u-&kBFXFVdy9oNE(y+ij4DsLkwSaGN ziQnapk~6GJE_7eyC7Om%=%bPRmxr@c9OpTO#u;1@p>usq;%Ux1N~T=c!|70#z<>1r zZ}W1@4*SWdI=Ml@d7v3k40=+ux~hwlT@C$;p9@vqT4&8i+gB2d{5VDe1A~P{-srRm zP^XR6m|)@OH;!&IRx09XN`_&P>KK~X?YhM=P6OxHZjnHt(h0B2iL&SbbxKMk;% zr>z4U&%c5NT`IT;4Q8o0B!8@bb(e;Mqj8ZK9?5oXcH~Z`Zt9ez?;C+z)M6nK#tYHk^q>PXEJr)fz@$LJ; z(vr)CWUqV-gJ*IRz$+q_H|$)_ariuogn(}^PaMK&Rpu^^1JUqN3|Gy^;;tC+UtLs4 ze^Kb}wp{_m&rVu5gJ09On`!Olv+%DZ``O}lnB>#D9eLrMIqbpjT-&r>Nx;*CQrPK; zn+cNCLf;rl_R_nB4s5Q>5Ry7I791=!`XXJ@cwyh{LXro6^@@PIgOxVMK{H4lXWEHbe2<#C2d13BkH5S=ACHfNGhWo&^1cb@x}v|Y-p1+ z+T0`nVa3mhZ!wE9l^&+?yvPh_!LpGmYF5P+BWZE+VO3A;(h4nGu0R-d(}iC7BG4{P z*#UwUC(M|pQa=0NL&QjyCkmdwD($&WtifOj<>i@F12`y7ptV6w<6Qk_%@(2gYQ>UU#je;^Qn z7oXjMdmFWbXfE-xNjb2hU|jCFtP@)Y%Jh=0y32y*9Pg zAPk1Sk?KYX`ZvdT2zKVeiOqQEZe32|T!=Bv=h9~xK47pAjx3)`P33jw-~({13#!@5 z&|v>VbXH!V^|5#}!%a3t7e{QdYBBPxDX|-nxjtTWshYKksac4)!*at&zgMXL1@`4_;LCfxF;X2c%bM@IfnbV-np)A*+OT}!dO znnRa%9Z=XmZZ z>`wg)AzA$0xKm(NbC7+&Ctqo&Iu#f>Hxf6+Jo>dFB@}UHY$)wUTE@K9<{qauMFVZO ztn_*{@|w*iAi>rtQazjm9;7Wt5-a>y5C;v5Wn!~gwl6pX?1eKr>-FlZQrU z5z0u0B3bz>!wT22+;&x|HB}8j%U5Yk$%1Kwz{ANl2mvMR_xStk6az^3XMweZuP$ah zraoyWc2UYx4i2)>jfMBMGXsB;EDABVa0os2tl&WuG-oLUT{&4|7C&~8{&%JY88^VG z!yQW31#BwF?m3rB2&fYAuk$!5=5JH`1}U!5pHY;a^b`$Q5P!K(+e=n2RC98he;|s_ z(YFWr!SWm6*Q}YE{>VqhxCeRfnt>3$Y#03$h#tfP!|z4TD}YYDxy3GTCc6y;O8$v| z50S$(!F`xNtSizitX06?M1?Go?MNcF`o+6bmob8NV2JL%91R5O#3Kqp_mHUEK6l0S zUz!XFMEb2UmLg{fgN=ZBWfaDYXy@7IF-R}dguAlx8LfG?@j!=WJ)>jY zh28pl;^vhN_CzxmF?QuO>(TXG==4k?g#z&@2|_Q$ziBfgyFgaRed+CITH{QGWuF12 zPH+?>U}BQaz+X-u2@mrQQzYSqjm#Uz=m`c^@=8?sU)w`3u^o8vLJO-SwUbHE;*L+8Ys8SnG%9? z#wL}xO;8Wj+T9oSF9}ll*XFC(O!KSn5V`gpxF05stEoSu7h85vVf#nTXO0ZlmPuSK zx?C47P&bhGNoAbBJTxvoHwdnOY{qBRZ}xXckJX$C3SaLqf%*i-#Eu2J`fy|Y?6Gpz z!b(l9+o10??5{BfPklG^{h# zA7n8YdnW3{=2>*M~^Mulq=PT&aFO8!_l+ZLh5x z&5}=)38Xc=P*XoBs+zOYB5n2Cqtl0UMJ)u^%ogUPDS2e#HYxY zR`2(kb1Y(rlp?jwWBa>X%l@(|EThkjlLBjT%qkh7&&upH@0DOE0DZUDjPNqL$Y61O z2*b!?PSg$9mqwL%E6sDeKkJ1idW=K)gv-Ty*@qD#fVmoHvdP-{mHxUW zP_}YoekxBunO~FI(c4WHnZejoqOC0X`XIIEj<&R)S}$&s*03BR5{NAM{3f&bt1Pb? ztT@|@<0v!t;Ie1IzJ-iJC#w0WB6t9eACc<~f{o23A_iG?uFbj~gq=MWQ_~UvGs3UL zElk7w6!DYXN1=+wdrzrMdU^IMF5R3d?Gg*@BSIgA7T@8i{B?J*@$=HOorNag^IXOV zJAsvw7_U*=e{Rvj@o%!qm$tlhJ%7Ro)c6xL_{K(9dnTpr@UBWIWpN-8zp4RepCxdC z15HuAZ$Cr;CrleU)yq5YBY`z||Fou`G9?b4d;?NgPLF-`el4c3OG*rR*RKCWtKLQ3 zlhuc;y>Ixz4#m&J)i!dkQEBQq z1g&z)tzl|mV3ZOO;+oP@jBnf|?EL@6@9-dC)BC8<%5ytkF*5fmc4n#`Z;DEbxJU3u zkyiLCpQ_e$KR*UL^UcXC9+q6lqS{X>-t@dnl7j28)Tf#FIa-G2h^jWFm{>OhDCR`^ zxZ%K_pnjQ6!i7_DyPT~B17DblcR;_KipKvcb@wh%XH1z}eOD$HJ>X*IOj5;5OYW9g zJMPAavA_}iG?%?-EsJ7Q6h(2?0)fygm87;SsV2aA*B59csCO}98##WXT3&$$Ij((6 zPR$(^f9aUAFd1)%pyw@+;pmy0trS19C;JREuhXcKcQylhg`It`P4%(=IT2N_{QxVI zW>UXm7343+qs`hJ_ENjoz4K-}$f9|diFZ483#wy%eUkFRI$%VJYoAd%tCE`Eaxt~O zHo!b0$e|C5iSq&U0Vo6(##eoX`1JYPmT1VS1Wisx%!DwtuJE?!5f*;H0EQHNQWe^a zh|RJan(MV@;w(-}Le@=~G!j9Z*1qw9G%3rnNIE&oFK(De#0wdOeAr)7zCXPMPmT+7 z(c35h)>7l|sVCpH)<8E;D z#wj~M-vd`mG(i1ez%9vADbpqw4c=qHzH1|xc#UW!9KV5;%I#2h|M*Hu3Fox{2+G_N zZC$WbzKBqn^>?#9xbAfrDw~Y*0ryLO&SWAaAJy^zmk(hCR3@*RT@msH_&A8M{+S-? zr;cDiV=bw+#wDp)ia0rVpXd>{R@29x8457sxyAl|z8||6zQVjQ&~X1zwoc|-51KSJ zGJw~`+fj`T2NFzVNfAIjo76HaiD^qAEe~?gXZ7v^1EBrIy6D^xK%j|4vcOU|d54KS z-8*3jz9zWtEa@x%ki;W#=QM*&$Qp76pX0^l7>6SL^lilXn{Z*U38`Zh1R?L7$DHZV zxkWz@fb?W!6t!dSB{n^m_Dn(v~`!ltN!x3*Wm@mm@Giy;*lO?Uk>}i>3 zMvNO7PH1h3Mt~-ATebR~1!Oym6;1ZZH)?)o_%C7^EpMM?D3#A?FZ<=MD z=6_0I43E=|yk&tU=Ma=>mgX*H?}VyeoRyhE)A~GPKuO}da~Z_lIVVW!DB1An4l3K~ zG)w{0`|4eJ`}vH9A0Baf=Z$8a*WR_SrT?{cav-u;m>$^K{oUp@B5((x2pdpV0Ev|b zu@Nx$15$I#u3Pn*U;p|tWQ%wI zsVE&}8LWEp9)asjyD?WmU&u`eLiR-CTg$fbcs_-J+*u;S-~BlXLF0|TwJXrqSk$-N zXC`pKE(aRbiu)}?5mYXhlxWUm8Y+0=*H;&imM;dY_qp6R4Mg~6}HnEryL4(6P=9S-x~ znL#9~kJOHS1zQ5{HH3U+r?ZP04n#;G_cs6s zs}Vpd+&0}DbFQdp9t>C%L-08|{=gAF<46{bs6~>_{VHYawpI0muU;vqPTo{XN)c>& zeVz%kH{*|<3|U8-<=HuLF7lw1(Cw)INwaHkWHqG=E3wljuW5Sv0q?A1Wme&T%Hqhi zZFTrn$8k6xw$)cUA%??2+=AmOv>zOKWSkVAHx-yctRgxY8bn}$%LAp0aeuV1JDY{P zNP+jaq8uGmrbE-x3&VkN<-1t*UulFlYXy!P5}WMpOc7)RU>|elx?97*)3sGJfnwgT zT6+g~OX1#%G-|`VU!+F0K`dwx%Xca|0!j;6`>p!QQCZ~`>!;V%V#mz7q4f5;H z225w;2Um`0%Vd#WP1*k6G_cv@PQtmE7(lgOx3gqHZvHIiW?J~J4uc5-18$7{J5ZXY%P^J;eqi@QfIMgH}1mQ za(D;q`4F3LUWWEb3>l0Q!I&Hp{?bbPnY_-Bo|X_jD}E7H=LZ^fq+(_>*_&hl_$l=3 z_ItEu{eUwClU;S{3%Duk@uVf!k)Tv)gZ)(mqF0z+Hkp!N5h{Ndntg)j*IZBY!V|ct zuku9%6Ku3f{YNOCmroOFwbxH&frH&l(8v!retY*#DdGPS0OO7v#|t=rH9V30bauNN z8uL-Mj0K7w(;a*G>(&Y6^s&=mP-f}9aQ-gQqvEdSox{^g-Wi65G4|zaC_|{CaDoV^ zqcWHLP4Y)kcL~-QSXO(Y8*J@a1W%iIENlaZj=z-gfOskDIRK0GXP0Iw!fXnzc(}VX4;m!fY)8uwY7}`A^QjVHVfCw&bRMrB&a|YjP91vtSAK?(zil7d_X{2If%O4%^JP|vgr5$_=ffR_vr%|KNhPtq%BuGe;rf#?A=4n zV`l>Z)}{%*1edJQR54ip>EJ-OSMq(W+VMzmSPgt%3JuSeoFk`|F@@bpic1=pChuVv zZ)f_Mt8L!|z@{ae3gA~2LtNf*|9l)DOOII-c-5opJ%ITEbI2$chx%%3hi2`}ZqM-T z`8zD{R5&^D{N~ZrKjkN*&zdU3s}T^u@drY7hQ4Jyj~DlyTks2lAqtDk;|zNZUVm+w zx^bW&p5;P5#|9kBmFFb<1b}F{j93;|e1RgbCS+ezywgT}GK@)m?Iop4WeCvP7+C6+ ztbGp3WfQIj?I~-3O%CLnE9cxd(?I!Foltl^#f2r62#1@WI+u$20uJ}HyV&t})k!Lx zV=_t|+`l1L8Ek9^%LMYbGojM6-%=9k)T)`8^ZtgN-r@EnMoV4>(U1$mVJHMsddw2v z%XU3T4%94Ny=WHYA5j;K$@Hm^!aTY_e9?CJm}w!r^>;AqwF!;B9ttl94=8s~@V+qw z@$zynU$`{M>s}ViPxg)5(9G-wI-#XK@&_hloGJbaSXvniogimICS)j5bi)-DjF@zZCTJ^K<0FI{(dHka z&i|SnLX++8ByIs`oCW|KK;ysoCKq8#jqg!8l~v7}%xdfdwPo}!t4k*o!J%tzJYNex52cYO5f z;{SkJA(>E&6sUADkzwt=0+&oLCO6EPfCLUkkALOwU9fxCAPF)mCu^D&ayYzo@SCWw z{kTOKR-QpchsFg41Ul(6V@^EI3DPIe7AmzQcmlwIC@D&r;b+>8eQ(eehJygFz_Y7y=MyH;Fq1=N=OO_s8Jm4 z5eMKWr$LkEE#^@HJ=Zg-FWt3#S`nZ{ZpA#5savTJsMWY3aG1-02P}lB`v9}%)KK$E z(g&s{Sta_SkJ+~k$4GlLr+CDiu^8eOE$%qzLnmA;8`LQUYkBsxQFnA`t*H&AJkqb zI4T0n_hf~BhNPXFXh#JqJ_*U~CMc_CbfUU~uKz;5`HG4>pBp$X|J+^GIxk_uCc#Y< zvJ;aE!v0TBB?~n$A?Uz6FKgm&yx*Iu~hdOD0wQ5Bx#4`&EEf&*bBgNT8-g$CTMH-!`8(lE`x@ul* z4YN9GvuPNd&E6EKsUSFRs8c_AGry8+7NG0ISS+`G5Ak%dtC6_&On4b%mbN3C?y5^hud^0rq%z^}@@b zX1qRPBVVkP+QYB*Dc3ZTZ{W1-OiYLcRV$MKXb$(Be?F43G{yCUX%D#x#I)}~wWC+) z!iQbB?=nqukBb;P>>sZK`_ z*EL$V{Mb&UeZn|~`wrm6#}Jmal#(B6_+;2hX*$kbB}%?wqLs_Nm?bk6K2?s!a1vxj zYVBF);S&3%#H|CHxq!>}xK)=y^Z$?XA={mr#%%nEr&B<;dA!dxC7km#h>f0*ypI7m zw*~8B+|6qw)k0Vkv4n5V9n+KHa(GoT#e>^s1JW#R@hqrtDuyjH{RHLZz&L z(6j_s1mjnH91~f+-5!X&joP!XO7JmOvWk+q(q=_dUe*9y)`O;qIzqUKN4{A4Y^Aed z1cse6s{uwo-K*~_=wKvHufPJB2a(7;BG17gIk4qG@peQcwJ#rxgc&(qH>ju;cgRgA zLyZ@>4rq=fHt*!EASZS^&=MjH=aPQfW6wcGsw-=kt@a|-&sqI!YeS4*os^LnEN}&H z&eJ##CYVKwddpQX$otF5#Pgqj%Z_POAh(3$={zZ>beIWMmAGRUf8jl@+SL^ei48fW zrWalg(q@DKuV$Z%FhCc>2-RwMX!ywb#l(BG8bXr=#X{FNt64xR396)*{Z1=Y;c;2G zYYiconQ>-n2SE8l6#4%0l z57G#w(0Qx`21sU8Gd9p(!TG2^WZyw4#rOVyVbw!|4Y}_d_<@H1E}qCuNC}1j21W$; zr0vam9n^X`k`QIr^z!51r!P^X{S+G(3zm~7^PB9IEaiu;ah*Q)XrSD``3+w`HTW9= z4>RnDd%1@5C@r;7Zsl=*4_8%Rr7b{aZn}&CJcd9p{!Tv%=x`@o7%D>K=K|4MRP!Gh zR{-acDNfl9+BOi>4OrUWIER*<2GXY83)hq84B~)LSxn@W%3J{2;^y$8B;?4;d=;SR ze`&xu>(%;}*K%}nuXMQk(I%FPP$FHHV}NgFxA$MR4r-!9d%aQazDTRo8bw0NIZLXG zl0@?@u;CwcZE1OSDK4Zcpl$LGge-zUJ74u;^SK3D$QnUZcU>(wwiI5YQSQSLfahAG zFr?{*s0T7KSkJ{a14*)#f^8`V`VvD~?wv-2$RTobQsl~Y<(!8PKEw}gX<4TxYP24i zLy3KDptrzA*488Q4=TRK%JJ(&quIldz$FDMaA&To&*?SX?MPo1oy>1`yWlly>a|q^ zv}wAK$xumD@E+WWu>S;yKce^f(n3ZmGg=b)wuiH*|CAIbHt!E z%Yg&&0t}(>hQys->+=|eOeCo2%y(GL*0LWoy%>wn+|+q~yPhRoN%DktAHzjGxgYCmDGBQ=~hA<7H z#0^!&#Hj!@(VcTj>Z0fXQLkf50JfKg8c=>euR)VJCx{XgUiz18hv6T9-U%w>KRSKn1 zg%t-EEj{d__|y6Hb)1M6(4J2V*MZCbp|@^nK3})Lwn(jDX*zjneBbx#_iTP`D|5>^ z67U%e;swZXVE)HFJyOJrAXaxJ;HaKDOJyGBQ*9#25> zy_twQu~mF$rvlkRW6E?+kdcEgdFIYR|5A?>$4In^-|k17lHlw9H%&k}W0D?`D}Hx%)^G=gb`s}_+;?f=25co}X&w$7 z45#kr!um<>-5txWW&V6?vTd@14B%|+Fv?3^m_E}9n~bYPIQGBwsHK=P0u>gn6B3!3 zgA$izq9M@lyO%IzZ@`~-p?Wx8>GCxT5=K8a8BjO#?F;`w*j*gLSO`^_I)q^Re zk$%BcFwV4%HAb7MGc%BztN|-f20}1Qrzvc-@WX5YRZ#Y~PdFBd3SNlQFKynTzz zz(}ki@vSfNI|E1?14#wzG+_ZIySxPu;}_1+<~FYv>qnq<55xzYq_(r1sVDf#%?g|;iS&3cigpBi9h|1J;J|U^9(fT2Gj92g7TA$ij|&7u!`v|H})fY zekJXS$ooT6)hryv*jY+xlS;uBdNYxq$i@Ax=cFN_1AM7W^?rDH$n>JA#Jd~8U@KQ4 zyUeu6*;vsGh>&!Loefj@iEW6X)Pc(|X^J$cXZDG*W+$J9)toAlg&}^^uiv%qtq{7I zM)*q?P=4A6vN^8;OIfR;lg3J{N!SMy9iNKaektGOGOJebN>*)YqX-aSv1`?| zTjW9rpED_XG}CRGp~4}pcaHB}Q8f~G{BL7QH{(TIxVH^sICT+ec0!Db@EHpneBXL` zGmN|~f@a&cI&a06AD3OnX0T6#<=M$w!Sk?w$t^fM+^;ZhfNYbGRu4L?AU8&gM&0+w zv|or7x`!3OcLy}ELyZ%MY!Ki#lKZdWcoSbd{9fgBRSExPmTzvh4E!*RRUy$yfN#C# zr`lgnuCMaM)y1SQ^Mj2gc;u|gJDn~;Z(#Lh$ax-zxtQ^JRml0F%*?|GAhnP1Syr*D z0%Nycn}bx`eY&1Z4n*WQG4=x9o~S>HyTN7n*2iEZewMLvI>ium*|4sdk}1os)kPA# zIfwfRImX4VT1Z|%b^`_Uab|vjeOJsMaGy~$gsmWOL@b5>!tCQ0b|E84x|Bm{MSsTy z99ywPT|{)D(IBbSYW1kpD90T4g)$HQsFbOM52U)sgY9pJ(r=b1=t>!e$5&}AsI&8- z9GBA>ah`%twp>oX`dpvghlom7Jy?lr#Jzc+ub|SsB+uv6zm%<>A=PIm%I4y?o5+`@7@r=h^WV}O=# zOV>@jGv;TnuU&qU2IOG$K7?fV?0Wn7b@9`)JMjv5u#`yQ!t4BP;QO16315Hij1!z~ z@TUr{zynv%?7tP+lbpW>^(2gtXFBWjQcybCG)P4T?1;jt$}}1S6H@Uhb}FK-y=<9E zO0v?B`CBVWTNR*L_RZ-JCX!XmLiTM0tsxHpXw7h{spo1=O1NSgr$#F&sVAcs1yGztb1GuZYgOuHjc97mj; z?3r*us`1yS>v5!r53z$p0TX@V#&6bkS7EtRsqh3m$BM)>90&o4KgVTF80 zB|5$=_cx%tR>-eM4L!{$>W-i2F$M*`lVeSM=rbRa)9ir$M)uT329}(eh1au7afwPq(Pu~9b=T%7EuUn0|1wJ zBRXpJcZU_nr-3SAErvvJ&uF8i&~dSXAb;kz`R-V#W|N_>A`xHVg=qpn9X>Ji*U_>| zM_J_{7mICXTzVe~_<0v=qr#(egad3}$WGiD>QNnfMmz@HWvdK#4zc|3BLIZssf?~l zNUxfPu$YP&)85p?-T<5*+4w5@jV^%f4sw5Mw|*W<;w&tZLJ7rgz}esi=A;hb=`=Wh zlT<8WTUlLz+)GN)<9f&F1#_9^R}W+FO%4kdZ|CS5iaapl1w^1~u+~byZwA9^VPwz& zht?8Z97fgAzfizyajq)hB3J-@u5WR&V1+u%|8bm~X^18iIylT1#n@Rh2|s7Z1GzLE zroDS2+l{J)@7@jZa8xE0eh{iBhnj0{dU#TJNM{^09rx<~+Es5I&=gf$1mB^{&(Hvk*|gSyMUOIDPeQS5eEdLB+tG7f*= z#TAnhXg~<=*Kh2P=x+jXo-1>aJP}$1W3iO8vcw5h(l41;`$DMQC@tNFbbNr=;BPr= zoJG`nkuQQ`qWS=4(`0P? zVb#J1xs9RcOQ?N2V>u6jQvV;2Sc;N5_|d4Evb!QW-owNSeVihMM+P4SX;Gh>00P;p z&qp9}7{YU4_Us77qq)QPaYYjqu|I$=p^nbn2S6I%NqaN;aDUZo6``Pz@}9g4^UToJ zUn+pn4HDp=t=`mmn-htT&^>VZ)6&h#Q^C2>97yoBwt4IwHXohFYhb;jUg*rw0uhXZ zdkYIK31tkC&7w208OfC8-Y@8P{s9c@zMeyci}Hj_5&kDzjOs&6BHZ3OYHt7 zl=J@M2e%34=()H;52gxBH~>Yha%E-WN4SQj>?F3D0*VI_iN5n2J#PdehWnGN=aO8 zKB1$e5~hfd0O)y+gVLSo8e&C{ zR|~9e4sw{{IzH7}xQ0{yEJ^=Wp;iCgqSj6^N9q|BY(aY1IU~|7v zZ{-1bjZ_Wej%M)zDJv(?Mk@Z~u(?NY+8H$QJKj5;@PZ4b$A5X^eI1tKarmw3wWMkU zQIK!`sDTwg#-{?NbdTbA=%9W{gUiJbv37H80EULMs})zf(#c{e?-6l5J165 z{7w)&+JPC7f8bNe`@I?rO|GA`@%fuX+6smb0f3b$?3L86(j?0P&mlwy998&m*4Jok zn=>uOpU%XcARlh5ZMRW5C<2*LODRv$Fl`=Vb1uklLL$Wd8e|FQTI%d-B*)*Sq7Y&Y zLpdHBGOWVj2|met)7=#>rzM?GHNlu_#6%6eMNG%6B-YuT(14lU)F|zTS|Nyl*joV< zu&Ht`FCcYP$N*w(3>;}D8G^(oEX5%7DZmzX!tg@QVqO2a(`)T+Wyef(C}i{)Md?Ne z?tHIyY>;BWUIvw-U|94#OPTcz$xC>C% z^L+H{-;6_fqe}-o~CCmSHxJ5tUOI?yQ56;e?m3MV`6A2A6 z+;*o*s}n$R&EH`?G05@F-F+UrZ^V)$A3~`5;?ye{c&M8wg;3oH)y}&hv6$?kVIk_x z>!fea`?>@;NBuO|$3S{(?O_ zRJ<>m@3;@*UP(pNWhDr8oL}JI#4?I}0ArAU{E^;ZtqsIX+hXreU%y~^HP+2GN;WL1 zxUI}$mGE~dGFUcYT}g41)T5i&s>i8nI($i(?5Ky8 zTqa?==Bzvx5}lf*6$z}-D{y9!GrRrR!M)mZG2Rd7JmKViYT3-hSGMFkh}9WBL@Vra z!&~P67}dbhGPzFkUS+B4x;Uk14hkRXhESG=K*1ASUYWl6RCWNx zKLkRf6J!E(=uT9CXjYQvr)DdV%F zGG6ZVT}}&z1C)lb=%zqXR=)3?WAMa~0G`nlqKNo^i|$x5AlbQ8Ear9yxZc*EfLbEx zeC9{L|E>qIDcIX0Wo!Yx0wiEB2U6Smz0gFku`QM`!RgkCH9rxQE|U)5BZ>E)RG+UM zIip^Se9$dpM&1+i!+K7`F$0iPz2>hifKbX|w%Z({v80*`+fi_v!PLI65R2NJ*St2( z*?3*DMr=qn8k9=Lo`pbU%BDLPWk9*y53vZnp_?Uf)D1Ou2_VOk0bg)HBK@bZ6=Z=u zERqy<`gwqwEkB^?G17cKM2O65sutc7gNzX;Ky9#R8%={+CNFGhJ+m0c+&4mjqgHCB zjz>uEhrVha`&3iBu(BwvT5v7M_#h#jwe5n|Ul$&_kJ`F0kDO3ynr+`PX_o=017A0J zclhD}4gY!j)?3F3+==3u`FFcHpsDaJc!?}>0Y6^EJRR#OZ0K$a}(dJzI`@MuHw!9p1(oq;mzm6f}3r$>2 z;k8r=Q=Pm6iM9+)G1H)e3ai976aS43wvlt zht)iHili0`=AaV5bTHKk8Z$k#3M|#7pyzf|MUzD)4lAk~N$#R;V!P9Rf?YN-?=d zp}|DiyNJ`qj>BXUgo23k4ZDhxb`~SiSiuB6QVZbzh|$4r-M@WCVx+zu6n(ti(NzD~ zo0O}xvQZuXT;z$K-UAqfu*Qi81&vYskhqv`>)D6h6)AM3MZTap<%x8QIGZSJF@|EG zVd0NqKh|Z(-RYK_CG7ecJVdzxdMEykq%Ey}k?f{H!=tFhhBTK33QLQgn=%j%7WV^W zoL@)tW`}$&9W`XdL5E-io~cu})Fjjy2p*yp3P6gMqXsf$^t8Mt(rD3^TlkO{H9?gh zh76p~cD0EbYY|gN(e#zO6A2bLrOtp3;z+dWLEqf^SN6#;U_d8wGfcDW-xAUqnxZSx zJ|X5nO&b)sz_oQC)?S?23_z1^<43&DygZ*hZl(hg1@GsvDh%IZ!?dqwFx5ax0eDSH zQ=e+8TBA-*f1%NnmIC0DilB0{_$nC!FF8)NTnd?wL@N9mOvImj=1UR&=3et(8&=^- z|6DmCYzh4ATfx^?zJ}*KOUXuf-za;z!< zg*3B_V|j{u)g8u?#QYx^=Vvm=A;Kx5Gn(JQ*;5mQHr7LKKf-#}dl+}NI}K(@6rqy_ ze!6jvQm29dAzXWS2jjiysH$aJQ|%5hsXpp?fr3i1J#l^_Oay- zQwm@r65rbSC+9j7X}H%~F_H@qFCSLUM1P=BYWcdVIYKUFJYxt0kuMZ-^8z1QlPbjt zq`K$;diG`I0L*tmX{iv`pSuzCm%h1(&zM_3X!i88sXd{DF#{3P19axMUNG0TpXo8 zhtF?9$n%&?G(z?P;Jw!w5qpvdgHgO2g%v4D(QoVk&qy8jE==kPpv>)ABq3MCD$FJgRf15pKa!;6U9d_Vnm z34H2JLpl$f2tI^{aY{<@m;~NP+ZzRSW6e?}V0zK^&&-nb&YUf_1AE@Pdwa}dcqH0wZEYKFx`4)EiHQ!%+Mj9L)RifE0^*w$%Z za`#kNoJK_)oT{O?4M8KA5hvPkMW02{60#e%t(~{w{-t#jX{VT-i7ji3zdl^ykag~P z4JBxxS(fN9tSMZin3Q0Z6X~377pu_mrKzj@@IPmVB)>so5R>$QZ zJCk1wmJ7sc{*|#vRhiY^P`wRWt*!=)fie3!F<}VG*_(Mblkz3EZ~PofSAW0CPTH8M zK1o*|+QbA$^?KC{K2bKAeO$l!nBAnT2U&yT9xQ}7arz*&jJAy4;!R&^ac|E-|-!|4-_E~;ufn8-a6>~te#wrM6X7u;!xAlC%K77?3M=8 zH-M=#$eUMOYD_pWxp|Pacj{)33$A4GG@owb5+0dMTwvYaLCWal^`)2B>*(t1kUPruadUn66t zVl(TuIo$Ikg{(Zz>||1X-p;Fi@|wMgF%gq_nr)Gf1XxdA(oSg5 zjOdYr;f4y8@+&ILkc$T;x0+!qP3TrhW&8;8b@A7ML3aUc7iOSV9)K6`GlSKCQ2$K` zXV*(oV8Rdn-9G`&?tNHazPn?MH$h1CnEi#!Czm2 z!)(Q0?I1;G%X}%&GFIq=bKwdcu0O=1@BMVMfcL zO#UVeK1v{v!5gNoc*?7GPKyFp=wR1(gVw!@LY}9Z$Y7Ni2?P>`LiyBzTp9%u4m8Zy z5FL~4wBXu59M?mlIZG;&4d0cx)zZ%}kMx(V=1Sjz-mdXMS7i{r3cMO}9ri$&E&X{? zWpKcwpm5IJ=-<2QspvP-p+L~19p$VoV<>RUZmWyR<%THbjY>a3UP46u;H9UG8trGD z`QaGoOW+T%sQEqdk%iaThG)tfS+~SSr<YFLBWJ-5a=met%%Gx7kv*M*sA_9$T zNH6D6ScQ8PE}oqO6b0aLkU2kTVzNHia0Y3&Zagv?j-O$KI4p06Eb6a)Ev#AItq^gPi`ULOP@uW5)uLENIOG`EA>rc4Lk-+Ic9-4jk}f<0Sr zdflQ5q2qPsCmEAqwDP9IIPW)#2&BR-Al=Olh!S9Zty#xq$VPN(Oi7jwpi(TMn0fBq z7fCx3ZwdalOO^}$p@B@Ki{^gxgicHEkxqPUQ)s@C`(+O3D@b^gtzpxUN1sO*?Q(fr z6P@RnETfHE&(L0&X&XL_$#-Se7PNykf6m40z8J`wSpny3P|TP`x0)uiQn`Nuf&qE z?^1D(*jdytp|EzhAv}${4m}g5wV&rn_Zp9#6{2BkHze zto!NZnhgvX!E4P@?cTYcLP3E-?zmuv$a$u3CcQ{dzlD|L z%2t|<^w9R{1bAvj(6X`GjRYU`FJ33B z_BC6Twca~Zk`fuWDY7*)Xmkh4%8KWB2hu78>!93mDo8SP`&(6*evv_u@Byqs%bYn z&lZnuPZ=v|25NiKxD;N`-}Dasz8X3I`GBO^@14K<6fEhJ)Rml}9|b;}b+V`8S9Ska z+FxzUMpJ^k8@b!N8(B_xMEu_j1=`i(jQiLrK7dndbW2hG>UVkg>gpkDN<$F^Rtt;R z5)nXN?HLn3ut~44ZL^F4>`lmTMV0>K)LUEHdjqvH3Ak>=BS&7F@#?nO-P6nsm>$hn z2(hyJC*jC7>h?UF4*Y}rp5i7~?NIA@O9Wqw*MX4ftDVtnMzPKZNN8MWziQISMv!0T z=_2``>!Ma0y`?# zxi2YsiHP!6^!F1j(kYkzM6tMb?$qmHYmg!+H!gmQXG6!$oT4b!7cnf~hFLj^8oU+% za(D4P$qcE0?w87Cgcj2jA;a8wKzW*f^c)U9NOOs?wb3?yRlhO;;)B3Z8bjyABYElX zfv$l3A*$-vx;*QOb(>u^eXjdCpRozrK&JW(M%p?3VdWC8j*85iCkLi)WB5J4f>q&Z zqq4>0cOPSuoyu+}N{HCD0cB=!CI;I-vhSId(Qmw%>Pm3Ve6~%&QsE7xJ$*lyDxa%&O+W9#LEfRy8D<3=y27byn z9nr^gpz_#xaN9&sBapIk|DQx(S=!}4HP_h?rHQ8AxwbLU;c864+FWQUgD*OuC8bf5Mr^~kq6><%cTn=YMWnfk6EZ4CX~ z*RTeXi`U#+Q_QqCx;`&!Pl|hCEht zm_Y;OBCUO2Own+z2Dyj15o>pY?{0pI*o#EVt}gmYAiWZm76MSbqcR-tTULqjfEeX# zp&p0I;CLQOmriGm6t^2~eaDYm&dN7g4qx45|BSn7Y=TUA>0v!T`s7p&e($^@RIgIm>F~7LyC_BwA$YuIO z%A}tS@#I-%ZyezEZrZ762^#^A=utpu>G-BeOhA@tTv`nEPw5A7wucir>Rs1QCmSLY zmFr>qOQkA|JEQ2lXKA3-cQLsSYh`rQ@-1V%_jv%mXd2_H5Ms;EL1&{2+nVrvC1YDET) zQS$SU*z#g-qUk4VC`NTjMbiFa=VQ?jn1)QLVf+itZ(N!9`w8<ktdt1l9u@-7N*!cI1%p(yNZ|= zCJRk6#X`BJ2lVS$YJ2QhNqQuQcKI?(jqQ2t=etKd$YQF~O4SfUa-f9^*_d>GCr-1kZ+>+De4uC6uo zWohvnUhRq#_=T3$9TMrtw0TId(D7#ZRi#+&k53e*ITXLLbi>|T3a!b>aXxC}%mCmL z6tX&hn)9_EVLY9govHsEsx~$$RapV*c4;5O>8Uf^3gD7Gki3}=IlA1HIwp5BCR`N= ze~Tk%+R(+KU&mUrvA(WHe+I_PPRm{u1Y-Wq>h==pRPu7P=orCQ8!S3EkBO1x^8RCc zc{#lK$V|Pi%z^4N=!7cY<}dz53QG4N)nD|aEO{$jZe1ml-rOvs=baFN_R4tHK-q+> z1x^rxSy7l(fQ^*kALRl4kNi3q0z2mmMZQvCknaJZrR^YhnAxh)wvUWhKvm#3gv_}B zCpA1A3O(7skYx&azn&VS6_i)KT<4+y2NOQJ0$Z7iWFZ65=O50)%O32pQoRTJS%`H` z`qc|e1bMvx^Qg&bBj>sa|5U)3q)m+tu$CC;eZRjH0!Q~7#IO47SD-aS0XwhC8xL|j z#-7?tZK;lDlAB*-7G4khFoFxUbYD|(_?X3{(1&aI@-ntANB2^&46AhoLuI18Q|i zRE0GcN=OrJc1{WVoZE!LTc=k9Laa8*n?A5&M=3Fx`U#jvY+YbS3H~(mS9{xbb-t|? zJ^j+j?T6+3??NNJJ!MWy&mJ1`mwJcu>#h7Nq9i)AF%oM?x&J-9>R}#xLH4(l4xSq9 zVHb@vJnsHaJ>k@+bxnI|^0Pddn1M8SG{^Rj=nh+T^Z$dGph92uzz&-`D#HbIDlW;E z-iV-TGlp+~nH1lr%UW*lAM6tH+7yP+r5@5RF>E1jz;HgRX! zaUo6zH)IKknnF)7-!u%mG}4%PEB6Q_^U;$uZ^ScXn*NQ)zL%7*BgUWG;MZ5e_kU@& z_@F3IKq}#W4}QhmItnRVA!K}Rf>FO*J_;TA8_c^E+yHAPg5c{lYTIxIz)x{k|=me z-L%f~{5tcyp~bjw@9?jSfgXvFDS9ZDsD4DMb8P@oSK|)KH{pH1dyL=xd2gFfl_X&2 z=9Uay&~=fjeBEZv5-Y3~A0Mr7F)e?=t}eW$=^eWZ-j~s$F)vP67dsnLv${iIrnli!P1cDL)Wg zM_8-ww39mM@xHg1OO}W~SUsTI92a3VRIOR>336OQP1ZPlt57vy8G~K8lH(aa*xmYl z|LArT3+tTs(q1A6HoYQu&)v;xVDW(Uat`9_%pYD>mkkLsZpcw&0T-VMdz0Sl^_i6w zsEb8U7H_g=8pt?in99NZmAAq$=LU6%M@ovWZB7 zrC*>H}%AZW8+L3LUr zKy8YyEys}kh>)F-D z55n3K3zN6$rww+sdu#3%F>oN*bbk-vSjoViSl<>XvydP3$($5bJ|Z-)iXh(&K}&tS zV5h5#Hn+1bCWGc?t&$z5L3J`Xr#)Ed+bckvBl^@!#!HrO^l7ouhR4eN?MU`^6ju?8 z$=S7BVtuJFB^qFbYQ9gQmTEd$L=cghnr9eT7@#pT{Pce>Lzg5Z-)#W{=3!?ul#BC| ziI|DPL5ompG4ych>PgI#8mhQN_?V{Dqz^Q5yhXRBJUo0fwBkTBx*J~CXg+p*v;e3a zeI4>r0u|cMy+f;;;}XBE{|Uqk(~tZPha3)y1uN7aNVB7nD*tLflzM^=gXV7OZJigd zPXAYMLdAMJR?P%_)j>l0gYg?48I9_jWNi=+OJPG@8$U_$;%m-hB(q2b4z>d;q-DOV zU<{}8rPA3ZUzS~7@>P}mXiKWq0*Pa)`xTK``39SRChuq~pmupmSDFjaTyLm7C6_Vt zM-80km!P2|3_cOGPa$Q*qc@4w?G$378oNtBMS(Fx+j;bevnyP*z%IV@K035w7kCLP zmb-v@_!tr@*J-bfzzXs!u`G73#2kRz2{(Zs`(%$<2NLLoC1P1;7Ne@B7{XY~D#aISGAqxdk6@TX` zAhFG-n{r>>7v8Okd#u1t@C+fuV>eSK}<2;BV4U@G%+rzjLdcKndXDd{$xWa{os|-aygOxZ&Mo%Km zl{$a4Hff+a!|uz{PdiFVas0>cg^U=#a~S$?)MxWFSUJfj13KcC4KW0j#-a<8_77Z{ z&gxdCyGvL^UMu|#k$HYc|1*Try%?RJnM}K`4vdVp^0ILszf{pK2pdx$p|J5 zk&uL6@b8chNT6Sj6M9mN)d&&M1wyD$`!BqaxR z*0XWxm6fu0qJCGnkrdA86;hNB^X1Kp!tEXHqO19S1*(2nh(>tnj)F+dM?(2VmmnV- zER2XmW$q1RitHrnSJK8G8rY^o^&D{Ho+;)V454pR6!9-(z&}rwdGwc$sBEQE9UMu~ zyk=urGQpnjj`nUePjpbqo!DT?+9}&|6NE0l**OL^Fzh%}pj=XcM>UFpqLqoscThf` z7-Ocz%6y69P9iXY8+qlfW5p0R&>M}&>U7_qv}*OFY-o2m?moCzUhlfzm7Pe8E0 zOQmdXRIoM_F2H@IbMJe;Or1sTwCLT$ir%2*>#=*(I{}R z1)b5Ld_#4Fk6-1ai{)MhvO2y&4DtR-5GSx6irMPz;IHGb@%RxC%AbceA(h{@BUb{r zXlN6LX37LsePhoP{Lyh|Hd&##*`6P5wvGc**CqponX3pv6n%w(h^~)XpWKcLoV#wo z$)tU5+kb_Pu%UWzBt??`!{u)x{A z8*nyWFcKxf+X)Iq(~LB*9jDFTWPlM_;lO4orCz8pqyxr8`j+*?7Rj$a2y)IZp9IiM z_$HzThPxZkYURf&w}6{Y!C^dP2=|RYhOP)*OyzqdS!H@9{|*69EfUw^I`Z)wOhBa;7&Cr znFCuazH?b3zQ@;5te7D?AlL%ewIk4EtFattqz4+VRwb@DaHVr3SQdY1Wausmwm>g0 zPHKv$iTwo?ZLrhl?Tj)qkm4PAl^*DHW-Opd?7vgy-*9RU)hk7md_h840&A*ScZO_VJl``8-eF)2 z(m3uzhC-IiNeu2={ZMZUiaZ4=p`X5dxY}S1$#2DU;||~<_K_5l^)Pie+oYC{g?>`? z(kE7m02e^$zYj>phle`1eH@ja_0PCn3ppYdhTACrs4WIqI|qNpD<5&0(i^yQs+2pK zsiZz|J(}ZKTce^Y`r`1ASH~7xrf544VGRZ4^Ek3&MKqDs6g>&Ryw4}zus+bLJa@w* z=*q;+M#nYoa=tpH!cU5p6Uvfn`DvAqYO-qBP^>KL@RrPcFC?oh1`};Rlks%S3jYe) z!$46t3Z6{KP_zO&COiPog)F?yY|4CinN>%h$8wj%$Ko0La#j6&7+!oEBltc(9XOM- zIuy`4Qv-}Z>0FW433C^WhB9sV&H>7BR(PgiO6tUxfydwbul*? zXQ`g-3^mUBY6|u9p)Z=Q(N3TuI(F!s$Io+k+=@qEFd8*37<;xxoL~*dJljZGJ z7yM`N(WNDT5U-pqHa6{QDA@($fl2OrbJzK$NiVQ|4e=9SK;HCLe zBw(BBf%BwQS-l{o9TJb*_7d&hRuR5#4iS7V=ygrNnE`ZGzeH-4UpqBuEazAiY}TU% z#B=O1a17E{+@u<`^||qp(!LEs{LW`(i~~PjA)x}${at)<8reeYt3d=72XFH0*FD$! z6lKtWxk~c72eJr5u*GP_E$t{$_(s*)pAP0Q4Mb1jr%77KDNdvDOK@#$t|r(hjHipw z5V?^;Ust#1K>xWxCeo4l1pm?F6pOu(W~d{MgDyMVi#)-I1L5WK;U6MF3x-lZuh700 zrEyBH0P@i-tId<~GR+5`B>J6C_2P$~&S z;reHqhZdI`v!XV7*0RaD&M);Tt&=1c$p0k(Gh z*=L5@_N=YznHz~{iDw(d3Q$q8Zg_OITLzy883|GEA{k4N^z9N)McMrS zTSK^A>0n7@VDcarcIXA0h^oLswLC^n*_xaEqxjD`0`~vMQ)X(*(-}xbKQ2RS4Cc1Z zOMvyNcz4a^Pd+2BWspoSp8-xQ2N4YJ%M*6VA4)>L^X^ zbniDH@AX7T@a7-}u5Pe$E{#pnaE{TB4m=~MLbxNO#xFw0mOKob-o5+W0^NIw(>EPA zUu;?GJb4}f0`w9vvl3VBvyCjEURO<%{5`OIN=^vjAD86#YdbH12-2-8rK#+iq1LVF zkGJVc<&be7N<`)CyMBixLZ4oKci@7#pZZ|>RzER5vk2mgIeV5B0DW}M&0h$+(B}>h z4=4Xq0mz8-WeF{O=`@u-&OQ(AEdf9o{g2pJJ)Y=|kqk2J6MdCirJk>p^`YkAMg>oU zwyMtlRm+ZbK7%LyOlrUwm!{B68!I_%U+j&k!Wnd9*$lTl&e!kB!3f>+3Wo|EPk;SM z+Bt+mW{Df*DqjZJpG2#qkwY!>QB4bu(~4Vs2Ak_^%#$6H?oZKj9&#i9D$=;f$l9}! zMGyzPKM59WrZi!U`o;y4Kg1!#Mq_q4A0yHnkY9gOq(3CFTEfR01sgBb}F733$@V^wSIhsF4t#ulu-;sf~(gJr-F39Xn(<>lVFRqHpG6 z)Van|G(y#tSeNO~Q!T4gpTIB}VXQ2_x8MvvNL6u1{5FPtjiNpxHq_xM5O+JaPQ&Wm z{~Pw8(}1%8d2mKT?lIcuyRolumFloE(4A{XwMnSN;vP#&09^<41?!;ODK$x-2Na^N zB&H!@9_GJRcJB9xQ6?Xa@V_6kgA_ZMz)nyyRM_?{{k z)MP=@BcJlr$W>I@J1gtN|+@J@zE zJVR8q6rX{a!nBdb6km!cYYFzfOkZ>Y0MjE<#(DLClg39973h3NQg}n`G{jNa8Ds%1 zOVD*w>Y!S()-;(9p3(Y%UrcEt?!UXlFC%v{S9o=KqGz#VW6!)JHKqDw)9GnSgrHwN6{*;Vz9PU4g*531H!KE+O#E%LF042UJ$593qv7}p% z(qKx6tG+ZMsB)X@G=FsYW~xliBRKO3T0 zxasbo9N<(j=TTA!086~5InIA(A5lM9TBFCC1FrRP0Ua>}i4^=l2I1}oh3hzwBnu=M zK>@7kn%uD^i}>x>48veW8!6J_i1j;0?A!S%N_JtXV(kQ_<@dD=x~#N1oie=gMZe5V zPr@pyn?SglmrmD&!1RS6FO#Rxvn5LLeX@AZB4OFd!fx zAT%~OF#4WShRZY>0oC?77JVe-tcQy>Z(0S_nFQhgTtc?f%O}c{vd|^=N|u#UiFg!& z0=QS!(U^`%GK50)`L+lHI+207);5ah5~y3X(u zUVp)ivcAqMqKewk+u_al`I(8bT;r}d0LJqw4e?`ibZ}ZF)W>QmTF%j5bQtCKG=W)Jjm83s~y%240j1Og=Zm*ZO= zgkO#<5(+a<;XgEGFpZ^1CPfX005Du-(ZphjyK7?d4i3LJC?s(xwg}xwQX!OtDfrQG zCUTq09H=uz&C9H(W2-^+ClEK%h65z#v^UR2N;V)Pg9SjXIOP8T5?HLuI9|t|%b=m4 zqNz19J#dxPKdehpYew85W5G{Iyb>KBQn3i_;~ZUXr5pVY%vIobV#B#!KQ+$%`WX8R z@sId|Z74#&|NM2igrPdXXx!9b6f(-iP2;QkPy#)_HG(mVV?juZ^0~zj|MqP$3ajv5 zy}|t4B*ML7jm>V?pZDwho)NbU=d@_ZS87-GrY=Yh^-c(jLunPSLC2;8W0tDPv84RUtDABO*kn7F#>gvyJ4f$~eTF7ZmDEuc@P1zI zD&=MryyF6a1g>tljVa!1gBwKdmW6~sWbE!*K@XkC9w2Nc7WP3E;2eGvS!+L4INmGg z17Xo$L{5PW5g4Pys_dc%Tl$P62+xv%1CpI}mvKvLGJCSY(;m2vZC4&b;c#i>#0)?O zR`iqQO2@gme?N-U0#y(VT}r|O zxFPFZ4-oJ6n5(S=V39LrRYAgNmCLzbj~$s=?_Hw`#YGGa*8j%NMyHtFTlR}fQvD~B zGktatFoo{k7_<~*NH-=UWI=Zs@(3VGKuX^wm$_w2PJ_%EBU=8ztlS)wNj3uP_{|73 z{I=Dg%c=FVTC-Tf@$JE>gr5n=IZOfCg5%s*Hb0$uIob-Z$p%iGl5tr|_`zGnc46N- zw7>_kQ@sOL;rKd8IzKF&tQAm!+S{NumJ;@<`~#$oy~ zF$N5hmwI=0+TNF!SrYCzMYs1uGy_7$0)=^bG*ggrg(A3p;Jr;+BkU_jA$d&)6p$ku zg;!PYRVSTG`XoNxyX`C%A!l!O4ps9dtI_G zUhH}j4n(c>VPGuDwz(H_(^+$Sxc9-|mFkB!U4 z9@~vZh>1H$)yS>z;hB+a9E`9g`Yr!e-{K=n9dAya=RtY;HMZM!x?!4OBBi5avIJb8%E@0r#uTEiQ3`bcJV_ zdLYiFkyxffhnaAnW>`v@qfE1Qzx-3fuMkr?P7xMPkha!J@`l#cAg&x@p`(~HIU5ph zU#g5Y;Rf8q0v&GJZPLlzeJOOmr{z-kAV~-Mq8SNpo64#41G@ z=CLawDcN-{*~N;6R-kmnR`%dpcXU`r1LyIZY*SUG&q!Vs_trrv9N*0e3LLCQzCa|X z-IK5`7-GY~^#Unq$s%^9X|R)yhtBIq{<4(=LtX-bgmr2<&lPohjD!P?;A(=Fpv8a- zTI6{W=+87L5a>;j$5J~2Q&3@<_sIh5eV%-R&Z;Y%yvw7Nmjh8~Rs*TQuciQ}aBq&K z>L%-YW)_>u5BB8v!+;=#YI3Y(nMqzh7`T0JN2tww-ynI<9-C zrt*ipH^i#C|AwYB)~=mijwsH$NC@{cf9xZ?i& zMt5Hpg7trwN>1uQqfQVexo$*(Z?l;hy61m%+hk|R&`z@LusTL(#cAFV+ofvPPk!Vo zVj?#Nf~6MfvG5=NadTcGCseRaK!Y{~NGUR>&=Lzli~UPK&SAHX(gCZGf-~-G^CZlw z6`*ynEAVS@p1IVqm|LQe; zK0YR#os4Vz;-`#bD1@pWhJ86I;>5*jUxe*~im6kbw0E!x@;=zKcvlq#@FpC}POY?c zT#r`!x4@jqQTYJj;}U$NUKpD3%!iGDwn)kg4z3S-Y>UUioF1I~MDo={nw;}Z#8H>SXy>KV>D3>c_5)YQMGo5XUEfZ&go$&LL9({QWg!4`kgv zFQufL@yDV-EEry24>h%EK(f2~@v*a|0^$V`kx%2J+iU>dQYaxbX<1wU@3_T}N$V7J zE=QO`U2-T-)-5a@1p=;F9+6yEovoQ_#_N3D;s0$LzZ{2zRsZs`?~xINU~qh^yH>Lm z3OwMlbW^ME9$qBKYEIboPJGqO62{FUu=V)O)A|^#nxqj}{q&hjGK7Yn!7g5z&5Xdu zqoR+oV@#G@6Gsow*WqG!CWe$r#BUNa2mdX~;{q?R0kRuC&EKe#Pt3g!R=h{+#{CZ= zm?c5bagfEirmbqCv?V)}HbSx@NKSVPUfY$KuS;5ep{({N%etXDWT#L9Y37_gX5e79 zJZWI3X=r6xn9yYzB@;X#slAD58E-e{LR=NhE1lP@dJ3;YJjwDC572uN*lVw=f3f&3 zjkRmoU8RYSfCuMV^#*s{Q@QgTh&ljT5{1$&Ur-r-1D`n9%hqBcVvIsK531$2D zgJ&kHz+gSW)N_#~y+%D3I!}q{{JKlh{r{Gyw1nC60RUhfX>vQeLb*?RZw$3 ziXTesQunCCNd&xKC+OVATC4v1HubKbwE^{@A|(9T?^E-H;`tEa`_FGeIGr~;)EmXl zzKg0-ONuYF@ZF8WTn>qL!X@$!ViR3j>KqNy%ug76Fq8S`?+wpEhR0N^Hg}SNB4Q7D zs^$(%0-^`>Jp9p5zBR&j?RVneK1fk0t;-qZt5OzE<%l#qYeI7N%&b;}QZN8LO%Zu9 z(6nud?DHG74^W_Du5^P@&G)6hah@r6aKV8Ip>^3{hmXo&MU|%j!#KMcA@q!b83nv* zg(n$`d-e-Rg8z_ZSM;Ryi5_EXXH}(Bri$c6F}{W&E#Th1A$-&l7c###%3OdVMe^@J zt!KyZyZ47A*=X~Q#h*)};KeHsRZ)MsgmdPkgaI2ONldG{L{Z*X-)Zm6iRBAw2?Z9K z6;PXwC7Zt8$`1pYzl#HB$*z@@p}6ORRKanJsTdAe;3aK8kLa{ID{h)An)KAFQaz9l zvQwkeGh$oKuWr}BzJ7D!eKK1njA!0fkf1jd^E5SNjkr;(!y*_TX1ecoZu4j3G9X|g z=>3E_B61~o?bU%TIKw}7{m=ZqD9BPo>XgrF=WP$Sm?qz?YAB@Jj3D3NV8_NZlI~R6 zM?9%2i+|0@1SEPB%0Wtpo#tA;70cvN;$K?WVxJyv7aTw2M7>E7)?*StH6p|WveE~g z6#?6upL*R~jkA!W+R_F%Iby$7l|xbnyuqK|qlN?2W`v0S;0qJaRP0DoB$Lm;w@h)> z3-6%feFsEs#42$oO2+-;08c7pyd~tSF=8r5oTl=bX$O`i&m?)_a4>J~HB%*+L$ZM_FL&MV+FBi?Pp#}`z zb)qSSDT)+ysaU}mUKO@}04Y1vcO=9a80MWx`K6%SQrO+vuG~fPsCl9v@M>5aScM86~gi+=#W##yt6{317ANS0=LC(vOwMkwL@Z~_$qgq4=5SsXOz=U6}t8eR|O>D4L zu~!us6qOK?G0SdN$E2`U!WR`_LbXa98er5HN8tcu1nP^BPhiwsRVvcx_Xa``4=u8F zv~L2g26J}UO0Vu&MV39<%fU<=H#FC=Nq_3aVuDh=axpXeOlu(OLG7;Yc}_aN|Ewvh zeTB7X9&YceBxZvup8gMINOQ^3l<38;Y4&g-S0r;T7|N&Rh`hxcv$+BKOI+qw8#bI05zQJTUti_au{byoh(g2St)2jq-~kAiSLRwV>~Ae%cpx zHlV2wj_8@T?Wsk2n}BN3wx^mO65VHy>up#OmSfjtxCvsaTJ`0gB0ptMfmVs|Yrteg zyxZ%5UD!)W+|3 zy0VaeeT`RTX^EyBLxcL1Q$#+39m$u`3WEtu(B|zbLT1SNrDW>8eNiLjqS}oo67EIp9L(~Ykk`wB@`!-klLtw85FmUzsPME^Wk!4f@5E5faCp{M)-)SCUPbTO_=>muWqS~M~CHPuihjuTyMJ3 zBEGTo`sV*`z+sh?HZ~3r=gIS1)yRrUo>t@94F_4<-35_Za zy&rJ3&MF9tI2C`ue&1FIr^3bh@`D{HB-nv5G_cC0Y#x({95SKoQxjVq&X49e49nhb zS;;_cMA!MI+ztv7L3CQEDna#oND|PmC>2)g%T% zcXh4EVm=Bh^U%enN52wxpSHoV!Eb@i6Sm}tO#tN})`PixkF(N{jhDCLCOq_QlFX_t zWXk5I`#xRmYajK)>*~&U@9cv?=cRsM52?{@Ui+$s@)ngD!zIy5 zLyMzl*Ol$GffrmL@qp|ptg4l604UKZN{xXw`lcY<`&sByj&FjwHk zP+*Cb2UcNJ-%yKW&@-$EjIE(|MCmpCgt@HAY zlm_HB2IJTZUS*^X*=anO<2v#F9u|il-wO4Ngy>;DBsD}ExJ0C12*>SRI7XV>BvR=A zNH!u1w{RF5!z3%+p{fI&wxR1Mzv48tp_&E{eW^PBCuetfui7xayLsv1&%vEzzj{&Z z4^yKQ`@b%Z6Q^YY3vLx!i~g_VgC~Bek*tHu?rvvM$Y)I`L$00a;Dt>*8b-SL2?L5w z50ip<^L%odI0Qys!YHB*i4A2y*zska&P|C7=huvm@vX$)g!(s06a z0TEqkPM}F|?==13s-f6LB*7gw^!P0G4!oS*;tSSxak-$3)KD6<(T2R;6p{B9f`v$! zYfFhVot_)ajBNC8XLS#BYJSWcyO3Lt_?7v%ABc}rUpjmMzPP2~yel(gou@HEU>z2- z?W~KsL3J}fN2SA+S0{xSh~vXw`mEz@JdO`=)4>2laT-QmgX^9*#fW9);1LZWJt6c_IyQngAk8;Y@B zZjy76;BK{TYlCeOcLTQ!-qPO?(Xm38Z5*2MzX3TpQl>T-MIrj$$7t}WsVbYH(urFB zNC5+t$l)%qCg-;Zs))=pSIbL(v09hf?}Vab7XuVA+q zlB>+)baKVT1{AAldO5NEQynM#VtNe;5>C%rS4O8T?!zSHM%u}#5`vIRBQL*m8d<}g z>q+Z0;9+!$i?+{MNhp6I4hE}*F$fCR0@d=MOcm-l(@CnHNyN8PFG+21&~Rz?DQ47w zts;NLrY) z@D%e#SVts|KP8WC<&@Jy#6o{DKxuwsI<7A~xAy}X=`Gs^aE}7(wYGt(4G;UGSQcRt z+MMB7kbw5iTd)g#I3{jsUU}e~-l`3XMZ6WsZtyRIpoiuP`_?>;nXU6%13mmZB~D;Cm3!{X7{eI*;Yx zGdEJZOJW;F_Td)eD@wHHl~{@PV-+$y^|HrAh-@U+`S=VsOnXe)t-7shjI6eA|AyG~ zA%tl&Hl5q8lHn&8Qv}J~p;5D;NUU~!n5!f5dv$7)_V)0sUKLtRu`xbM&ZU1_*iN~a zj(7-pDqxi^W4#A07{Emw$}R$<=h@s0>UcH^7E+AF$(pz*NoT{oK{2E^PwWE6*Ei;6AbJj(|9D^3P zN@sBBut;*&%$%iuChpXTWvV+=4=#VH$xD?<3XeXf(<>ijlR?|(Sby$`iMT5agfFP0 zxI>KmbGn0vO*eQmC>MwJ&9*ZP%Q+ROQp7Lve7E;8Dyjg^HaSa(?VI-y&M^Eg@dr}y z(NugkwoLGe#fh5~^COs=6ZElPS=f;7EOWnW6~et=Bj~L#+`AA zgh7SS&1)d84{_)=56^~DT{o{9q&yXt^_SdZUGlaaq>ReU)2P6!#;c>Wx9X%4aa|HA zb-}oN-90C@p2n;rJ@OQj-`yKFhfk+W+^Knx- zlm#o9*4<0|8?Ms8TsNe*sK{3@DTmYYgF8^}D{pSL%x9uvdqN{wcjOuST?ODwaIXbU zrBp!z<8m}oj!P#t%-|~)lEc_v#Vrr{>x`9d20#%FP}Ch+tm$_zBvVH4y=u3koS%!w z}E><`6Gwxz^9{>_Z+j@ULpQ z-wm(s_nl0;FhHB*Y(HD+f!R0{thXj04*tbTv$aMPYP^U9L-U}f&~U7svcR&HOb{(W zV7Aaxliu&Y3VC_UH9FB%w!pDoXdr>oH4fUnLVg^5k~yF#fKFUp%g@CMdBC=30o2kaAE~XnNMF3`|sfyF}=>Gf3|F<$h~{qKCnH@9Dr2jTVi#F4H5IhtTntLu6;2LH@TDj(r~V^>Hc0;?38oq ziw?SNj?S+sXzyY1O;N8-g<`P>Du}z^XYpzm+~r5y1=-8?W}y<}MNZ#izC9^#xA&ch zpm>9jPCya{64Dajvn41L)ELFn|5!U;)Mpm7#G<`4Yh68qX8e}~D||ElK3@TcvSjsl z*C#};tE&Q;ImUZDo(q0~FQU54MtF-yO1Jr5stEX-Laa?2PEh>Qh~WC!^PWRE`Uw8q z=1h%0-Q65?&D#*tfoge@>456A{y*^d3T_um=B?}QGsReI;9Zpm$I|O`Vb3-KFgC6o zR3KkrbH$x!)+iN~$*IY5-yrf9??Xe-<+=j!z}^|YpXc>ATt^?N4a@PKpjhUuBwXx? zr;DsU9^T$lI!&)aDHjWvPt$L9^v4M4akr~pj9azcb>Xw7_N4n}H2rVn$br`snis>9 ztG=#q3H0@**7dwo21QVE&lb4;;y*Cqt6Cd)H_*q^`?H)dJcP$q;DM%8V&{SL@@i#D#x=n(B#T^1l;YsmrG!VJsivXs)GW;i`RsX-iH#ALsa z3}BR;NAR<$^VnsC+o(Y_wv7|EInpUXB$+y=+~lxAKm471c#fM}5D%ESx@aNRjr<^Y z3K6MW)c-2Y&%9QJyFDINk0K{NFeHnbws+*C$_-BO;_2B8Qq}yN(fA?3sSzIj=vufI z*wyt&oafEHodkOggK*UY4X=fctE@ipVHt6hd|1#Sy0DXnL}ycQ4zXMrDe{)|Jw9lR zbFRv4`ZcdcmXG)^QZ$+Guo&^_n~!!AIDgN+`ca5TdU1Y}r#gBoKUE<}@{b6 zLbMkZTWYPdS60xAC2VEf;3XhEfZa4_!2Po7@ntSvP0}8wnQw|n2G}IuBS@bn?&PAZ z0r3ibxyi!0RHXBV&8#@Kxr$uAAqPUxrvt~Pvp@?op4kO0z_7b{gFuR|Q6Yg#EM%km zAr5jr9u4eT5S#WY7uv05BdYT4D^O+unJB;&?{%|^_}O<~j13%h=0AZ0g?%+i9?>{FI1T%!2d#NP zhL&@!QBDR4nlU&P))!6iVg>_k4c~$BnC^EB16MLhkSO)6#pN0_wM82kMy;+a#7Hdw zq#?}bmf#{60lX(lVZV!hTV!Ck!a#XcdB=dm1-s?c0mb=djlc)~MpSy#PA$w*+|@8(EODtm5u9U69e}1Y5;GJxBU+&&n)YmeJw;Mo~y{XqyJYYORRL6lO0~zN?O$-TD%T0`d3%~ zE|h$8Bmxz{##c=)cL3`>@IXYa%_sQo0P;HE^w!r1%1T-87y*p^;OBddH>{t6FdP_S z6t0bsDup1OHDcLx7JDFz_%te4h^Xxt4N9om{MDKqbAUvQ>8O{fHdG!S>w-25r&`@` zg;8N2JNuhcIh~n_kFyP%825&w*RPqUHYaniKq`Kx^XGO*e}NSYyb6?rqbh>hs8;s8 z`2i~ZCu}(bZ(?Pdy)!$GA+hy~P>NOw%DDZa^Vf4vxKzb0R8oTsBf&n*onf!AhzybQ z4f)8@jqoWv-3B2dYY9;?LWUD{l7UVsn}VbDR~?I&^q93aUWo>IiT+iPaTr%;fuAkW zx!e2BHhJ6oQX()%A-e958r~zsYodw(fbpOHbnIGibVv)eCP%2g*-&_;2ys}r~qn3}hNi z-5GxVBg6G~OLVN#b2%4VG7oCd6gg6A9u>K836QeC6$d5BGs65Z8S{O7RC^9ksia33 zYa5Vc8G`c2CKZjKXrH|0Dc{7k#zfc36aC7F><}52^`XcUMt7d3%NCv&lfYQrKu_MT zJ;TRfr06)fRb4(?Ct%CaRdlvnkLw*c6-2`|UuOL)40WWUnTb_>zVY)U(RmUHbx00M z+VFs`zVUeQeneyniZXQP4M$R^0dP!GB>+eR=X(Y?!15p( zPPu}Q3HxIZt%0WGzG#u2Y6nBEz#+Zo?llVox4hlSaPF2PXRHMqxRxp-2ki;czyTNT zmegT0xu0IqjgBD1M7YTq_A2f@7ntdP8d6*F5tY4G^mar;5U|~{pjKM`CSKdfAd8Bv zE~E2uA}qO8+|xvce4MVS{DV9#E?)h~3Ss&&(c;sD1N)4{8f?p{Mkj-w=ie0s{EClY zgh48Kt_%y5>c}xf;kY&pqOV4h?@@J1py-eVtxV;Zfe&`*mYCd`RlDr>fgTOvw9YXy zNsFP-xBuz8&PBJewz9cv+G@-QkBqQJh!8-x>o^8Y3lpQW1{9U&Fw6w}y556_CI z3Uu`kL|V578fwlh49hymcUNOr(!C}>KXbEV(8TR*u+}i!&IZscZ$>8V69!z zaSD*YUzR|>>7$5HL%+Gy3gywzlG@~oM8+o+0<*bH^))jiic?rW#;LbPJ8?0oauS|) z8cHZ8Sf3wPp7vZ(TG5LM5tMw$X^8*w_pYds&8ztiX92;4vf-{${i$ZAy7>gMw%jgB zr8}jGa?p;z?wR=n%zrzuFURAST8bi-WR(&~gCJ^9x1BvY$(pH#)a&kV7k|mq@y#p5 z8uDZ>u6>bMPX+*VxYnqw$GZC!l~2fmQQz}Vg}P}cEN1{P8osCK8RYc)>YPH@;YS7N zjviUg+=YdEDQ$-Brwc^d(k@Hb2@WE0yZrX5A%;9v&r(7OQcb(g>It*&c9+yucZNsU zg+!}ZeX+WC=pI!vvu9$G!QhRr&X7Lnt_kKKQ9M#R{ZPlFn0Z?kJMthhe9n4aU5@Y#g3Isw|WMkraj= z5h)7=u)sXkSC%+EjJpDbhwIi7c!1q)pwTTFecnqf$5tL;iGvUW;DqV+bVA=y3=#?K zbR}z|jOHE{>jZM2uxDHsmFt}oFzB%KrY8b;B2ys^E}S1nF$e;5CJ?ekt&%|J%=Q`iuy@@hzuBA6w_q5J|H|&;^6|+~< zdqS^R=Kn9{I^-$nZJj4GjUaXi>!7|o3oMjAeFA36v`n}#n`XXR>=@$HpUU5};_+;D zxLEhMo2sa^T+8Zd;#qoYR$nrm*0dRMB#a1=3(oq^puZTSrNaDL@mji}>!c{ZN^B&y zTkoUl}?d?;8``aKh4V9f3l3->0kdFZ#6%zKd7Ka&5fHp80}8=Z*`?kvgELS(C{dz81(QiH$G&_RJf$`GBS6wQ zvsPaAN!UR5Fg5HeJ4oJ?oA})Z1qB(P;J?cw>4afRzPr`c$q8xpUZm0Nm!QkGv023)~i?<>M7weBWT z{8p{fIg2JmA@o$+ANCCY{2H&V5Dh``U48!8;u6iZROQ%r^Jh5|tSx@w8)UPJr&Ajn z?rqp)B9_3~NQw<<VVeHx(oR0zvG0S)8h)I+xqnYoP0g5lm-ceIw&ZddAc zX02W6>6h4&aOhDWoY(~>P~#L{(gFJU*n*$?qclMnM{`Nj%K@T-Q4-B^*{S8NtQ|kn z)UfDs7fbs`MF5;75z&j@)p@ano(-h|AN{*GiN1ax$;qnWoGGuFGoab>I0`4{-CI(N&bx=2gjV>f7F%c&c< zcZDcyEuzmn2@00(c@E6pk(GlSWE})l`x~K!#c*w0I;f~!2(B&UaI|Ve;%AcrOP`{(ub9Ja6g>>0&ci_R$X`w>)Nr#qq6 z-5al;3vTIHpM$nW;f8?f>FoCm8%mzF-tT^!pcod+EQTE%U$}h}HIjwf;~z|4pYp`l4uE=H<(^f6K}p-HQ}QT@3fe5? zfkW@_9~kIhss3c*s}*zarW=$$%7QaWKti3Dp=+a6>1~rH?1Dkq)nxE^ZzCvhHZS6D zOtI2iLd3-{P(ywx)iCs_7I8w9ne}hq18gO?c*H11@+#wnrHC~9+(|K9q{n;JDisTz z2?CXC_$feI=2?5rO;FCfU)ZBXL;>VrJ*Z_u?z>1F1cV@^wp%u;nTkA$r_%#x~nVP#zC`wi6oT~)5oQ9I@J2A-T>dkYquO~PxGjz^y zv@QRx#qYVk79fMNN1RQu=8zY^k9$qkPdU-md<8TiR=&6yLGffe5fVZ|ww9;ZY|wwe z<>b`!nVue!Gtrw7lFuR_BVu_7aC4EVn|dE_Ao+rsbbBX?&cUN~Ga6yvU~+I#rjxpP z3Eb?XLW5F27Vqw^l!0_JUS@d(qQ2_?*!d7sMn{V$tN>da=|H9TJ1YwK?LjoO z1DkIeYkj+ZMiMgbFSepbr)ailb2E#db>2ZnJ=l(^N>Uo~xd;mzg?T)Gh>1(b$;AVs zL~krRxmU2XV!S$VVQ&+p+OR~DZOuy=$_Vy>q90?hj4qFhOUSZG-Y2pQ-|q0%KBZ)G z{7mq#BajAs1K?S|@^-gY-kop$3Ah?cS2=St7{ zv!}4UY@72pt8?64$neEG25#~-W|Sly%Oq^8R$d744HF+xbXn(yF5-Z*-v_GWPh@5C zmjT?(EtuCL>5TpWp-0nJDgQ&B(UGtt5CCgpr3F<0Z$Oa0bu?Oj!X%gs91>TpHe^*b z{T{l5^5OlYL-%GD9F9QI+f-Oh3>$GunJqCwy}e?O+2CTUL22Z7L`6|)g(@f!$T8+6 zxmOO^CPR(Cn>Le%yLKZZVNA}KW<|QHWP|ZO4~|%P!pGfurIVcBRORU8drw&aU3wyN zv2L1R7Jd=p70C#xh#I2ZiKKu_RQx=ri@p5QIiXM8+{5a9Nz+fhUpW3IaVOe^b{EDG zEw_83tOvO}-ZaL%fC7dS_iOXMh=jhelOR9o*7#w9*#))2+Y_JKbC%H7`t@3r7dK^v55R zf#SXmx_0J=WSs79d+-$YDsH5YuE0d(D4Wlvn2o(l@4drM3wxsgJ7Yfb`?$0|ei)NxR9K1np zuy_!S>)v2k)rYCO-04cAfpq;R{vhryW~Q`iSCK%GfE5k%7%?lQ8*QV%$$9YEGIVI$ zNw(5%$rYv~G^?rBCn>92rTYu`>!Q40oC0cu5ulqTmLZQS?;gi?k8PYob0!Rcgk#&b zZQHh!iEZ1qZR?FOv6G2y+qRSaYEOIEQ~!Xj>gs+Dtt+@op{*hdMRW86KSvJSEavXx zT<$PaHz{+exv6;FX3&Zz1g3ldddJQuo70Qk0Q~}Uarc~FkDh_(bix-YAIeq7wt`mR zYk2t@6x>hS>WuRI2O?up06o3gG4kgED(1BeLzXLmX7Y5 zkC`I=!3Tuqf}fIQ5LV`3Smn)T;HI(6W09MC2RO(sTKXhc2Zds`7XoZhCp9XvXyyz2 z&eyRBJtD1(waPg_Lq`Md*1E>&GJQKz1r&rk zy{tNQ-tozxMnL%9I8#B`Ls>cV!vWhVDO3U|tLLR1<@>jIttE-Yq?xC<#+|Rns$3E{ z3FQ)TD$~)`3cufBdh`b*<>D06WB#=wLR!;>7%^yj(hFeK6nN17!)4V9*a|2OxgZn; zK0il_uGQ?VxKGUVA>!E)#z0x{uIn#@Nu}dv6GBnz9Xyaw+Hjv}MvaDTj}_!_lEW_a z$9FTRIeZxW)}`Jeyv_u9m@v@hheb_LOe>FHE;f%K#ze54opa-&qC4;^?&?lyK$T0W zI9rL>8wv(b8-qMvLG1c`L>po2-zbpP_#`j z?mfLnsA52JR%CKUGk|UY$+$s*~r#{dP9m~?gHg0CT`;7$JqasyU z*^N5Ns;nS*a+z+6&%38uNlHFeA?*skmHXCB!vZWO8^)Cm zOSgl^EfiG#6^?sWe7#m7;^Y6^wA{i35zKhO7{F7RY)>PQToV7=dl1@vm3zDx@h+-J>@E z9w&2aFM=l@V(Tk~`^053wYF^=+@CTw$lAVJg-Fk!E(3nWOtQKLLPGi*QWUy!rk{w; z^#OQQKP4J$KSvLFwWXDgUpDdR?aYEZ&O+RjliFBXCG08N-#Tb?9M_4EnS44be9PR` zqYxs%a2+>DaSaZRkTQWZzI6R_{Gn6Gxe->7C&h`?$b>tx+Us4ElhI(5^L<)C-%W#< zrCM?4g@GJj{|2BAdyl;H82C?>*b>;GYl`qtDSK;xqVmjHu=0O#4|kjPK^iiqf~tcJ zLpv{i+SL?aNN$aSIDRjG^c#az^P(@*hql2sFS{wz&aHAiCV=V9m_(SF=+8A=jM(l& zP)cl~#^>}98?>VUu{<@nuje8<^!`LOup%bl94h1LF14VL5z+FbilA-L+LMH$)!t88 zV{uvDiLVrrvRF^DvfSNta1C=GT_n3%2<0Pdw8d+1ZJl5#y-?xs=#IYy+UbOL2V*0! zA4t+2k=;#k9*@Ox%{pY-j0-@k5=#_(nk8)L-6!k(PDJUo0__Bh#eCWQTBqUCR58fG z$NGns)8=nUM0tUBjrl?$#?#NWt4g;3e2UZqlH|aDGW*_WM;>6$C9FD~llZKFyi+ki zj%iYIBXGUv8S?#*?=s@E<{gh3`d8k~9s*Saa+XFcKt;+*FG4QVLs2##wjOUPleUpV zGuege%JBfc4)8c_lWxayut^`d(#tB$WtoPDDn@P3+291p{T#&;BBrLCTzXgr zKSd-7^jW!HzRuMBEzm?ihbcY+8#W5InoFh_Nv@?IU$0Z^`yD9@=Fjz$I$*W8cI$g&M7eL59BlrwXj3u9 z5eSLQ>uw11$^FOhQqeRsgrS3w*_d3pOc8_{T~{o;<~qxc-u1uvVVPu<%aFPT`Ep28 z$@O?Nt>e#uadafN$Jx|DW=EEk+U}5Yx;6U>UL9>cUwP(Ps;rPy2#XgrYM9X%_p9 zc5%sF7*nV`)V`Sg%J(CAms61DI<8ptR2gjrh}plW1}ivzad^^o2Jb9+EJ_Ex6|3Gp zP<^6`%6#=vpHAC^{3=&69N>aJ9(d81*URW<8+P`YmmPmS%y?C5Gx@$JF+!Uc6)PIaT&HS59c4Re zdDKx`zXXp``UXh@-_6n{C&NrYq^oRA^&6v#WoH}r3(HSr3d)ePF{y$?mR{`=7PH}r zlTNrXj>?-7|7s){yR(V9Eppj1Y<9XlLM$!AL6cB(CjJH9+Ozv%MmhA@W+q(dG+N+| zX!=FLt83ec>EInkA_oGA&fBR|n;=zVDqU_I;z!E7;z8`IFo}~6gxcDOA&s~EWpr^L zXmDMeL{H{~l(71M{b@NRUgH!*u0pVQU=mCUF3o@Im3F}IdYYtAh@@rcj{B^#DT*p# zZ%vbz0_-j1@vs4(3(d2l=i^V)=#?SvvHO(D-nH6^2&@9j~zbS8ru_NHzGkqbdX7A;RYkOjLa?CfoJso{j*I?hZHmWgPg=Xb~zIsjC|CgA$O9gS! z1pc?ss0$BJSy8$nrA=04imnHga=l9AHr2lV(lR_^m$6lA9Usb0KU||DLGtZhi&OjY zbvo92dcA7w5W-yAR3i5Nn1Pr7WW}MO4wNE&j(?%*Na43xqKX7}W|1hbX8xr>?n-=!a>RmY*uY=Gt`jm2OdaqSfNMz!lTCkOL%o;A@{ohwS&yzP{^C z=`0W)LBg-ksMWh>M5U~DSA?rUYKf6nRQu6=SZxHgvLns?09!wLM0ra$o1!>1j-q8t zLIT8{J<|Hn+x$+fb(&aVj0qBqGjCrNiXpQbxpVW*%1tBkCX$&TNWQTV>c~W0Y9vT# zRk_5^&Io=LO~HIsq7>iJ?x1NOXqSS~lo7AXQ(Cr3m$1Sl$CN%HH34Km(@5KRCIKIT z!rSY3)?LvvP|@W8C;|!sg(}1mGTSOP)r|J>V)2P$H*j|QQxx5P++wusS9e`YCBm`x zS>TDKAuqAE+PRWbqV}6nKmeM71S?R@D=MI|-aA96l!W_%VNeg~()@GZJU{n`RXvIu z^25`6?hYhJTZ4*avW}Krbi<=n%}&=8iYaF*0ryuob#V#;<0%i~oUg{2MZpt^TuM)H zFU{WAPeQe+*n=U=5+sj8)ex82qu9}cgJ~Dg=ARw}%8l&f`Q_KORX}0TF|`p6OYItg zB1VbK6#d#`m!Ya<>!jqi;pj1dgL ziXx=yOn1m`2uXRvOyrK)V88r1K}L{p>3A%oNm74_b3TTe;Z;ZNcmPRckLX_z>P{oh zfVg}AG##tYiD)A>3j$djwbAL&K0|+D%-0F6Kt`5%Cg?%J-FQ0$*DSjeHx}`WK_n8; z&c)qvpl-PD43cpEo76#gd!lFOnK)cl-CBd53GD?7%AzcdY`n(_WD4cMDyli5(i(N7 z=Hi8AbM!9>S)8nbDBPufc{#t@JTKPyVUHfG1=~cD{&4iUyZeex$=ESjdlQW zxBlFR@WTzvO6m>qskNNJb*iOpYCHCw1&`d=_vv=3JhsBme*X7*s;DgV+7EJdd=oaC zz?B*Uc<;iYAk2_pVFiy5XKkX`Z|oGdD|JZ;pGFyTC3gAwIXr2%(ea0qQ`42GA4s-T zE-}q6SLk!(Y4$wN(OLD4H)}u1L(LJt`h2rY7vPxzGJw?@nW3OFU6r9B(Vg8!kWE?T ze4n@Wn2Et)#Qt9nKi+pW_$8BAA?OY|t1l@6mj^%sGdDo8N2?SMUGa`~$ zIJ2xO{NVrlrD0|=XQHh-DQsz&J)m~$xSR#$By5UozS3M_NlxFDqr8C0CGy>9O9Kph zJjlEj*Waqa6SdbNE4HpVwAR@DLDeEtZ5{(%N$%{z1xkFiW!MX9;`)(*$4L%$wUND>jiSV0Gf9;-Lu) z^Y$$PE01BPe4BNp8Yx`QotaD`!EC4d%)R|9R~Y zQIgM56TYjX-YmvCIOry(@& z%i#y6W!*`jI`rN?+2Nd`Df}ej8&97K%}Tb>r>KCv`nYCa#9%1w{CM_&-?NClrOa71 z7X7MAM-V&LVetqk`A;2k8udd*cCE}ph7%cJWRT=D)GJ8cAHH8x% zF%M5px%Qmii-g~EAf~(Sb?j`QzsDLJ*xXcktl>}|%9KYtlF^rx%;volh6W8P7VoXHK}q>ItEqVn9H)f(Do zz|qfW7#aS_6p)%)IKCIc_aegejBjh`C=wJ76k4IL{v&7*-eLu!(P^4v@#0mDdAiEE zx^Dh4p--`+6>aJ{z-yfdWlcxsaTTlqsHKt1{8g%68{5)y!Gr3?BX!2<5PaWavpp;`$fq=pLV%?Ec`Ij@(KYCfQ#WVN|gw;iw1UsH-YkLft$$MlL6m^^((XTy_RQOk( zC7$~zNCP{)o4uL38+F|opy9fM^&sn^!n{FA%^AT)uaVcxCaO9~MC;>fRRgf%FAGN< z@8*UQJoA~hx%#C0!4QqpatULuKV%f;YvU-7Y+M@xe>7wU>(5sKpvh%T7mQ9i)w1ko zNl&Qo5clfJ#-m`$fr1hGjKuwOaqpyUkwm2<&gOIVnLX(`;!1@3MtC9sV*A;!QfdPo zJtQAU8Yfy-5Ef;6o_hVZ(zu4EUpa8E_P*skOOcMWqF8vDlMf#u$WY!LunXmyt?bMF z-X3ef;J?k973w0|Mp71#Ch14JCZW~z$Yg+=oFr5ow}L_whp15`|NP*52Pn3(t0H5p zpAllYE7j6<5dvsvPBqX{e)9>MV%|nb(PB;Am}mfYplUqI_^7ah+E9jq8L#>!nfc%P zFUy<{<)0K?ho*o~+;fKY1(h%TpATMj3|{o11vUs-RO3QWbAj}%5;haf2r$$G)xA_2m*L4`&&i{;BM^% zk@v)&0I%@2Ami6-C{rWLW=>Wtm!{En{KK$bLwXf$kG2C+Xl{Y%=Jb)jsx#=COgCjQ zV*Hp@R($zM=O&GA$cM@qvs6wgI1p2m-1Gcw3ePe~xDInzVf*8+yPByl_BqZPce761 zI>Xvc!K?2)ODfHY2KjFloDy8og?2AX@F$q295-Eo!b$n*;od1%l4#&3_p7$wjrfJg?C93AoaE=k4V6rINCx19VNEWJ}ZNd}Ns^Mr; z&e2ozSyZV4B0+@gGNJ7dVF+$tMjL|#Q&Gc4`2I+w*O6ton7LhcT}|V2+xMqo2B-GE ze!qEL*TC1&Eh6X1uYk9i8gJF#X<^H4j>V#VRnnicjyEmf0!FzEJ!skg-eutaeKmtS zCLMP#(+;S9f|XC3NnuGP@a?J(mHDegaivP;)^l~;;gEXjUomV@1^mON@eX~exP&R~ zetj2@YZclg|K<3^LIS>0!)LOJnx5`#H#H>;v$yLo<6hgU!_K9ScFUIVU{P0qnL#k2 zut4H~vi8px%MT%MRsWmdx**&if({(&M^Q%5C(OXof_^t2T0%X2-Q%AYRsm+_>}kmf~L{&{(ip%;^kt0 zKg`7*SV$b&V0}1Bw zyk-2Orqhny29w(21Kf&F=Z|4=IrtFYy_0m=bAOlyCHM4eJAM#ZYf8K<@iswzBIWN3 zLI_b|;5XS2$xJ7|_9rODB~5`$;nncG%lhf?3?CeH48HIzG0xi&K8*m?06DhmPqLbV z0JF=nFICM~(#aE3`rAnPgA_q>~AFWCb&7%e*OSsTdF&7ghOgE8DOJH62!e8~9*9KvDpYwxpKEG zNcuHuYsc*wR-m8o(Tl{h>Ak0>qnC}wm4vYefz_FC?-!fXi}T3vnc_$`nVPYzx@_3Y zHk>`2gmKZOoIsf4P;Un^H4F5)C3S|dbR_^Np*Al^TU2yiO%1K%Hw*_Cu)>4XaEb`4T$(Rwvq^V zgjh%{TMZ}jmBp6{*(8lm``|V^luJ<0Sk;g@zcSTAhEG+eur)i&@jx(@1!r@)J9PAw z%KMd)&&m%j5SHvPYofj|Js_|!gvvT0peSaZIz1Hk#;{O>iQ*8k`3-D2NamFSEeMoA z?MVWD&caLHzyusGh_({{5W{IdcTfyXyD!xh4mJ+-= zQ;C$%h`NhXL+uCLL*i8*YBv}tT}x4|OF!62#4J}}aO^NoYcXcwfU((mO(8Le!c?wf zWgH0VD%eJ47;rX4e}X9Yer8o^A{vV{sPXa(glS_95|U-&q}XZ2ltiYM{k2ut4R%ys%=x*GgrfrSn*)G94>u%T>RGG=zxy2S?!N z#cksqgnuVeJsgUqJrPwHI@>f^kZ0QG!32EU2Fk19%&>GtkXt!ZNz8+1owq6-*+x#F zVx0jAX`*$oj4vYI^%0B*!6NA31_!U%s~Kiy|MdWGfH2iBctC^29vyudO^ye%zoZtr zbD>0@sXWD7%R+@-Yt43lc1)Y$IR#_k;`4q8 zIttvrg|wpPCXSjdD!DC4!d-_kw%VjCD^eoV}XlfsZv(%Ggq6 z^zr1#?#7q&GZ%+Z(1PuBQV$AElaw<%i?5TIX2wu?JQkU{W`z^(HnuSO?wS-igQNIq zoWa}L0q4?0*X)VqDnLl|k~I#yg)<|!;lpwY`gjJ(`P?|%JD!2R2#w@Q#oG+kp5L(C z;Ovx9p#f`lkBD-s&MX?LML+125W}v8hUJT4lP+96im(z3He=(1N8V2f7P`HZ8o@4& zHBZ3|PD=||brmHQ6G*IduCV zH@VCn!H!RO#|vB8FPBTd;dtw&Ns)gfD*&zA(1M&)`Wa$WAc|ZcHi1Da-0vVEIMlQL z;xX>Xb??u;JX?|-y}vl3+73v!q-IK-ZfN$bIU`P^<&}tE(Ci zM+s7_drYpA#IGrb460#ba2jk*q0#5)Lw|%K<}MUztLEkW{4L`4re_cIkOS2uZ#UEM`ZL zVGL$a#LS7XxVGx2Asc|`ybQ2*(fsJFX~yN1d{VB9T+Cx)H$Y+XCrXV!Z=tU#XvFtO zpe*cI@cCH30lT*s?UYvkHK|3I{nwd;q}8PK7{i#hJ*;$er?qb{+fBMyYr!i+g__j> zI0f{XRo=kg)v{8}n-CwH{fraKodTREJ7`_y4p1hY5Lr)hvW>E^HvC2#)X3s z41Y{D)Qs}*nZGZV$)B%#nIYXG(^CoW?h~yo`Z85ELWNa!1S^G+8~Xm0=+#?C#Q9R8 zgDie7DgD{CR}NFuRfMy9{T+Pp*?RkKpV+NBgyl&UvWnW|(wv1Q{l-(i-%i-o>n~v1 zQ-@kHw9o6>C0WHN@_PI9c{HX^m_7o?DvTO7D*K|~aQaJ}X_N`*`3}!2s1PRYHEEl> zgn;#|{3^IkUvu+8#2h$&>*(O->oT+}J0`l(GSw^c+bY@^_La9D-=B&4RdzgC=%r9K zp=q-`NbUH-YF9xYP~vI0!(oKQQV*WQie>`;(7f%8cw1clGEe=hku>l>F-izI;y&?! zAjtu(2LgrBvvfEVMXijgF!`gk``t%d$a7?V?Jn0+Vo?+gnr4~*_j4Z6*VlWA1@kID z7FJVhxu)ilX_+G0Q}Fsh4XN{wCT8zk_IYw0KA@hae14Xy)~Rb|>`H@cS|8csIG ztu2Bs?0m{l@g&E?^LAWr*^`YWO~#~aZ*vVn*TNLe8oeDhCe;BDs7DanTvjs@9e7id z5l;}=yY9adArVql1d)MVJ|Emkm8h-#;)aK)c|@VQ!%ZKELtDYTgnV3r-9!#z)iKbXY{sHr)IwGH}P8dbP(L?o>IkJk;EMo1sW z=+d51E`0rU-mMHc;qAzGE(5d5t8?9Ccv^6vSi|a0DfoXj666~<5Xq)J*0~d;(ko^^ z)kgFVY@htVx*vXs2_38;tU}eK1X(6~fry^(Z;uE4N5P-%+35=Z0OTw++5qAsDN~0R zTm-ho;^XsN>*ENCyBj$D0sRtYFznBSuQ6&jFkOu9ZT)PvN;)Wwm;%t9P8~Zr7#wXyG zfd6(V35P^RAqMC4^)tPMbW&L#D~Gy$3I3CR-%+2m*Q&_7GI;#7^>dW8BSQne&k@dj zTe$M@H#HY-$U(i#XF9E{3037`-d@7`8oq zKai!c2-Wwmt^aynVRyX@Q8e&@m?dHS;U8vHjHZ&%5t}3HTR6vo)kxb-p!@RzTr#5s ztEgA*_+4#*8ayl^10-*z9QLqg#-r(!+z%2O=Tr^9{U7Oh{3d5}9mmP-+lO z)$iOzG71Mg{Q-I3b>+cR10#1N>utfZTBgw zItghhVPE{5D2I2YkTF<+!!=23Slf^oJ!>S zt%7ZkuwO4>=*_Ma2PJ6tT{~`&n(Frb<}K;Q3ddv#h@79_Wbrt0V<}~-^@32oolYWG zP2;=*5tdZY&;S>kJbUM8<#0ly6RqsHZPz`-rH%be?TFy@P;^;&UQJs)OKPqOuz8(b zS!EQ}lQT5dEb$1UrWjrfATyt@Olzx;gc#Q+1%BbsI(~U?QnYb4!Fi$tl#wV#F zbHC8S0m$EJUhPNZ1Rkkm(Vu@o1K}+#HxSHxtInc%6DMKZV)hgB-3hig^K`0x$E3ez z<#Jw3ygQEE;wc*3meWU-a)CB9)9@FS9^p5^6`erHpZUu=cp-dbGi6vixp5l5g81FT z)M*^WGjtsQOU|m*qFoUV)+K;B4;3AHoiI^z#~3CJ6T9;1qdd3pBKJgs;~d zhjSD+Pl-;HD(Tds2k(!6w}F;16qfkry9wYRbH0jbOV}wiEuxR>ui3m`_vUV05zu2_ zxL{iLxxuS?8m*wc`+mzdQ+sV>T6)YEt*I?ld?3zSFvtWY#SZ+Jyep^%NhidniB;MA zfL5@mS1}l1N*%NB4id0f_WXJpzZY~QB_Hp)B|1cu&SFoK6Pm%?hxC$t&bJ^_$AHkq zv8ccH^%%7S|2ExN*in{}A^K7M6yxA9b||ItQ19$9evOBdqusz9jGd{K3={u;XJzA1 zb3?PCZl?T`^dUR56z0^0LZpj-h@HdL*>%+bcfsdX%HR@QI%sN(rT}KtVomp*x26{7^49$8OV4w-V`ARfPe5mdBEgJvZ@3gXI z-Mk22rF&)MjpT}d3Yr&^-8?1c7y>dtVp#oj4wS5sCWZ95kIbj@-!%2JHY_MQv5h*uJ$!;3oMcah=1;B*g@iVl zxRyIn9sP%TNLyx8{bZ7SG%8%YD=5dw;NGDRDq(X*2WY<&+Rcd7tQ{zY1;KR4* zz1;qA7_^$dfLC=6c{w=PhkV@DLtJdsOW%d1QRpVNZl>&QIIn|a`wnZ8C^ckm%=}#4 zzq9K;7!QEb0F0nLZMXV5?esdXDx$%=qL(xQG0Y1y=9Lgy(b8p%)*{l-{>)Jx`Q#$0 z`O8)T8p0qr4wmau|Hbs)wC?2w~g}2cglblL3X^WG7e^zG;r-hQWz)*VBK+x3i1%%rL`apJAKlF z`Lm$|#$X@f9=h1DQ9pwavNv-#+KL#hZB`!v5c@8K184$Gk=i6pfPz`j-o+pth~E2^ zAz*=mSi*8qc`bl)o1Ecz>Hu$iajc8=5Qk?q9^MH56w`n1ZlU*%PeA>5N;WV5L3Ilghzt}^du*LZb#YKZfBQYljFVNp>(s2w?PEZf9 zky)S%kS^;;SuQi4LQ){}Vt{O<({XMjpf!lPuvDhiVf`bJ&&==lG3sj|M7SpSUN)I9 zgHIp@q%PEHX9GjX6}n8X;IA)azw*6@wn`yjcIO2UB|P7iZk$@vZYD#B7_2SQQQm|I z+BWr3is-DE@Sv|0nJL#(+DY+2n4;cTB>4O1oQ6^jUO+qwhNU!?UlWSVT-Ywr?V^kA zOEj2~QU%yraz=euW6&I6z!F|E?SZ#tZT8 zK~+^P`Roo5xseV(y)ftwm;C^P{GwlHCXKq6+bb)L0Ld;U>iFe9hDDzd-Wj)1&z2SK zWP?UE89$2+x_8_ah<6YyY(y=@n!w|~)#N4IvC~PgGkyupfa3arjb+#&#xOXNcLe!s zpL~<|`y37UZ&f6fkkMoT&Gy6wAAF(QxcUZQvDfw3vZ<%b(En`hHGQ&JK?si-CpHW5 zI6l8E(VoN;wyDm%x+>JlOD9NhLgtyBJ) zOH`!?3{W>9b_C2iCRGwJ!M^t8Tki z1q+Ja!`Cc7NAZY690uPQ5TpKklUP0r-{xN(X7vCv@|W$WWBmzBS9T_JM>)nC4M*ij;42G;q+X` zcPEa=6D(E>e~XODxr&SW*4Rbm?yacnkX5Lgh_fZ@5v3_;nl9)k=0MQrfOC3LnsSHw zEErGnF17%6Wqy9(@_oZ<$@;+*y;5=ikuvcC*$d0M6%ZDbbfpECw1wgpBEYUU`n4j) zv zOHp=pPq{N=X&I>P?)*q1IQbERQxeM!R*GZ|lQRY@LkZS5+P}2Yh8h=DQlD%~cM54e zHr7c?O@h+#CFy-XO7H>RodF|E&3|fa#8=0c{{v^~aW7q^`a@T6Ejb^xkdeq5H_C;S z0CA(3?jyx=b2*JYEZm<67ug(^>hA|#6|e7@Ca;rOFCn~D9}m%h3}(Z>+6f=A`G&sU zqHCJ@=F_>IW2h*ypZMB}sw^`!8xwkw*3LZJ$i_KsD)OOviaNI}Fx@FO?S>UKV_8qa zdJ$J`-I!E&a7!-|6&sr(g0ynIHC@~q;^=Ma+CHFvtAM`pvHd`cpWt#II_YuULI<)} z$MB-(W(P;@@luts#3@522FH=pEqAQ*6|qWe%f6D}Yqg9hrtMKomlkRl6&>sBqlb%n z2ZQYlr;0vQHSek)iUn&E;Y5~}4DR8RU_~s#h~S|*L6Y(gl*3EICI=WjYs`#-9?lh z;a^1B|4GZ8gtzW!oq#GUH%4gL|0y>G!p%?H%Z%KqmDpruTb}*EgbvCJCpu^6!H9P)>&_(Nm~c*` zMIR5<1zdiuj(-G|%AVX+J#!l!*yEfb&=d&t^uvj^#gFrPgKNewU=HsL8N5G0;*5Ol zDw~+6+>1@**pf-MwBprgBHJm?9D+NszDRrQZ@Q$r9QhRr4z9rV?p2S9(~}(tH2;xX zMHgJNaZz+9NQ_}<8UAe}Ri0Xjhh*|C`mUG?A-Ji?beX#p7Eactw!*zD+bW^ukVm-g za(XXEswe;GtaDM)z!6DJ!$w=p9K$RI?}81Iv2qC4$<28iV#I*rR>?Hbi#}sEEc8X` zQB&29BDmMOXQ*_+NUewYSJZ#1)&uSNi6{;-X)7lJiq#^LI2BUe)d+NcmUI8qEtXIW zEj%E46Miws7od`nRC>jD(4}Ve8XACXirUl0>e8&SLT6l^Z6=w=F#c&@D7IG&zv@cn zW^Kk$Jse!N@*2qgA>%_mefr|$#Y~iKC^`mQGYhoYYDyE4WqFZCLm`^Y=O)R1$3I_^ z>ww}SS;JBu=WeO)v;~Sy0 zRS;~nnq(esN5@^JnQ|qYS+v*#FidU)22nMt@$io$G=S2gR zH2Kus&BohNvUvUP$4Y#Gs^`ElIiB$gEQ%L3Q7QK)ZD`bnvJ~s%e2vQ*t-{Z{HfRQX zK8ZdzGy&D4dz4_#Z%F{PDFUL9FJxHu;^3CH$TMmpg z``2Lpe!>6cT0~~7=KrfKMoj2`nMtNuTs5~8bZDWxIqR0dN|e>tbf(%1qRwNA!X-9j zoa$}NW$JWO#!V^oFF903E<$-R@3h>KTAsFlENk+Q__ID$(Wu;XNiNUFZ(bl?#EGUB zt*@~+XR^r#iM9j4q13)Ia0XUa7%t2I85?73zj;LQUOW`z*T(ay8DdG(=&F{bGZZ3` z54ma|kul5TAvl7l>D2%b1go#sz&Ht=f|L9bb|i8Xa7TET|4g(`tl(z9t0(A94>Zxj#w{_R3rki=q2;G^1|KBAB$tSC5ts$tJBCOGo}7m&K?4 z)fHeZQQRk%iIznkt_3B$>F%S^JYT%{_2TPgIeVQL*;qJ%k(Ritj}1QSQJP2MLN%3# zxTTI#PN;95ByaL&2}wsX%9Nax-*j2>#wF03xAX{bQw(LqO_7iNRXmP5_W%?bQija# zY%!0*w%2PFFr@SHkug+Y03TSZ3C%J+m$+2l-M!oP`^o7&d!$Uhb0V^GUWOEAO@_Ts zNjoi2WXLfuWWe(`7{7WEBBQ%a(!qe(q-=WT}Bb#fpPTC*dtp zikf(2E?(jptMiD!@uCbLZP;CpdW)F#6gg5sm}yt{Z4WL}=cswbPX5Yok%$pVvbbC6 zz*6KU$=P7+kzWOlbcm1K!9atYu3QZHL8@4C#)9ZPFvu*eZ_9e2KTQ=mzWy2T4(t2O z1Nu{qJ=$bC#gTdFerfl7BXC&m;1d&$@tR@jh#XVyT6;9P1tdcN3zud~X}k*R3uaj_ zzJxgd9Wl|BI9FqU#3i}E&lw1dVLXwq)2zOkv{i@bP%bF$!1)G&9X%#2cHSOne!y_O zgp=?o?}!uqs3?%KgZX~|P)h>@6aWAK2mn2gzgBT8&(QS%003|S000jF002;FaAj<1 zZe?F{bai4bc2$qTPQx$|MDPBJIf7J@79?ot3H8)lR3U_f5ZcC@#Hwpswo{tF$4-I} z7i)KR-p;x#v9oUQs_i0HoAu+}{c^R&yVA;B7ucJ+z>C&6WJAD#1N#fhLWr`&Yo`ME z?Bam4mAz(stJuL=A0fHcd@Z5eSub-+5>D#S9igSHLGW<0k56{cbUw4*mA4mOZ))TuGY~&OpegIHQ0|XQR z000O8J&(UuibfN`GYbF!E(-tv4FCWDP-$>wY-w&~E_h;OV*xG;(ezkscvdqvMM5AT zARsj`G%+9`ARr)OF*bPG&750P+u9k1EijG{CnHWyvNutHB!mQf!r+jjv1M?OEl0K? zgs`<8;}9pDVjDs>JNuBdGd;}ouG4?ei}teT<4@>iXF9#=ReRHa(3y70GAvnqZe+0r z!4QUd*823m@6ytem$36#NIagIpAAoT*w9y>9sRh_3)hcW!&DR4$feuX`*1#$C>%s>4R;-tIdNGv+aHv&Ze7`=rfd|IvAAqfE&IJv)}t#6 zXv(gkvR+&kP|JR&l=bP#rZi<&QCUAOn^MbutCS7s%BD4CS5TP?mrbi>zj2@$d!B7g z3IgwwLy<*SC!&9OgF0VenRq-L*We%AMff6&KY{V5EE9|HLTEXhmV^ra;ccde9%Sic zIL6C9*;|2szmMQ02yzg-48b7?4nuGRf>$7T6@u3wI10h*5FCTx4G7+Z;5Y;)An1mm z2ZCM*`XK0s;N)@GMF&`WG!o`3;72V8OtE(15d7l~0@F&5qzZnl^av_Fs^DLD(1CBU zbTk$fy+K|*@XuNhoPpqN2;PC8+0L2w>|F$g|{ z-~t2%2*x3pfS?G$Bm^HpP=a6zf@uh5Ah-y@B^COos_-Wc1Rq0i8G=tBxB|ha5L|`e zXAqPj_&Ef#5PSx~90b=On1|qV2(Cl$1q2Had435rQQM zmLa$c!M)?KlYYb6(al||06*iR_t}o*d?>|B=oPn|oL^RNvwNuJZJm}b`U}<`i$v68 z{AUJ%13mas58l;+UivGxRROXuEG$&$huesL4bVRN8w$kVLfA>ar&zmqsP+CHA|Gl= zGyQX_oo;qgt|rblYV+8dXvYi_9In}jgat9YAV>H}EF4Pn5m^#5X`YLQgcQ%MtfQV= z`Em*MT3A&NXRkS26Jt|xOAUU?JgHR~Un}H}fiicH422g$bG)34CAhRV$i>7|I+Tcn zqzET0hT~$&?|-M-*x4@D_;Az+E-o%xiy(}_xf0Ij!!=?~ z8tN3NAr-%ctZ>d5cQNl2AsHugW`9Z)`1Z?`UQEfYUKy%dM>_WAZz!xty!d-fWo2Uq z&gEmkFVhR09;HcRo0J)zcV>4U%qZZb&dL>0MJ+Eb2C^XY89Ck?KCYpu@x48;h2QGl z`mv{#i7Pi*spjaFC4Y0f1lgXtqBVaxqyBF47@eJ~WZc-jOX~@{-4+@3%2~cI)9O_x zqC{oj`7|FUv%8jqVLlf+mr0KE`SFOYneNPX(PB?hMh%-oL1K4W{ogOUbd*zNA`x4x z9sZB%SK3qGOm|JbTqJxRyADiO2uc|>YJJQMDTe%0PvnO3Jf z5k>DKxB!n&8RA_Hg}kDMkboJs>u7N%R4?Jl9o@-%@+~soE0t+Z=4vm8LbfkxEK62I zrR$f}H~K|ib9$>a>xz`o>lV~DKgYj1#A~08Z=#0iv%vcw70~O?;se?p9=wRFQzxt0 z7JMZgOuzjVvaN(B0eR>yqzq9EqA8`^4+!}9M0~)wcSfD1rYxF%dkM0wj3&|YJcq@g z2E1l3XP~Bc9+dF0_tkvHL+7N2dD#1Sy%o_kK%VCk_u10*Wh3d|Oiyg6o&pUV$nE81 z?3kJ^S-11+mXt;~B^fylXS+=Aghw>oeytX1#Exwnzu!8pHj|ps<>r!5w_lZUHR&vA za5a~Iyf`6KkrHXXXK|Mh+c3LhSceUKTk$Xp`zgxXpnvR^xelMEZ=GYCvXJ6O9DkTx zbuoKden-`Hr#%N6W8zKR05>pGlK|0LvnApBuWK?4T8qRcsc1iGiSlx z;4KDq8Y@2zqoutE+~id5L`m`Tw(_z^8VK}U31Z})UVw<)VCM>y!UaN|_PjWD(d(FW z9ZYC)S6I`XkEpMGC{9s_Fd(U}gwX%kAAi#m!ZB|f;m^aY9;i{rED@kNR5vro)u0$F zET&|Sis6K~mR<1nRQ+rZs0Z7ipM1mI^bJP~fm7v8X=P@`qDJ3~MPZCm`0GF2;kFIy zwh`6B1G6r9q?Kh^&834*e>D(fW|FE!@{rA+G*q%(p?2}PlB>7Xee9v^ z2WE9BKH7Avl=+HFl^?m87)!3mz)ekjC8GqHrLJnuXn0`3oq71IOLkR)*8|Ms-o+yN zDiDPYO-QpilqO6vl5VOlM{5cu^mne7sJ0Qjn`fZFQeo&@Z_4mCq`w7eKBca55akqb z1HAvHV3QxcI3-%jaVaNgs`@7bc%Pz1W*J+@h*-!v)@AI^-r}9@HTe z3|^=1C<*q*Npf6PTAZgx?tIY($4O>gA0#5e0zXyDr>5(7AJ)Cf9u zeeTW42`w1N%W+_lU&6{)Qov9s6#z&y?h{QxW(!j`rGckW*(E$5Knxmk^rasfsTl zpy4U8mMLyqtFAO!eu)ZXPZBHZXyunw?%^P0r>JR(rR*Hr4HIbQRuqSJ^3^ed?f%_+ z-g~2eS>W8*&h_jY-rWs-Be+{I)1BDc$k7~d*rsp;gkfu6JA7JR_QG_FHx&~9(y%zc{uL)=HXRQfTbCQI zc~%LdZf)($=_}phzuOwiIhVgy`C(l)vcUv&bFVpwF@0r1nqCtYgfUImWlBNlm zPmnJ=XGjWgAA7I1NmW+V=X`&o8!21h~6$4OTCD3oblMl3+6{ zt8zr}rrmFcg+8p>9DTsbutn>aT=!M!>Znh4&Vcxs_KFyh;?I$@%Uu$AOCB*P^2q%W zmpHlFdf4f8xjt3^Hf~?>kABo>@j`4QN1#4IK(n_a2l~27Xuv*RYoDmZe&>5fjaV8p zsVI|J1HJCiw5r^+sT-r`kEr$DUo(EB3FDH|Ht;648~U}FyE_JM2wK4uG@eqkv|Ze) z?7q?oVd?#dq0AQKHAwZ1hC;63^(y z>Js!FRTl4Am5%9)^@+>}cvRXst=;*Eh&Pex>_9##giG?^LASsv+IiSgrIU}!9omxd zyc;2m;|2XYFwYN!%FOhiqi(L-gYz@<-@M-h`LXf&S%**CrL+ag1a7pux4dawE^J>Q zJOFrEeNlYDK&w*60m6At(oQ`noj)^5THR^%WwB^$kx`%|;oMij7i;!E8<0-l{R#gb zyT_ykRD5`fZ6{-%*SX@ID-@_U3n-)Ht8fvBvs*)YG2||B*$6-D_dq;t=57~KHTuBZ zI%gHk*sUO?t}LM0qK0!$N+Wryq>EGtBINmZeKNtNscomFgGZ35*Y9fB8cLg6z_j%) z_i0*+MtJ+^`Hc`-QasgUdc+E`@xpfGw~a*#wXF|=hVMVT`U3}+X3W;lyA@M32zsQ; zO&kY~>!>x|@~v7NroiW`fg06L#Dxut^YimYyo$_YO<8q#S&&FAMq77}iV@JXXJg2| z^&se@aDNpfQp*B-zGCzg?b(!4T{L_`Nr721|EBVVVgKsyJ*spzlve3;>22q|u85Uk zRL@?k8U0pL5`G1CCZU;;<(^SY*sA)RI<|N1Y9)zvA!-HwA@sz2wS`&>^l?Fj$?cj4Il`ylLllKWk8mw>8M+u{_qGT$YU zki4dn0lpQIkM1}&o!BR0;#iCcCdg4doALBJK*=@nZgb@bu{H~73$_;V7@B-w`4|yT z+snl~XPiM@Z8wJMKP?U{eoEpY2!~m5B^R7-S-{e>%QnXq19RP^YR=1K{bRmsRMYxb3TmRDU);E zHiOZNYf3TKz@ywh8Oxy`d5DJUEP?r-#7IMnwY@>&45gx0SC!vfge&(!&kh&Wc0U#; zB1Ns`6pQb`_4@=_hv}=|Ki#BSf!WY1FJ-`SeXuJgiwE5ij`On}>A6*wHycbl&q~|x znD^Ae_fKS{&)LECm`>(@F-`e^;)vsB=MCporg?u-ds=};KF1RJg^Eq|Sw*KVDw?jiJ&O8^qXQYa#X0hd;8B;NJ%VMdC@WQIc-N|cYJIp z9v9(Zpd4^m_KlHqv*}a_X4u1UOL8;3XIM>Nv)ubempZZY+I+knr}UA|1+$(lz<4k+ z@vdnVL=;+Z-P8XbetUF|&cA&9F}s_34aH0?xQRHScYW7)Ktq2it?%0%XEj$I<1NW6Rf(KTJG4}X@{d9jk>6Mp!uB|c5#6=Qt_A>JG#!zLbY94m z=x?MQ!u~jE;;Hevr|$%yjdbCcCpe@IB`*ZK_#25r*!@4074-7JdH?{hS*G~!asNSc z>M4Kgs+aX~r9O3fWdkMA^&^fJXBp-20UY9r4gZ?|U3Q?!Jytx%l5u zvNJnQ9yffyk%_;NWHV@>eUj`P54Qre03vw-dL^F(Kmn?v>dKCq4pP=;A}D8bdleYM g2IgfdsVL=m%S6=Kz(w2BTipikpeF8N>tiPOe@Tjq + + +1.1 On-Chip Components +---------------------- + ++----------------+-----------+----------+-----------+-----------------+ +| On-Chip | Power (W) | Used | Available | Utilization (%) | ++----------------+-----------+----------+-----------+-----------------+ +| Slice Logic | 1.294 | 831 | --- | --- | +| LUT as Logic | 1.166 | 371 | 20800 | 1.78 | +| CARRY4 | 0.105 | 33 | 8150 | 0.40 | +| Register | 0.018 | 342 | 41600 | 0.82 | +| BUFG | 0.006 | 1 | 32 | 3.13 | +| F7/F8 Muxes | <0.001 | 19 | 32600 | 0.06 | +| Others | 0.000 | 13 | --- | --- | +| Signals | 1.154 | 691 | --- | --- | +| I/O | 0.804 | 13 | 106 | 12.26 | +| Static Power | 0.081 | | | | +| Total | 3.334 | | | | ++----------------+-----------+----------+-----------+-----------------+ + + +1.2 Power Supply Summary +------------------------ + ++-----------+-------------+-----------+-------------+------------+ +| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | ++-----------+-------------+-----------+-------------+------------+ +| Vccint | 1.000 | 2.486 | 2.468 | 0.018 | +| Vccaux | 1.800 | 0.042 | 0.029 | 0.013 | +| Vcco33 | 3.300 | 0.223 | 0.222 | 0.001 | +| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | +| Vcco18 | 1.800 | 0.000 | 0.000 | 0.000 | +| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | +| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | +| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | +| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | +| Vccbram | 1.000 | 0.000 | 0.000 | 0.000 | +| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | +| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | +| Vccadc | 1.800 | 0.020 | 0.000 | 0.020 | ++-----------+-------------+-----------+-------------+------------+ + + +1.3 Confidence Level +-------------------- + ++-----------------------------+------------+--------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ +| User Input Data | Confidence | Details | Action | ++-----------------------------+------------+--------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ +| Design implementation state | High | Design is routed | | +| Clock nodes activity | Low | User specified less than 75% of clocks | Provide missing clock activity with a constraint file, simulation results or by editing the "By Clock Domain" view | +| I/O nodes activity | Low | More than 75% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | +| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | +| Device models | High | Device models are Production | | +| | | | | +| Overall confidence level | Low | | | ++-----------------------------+------------+--------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ + + +2. Settings +----------- + +2.1 Environment +--------------- + ++-----------------------+--------------------------+ +| Ambient Temp (C) | 25.0 | +| ThetaJA (C/W) | 5.0 | +| Airflow (LFM) | 250 | +| Heat Sink | medium (Medium Profile) | +| ThetaSA (C/W) | 4.6 | +| Board Selection | medium (10"x10") | +| # of Board Layers | 12to15 (12 to 15 Layers) | +| Board Temperature (C) | 25.0 | ++-----------------------+--------------------------+ + + +2.2 Clock Constraints +--------------------- + ++-------+--------+-----------------+ +| Clock | Domain | Constraint (ns) | ++-------+--------+-----------------+ + + +3. Detailed Reports +------------------- + +3.1 By Hierarchy +---------------- + ++------------------+-----------+ +| Name | Power (W) | ++------------------+-----------+ +| Pipeline | 3.253 | +| DataMem | 0.035 | +| Stage1 | 0.205 | +| Stage2 | 1.072 | +| Stage3 | 0.208 | +| Stage4 | 0.140 | +| StageRegisters | 0.099 | +| Ual | 0.165 | +| inst_point | 0.416 | ++------------------+-----------+ + + diff --git a/VHDL/ALU/ALU.runs/impl_1/Pipeline_power_routed.rpx b/VHDL/ALU/ALU.runs/impl_1/Pipeline_power_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..1af5673ca307ed15e6f9151d15497093b7979795 GIT binary patch literal 210651 zcmeFad4OC+x&EJ-0Ga7*!w@tCAp;>HEa~)FdQAdkAuJ{&WMvD(m`uV*HZl_hFRKVa z5oAZaq9C#LUT+wNx2xZJ z-{*barK;XFWXO=V%((5`oKwg;yJr^8>R!~_zj^II|2GOlhh&Cywk_=2*t@oGeebwC zhqVpq7&3N9*O18<4$JfJ4Ji#dQ2yV1`Jbi#`q$~FAGL30yJag^_N^b-ambJ}_TS}~ zV=kUP@&3QiNT;gI0Bdp4i6tkYZc!*74O9Qms9w^7CL>+=sl&o|L`O4pT2iy;ie6%Hm@A$+pvDq^(~nlGQ$_I z?OWN~HE+Y3zLm;ox6QmOGh*J-C0#xJ!B4;axt6glUE5_wXSSO?bJ3zB{f@`>=Lf?x zotd^py=(gV2YNSkobiKJel{#KBD2k`rE?GJ=;H52XLigCpIe+dx70O%^GUt^9Z&pI zB#jbD3kHtw-PF$~wsie`7(W=cxNpt+p0)CmAzdw*;hCX_OkL3Ne*R|ssq!5@+|sjn zWADno)hBn&>FwutyB2QvVDF}`S({g_=^g0W+~2o;&Awgzy#w7FHui4n8Q^cbS8iCp zs!zUZf7g^%>R;;KDF0IT`}Dsw{R2H~H}`h+t?ydiGceG<-|iQ+W|m||pR}^rv}h>?CV)OZrH%d8~axFtlfRrOa>@$r{s!L9Db#y)^Xc>z{%#O z{BqHhS@~P;X`fkYJD{!eyq1yjCD@I7XYR7`rgLXa?7C&rtSR68+h-5R4;k{x0j0mS z%zF2_-c@~@*L6*vum3+HSNE;$-D|$*U2*^*P|S>V+<^^FzH74Mb`5Ok;!pE>PDYD+ zb#{dRu9MsSB>rzNw*20iU2T)wa&5=89pApO{iL=tTH4NSX}hqcZQMSYmgSu-%f_{C zoV$C=%)N&$IDQ?gIAechLkC{>$nlHk%ik`Q|JnPO&yL7kG8%vzGVXzv%&<8<13mM5 z*L8+}*uA4|o6ZsH+jVF|<0nHKezJXAYv;(3U+*1X?zpjqrA?mAFKx#!-8iFTM#p4+ z(lu-M?b})!e`))+Uku~7I-cRT0^!@Y4db^yz4rvS;|visL_`g#`@91X^>g|6MCBdw zOK0rQkGAFC`+|JlP4c6?W{fp;XJ~yLdCw_A8Og>+Im4QbN z-!J?s9ekA$Bez_B+O&=ie(4PP*G%7}x}t6Q*S_)kw`O!qnPe0u9%^4jN__PtT__sviU%TyqX&s&XD3LoQlJbp4ytWfRKfiEqI z?32~5h&}&!&I>a-rXb0ePm_IGa_H}7bj;)@AD&hFB7X2i{KPNvi|rqrUjId&J#~*6 z9XlfEMp?Fe*h@dTxWVB1hMp4|MfgRITR%GTMdbUR_M_;tpDbya_ zHvRqxEC_h~+`&z67vXskK8j_ZzWX=IHLq+2CP9;tN8VqmqKP)isHAbzC@R6$jr6>IqB zWuswC-xybmRnx6hH*b`$z=N0_YV*NA)TF(KM&#yUF03KU&mNJ;@k2OVoSpw z`}DMqHhwZB^rh(U1YdFWtB)AIV)P5i&Vkk1ygz>biv2p?!%u!0exd3A`@a1G%;R^O zcUN@v{N9hv=-7>aYnysw8p)3q3R202=iaz*ddFj;zAp*}Nn|+f>g)FJ_||s24Egqg zIS3z(sQW(f%?RiVp4JD3@%*IyxAXV!sLEDmdF+&h2h^kB*M4xx{vBW17TNoX?8Z&j z7Pm+C6Z>~u$S)1qLw-pt)p>PjrT!LJ#6aCvD=Pq5C7BE zI=MC9*Wt{ljH}t$)CHRdx@UE7>RoemZUz7CJUTPNFPB_D>y!&lE{FJHwv=;S&&jkp zZl=XIiXS2RBis#yADbEB zxkW#hbxKa5B#J3y3kARA7nEXJot$y$ho1HP^kmIAD>|2FMmTw==wzLO&*x;?%2}`M zIk|kM)${oX$0&Z?VTd22iOf_?d$VB=y%n8D zu!ml*T*~GO#hj0gl-(>Ajge$p{SsO!7!DMa$8 zLJ|9qc0|S9ecf*N`tDq3k3<6g%7S)97At|K?BqOPsf9pbhPzMP-aoxEJ_rPxdi!(T z-LB(wuk6{h>0~~^$?*{dB-q-D`HEZ!Dss{zHkkj$E z?1R=e;$OLIX`=X|5`R=?1a^(T;5a$3wB5_I0Zy)n)^SX;LOy-}0I> zc*!pjAj^)#^EN+Qc8i__Fbk)AevkRnEALX8X>iJJS8b%9D_|ggu^_CWOqlc?c!~0v zw4m_vp)(sd67xnCVt}P=-Y?-g+5idXR;qaXv|=2r!bChV5)jJw+=7?Qm(c;T9Usdp zIk|$kO^auA^YfQ_s3M}~Lxi)#-teH#<1!6(i6QeQ839C`(HAlT+mq#kQaJ(s~JcQpVX55 z?rwoqUp_%2cNx6S3)>MBEZ{^IpD=9aUDH0HAnt?hxlXoNCh?E}?__GEPif&gN6Q|Gn9`ITyyT0IGz6?q6FinfKxBhr+==6@F!$brG_jTvdOD-^S ziD)@54_|Eu3k#m(!YlcJOq)k`gTiIOLPyLi{(kGISn7bkljb=^Pe9LO*Cg^v5cXUMF;3HB zra6aR-X1asFHmzp(Ma>K@G5htvp+BA+gSLaMTDm?hkT%RVuS0I3MC!nq4QXZh1##X zc$iV`zNiN{jJ1?>^(;9C@tRmQs|S-2P)X3e1j-ikrsiJUw$ThxImxMyvtx!XxuoQf zWwzF#OJ|{vD|Q>&pU_u!t?pkLW2qHnRYi%t-*(tNK9wJrrJpEw{K0WygSPM0Hi8-B*3;JW~s3K&)zqt zRpWX*Z093x1c zf{$19$(MBSfs4beQuQ?ahTo*=sinuo>0`-k7tw3E8j4Na*xY}rZ4)NI`S<@>&81j8y5um6a__>TGrb21n*mDt2B zUGevf`dYH$$>5q?KhRJKq)oM$yPv*2w3uiwfO5SfL_Z;(3VBI)PbJ%|nquPW^ERC5 zrOUR)tzLnmMuE4#vB|PkZK6r*gE1PDZ0OuV{FI&rF(~ikh(T=>-15|ybV895gYMaS z>$Hk)Axp)ORGEE*c|tjTRfMEFB5e_Za*lSCK$bbE4ev)_Y#{{|3#BbF+e2nmhREXe zfMLOv)=s*C{Nj+C@M*!i6ZeF*sd+yqvHA)i_Y^YG>O5}d+D8(l^rf{FGiL*cRxp+;m*oB$l z9$5mFQis$}vP)|8k^`!a7KL8ZVy~TWO=u17RI0DD#TpK$#8@sAX@wN>B~@a~!C+;f zK!&**bMY%zO{;9LzPvwG+D^=UNy%TArs8uklfD}wjAfGE>TV-@g zNgku6B*352<81%DD`VqqNta*3GDAEhr!M%se|)lO!5}f*(f5f=S*igg0(Lv}x9m}% zvndPz^x2Exj*&W7*ngDPX_!iT!eS)$Npxio%U0ZR#5orvvR<8XXi`mifg)}>FC81v zwMRL_!gzOl<@`kPI(uX%DMS^-hD%azZZCim(x0^nQD<#EH+1Hzb*Op~tqP&ll(}e< z<=kuzu0ijyJx~3mSf)2?vGy(N&jH*be5_iCM>3SgEftZB*}2fWc8B_l@0MggQ&Wvk zo_}@|rGcELaP`!H&CGFJ$fFaf0=6kErOMvpiqqp{6Hfggi1=kv=`8yOZlY)>TXN{y zD1S-u@n@g?P#nUkMJq>-ua&1`{o_CF56vUwytO(P5lRX<359qnXeq1q?mn@}(F2(Y z#i4YW>*pWYB$J>&FEQv#QN)~8?EB#(rd5UqR(!bZl|fo+juMgPO;ysmS)1$RiI-#| zY&V1ihwyVY?)%rB4-cya^e@$fbAK2)x)%iV3Jav^MNUsm*>NE}G`(cBLM9bblWu?g z!Z5@Iga;p!IS^V5el}Oi30tL|OHP@S>O<=HfB5k^3517j5-7{N)TAktiYnU4T^VKZ zXmh8t?e&*bLR-~rJ1l)W0>dgOB_?Rts<|@u+Ch_>>kJq7^cg(%zqW+b$vEHnu2p!c2-X@ckQj8x#7Kqdh_F%FWBr1kG?}RIt<>O)V+3 zs{fj}*vQvA6YL7^pbQq9BGx!;53vS|rM^YKEIroDe{)m-uDX6(40`xd(4sj}A|Iy- zL|)E=;lT6<8TTtd z$sqg^5X_AFnLCi%86lH%HqiJksA2)lm=dC3sPGtRsgnbs5(_Q7a?}v`fwTnc%}jMP zi=FRH0`YXnz4^R9Re}H}AqUvP3X=LS={S4-d;eI8u>!PeT%#Ea7hnH}aE$^6D#(zT z;aY`4fuRKYs|AJ)U4jE)3p6nI=N_H^`%2XXYTX8^fldGhMTRPvqmvO#+>A15$;wvW zea8z3Zr&wnEgY2@4!AIsP;_Y|X4-O0b~=OwfLl71W`uoa>$8ihZRBSc6jP01}|ViL^@@cO|_Gp!nRU!|cetV_;ndMwuo zvtt5=Q9zmZV8uceN~8}q%TXG>r0T zs11#A3zPfu?{7}<>4Mmsm@-4!06Qf(WyK@8udc*b4Y4>K;^T`isbF!(O;^Q%yRN^8CZrPJv(Uh)r7W2aLC0TuWwIuG z0iSp?PN#h@j=dlRABk<4@Vrchy#jFZ`4a4zaz&mjMURT4jf*^d@42BgA8DG5Gao~- zv6KUFL|jqWI7pVN%7NvlHd-uX2dVv7||7}FEGVm%EjKE^0>PNpi#GF9r3r+Kt-ZG7P~*BzJOV@1VAl8Okas_?(F zB2E<;!V#Gac^IXz0uw$0Hq`bwC8EGa0LPR~tSlZAYND1$(K9P5Okb24?i0@V_SB3a zyJaAa!yM9#Q@Suo{@N+aDnX6ctqwE89p`0+yQI3=0(i(AFi`Fnoic1k%Eo5yG-TtV zN@awCMqR_3tyG%Sj7(MOz55&#r&2gsvZJQ5gd;DU8@iUT1okIcSgI0F96Bo$CTtO5 zM`ni8*k(!$3hyZ}&EfU}rm0`|ioU<#I5WZO|8TWubF`qwtukk$&e%$#!uiXsB4$~#k4uZ+3`X|ne~WBA#@Ems z&=CP{i*Py2=1JZ=IN__mQ5D`$uG5tY+0lX|{+wXbbDu$HP7-u8Am;zvQK{^rHngU4 z{?s2F0}vZW=`>PH)E625fPzZ1*ElT!xTV4|7T% zax{@(iErXRjPw*3_G%}(n?n&9*&s>C^S1^~q?;P-Q_)Wx+%fpJa%#yl5lGxjP$QKH+dAH(M~g zKnX4Q9R6ht7wU^!$f*q*3vM~~sW_pE{STM9ei~C?I*l2n1n80CY$-N2Z0VDYUaaN_ zHM+}kV|bR04j$V0)41iL*j|#WqT{GjDjc`r^bzTW`pd!|KKRF`7k?3N3>a=!3XwrjD8Xx#dV{9b=YrK{9kp-bukz&Ht1*n8u3Jm-%Qr z(&9q2;`b0D2JTQm!SxCLgW;h2+;euhi4a9OC}Hmec9146^G^@}NPl$_Dt+Cfclt=V zvX9!q8sFNa=a<4RbZCcREnzs;0po&iNP(Do1vHG=x%3Zv^XY*`=Tv9EH1-{qu$30A z?xP<+v0PseWji&NGH5T=#1Km{4jY*M&2c9*Se@cq3QLJBiB^}&Z_ga^{?O_qmyRq` z`ut86?QnDpg>wB`!ZM2ztZ7F(oC^gpgkQ;4QO(B0EhJyK0m=0>Tjh3|XJK$$nZS7+ z#)}}wgE6>QPG4zlaGH84e3BT$K&ABQRX8Y>trT?e-1n9n4wi&HUCF!A3%itum zq@MU4FlvUn-=8)yB#S!HtQO!^Yew;A&KYovLXi{ll0tCGu*?+`Hcs6+=UuUuqMe$` z2I(tj0*GClH)qxKey~Xk6TJDj9m-*41D_*y(~*OLlMOqBKYCPXn7cbvF~`h2pKSeE zshN2)o{5J5Vseszuz^yMSzzGP#zVHb`=_M_4^d#IJ%kPcbA77n05U6$avs@r6hMHK zrqa`*i|;Qr2Wu^N2_!ZYVfi*l44<6`gz{%mm~>B!AnN)7pw;)(e%f0B+cLyj_6%2X9t9|p9?tfN zIw0kc@m4ru+J!k=9$gf-j|f*yYzZYyT>uNzLqL3BjcSI>g{P|H&dUyKT(T+vYJk9? zU66;FHU@%4uFPCe6-bv`nK=Xo^HqpTl-48j-y-nq;x!OM6F_Dm&MCpeD1_LgmPfVsZplYN0Z-3%MEule_P? z+m>vZoK`*7!t4x$G{<==u@+8k0Z=llmUdUnHg~*MO!9h&hjJbv{A7jV_R4vDx!^0+ zTAuIud9lGUgZwxs7lSC&V2G-v1}d!xlgTfq9E0e@+M=rvMOH3tdFjea3V@v#3Ai@) z`1k7`YFxP*$9BWb)sR92rwJ{aD_Ws9X+!j`Kk}YN*(4T`at~H1i7n|0!y5`x3S=@? z9O?6yeYqHGl@Yu`DNMd|{xi(72oHqw^zD4`gssJfD5>gHI+u{C0@xDam*$T~LXP<5 ztlaY{nxh>(5|6%Jh6Ro9}(_osm>mvf{_AAecCSZNo)p1nY#_i|Oamy@V8 zl0*=9xIn$6)1T5y+q|_S{QmV!Sywa;SJTIwFOh>>BAC*<75LnJV{5Uo&{YU#fkOY1 zo9!fITl?3ZYY`fU`ck!f6iRQ|0j3H4=VBqbM-00tF|zWl{NE7krN zJb6yR&`xBp)S58^nQPS!A+RV8k#-5y18dKUTeF%$WJF;Ju0~GEy%K>(Fb%|KNQ2d! zlYW0vUm<8}g?+@J69-$7pu>qJpcN)NIH%~Ja|pVKp+dnuKq6fFdYBXEGY6&S6F)Si z*=}nUGr60PdHQxHh{;MgvY>?1ci>X7bPSu}kB+lj_O1_+d3db4k!CPvG5*D&1v7Dz zh^b4#VF`{I6Q=8ZE{suT!Ujl-Qq06`+LMldBcEj2_{=gHUXeLJncx>d&gGdT)VJB0 z1mv@yI+dzoBY<$g32 zWhfC}G^70sm)@3dnm;0rV$4h*(b@9J^OOeY*4lvZ1K+{Xbe#Plk~ggV2KEk2ZsofBn`)c{71z z<3LKeyNi?60e)ukDCr;WK9{in7BTPkaDVh(m9E{3Jfd3WG&QM zLcwH5S{vr;lv`WRjuWit1?tc%rc?&^(<_$aPWnb=GhBT5=riI3E3Sp9fJ5RqaMvn! zC~Qy1q49GNtdD*Q4q?;tMXfSXr5lCVLYXOBjcMkB?3>^0$p`HvbyWV# zf|s4Lb-hjZ&9!ztU0qUJ#}|->TVTah*lj|{wkJ)BZXJe;t~uz#7~df2!^M+#H|Sbl zG>&Wv1EjCuGtj9Dx=3YMH2GRFqi_%ayy6C zDo2m40PT01#w7t7&VdJ0j$-spm+Np2W^pJSix-=nI32s@5cw zTc-y9vnT(~4+c4Ejr3a95mW-D#!GAk-hCz@LdW0&6AM=P;CFBI&9N0!QeG##P2y5O zitG+hoHyqhKLVH<;o!fREG>jUy)sCgif~8md8J|NKsY>FuahxO8X&H5MPd_eF+vQV+&_*(_@Pa{qQU7F!Kt{eAljQZ|dQ$-+fA zC)NV{WkA_R!S}7+Vpuo|M)p$i4elmjFecbM&X-wOSxd`D{Q3+r2p}5Oj~6FKD#wYm zSO!gP#6I=cvwSmk6Ay_dxoTG_#ZzbwzJPGsbnE-ks#DF%fj27`Bw;M*0T!VGY(Y8! zefn4ZDZ7erg-Xn>aS=qgaH~Q2M=#$Hk4l)Kau>NQTIOYyTXR<3%3aRvJt68YimXX~ z!{nl1gib(lahrUx<@c-NZ9_M&0_>qv5a5N5Ar?M;^>Ztui$sY>DD#!OjN*w7s zH0PFzZ>X_>JODg25XmwXTV>bjk|&HQnE*a=>O@&?j#<5O72pX_>6ZJmwyfE5!DQT; zp0rQ+2v%QUPQ$`-pO`tRaqCo1GdPWkTcZ@}GeH}j!_QV{Mt-pkVvXZt(x!^KwMrGZ z5L#|R)9Q!SS+r%(y?0l?GESNY>v$1W-Cht}=Ac@qMP>oyD`3|0tbw%D%etkW8o5u| zZ3HG$Uy2MG)InDk?MTCy`cDv4Rhpe{GT(i0n-uNH#7I;-5Jnl}b4md{=ZX)EDBX0f zzhkK1SbBgX;Yb=rx!rq^iR#^tzUd|Nud+&1SuHB3*c3V`WA`>yg46yB*$ssfRtpWq z?bT^5Mi{hpSZeyt+uraDZm%JqBuIkg!w zCCjMzgVis3X7{N@MIC=NWq_SmqoSRoe&fo=o42z@Pt}yF;yT>bp$<6cAY;||?pXa; zvx@3wNZDDUqEr;iauhdkPlmTFkn5^7k9u)qOGHKGm&~lGJyi=ma0w1-NG_nZlZT_mKNW&=^le@eN|hx=!0=gxgRC6?o`be_x`R|*}Y-hSec2lvYKJ5xSMf=JYeZ~-|qBCj6l z@1!mOPyeKUT(#9}Ojj|`;Kd1KO3#%8f=W+{b4kE3_Yx-Wfy~5N7*GwDg=(@21ot1LNyUe6QE^{V%MX-4x7R1sN^ zHV{Q}a@G-04x@yvv)Kqx*GNr1(SA%bjIpfs@eJ`=J^19rFados@CV| z*+%6=JaJYnZOZcv7J&8a^v)C~s?nvYo}dSSSXc?L$MQkJat=l_C_72&M zX)rkuteNXfE%Y$!>F>nqfks83TR93^mC2-4x^5r)@LjPoscQtDBDXtfU$^y?)9se(4UkGA>N5gO_&Y6h${B z8L7%BhQVAIY+9>EM3Z@j(PJgUKzSgLzYI3G_VK>1ldS%6)4V%V>5G{^?N z*-}jtxjwjl8Q1=kqXtgo_AYj${-kYaAI zX1Q}d-LzMI_0vsjR^(H!S(!JF>gKsqTkF}5_^-I@Qz_C*tYSBGeYB zS#v5;`_zuT2HC7T42+Tx$}L8N=~?{nf}SQ_tAsFW8xq5-a%t^Yc;m|P&2kk~N91z4 zxmYWM+7X&3wp|v#UTt#1s#MP#>LqhG zvpk&=gc>VDIp}YtrX?#w(Xg$U4v)REqoG_o5b4@bjAc4Fxk|oq@`$sPEo#-`!?;UDt$!A1Eo~CmsbU14TC_exqo)XioFdk3dHKBHcrn?E06~*TRJ-F z8@ua|C$r@ELUZW(PzNrd8`OSYJz|peergX@_?08g3uXudHkcZJUjFk0vvOdAy5__I zajt5LC_dHd&-&ahZbB_ZVE{EJ{Z5cJ#b>ZTPD~F^-I1|WNphrK7s`~G9uUxc3izd)uf{GE z{MDa=jgTr1Y%>O<#M-Lig=;@*P=g*r)__NOL~c}7Hm5=*t2V3nfyo~-$j0W_K7~gN zAbFHqGUV4{(fnm`&&`y=W~?qyh^ps={kj0^vMLEf0*sj;kJjTd7IE z3YWG21#2zwfLqnAS!-(I_>Eh~$FCLm(Yob|(6Cx<8J`}Uw2MKv*jcrtC8wIhN}}q0 zr0VvUuZ}awX5Xp|kAcW4d*tFU3)mduBU?Qw5|*r8xB7;YJli7R17#2Uak>h}lFi}i zFE8(CUNXE(qcWExSEo27R;82D?4LVXauX?qr8^Qp!W}F+g}^FQ3o&)cw_f^Y&d4yT zWe^e=FYr7|>sbA*hYYd_d^PLf?vlYVqn;ffG{`1JM|PlUO4y-JvC<`U8HZF$9Es+Wib)6c0sdBe`r4T}d=S-hqO>}TNbwi|l) z%|(+D(&4D>C{JUAj$;Se_nyDAdEr2|1}aXP)gOdPRm$jz?>5MWK32hmZe5sWRf`E` zD}Q5br$IK>Qd>7z5;oRVy)Sj$?VlQDkj-Wi69S$1a+Q@b8OwOH)0S-vvRQXcHun_` zE{*%mHup_U4h+a9Fa%&lpbRTpG^{KD_OKIgpPCfQYEJKxAL>A?k4~ln%Hs2GGc1`| zshVl?*haWyy9{HyS+^KuvyH4<0}8C6+A~#8X{MG`Do1>AY6_s}akaqdEjhcK6*cKXEQ+2nYMvA`i199Q7S z2xIUk`IK}d*~fo0TfJ_&DPs*stOC~;lnpemW*Smm|Ft82XjnOWSu=BPJPGmD&dm23 zb-zJ2TB)U%9P%1m(eHqPFB@dDo4`t7D%`p=xb*VeFW+o36=-seZgT5Iuy9M-cEi^gpobM^zTPB8=@G6)`{OCeTER0TTB%)@XSeXDF2CKha7-m) zuyL9j;(|$R|lCTI$MJH?vp>ABD}_!DLV#?*^+tsmz-lzIY5g81=%LF zHUL^lA1uhkWAFXYl%{O6o*UL>o800Zgf~lUxnk`SlV%7(HF8LoUBx$RDDSv+{*(lA zNFq@qha8{NtG4ye-+f^})2fLR5&xvAs$Ix(KW|@T6pnwgp<#4`*NSj!mCQMPQj$tQ zl^Ug06AdazSep0)kM3l)!ia@4K-GX)X1d(^rR~kKBYvL?ClD;xed6K6OSRu+CKl}Z@O^A|<7HNX(KtimoUhH7$K3~4`VUt=wl&JgA<55N=cki1VHvw@}UfoOGTC?`~N4vk9v}m2i)>Iu>pe1;>y#GrkRRbtd z@D8sRkW<$d7P!Y(KbN#>EU->+NR{P6({$5b`#)D01V>>iEH7Cy!l&F1n)k5IA43R~ zE?fGdPu#M}u;>V>s@|4bU9>rI_~FMknD!9-iwbuFYGP^C{}_3+NjSb3HR~XIm23ZO zRPwif9BfcYG$e#sgJno~%N?Dv>S#!C0_(H%nG+8R~o{^Zgm!K676a!Hb))L~05vz09-bpY9Qx(@iZrMsW;)gF^@ zEF|jg)maF;bo;*Pb4|k8$f&!AJzdMtd*;s%NYb~C>D4G!UA3LlocyV&DXWfRNqCi^ zrM?e1cCQrSar(A&oJZE~VG<6O;5aq4gzj#qmYE;yND*GAmLM=#LhSmJMw*0UDN%?G z?|HP;`RKF%v9}pw>vSHd#d75{&Uz(9I4iGVN=WJL=;fyuJ+pTL#MY;M(ks@y)s8;2 zzj>=cFzTqSIJ6Qw!LQtYS(0FlB}WA7WPE#2xIOX83-+#^8>%~5>%jk__*xy{utTY* zkNjB54%VSmsA#(&Ibz_PBz>@h0C!E%p=sC&e#kj3Nid2=a81!_#ny4`pev6^R5VMj zQ*=swzG<>pLxL`xXvnqu8n#=B0Xaq^}f@R1Vaq!1c$1y z3sUd>-b#buI>r&K*pkk)ym#?lhPVx0MDu{^1$K6U>cw~G4Xdsr1mUXfX7;|dZc@ss zv5p!zE8*5CH|6Ht_ezR_7<3&thvs2N@80!?;VH|mbHmU??1I&2S7Z#zu8V@teS@%)KzwRWpaXl>y*3}x5E|Ng_Aq)dDbAfPRBLDHi)$P$G@R{vGa`YzB@xxEq{0{?u}L(yB4JIN-2M zQ@+0F%#>9l{OtnAh-(HWCjke`uH#3cKI{zok#jaB=>zkKHE28YIP2q!k_0zGf4E{h zGnVU?rrz_A&I*O9L4XRRaO0{1+GCCb(AhtvYlDX zK4G#!aI9I_?cS>KdnO4+$?>~q)d%=Reo~TP%pw**?I_((Htdlkm=(uDksZ6eY|6U~ zf@4=~2l=fJ?~o)I*Q+ZJgjQka(;M~~mL!0H0k`KSIXF|MY#j#dlr;2f} zK9(d{&-64$KRd9v>`V7131-Fb!1I1R=IaK*v7TpV$E$C=D@ia8@(%3yjH|wyBp6j- z$4je4qtGf;b2+vDaqwMR_l!9!!YNyI?y-66>=ZF}moFIBiz43cdY|6^`o#6dg1nt` z_)lG(v|emD);a8K`17}Y${;w_hV3*we5Vx#!SBG-9=c$AgWy=mw2OC>zqyS;aIDwa zLFU2p?wOQ;Y&w&A@Oz}_>4ht?27G-t+4tk zgJ6uUfwqA?c3U@hw+~KABHh%iW5b=DwY_=kiH0R(Z4FDdE-;+&mwgkL3>Low5c=%m zT@6bHgc_ENmhI5(irwCoxMZ{(E7{KCzIE~tljK;Fvtw?*8II)mdqVZJdb2X@1RwC& zs}l_-7YlH9YCh=7`z9tVnF4!k5V7-;&+dA+K`>4drwf~bkWoi{!K903FR?C!@4n0^ z8IWsUvz;<--zQnJZuvD!wzG`>?Jh{v29jef!_G}E_`&&x4a7^Dmuv^jEk~c5xMVy# zo(5Q@y&r#ap<&6fLCOx84_$hIL2xV$u-m??9-Lti9J_mVO8&>IC4=DD=x0X+x>kCL zyQkYl&30jDaBuuEXOjF5Ebb%wbeSZ_YQqjbUz(~ z(fem#mnfNTLo77g?cl?oxY#5)b_eaWF|6}kljK-!*xBxNz@GonYLpyr&sNy{Un`%VkYvx)8DjNp=Y!w+;x|l^W7lkF&s#D# zm?S6IvrSv)j|W|2k{oLrc5A-u%&QV5Q&f&!vmI_sedy9e$?RY(ZrJT$%WWGIC9~$( z9kj!Z`?H59N@mURxM5XS?p-uLQ8H_e-9fuGXZAbLBsq4?cDT`Vb16}>uJtt|lAUcF zyCqpN+K9CcJAA&ge`?~IksON~cG}ox_G`N*Arg{fQPfTw8#athluWuEs|`EvK6urW zyCtm|$+6yTr{}}=x!EK+R?l|cJ@VVTnk2si?{>camo9@gVm-w!cJFuAt0u{@-fd@f zzn=Y&NitSD^HeAj^w)^F*$ALFa&!^7=4dJh#N$yUqJv5_k?ykQ5wSrHO zyVLK$yjv_3^I5LL&U1ThyHntauDoaU z^0!I6zV&mZ(oea7cn;C>b`bi>%R6Q^H?%A9;p0S`di!(T-7a^tt?b#f>14S}nw#3x zEhs(mAzbVwD&k#7K7_~U3*1p=bF5B%$oN1-jUU1%=%?=FBTBqmmUTQ|F41WB-7NQg z70{UFJ{vwl?}RcX$17(`3>p9t2*%4WDlhi8}cX7Ext zueHA8PY5r0MNQ}_>lJvSRn)|@P0RA&SRx{d4AVY^p5HY^1k((`+0+08>rmRvqZXP zdG6Avo>8!SD^(fgyW^fU7pXzJf!qAU88D8$+paX}+SEX-&&^Ze;ViUmZ5ef9t5ejm2#FF$sCRs3#^o|3#*3L_kCArSd($bWo zwdi6E3Ptwv%m_|QI3CN!9^|Q}kmgTUl|vYy^*O;Xgz4f4W-vPYeGU(lw;> z*Av>tcRo8I({{+z1zk%{-q@QNA%6l(*RSf?v??=d&ZfQ(^z!$cde^TRI6jj(Wc|j? z16>PwjW;tKAFuvRW>(*-zD@ccj6P&R*SrM>9WuLX@qvrx9Jm%Tu zbNPqMyB02A6iQptyJ=mfBan8;x{bZ7de*P(&17b;-LUe6uEToP^=5|aKTn>2@Q3!w z?6A0R&HA3TU5k1Kdb=jiAJ{atf3Hltycec^l-WKIb>RA*_pRkOChnE#kk9Cv)7M}7 ziCRhBPlA7K-iDr4U6VJ?-7Aw>wsK|P`hl*=%k`goHlL*aJd&UD=kC4@>TkE_Z#Q%; zSU+Xu@qHV+)Q`p-c+x=cCRwC>aNqi#fxZpvGr=#eUafxNY(tX&1!w$@BqyBg3VN+*D zdix`I7_D}>`nEF{A9>j9$UoL6T%`4%^d|zZ;h*x)_^0}ls}efTZ#8}oupWKq)(0SIh%J5CSYr1<@t=c5n=>O>>q7U+<|I6Nz7|2nVRp#hBA9k=?}1ULk+HbAO|vr&uYELx_JRl{oo zunu>)jy_w0QhB*dfUD74A**PZh^!iO_PeY-29oX>uT-$d3Qp1R|Y;dh7AH^d0TZf+a@&lI*Uxc<;mB_n(Lfu6NeLi|rD zgp4!KP2v9zg;Xy4|Mz&!TYcB;S2Geyp#M1|p*SG7 zF}y>CB*94e-=vTSpE@#PBrvr9oILhFAsa{BTC7)*|Z%OSYsN$$Pj{1B3vsU#_q{dVG55L=a#fwARS~@R!acF$`WV?x7 z2luTxKC|82p7k3x4`fCxUfZ{_w=4L&ZB_XtlbN?+P2WmYC+ei@P~efcVwTQB-lgk6 z{f;NA%e=hMN_Cz0a+01AY?<7e@9S`8RK`(78tC4*ff7rkcM{%1Aq70w&pKsZhv5xd z%Fq2T>O2qQA8~K7LhSmwi9E#GSm{tjub2hJAcXCG3i?I>v+a*gs^sMKn zCu;^!k{Th|gF}DBmr`^arTQ|Ddgn8(WE8DjJ#G@e?l8oUQN0pps?@OXMd}qYle`SA zlz3Y)>yn3XZJ20mrGt1g11ue zYUK)S(~6(jE|jxe|Dma?6!R@Cei^QCN=<{hs~i-FMJ5t`lV@_#96-tEvk4QeJD zE>2$cP|tTWLmiKRX4uHDZ@YDRWhCycmfX#<58~P&teRdz*7JdFDS8(apX6?I3l(2` z!T-h?9<9KLRny4ya1*tE(#UiR%IH#E=2tUsjT6p8!Akf$+{CVx|I@UI*fJpE<>)KY zV4)``n@C*DtDHj&IR!+Px;Gp4P&JK5&}OCOM}I6wLfT$-#iB8iOe@vZR$gv0?cfKO zeIfJ}^$cfd)8wG4=bD$@!(m)$in>|pjv_1254KwYYpY@Sj z7OSTHWX9_K4yJaqlR_ka>Z0B?{R|+H=F=M1El<@NX5CrPPI4v*G-ModYq|OEK0(t@ z+}=OE`ffvGHz#Nf7nH9T@&#JMyz3*6MM@u$jvsNS6;{&9O@1bIAHHtY^vdX<|9s#{ z-3vAfKw%=x6%^^^NM0w6)H2=eGTEcWy0_VR+4PE`>t6`OQ;*{5rvjHXDYei%7lj*- z^od$txoc^ncxk5=I*BrQ&+{-A-sCJ14D$4xkuFUM{#(0Rc<$V;rWm&FhfAhcc8qMP z@*Dy?o^w=Y1P+5E;*pXzwtIPYmKPk-T3oX3Pv5@)kZPa}v}+}Zq4Fa#!%KAH;0%ts zOx({($4?@og||Py$NcG)cd11;czd_2EX3!1jBJ^1qR@;hqXT4rW)R|Q?t{n^D@o;sBLeNFdQmRS&v3* znK6M<88a;9RamDZW{$i5b5k014fY|E4!)wR9xt&mdLVnrgAhUFrO0K7<$rr>pGKLK znX1SHE`=U0Tm;BS*Tj%{lZ?nD6D7W|9B8D3EoOTprsrWfg;G&18&5Ycdtui^+&r?6 zLd|AE_p0D3s!rf$^5Ugg(}m}GRI$`3}i)HBcU zUOhWdE*Eeji%%G~^R8*1Pyk7SCV!DD-3^~YmFr*{<3Ce*0cs>6qg#mFU8a-9`KxE(Akcn;+`5DMLx z>CS6w5MCd~pdvbqIZn3B$RyN8+&#|>4@ybj&Cd=UlNe{jz>C63@};t$g|PAg@|r7- zPIrU_3(qmH`1`G+VmS%^4%4Q?BA~}Rm%MD>PF_P$kk>JAnieyEI`s1Pkdk+^ zlBJ}pXUQ>$*Nm-MJ(!GuN`mesP^Oq+?!|2z%@CEtM|`O>6VXXhB{_vnVcKkMy2`KE zZD^A}s;ns)t;&$sbirRaptXi2@!>4rd8h$nF&HzqT=#>2POG$qS!5>@G#(?hP$t}5 zLL?!x#x29Fz9q?3ztpF0CK!ZmDY%5}x8rT2X`^L0tT#twCe8g=W z5`}=WmWvcKEL8oRe1gGk1Wu|S0lOqaV3bUmNsdWLn`Gg_Y$)sjuZ}aio6f1O#udtf!U$>QvZgKn;pQG8wQ~#`y9Dgr@3a&n>^0mXzlR z8T7^C$v|>=yW>NG6dB|pPk~9d;d|{n8;FaHs_M;Icy=RYP-v{E`18Q%szEDUmyDT zw8k1BM>`N3q&j#C#bOaFNqiC3V}r84dFzoxRl}$#KRCq_1LFv0mY7t>l>v1e$RgEw z?UbKPtBk9|L}ekx?qD)qHqv2|I9v8gJhM!`TFNq{T41QuJd!DhyXm@z!ho&V)VJuK~k{AI4%JW>T6uBX8d6x=}#lriC-_x{{x-bv-sW)ud zNlE`~-2LVIzGp;O;XaDq*MG!dd`Epm@)*4a6=5jkRf4cES!(EL$t@Kr>q>E>hADA4 z`1*pchd5ZRS9LofrHaik(>L>;QC~~mOj6AzI0>{~V!5dt;_jz!53MkINQH)ldayVl z@C(aIcX=h-tnOms>gCa-R8V>8vaNBeS3s+gD%{x>EKs5sw&_uzruI(;r7YW2@Hwb(-Ly(a5Ec&Iyl!Ln+_gPxlqMvAQA*2| zNK)HT3QznJFfBB3_Gdm9uL%~rFf*K~LW;R%ha6SXV7e5Ntg6yHrEb$=ubpsBXbtXE z>d&*q8V;uxS}qi61{LxpB>KoM0{;r(%w?mCU%9G=aME}S2Jg^lV$z6Qb0Txx2?*61 zt(Gpx6~zSdxdOITMyE6-Fj{IC{7H<~T&C=wcV%qsF3HIL65`%Xxi}&-Fa&E*8eS<16PUikFz0m!0HT3`h8AiAi0&y#Pu` zhuS7YowfDc(3z{&p$c0Z7L=W&9!JWOV~Q94LYQvPQz+svA9c`l2->p#9KbEY@v0pI zBtw&k{7A;^Tqt9^L!robOR}G-O8?~fXE)I#2rtlv`*HBd$$BOFjST3Bk+{Mig<#aG zPjLjJJdVQzI?)OUhD7R^bR`mvQaOM+p)fY01Kpvgy{kBYDbl6N-s6hX6C$)9#3jyl zQg6o;fMWKJA!?3g(aTHcF3&#up*YY~i&oeX-zP{9ACLdEKeV`zn%8Q41U;dM2~7z0 zF9qzpyH9Mw4lUCKg7FK&5To95QkPO~QEI7mAt}x*9)Dv)MCQWod53h*Im9s)+tSy$ ze*TdWnKTEeC&NwVC2|uGIR%vW{qPYSg$WGpaM^!@v{ZNb@GIDk}w&e zEmDqlW#BE{nEtx+;bHZKMsr_3N3{B<1_7>nK`>adK$?h@GpNx!F1(B;qRha^NJ(nF z!C${HjKl#i0}7x|3<4Diz)af%w)EXer{xv8^bq&(<8u;t8QUa?o9Er}EQM!Lh4g5d zIr5lCNY};sODduL>!cuTB{&i-RP0R5O)=M612~ROzIM>$Cc8ot;!{P;odnv4n^4Os zGIOsF=_(*Mv7D3qNlh}buH`T%)JtFhU3rv-BjkA*iewH0k!IpF^R`{Wz|h$8%_#(z z(G+MCr`?5;VPx_|l(?#yP4E5I&LM)=N@efR6F&U8F`-{M+7raC+`LS;Ftxxikx)FE zgi^Rx|1~Ld|& zgO;fT#i9p|(g}*0>wW9-5eW;1M6zI($11@Az<~wBdp%AovstMz{Hw)w790Q;d`!=t z=$g2YgM@}!x`*xFhTt8PU_b04(LZ9nu$er6LN zN7>90yn+6V2 z_a=dOI^^Db-k&N#0F#gdY+(gS{j78=IRCwWti)IWS~afGjIWEY|3kP&0Rt6eNFZ^o z0z>7}RpyEaj=K{a2wR{*jd8}o^`rBDU#Yr4t$RZu)Cs_#$dC#Hk1{KZn^7UAYhbZU z@4n*&1UK)J_YCvpmyy@d71FY zp*dE~l?8dZuqAcXn;*CzpQxXYAZ;Q-LTR{V0uz&HULaQ(%yihQQTJ6ED&o4@9^3#! zk%e(En4MRW#sgG=F-W+BMbUTvuY9i(R4;;@jGmg?30GuBi78N-$Uz4}K1w7xHeB(e zANWS4zJ^DJKrh4dXeDOp(n*MHcfoSZ!m7Id>LGQBh2zK$LG8iMJp$ku<2`Pd2EHr=#smG>++VPiOnXCz4;4I#Z(~0DZV=oBdOrj+wJTH@B zuYj<8z62kaQ?=whdYC6|py%Ox&kd#dNYnJ52`19yQVs|caYfHK)E~D?tXJ%n4l*eY1O7s4u%Veu)}jff+1`@4@}~ zdZgMsTDY=!OsI)kW~K&+#V8hKhWi9azCFcq=yMrJ;~bB4HkB?+na|fwSyl6*@n5KT+FJ7J_ zY)29VNy5~vVcPBqvOXL!3s%d4NwUT~_d;?7gG2!H&ir!1)JibYsCQ4F%2Ex+}%U)CE2~ zWml+0UG%3Y-Pc_R$0Sw|LW+!R6Qc_<+NJUjND)d!zB#vF{rY<(jZu?y{;z z9gTUh`DC4Co-d5_$I_Qxd}~}K7%{39{c6fV-6Wz=06@e=I4A0Yu_zi+7MjVwzI~X9 zgmq+1I>UvFY^if`1W8Uf>5(ft*`NO{vh|>4Lsvw525cGOa*olHOnPv_SAU}_yrBT7 zYagW=Fv6)Y5B#hjWZ7 z7bdv7r2^!JDgPpUWQo9}m0~mLcDVJq3?Z!^=9E6u!;o z%)Mf}hTH^+GQy6xu!>*|AdFo`Z-1@4bDY5q)kjS)1q@sI zWTO{jx*@xe<;E~K8y!5f@uzXiMX_9^$rP3&(~$+4ux{suW|;`)^kBL@eDIGyDOV<_ zFyz;FC}r7l8n3TggRx|V4AL@RKucXF-Z`qnwO$1|7?Env<9EFgmkyX$wfCVDkHrMB?H!@?@;2Z@42aX|W99LK~Yi!lN9Plq} zuxgAMr8t@y9SQ@W&_&wq>C4IJ=K55x)64&v{JG^w`5$AJvtTk4O(siGR*h0I)Zwz? zbOk@!juake z-FO&|xj_mcFj5t#qykf9t}%V}Z$3TH=SXVrBLx?QN)$1m z1k~F-86T$Zz^etqmH~cqdbah?)5G1Y&z^KdIWm*+xraj<8H6naOMzSt@8ZekRsR08 zi6Q&cx$vy8M-U8TN&|w~k1PdJW}i_pM^(#QsAuEUopat5Ybn~PDZY?la|VQ9C_nbdpBOO(5Hf9%_#`CeNkh7OP!!@%^P{KB46umURS057?!Y#8r)%Y6uL1OkZEjV5$UvW%Tu>I?i1s#yQEt7GyGj zxEy@!wkfjqCm&xp_;eA!k(FI3MPW(y3=gR>qPUR}ob( z0qv$fFCvNgK@V(>m0it8m!oCwyQk(3eO1N=$5KJ5PR()aeqx_v8_ig)Tch~`2sd3Q zvV0wpm;>OF5equ9@5tq)dM_^H#jaSI$7nX3hVyFz3uHEAG_A0mv@5K(Jh~{((pasU zR*>+?cnwfUnM#=&g}0KNQ&6~Qvf(=~JFHO$sxGTR5trl67Oq3#O$=2Bli?alE9r78 zGbiH6^&NWRvRW>DhYMEZLI6hjsChszJ>1U*&2Bk*OsT2VAKA2{g_=?Krui+0n~A`b zK$t9AHk*20h!tUkxg zw^$1&6M;~vK&IW^w9Or_6_aoYvZcIHvL;e%X$~lF^ySLERBL&@=jX)+PY;R=!C^-r zipc;OkZ8z96bCXH;*?_$omlea1x+AIRxZ;LGAto^l=NL788-I#_v;>NTsfF3S40Jy zt1$}J!fb$Su4sjpu?=^-{>Xb8Ws{vnYGzoaR0qiUn9@-g#Xa4W{VX*8`OCgsjJ3)L z0HPF5^Kr&GOjQYBP?+@XeDH*=#fCVpihVjE6RrhAgdot9)6mK>)|{35|NV{s2_@GN zIo%l$+h%l=i{<2GgV?sijV1~O)2_-o>xP>WZJW;AL858OT#T*>-52gfWG51&n)a4k zK3NP&oT?ScG$A!DZuL@fa-4z<-On5j*F3Q?af$HmV+aGxU=UgZ$L5Tk+`f>y!h0`Y zT{I7tK{^i8)pXELD&Tzo9LLLW?YdwX$2orXq26LW=KxmokeGH#I7J2lnX=Ve#h)#r z;PE@pE7nIr2mCLwL+xx3WqQePMIeoLxppV@>yN)IU#zrCM47!nz+k!R_{ec^8j$Ln z^4OP5D^gZ5_h2_~?FcuhK7G-3tHaeCJO{GmabL0S4S&e!HpQgh1Gf$uaM4!Iomq+s=pPIA(xIA_JnX+KsY_` zkwqh3DxUxO^4AT*^{^hA2DsouT;HZwPRq*16*gb}V4+ddMWt!%mDGcnAU+jZg=TGL z&OLnmmkJH`s?OBSMFC70bEQzg+L(s40@r8!n+hSI;P%U)>nX3C!uwmE}Ha3Z-FoY(fxIRxFtcy0AfM;wcWHTKSl>p^YX zi65HM>{zwJt=zZD(UW$D?MW0l-J^ul{^H`}bda6lkB)OJY@tsD78z*L)!86>Nf$Z( z#i0c=^%c&cI})0BU?vRfP_*T)DrF{Yfb`zQOw6t_>G(JDNv?tqE)&5rz$%AbBsS)_ zXdj_^+}6ZS=artz2TZBf)z{->unyTvTG%rDS(g^1f@JRbC-cb&9NwzTqDkxIFn6$M zN6z@mqRlgKw;%kKq(!r#%Lv4%xx{Ue+PF!_KoJWg88c*SC^xtcLPsP$JFy_sM$v=0 z2}&Ij>F8c@MUcf5&kL8{mJblPHdsfX$C#OGpuy?~mX~8_z$QvO@cmozF;N0`cUUk4 z#&zR?PPt;$f+W5Fft$i522sCBp$g0ZuWpz?f)O9}~SDszA zL9YqBou9OoupWV2RL%$kaV=7b0di|vi7Wb@edHDc-NL@ATmG%{15$7}&d{(YXo0to7SZIVmMh+K;;ttA!3s03Iw zgKqfzAr1P}!7;dpLc5++l7rHRt?O;NZ?3iL=?bFSI=(=dXrp-gJT^gT+mj|mw+_Qa z*I*D6w1Xr#7f;^ZplkiS1F|UwF%}snftKm(;tO^&$fl`5Faeh0hQ&7!N4Qr{})ciLt)w=Yt zcQsNHijPGKH#nON{Z$>8Syqd>&4!D2%vU;t!69i89qrKD)5#k(QO06*%@`zSs*di3 zz>L{@pFMV)2A5DdmVy{G%KlTa>{7Q571EHO z(8UQv$}0jkNORttYy3!%(5OyUf}7GB3wBJ-Eu^CQQF~r#um(^a6w~WuYMf4?{^}~R zw4muzXI&CqClLaxmAWWHl{zp6IG)Ns4&M7B!#e46;1TRUSRgMwRQZS1q~_nZzaVA* zp$aTqgmdIJa0$*s*eLkE)msb;N5RNmD(=SI7E^P<=5d_W!f;z!KH}Hs?LpAgk?eTE z1*CF%QH#})KGox%`s-P~nXZb{MN1EAS7|ZPKncEpWC-cj_oG#(nw3NLp}d+jzMzNE z08rV2bkhCwuliGV72yi#7;NPxn{eS)=W-ssd_z3YVTQ_Gm1awwliU&g?xQ z>Mn|)N|wj~gkXd&3*h25S$xaySH;_gZpsGOLkBFt3muj$7J2n^E2E1 zv$m|+a=~QWn&Azfh!m{8z|56}UY?jasd4KF`qlAW+#01&H4ElVxV^zj-M`odvBud_ zX}?C@TBS$45Fj^BYW2fpCE&5Qrn29;_wMRf#!1g<-R89eB+xXASN3e$bh7Rm7diBa zI4Fg{oE9wC`AaaZwO-AJ7H+Yina>H;|{_87qth2SJK7_^V*NtRSIIc2vI7+`(5 zIT+&z+S`YVXzqt`sdMRt$_<~g(3%F~7W%Py+cigh-Hm7aWYU7NVG#9IH6`6!zWd-d zDcdK*WYO(|#mf+~Qwnk>E>XhD({20uJBIp=6()Egp0078_b3KgJ-GYPH@#%eSyt5p zR?99@qYP1mLqj&jpws>f*$wqDR!eRGE~(S2%ns?zv()sRx4q#Ra7o7`j)ODxC%vOO z?p-5;aaEN27QN&(G0oaQ8Ek;Ut@3X<#U46`W!V0~>K8q;f7imcCJ36-kdaiwwjGju z`kgzlH_)b*z4@Sw)+o6Y%UTVOxs(JP0kv7t}@A%yn8w zziQ2+Ufe_@VO#kn!>4LbRjg6>Sfzr+woWo#9?5ZdzgyEffpkgf0R>=u16 z`z<$6DUh`^_~PE*^(wnJ9G1`%XeukLHVu_W$=iEm-h=soZ_mCfWov65rR%oJh&;KB zCX5%7?Wco#W%`{dpCoZ9YDBnz9C?!m0QGlLPgbOV(m$@+>NTe57-;ZD5;A3$fCJM? zPl^;uz^H}gI9Qv!2Qm|f&_Fd@7BWOdl%}v;7O31y9#KtS?@lLNkIe^Xnraw>;$0D| zy_RX6u!W%0vQ)3#Vf)XdC|pQlRN*wgST=cU=v^R%o{*?DSL3L_j}HE{*DxW0Xpey= zte#;RuH*|8Vuv^H?f-8tIP%a?579&a8Vi(p@M0ckyaMS|kS)~x;)qW)nFqRdMAsSv zcnc{Q>5#n1W($9O;c3n0QQJzXlS!og79)jG8cT*^Nt!FjdRfVeQ8GX z4pb3dj{p&sI6Ovq3ywG_7VS*RHbo`HqV-%D=q_Ae-iZtJZ)4|eO~wwRCG0rs`{Grw50u6$9m1yH!;r#=(j3rh*h;d zCz2NN$Y-@YtXgsD@!{F&oheRKqhD1$K{o)gu=HU`Hx8W2C&e42q8ruGVzt2>C6M?K zY_KD*GNlHk))PlJZLmN9*9b^`Tj31X(|KImp$***$<8@-l(mZVC#n3LGt?Y(3qFY= zgGGLR)0O{p>+*B3U7jIfwcJl5&kL$`YkW+tP2YOuTW&I~poUI`Na=(TPG;K!*>*8$ z*tFMObFx&+rXwwRUcef(+fM0u){SNDda7IRDpw(d{a8x|$MQkHAuiHDh${sz-F&TzUf;DrUwFO?ydip!DdZ5n} z=vI!|S7kCjn2v#uefX|enN(c@Pm#O$w6EKG%ISAndrHLDu{%a?2ePd(~Gz-Lz&!W%Zhsc^Bb7 zys4n|Y*&q2ao49(%)6FGM9f>x!;%sW3Z#0kKBws$D3H>jOoN}utpbT1@mZWCH?m$j zYSKs<6hK{Z=UGkbRaO#NFZnFjdxmoDcGCUnsZDZ&q(q3C@IdP{3-1$NImKXo zcud%bW+Vv*k6KBpr=R%D27_!oU5_h?r%Q)b%hnt`m8gAc$6kYM)*S{$NiXHjxxo;G zA70SYq-&L4Mr}i4cvUW)F$-^8Ilfu0g6fD|_;0aRq_rb7Pi(s^e!be}G#TcYMNng_ zA(J_Yx@zqrgN_-%3`^LIP*Y`#B6TWZT040`3WC(gu%?n41K);6{`rYnP1;c&skKfk zk5qwclxkLDN6Bvc{xr9-m8VKH)nmwd$=uB^FMNe;&&E&=`dg`K$;wbPY%8Y2*)Q zT3d?V(YN8B-`OSCw4+Mx^?5drdr3(-*ipMK&{IqHZQ4;)NQsaK3bS&Hx(dV^27y>} zckhf9dmCI7h}AOzKrFXdRmaGr-;~ZBcKz{WmK&+sr$G@oHU7N(=Lu%zzy@^%ivl~7brHp<;@4T9+r>?&%qR??f~B9c)5chqi-tmr zv4fX~4jtQMj7oGlJP5CfW4VI59I(DPW;U8FCysUv#W8{>^j=|%@2l&80!z0eR;T}B zcr3;c>{X42i?ifGa;c`Wg?nhPeUdk!u%%&|{f^Y`*9>xBZs~53*FZ!Yr)2~!l1*x7QEiS5mp-dUD0#D6X4ZL*o)!2msQ$0pR60N*B zLeaPtf+->;)>aKKT>DXj8uT2z_BzgJfk1)RQ6WhmDEjOZTmD~d*8!$wajogP1;j;~ zjTHq!VE6B3!9o#1L6BlcL|qiJ(owMmWABNL*rJGCBQ}gigN0ZjD#WhXqEVwJ#)bvs zeb3A{f0=S-*5%5R=c?D|{pLS&`Z;q>yezW{rzsaP15!6Mn_F#OZ-CL?U-qwNjZ_J+qpL$HLD7UpMEb98!4HqdoZOa?Pf&nF69LMj06x3(+JFBT zV{8T_1W|xIGwsDJY76j%*WFpOY#GjMad%4HU<~(;IyH;#4u9qqr(MX98BM(fWkiz) zn&+S#*Pg=$ISSq?SWjgu15MJwFHdLA4fcRZk_i~lL`Avu0y}^`4mkP9SQLpSY|Zaz z;+K&q9`%wBgh$Ovli~{jGQMKu=CJtQ2u!8&Me2%@VSc&f{7AJ4#O4XA_|7rNAeP=o3`lFoxz455B(8& z$55(8?^xbnF;ueY=&M(Djg|TF@ZD5Uhe%FE&xMQ=FM=C-)G#LnD;KE%MW?ziMETCk z8WmS{Vz9v)Fn|$-Q#1xq@N=MX?Rj=P1{>!tVq?}_^P=;Q+dW(Fwpzf3)rvGJyaJW} z6c*N-Xxew~ih7sfE^4F%!hS)HqNEg=!WQC8JpXRy$Y{?i3JUgTsUwGldL4%Sk-^3_ z;@nc(!9^+**2TVUFF6_xyrbTu?PP~!q(W#dg+>^jzo+hu53rqMMatlboKhiS?#&ao z-o#U;kcdaVmL7UUL2HPcaMlx-nv_YVi?5()gl4f+hz&%@y~z)R>A&}9|BgAlSe!(I zBgBzYYIYh-Oo)wX+#A@POZ)|z)|0Lg%?o6*Ur_2b#C(uW`RqBrw>9gp$Rwo zJE54o*Et~da$RBDgAwhkw!Y{tNoh;;KoR=-z}3vjahF9lhnua`b(pQ`-|0C98&oNV z(s=gRyjW?E1@|-9xJ{^)h*dCdta+jIIrrbmu?l?nLYxj(QSVFxb?xF_w=f3>QJ`zp z!LdwFTxQXw;&pACldj|r4#QLQ9Pkt`;_*PKzxY2(n1jP#D-s0*i>1pGS|`19BxXxlgjAE3cc$oY4)5zxsxv2ulETKwq>S!2`zj?(9 z?%<+6S)fWG;U)W@y^;KLH{Id^2d^lSi>Li$odcD4?|#>Kz@e~YodcL^<^j3*0TrI# zb-R2}wAZF&coKL-^+W&$=ZttnVHTO&mVr6vw-;G3rT$Z{1LpTj%s|&6b?I3QYrr5t z(4!zbh9#Ay=k+>0uk3T;AS1{w_hHL|Y%IQr{D(jtxTI+kcY`pMMJE;=D8dd0o1D(e z#}0Bhu?TpIPAsbU^3IXypMfQGTfPM^p(j3DahLJ&-YGP}{HxiceyNA)_?Q)t@)HY2%jz z9ijtZoVuV=!-X>Wyn(EQ5C|GKu)>7?NbBY_8m$bBrn{hEO!RW{L53Ha2;BP+eK1G92ls) zThF|YBQ+FCsq#i!j3hP9#`ZJHP7mGSIyE@33|P7JVrz<*1Dozz!T^`5DU}8b^jzOe z9M9ijnLH{LNR>}NHRhf@k2!RS^H&&WT{tu!;H*AxHg|{6f2H8@$TbP%>Wv)_;lLq_ zrEDEV*)*gygd{&~9L12N)Fi0rCeS4Ol-R;^>Qa*sZ(eLhasu9J4c)}dOf~zNdIlyfaN*o^eY4X&88oR0WC)}x_MoKg`ZR2W= z!}HLcH@i-aSQ0*K?6XdW+7NtGH$TIZ!&K~eGvP7~NsIh0A(2)ZNr<7{!Ld+h<<6E4$aTi`=m(e^T zOW?_OJ^c$0I8I*B*adD0n zyMU!GIk2Fkh1m^OuYIn(XqVy>y|PE_XjClIuZ1?4FfH}gLFajHuuMxSLWkMh>IG-H z=z$xA;w}D1LFiIS7zw1`?Zg0EX&e?RF6q?P1&qrp)6B&ahXl8;oc~>a zw`R5ihjGRA>px_G%eX?7*29219dW7)7>+?1up&j6k=kd~kqmH|#}S=4(4A?$&&2+W z-G;s>4J(u~5}nBYc>JH;)6A*M90GOfu+GOvkL~L@HMpawoCSEWmK$_u@BS_;2!<|G z&Wb(4+`Dd{S9y+ICWeYd!i?2*Qz{u^msvq|>M-6r=6@Yrz*^KOgPPRYJmSz#ZkRAo&tu!U8DhIwA=X7A(z#)++}D9pRPd{8$A*m~kHmEUG<7Z)%@uPi;FxFSrZXAjuS z1&m~FnLDR|!?N$l0 zZUL*kc+r*&uvKM-*}Hx#+cCgasS8t?qsBbl*P+>DSNShxw()KL+}9->Ruvz{6Bpfm z8*^SOPlRdW4#)k00k)nvjM*~NuVsM2Y?|8!-4k|oBX>ByugmF1&f043!np1G<#U-M zgWI$t2PYU7e>2c|Wa#1+D52{nZqFPUN=Q30s2rxlk;4>o>qE|Q9vPBtcLRdL-V0tI&m7rmq{0;Q-jnxWfURyo*!5le!mbRk_4dMu zyz$E%18lW^VU9rUkxA$6$#Rj&7hw#y;omV1xdj||#(-K5*-DKt_4#%EW*oBBN`kWocW3!rbIAkj|!uWZ0rjA3l zD*Z6oKK`iQ95RI4l$0>xzF}b%hui{w-q?mkw)1n4Za(SA4|a6%Gx7{pI)};Nqj&s; zL$;ndjGxc0yoEz{@N-C6=ihrR<&dqs5q9RwPrKHMjHI&l%wc+?{>96j$hbkP-Uz$F z)_2cwBIC@~8w}GM&(-bcM8=uzdLt;W+&E#Z6B%c=-eB07D|g$IL$;ndOm9rPGv`E> zxjs`Ph4IE=XS4J&Vi>E}PquXmmq$X2}(MvZpEzwYhQNI!OXhe>zG$F}E?TOi%>N4}|Ls9}|qFx$P`>0ffl zR_PAox{rpx$RUI44zH|E<@33;7!{4Dl@+OcT@vr-Ff_p50MiP8MMd&NeQ~Fg7?NLD z+BXGXpz(WkSmnnE}zFEWAQ7ni6@IY5B!80;9&j=`M_8*D=s6Ejbp=^yo?Mew+X(W zrVN8jpi^BkgI&!;rfgjvBkJ*3HSjlxL9j(xD4X_Pox;nNJVq6y;fE*>3o{@a0fznojk3n{@59_7S10xr!c%WRx^pJW@(HN zDRiBOEln0o*>hQs+Bygjg8~AGO67h_ySM!121fA z!qo)@RHhRjMCdu1aURcNBO=gACyHg7f#~AubaXi`t{NMX6WCvh(~A9L*dd+D(NMrZ zXhCQRoh_YfL7zZu!Nuj+0PfKme_F{uwQcaHHU6}! zZB=t#>&;u$oY}f^i;?ph=PqoVb>yPjeq%?S*uS#Fu!Ym7H_ofC96(+lyU!RB7L1!w;*-Oxo?sCfN| z8B?JSM$Q1);?9d%+jaA{HEX(VB3gj2(#d2p2e%^|!zjXvG%N@-FJ7(FHi6>Y0i70Y zL5pKoPZ|`VL4f%4s=b@C+t#CojsE9}!DtNCGP1TEZ4Uq~sHj999BC_2D-sSu$Qz-#H)McJ!O!8N`!xL~IqT z%L65v51+<@Ye_}^V#W@?CRct$^rYkgSdq;^>alNL&=AjuF&8a>h66hukSjkS_GZKr z7zSUFNY_CLt5ueG=|M>goRL4^8z(kq%MXZA!)ZET5}s}Xs~lt}PCSmk4>;g4+lEiOie$)5}E)4&Rla_T00-aIVH#Q=dm=hFoqpdyair{<-yBd z>89gB@UkEvq!&wJbL!1D<_F00@fvLQC0)}EHA1f|Z9^Lb;!rmLBu!J;F@_LL9FV8q z5nJ7uYS>BumP}g`EdyfQHDotiSU-$aJ_3@LyJH{ho+=x6jbEIU2aKl@iNY=*+_pd~ zzU#Fq!}G>;Fb{|V33?jj&V+0d%flqkz=e@am}M{JZ=W;i2m}7I$g9CY zs%$@W{-Rk(RKW?2C9vBO{}K*A{1il%xpb;Wh4|CHM@4P>er?me6T^o@N>(QA#?3)u z8-s{5m~-p~&xi zZXuq>f+4J<3#x)g7KjNVVh<^kmc6Pg9XeQ5HQB*UiPdy<%1x z2}X*uHw?HGlHBQRmh=W_l*lC#30)ArA6>6*II2*gAd2%NNby)AGM|}5hIVz!3&`iQ z+67cRIMAb%a-J(dJ`RB^Hp9un8zdu})AjevT70Ga3WVxTlbms4jHo&X^N>YO1^F3p zDa?cM8%D3)Wk^GJ%{mOFv=NBJUO*n=o3G2HF|tyg9yK>Co7HIa{$qXY7Z5{3lH0Up zuuwFMv%|81eQ*ItlH}96h^;ukc1RREi4+>*Fz>O`_$yYIPiL~Uf*G|)h|i`lJAvfNMUz?^Vn56thfW6!ITIB6LqltrefRw_3ccG4^!rtwfD441k35MJQ~(G z7pqHQ^;lfj%UkaUe2cvoavNulp9ESRf`2Flnb3p(qKoX<^S3pRKQ!d{SfrGQ)uCL6 z?3r*^;;~#TmD4C+JE^Dj_|esJOykJKY9Dy^*FDOaMl3IlqZ01C*XmpfM5)6M$WV1Q zi7Ys_j~f`Z(V`okIQ(#6fA0)G<^iWvq3Lv)#0=iY?0xR=Vae z=J+^$CJBp+3J@3v`D!Rltd`RVb9^npbyYA-$rQu()yR{@p^M>MA^+O&r?DJN@XI!=&REX zwuRz+Wyoa!L)%5&f=j(Es8M9&wC2x{Z-cCcJ0+j+6UP6xb_UYiLdH^uN zL@uv<)RFV{^X&2I6S!7979%H3_#wCgUE}|-6rYgwHFbPJ8=fsentZk{ht>w=<3RnV zbqDIw@xW%-GEsAMWryKICrmgfWlu`bXP`Raonf@A_z9@S7(r^Marg`y)RV&&@FCRo zlGFe}w8Bc*oNit=?8{z9HsmV^SFwc}i);i#!Ne!@uQZG)`AV@FMBIvSou~PJ3#mLb zUF1Zv1x6okmLblu8>^s_N+U2)Llmr=VpS5ZBppZ49fPM5@l_t_5X~TDZ?EL;9zOJ8 zo}Yo^gMAYSS1Hni-9c^CAlCB!n?tlovlb~O+h%+|IuZvkk{RkXas@D*=WOO-EH9;U zsP9r=jS5x~aOhs{IS1h@ZYe<(jdRqYQ?sc$$T*Y}mDsV0098BfGHx4(Pngy@^6EI( zBAbQJg@SK7E>clQ6QPQd)&07&Z|tBeSQ#lLc*6r!7&ioZIcV=p0)_P?ybbN}L(ge% zH9R5~=81zY6U2Er@GB}bn^TyVj2VHz`cc3)i4)m1&Oo5iF@bIXyv9W$mE<{_LVttq zT-((z9p@cm$oGE$~^os&+Y!wlw&G6MjIl8d9oLI>}*_jiLCos;l=BaJRc zGzg|ejt`$p=d*D=48DHq2KF0lI9Z*@A*qYR526m}22rC&?5DF&_lfvM*OI7-{6@h* z3C=gFLYqhmZJO~s?eL?r2evHhH2TNM-Fz*v(yk9}z0oW)-A*JIh-|g!AN|wtRR6{jT zA8QV&E4W<=>MWubG3~cJ@aBKbix6rq!D^s5I%W}Brof%h{xHP3bRwhQ@>l17VuFin zNci13B;3$_gLZKewOm~ix-O@G;N*)x7|dks6E%$8(`9Fg+bX_Kyp%pdsW2lcKugmlioNc190~~>ghUaT3CaTvv6-xX9XoV?U8&XbL_&_`RJ5(4#XbhB zLjeL@m2?VPTt9l#L9eKzm#0|Z?s66(i5eN*F|uBL z5#yy)ADC0B$VJ`&=n42JScXb%RqzfmO;kI3b^U79WSF2{NQs>0uCpqOcCR`_ zVR2d+f?O*lbamYAS3PH{=%KQN!BzmOC{KW>HIt^UJQ#p{7TvVksV{5^6o zFMHe#6BdxwdiJ=LgBxmwH~nhrXh2ORxT$1{Im)}5X*KFB>;-7410s$QO|v3F?+brZ zXe_P+RggaiS>Poi{x!(5*U=B-a!ZuVuwwX2alG5FCC6NuL$_sobLoT1{w9rH2E5#% z0twdwKwm-hi_lSAu4nUPcDBk|eqq2p-8U!)o1{2D~N5mB*_A=S5eg zple_SljvZ;YXSItvIhpj;9ZI}Pln zEUG>x@kGOY>NsA3A>|N5hbK-=9WhvLs;m3cw!KLwh$xZp=SEEses~&omaG(V=~;wG z2ETOr@%r*}D=U@c6QYQUQ2s(Qd{o${q5g6G;M=?daCiGdhEtemD@7GKiITG1RDo=g zrgiq(>^hwdWr~Cf){H8)HBD>K4NX^R3Jr6XqT&&Aownv=4Y_GwAWPX%##Kz+5GsZ_ zw54rVL*8v~16jO8_aZ|*YQ3x)@-3qcWV{KE$ic{DbrL1fEW#2fN9tOFo=0IStKZ|i zy{^O)ltNNaI!Sr%28lONBu?UagV61WZ?z*o^V#nenN^}f25ZC{liUKiIV3#+uL!mX z(um>{-gs!x&;Jh4vhX2whyYIFrJGaCab7%)L>^h4H>R70rstOEA})NzI7fzRIGuQw zfmdbpn5+VRV-ETDr0%<%SOx6T1B;u>a1l3)K#^YlOhEkar)~wUx1Jqj43Z{Xt*z9sB zy#mJxUqXj|P{ea%v6yvtB~9Nr>Orap(; zwA34}y!#^7iAb^>lZ+#OOAU&s(cl|ddfx)KMWfGGXI!9&FTKzx^~~9Jq{omPNKugq zW|E%sW%0~eFMU2a^L(9Sgegm~UMO5bq6{iAw6qP(h#21R5w~vz=yI<_&^<>YzrR~J zkv@dm39lbdy(>4ziyx}M=@eP~$$8}z5kjBhaD{40qWTgEJt~MAnR{P&uCl%A4T;pM zv{ufkOe*kd5*iA*R0)ivwyyowf4^7(mj|{UX9TajaD%8X%e~7O4q&jx&qtl2pv%vk z&@*zMUMbdaX<${!2r;tw*$Ml;xoM}Gx|E~r3hbdmR9To525hD8%HG7=DRYC=W~Ycx9l z{X82oD}t7{rkbc+ws^XtbAh8H1IcA-&?RAcU8!L&opzMw>|t7&pmm(%j%wT*Iq!%v zXvhL`i%B@e2B9AwbC~Jf3u=O>41y3eET;k;%b6rq8#M4`@rgLPR=p5q&7g(_ z^k-&J?4c|N^-|)gjDDxt<@<5rQXCPPuPp+@QINfYgo>{q6^F?d+Rx~8ExuyrQlbpK z{}T`>Bvw(F6+Q~af8a0e6Dtn1ej=dKV|{0=p?|Pwyv9P9suanqw{ZlbL_-W<!oAHQKMxN}XoVbl<+R_h?oazdz%=#iD|8Bt zLpj6*9(TKipvwiowr1qheh#AkHPm;~c=IgkNNHp!bSZo7wz1%#!r_bVbApJ&C?D$+ zZH+RkcN(ou9|g$RdJ)|&XPgo!U1x|hb7b~Vv85S4M#M7dfxRq#9BcM04|=sDPkv;C z(#0yKFIo_$a837#*5^1n1j!qBDYajpI#f}lVEd%nNuZO}rxBpyjlm<@`3H2|4d!c9ePPl*yGHD;eSzf`+xvSB_{gt^DB47%FJ>k@9ZMiP#tR|%K|Bhj zFZzp6>e4>&O%pyKLdEh~BOXS#J@nU*Pu@*GI<_lBjLuj>cn9E_d=@1f;qjsVdFSI^U%QM0Y_|r5DARSBPjRxHN-^wfZK*5VBF3nwMRT^!ih^{iC z)d8eOn~&MxSi5u13e6T1;nYxh5*mO?$1&>OMkQRM_YJovb(+YYB%ec#q&@<~42nY3 zH5wt_EY8;>rtyD{~w8E%E5J8_BpdsJ5w}D(VqYN@= z4HBSkh&NqCYYY}cVoL*m^{HL-TNK7WS#zvA+^R<15WNye^ishYuUKG&V5c?cU2g^S z&Y~1Fq4DS$^A|MEn_pg$BUY3Zxj1L3?Gs3}uRZ=&e@(v>bE>?M=IKo?o>z!D6%f*t z(eE^(9@ymx3lY*lNLhnK5gu}VNNXXw5pfvGXQ=FIM?ZLEKMO;$C0yI|4-Iw41${Mw zV4T0-JXax3#8jeO3?&0fvShRHl5|~r-NifTTqD#9TPLW5hl}z)vRE>nK|vcyQSH>- z_b`yfnLSzs-K?ng#B*rnAWo;_>&X3%*0l%FJl`%cP zu=1wuyme~Bq_zJ@#8K(ZV4&ULP^1q z3HE&qy$C?xEyiC`hkY7>Jo<@^7UlVGRNGo1qVS75d>WMx_!fXfX+@kJHd;G->oFa4 zju*@#d6$vNybt%6wSc{*N*zc^R=P_#I_{C z0m6eRnjVRME&Mh-%E}pCYzKVsaf8;3A!LRvGojuIvq1BqCCSiHtC8%^e|@B1hEPx9 zGMG+yAfxXth17~fMo+eeJa_O1E)fyll}P$CPc(p=MMhLI5^6S>fTn$6eI8$sZ9GK} zR7$!q{aNmPDd5qOfydeM2SB6f61aNb_gz0gYH;FLPFqn`7;hWUoaok8nM2=oeMAU_ zNJTL-V(4Wlx`6QrTKo?5-WVM*Tko>o^$B4w#6|GB3PC73j@4DCbba3Tk~ch(KsO#D zchDc;e>{uAFgcgprO$rN^R=SfWokGnM_hmg23$RD8FxteqLahFmSR;t<@3PMB3S@8 zFlF>goLBvl=Z8_8tjNezQX!oBpfyc&4*t)&hSI5TXwOu^15<~SqFy#zO2a+ibKuI9 zr>siGi(wkaVrZVA>4}jxp&lj;c+e#PW~0p_ZPFpxI6REQLp*eXP!#~RMHFJRPab#A zTBt^AHZJa|H|s<5G~|P>1+sj-BdJsj__6i5oj_+K}I{L2X0F)c;0ifq-_<~GYJuqA4XwH6t?M8 z#^cJD|M)lcBUHLfXh5&Aqkamg)&jE80{8QtK$fG_byFmw3E^~d=9HpZ1SqO8$uy^* zxxXOHsd(bbi5UF`43&~zAgE*fhCeM^%7KdcFM&GB%FOjV5`xHu{vB+gd z*PcSu{{4(`Xc-xif6fG})p1JMpi+s&BIq_iG958LrkrR8 z|9ofQmp`=?=f;R{Ufp7%TP71Z%@$st_u7*lbz8K1Y0syK<8iT)VN4a+`BmSiiYhS4 zwc6kV2u^9pZgkJsn4*!Z&xwCE?pY>cJ-|r2AkC|Q@wia~Ubn~u7D|C_(j(5yK$_?+ zf_M#L0zdBpPWw)bep%`*kiaI(ud)CO*6{&jh!~RR3fN@%b^EL|Xe?Mh<2f-b2|Dzg zishR2z%BFMRgRc#z!GeS7^(=YGsV>ga5LhKveCF2Q(R>L7YqLWK!&o2k&e|*Yyua3 zbk2_x2^WkSlE9WgX6286ahW(#1r>fA_XRXmppQ^VyTuE+de4VkUl4)_Uo^&=X)FPV zp`lQDil#@f^-$**l;-sX1=OCb#)@00G_3W59_3gJ_b4CTX5>iwlf1B@c1urx0E{m@ zGdZtS?S{aRaV~*C(*iI+3>p#sCz%p@XQ8p^*x%pl`6)%Gkf$1DtjK0b-m;jKjXivy zDw-6Z^}-IDLcgsgSoqBQsiH~oehP1|Fv&!Uq8a(T=lv8Z)iAFbNyIejL9S1&Z^oyR z9-9p6VLe*(DN}~#dp}il;8PxP#o9^g<#7F~A!F}xDFk7TM8SyHmY8fNp0-F+XIlN_ zcgqcnB$SQUID1^uPd1pq!X?eJzPY&yL6ge(7KAIh7otQ}ApyoTidCWlN<(N->>T$IQ1D60D)(4)CZaAnN53yH^XFDJfoGqN84g&d<|0Ao5vH3#2dkVjag6dPo~ zNAx(KrycYM<4%S187eykZ_d2ap$%6XhZeHK4w$6GKOU*##g@2KTaxAYIaj*sBO>kP ztB)k`a!A=|OA6xd{Q++e)&3M9$B2{r{C{5ppp6AsXxkjCZQ(;}YfiKS zzp>`ba)B?l5_>Ws+ENh>_X!=h*mTNM80q1%)e{=-y@Xp92o-@n2S#vQcq)x=*nmsT zPO)i=Pu(Ehh&Q`fTvZsNpdsJh>vYA;WiLfU6B}2h#A66F55;J{tFs99>ZQMNiMq0E zz6g=F0MHY4$Hyip`&;fw|VHy&V z1+8c357THqcu$lD7Y1eiQPqIiqjz^Bw0l$d_SdJ3`rj z1Qkupm7#ScP~FjALi*H2V- zRPEX**`i6Kh`B@{3ScycHTn^jc>NFmnBcjOVu0vN1Y&6{?ZFU{faOzz-wFSeFX+gi zK!K-gGUzblo57!rc3q9|4n!)S%X1(Lfg*MxMPq)U_Fw!LgrQ|FpFAksHa0RlJ*b<{ zbbdnETW_+4TA;9gItl|VA^Y43&M!zMMI6l7GTYWXO-mi|?5J|%tGw+v`$_=s_u&`A zoyQwC@>YtZ){iGrEHm@vloYl;aB>RoWYgNCf(640vY6!0VV8R7ad zG90HL!!=!@ogJ%mqHZEvmALa~!<90$R=U}2T-ZeO_S+6MTz>JkEk1=y^@WX8IC;Wg zJ9uIWYbh}O9)0t)o`)<2{ua}TjkhON`{opJtd@dzlF;9XL2c+rb2|RRw#gVyl3-F_ z)do{TFy_w?2k+uiS;B`C85UkCVkr(@$3{xg>?-)-k^ZhPs2mQviUh<#te>JK&Z1gm z7Fhr8{(?+a68ZVLM3@hTF3#u+W)tVMnf;1;4LD5>a)n*%1_4*QRY`Ua zkNfKV%Pqh%+w23TS)t5{n_k=5e&UobR>3%dbOI6tYKT|`<2N-|>9!Yu?TS@07+t_e zb#PZPstHs5;ZY(xhgWoYJ!IVWkG*kvYAP%X>WDv>eJO}jFux14Rj@Wmo<55wIQr>d zy#m;-)00AUD)O*5+qp%+w^hkfQ&@`@EkjI?il{zY4Y<&(_4)WRVxa z(kJ7s_9^de>#+B}P#8%UQdz<}SfZKq%ROE8N9OrS`gsdS!?-c)+huo`%u%J8$UTr};(qlQH9g&^VJE2~h-`%ZWe1Qv6Y0)HQWJS%Z-u|$yTr`qS2GNKK z=5fP#x`|Oecz}dofFS}%9T~Ir6T5U_{d0EJD18Do1uQ(oihA)0^cov)Azt5x{{(NP z@QDO|1qN#tMc1t#N)q=vD8-0YsVD)u8f!7Q=o1mCi4S z-yqVYo@g_Rg)W7pfvGMY-=&@5Fv}Dyd$U+xfp;OXs4)k6)zGFLw*au5o1ku1*fVjn z4AWF$1OW~2ppp>nyrdBX#`D45JG50&RX*R4olsecd8v4F3^tU>4r_x(Z0FWSlq@7x z5Sw{nQWei&=oT7bL{1bRN|1imxN^erIBqQPPgyG|!C$9b}Z;jNbO@NC_sxh?_ z@7%I9sgmM*r1+59HKK=|I&1`f@wro>#6de4+>t}j#J17PzsXx8hs+e{Uj`iWZ;+70 zYe2XqNJnFM1!gL01mE$-FK}?7!6X|ZQ!}seXpH^dq}cMs(Eks%nvwVaaqd*KWjhR8 zYyifda|Fp46O8}|y?GkF|FqanZ|_|;54()sY2RHo54()sn;5%{-oR$t$)vW5(cDUK zx>Z}f`^JCr`lAe?twdssQ?m$4u-F9?D)0({yceVl(9@~i=KNoOlrJPuOU5MR*r&~4 z5UeA`_&bW;9ChZ$zxzktT3AurzQ{Z?(vRoUG{_jUq-aSBE*L|JuslmUfBR3?SfwAG zyF4~MQbYJo`}y$n17$9Al*AKz*s+ng-jvv7oW6;%%Q$@#W0!F{u(_xJvoKLJLCy*c zBRpxee;d_(lcQhemzu)HK?yyV$;-P4ey$kmu?6MmLHgluoGUNFaZM~AY zW%K3K3AHQp+M1Q3Q-R=x-Et{ia!@&P&P{rc>-d*^VOQI+3!A7ygOEiQX=LoeUMln= z+;iIUO3nqeFE%+FL*(yR{h+$kGUo!-LXKgYi(SSrn;5%{VKy;#8N&d3syoMo3r)ro z$S_40iaudYm3RJVh37(PjEY-Ik@`im4Z3-#0S`MaXz-rimU+a6dzHD1Viw^Sf!FyU z3%r4xq2hJ(t=GMa?*yM%o;M~uz(|3jHmiWm?%<{`Z#RHNl*JCHs)A*sbVU|#Q-F;` z4+a_;s~YcIb8AuG>aAHRPig^)Dy)M<Bj7;;%nh0Ur^WExepAq+~HBSYyKrCtt7BioD8b3VfbbSkOfozoV z(7)HsS1Dwvu!bsy#i_z(BFi)Xe;`Zd`~N_etXDwhtLxP2ft0#*Dp2OouJ4%bE>lD< zyFT_A0KHAeB6K;<5$*|E_BGgpH(Zo2%rDnH6xvhB_*bYlz&)X*26#v#O99{yovROd z_-wcOV`uGGq9+ccGcdwjD)1cgphhn1^*v8F1X9GF;%x_4vZ5{1S#(WAB*&_9jW!)0 zJJ}u`4vSuQP;|)V;!pyhh0W3EEA%&^Ra^T=)y9+bdlkpe0+~yhRiX0~nRAqq(QSi! z#sVGme`(;Ce{gJGuFEQoC1CDdCZ~$l{UBI*DQ(^3K0*gT#<8Qt&a&ME=YF%^0qrd}-zJF{vs;8Pv$`8ab3NJERO?QGH-@ z?ciW+ki_g`DdTuEu4|`Nrw`!h;d|MUpmnN+hjZrOhE6s8jbjU&PRpU&O&mMe_?5}+k*UD|ZFJO0qZ2dMm`t15i=z%tdlVlK zeivq4A>`4t(=0HB2dL|5=!f6lv*_6?%a%wKIwaZcrUZ}FAtqcz zq%mfTHyzf4g=aU(i_;@vqXJFy^bhv$&cgFkjBt94bSw-^g5B4M)3gKNWk-3UUJ)mm z)-w}MPq`ACztB~S+J`9H#?z?6>BgtcoUN2QD|O>AIo%fSEJd7769g%u{YaQ|gUdy2 z8wp%vQuITetI92fT=L7N4#FOD9zVOnUI!96cqc)~0%HKB=)4x8gZU)#j8|G2o{H#< z7M=>9mWW|{Z($`Q62jVbet*QzIX=&n;T$;}9%e(l^b-0Ps|585)`^+_yU@Y(7EkHp}7NNkpeKewIe3M|;1+-UAtMr(|KS8TwvgC~e~>V9`CT4bwkRvHI;? zRBS1A?og@ZITU5jOkTaUG81Q4z5SMlGVEyjN*EFhw8M4{p^=d~!Z06=G?SBW=B)D) zSelE~_Gp}r7R1_`BnM>ws%TzrXonvn3tl#ec;Jy#py6Y}4q7?~@Bh>ZFFP$f@OWCEN5VzIn~m`Yz3haSxe*UM z78{~-BNAA%r|+XRPI%GN$6qZq-DBs2@~Mu^CNtia_nbeJXmUjz+ie5 zb`eNAPQRe*vK;@0jzVGCeayNHNwfoCjCC3vK%!d*o}1A-d)=wmDpHjyHWURJjfvU| ztqMR|13W}+Kxo<2(*(mmyQ~~oMy>EgHXU$j)VfK5OQY6J3S1hs0+!ZESUF`V+o590{LWvBNJAbLeM`P2K4Ose68#f)WVbd4J0*Uf9 z(jwqwWB5(`uNP(u<#D~Eie2PX3QrmjbOLnHi^=qZIjb4=sizIR)t_6avV9!!YkmUv1ny|#ata(#yOrnf;cG#3m{aB z&WxqV8gJbB`#CzY>a_QrnI`hk2qQ@iV~5UD!wqEhc7lJMA@GXJ7C>t4%-??3IcsD= z3+_SGpXIUQa5@T9F~4xw;cc^pw3*7Y7QR5w^bdDyX$&(#!3A`8M^LTK{%0mi{8_>@ zj5^`FhAQYCR1V8+qwXHeqBCAO+`9h#jIH}N&l#?YOcE(Y*cZvgZKP?I;R!c)$mhH`|=`&|1d!t{C6a4 zcPj8;5$Qs7W(ft51nu=o&Zr6Wk%HNoF>89`ym^gBq5590_{Q@#m3m`JW`FCfxS_}C z8AA`0MSyX3J#0mS9$KRbOmcf1kJ)t7dB)qvWn7KD3HFf_woPY{0-^?UO3vqyM%R6x z)LekeS%BIYkc=-hmhqody|JVo;0#|mRb6i}ekvo3@7-|>6-GRCiaua8C5>M@-lTjX zh!Pr@sKYfv&>~u-Z)wdveFeN^JW*Uf3tti2IZN9hsC(lGw_M31llgE@G(0mu&OClW zy^G`XV{f2-kt3sYJQ*t*ps1OsRj=*txZ0#ArvhU{6Z#e9b=&vJ;%tV=spQZ*=vF!4 z_Y597Mb8q^b#6Sw-V9GUT>S30+DNA;f4~fRI^5RRBzhTB;#n)#DvPdA%rQ}MJ>pGW z;hfX9w}a>`FfE3MsFHPZit3FgU_O#X#na>tH@)NNW5}R&Ml~j)jYwyd7kBHPak|m$ zGBDaan@0;*6lKyhH68qsEo-c&W*Y!Xw4x*jrh@6w;4V*ebf22dpb$DW-bOP!KpVqS zFRrgFvbZMsFxM%cV!=a7Y+XyJNn>!1bgh2Oa~&eZqI|oE4>`44a&ko%qw!+gx$R24 zm>IK59)bw1l0r1+vfE-wG16|)KqK2&;ifhJOBc9Myr>dEIJ%3bx?$1lhn0YNW)b1m z)Bl=wd!yJ+0dIhL4OW3o<#-p1s3od@V#R}={@L}`+tPMZ$L=px&=?dbXr8w~UwqK5 zX{(dunQkZ!jV!Pm`Gr@mFOpliEa1R2d?R-Wr6PrugIMAmnNM3~@_+^BrJ0hpNV)NL zA`j;a8=_THGWLLK2I%U4o|P`x2~&tzg-FBIk#R$Fys$!;uc2F^PN|a|*BNJrQDy29 zSl))W!V$31*MIi3MwrWk+UZeN$uLrC_kI#Kqt1{IS{U`n1P0kJbE&6C!wrRFJ_NN;PuBn-cdR^r(PO}zU;mvZ(gv@LOs%0%;6Jgtf-CY5gcYn4f*ayK-= z@jb>nW0i`^8=)4L)cNzX6Dw>7M^^}|!I1vL8^=_n0Bpp6?32$^J`Kh)KU^d9Rb%IZ zv&#J9(8p44tAvGjuu9CW(h>v2)M|N&<=sa8hj*nc))XmMMrh;EHJHsqlWQH-@kIMu znR8=2J{iOEgsEJ*K~W+lqD%n>+o+2B))Rg<8TZ#_z%}!l44AU4;{1V z3NIJ1cUNc0o`)aDp|edtXnq1Zo}MY%n09aFRKUy4-3Wb6jm%EvL4k}~-SO~DC`@Qf z-H*B8j>#!!=`h7AV{vwlGT(O`k+M26Nsq@X%GYUZHja*sI*xXwt@;cDw5{TaP}=Rv zP-9yb!&D-BZ5c8%zDGw+4@9lrhdGTPeF0G&qXznPX~&2YmM1`*Cb{wH$pUutMK@|0 z^>gpg|0FG103#<@R7|bOp=(s|iG?mBA9}VNRXQ9%WoUslU-VWcG8h)r&Bi^MbgM-8 z1Hhlj-6wK(SWT}cpIg50Y5cY%Z&c|@l~HAW3&xqD?C_mf-iN#<&`}$;aadaDE`Gc*$=E?q*IsC3o~~CdLXV>>VXw6d<){GViU{U#@e4Y=WbZkKNI{7!>LCI5s@ly%wQWd19P}l zQm>d!L2n16`s0|vP4)H&)l6SKGv;F(D&8TmsA83eN+_ERwU1o%K{={4C~#I;ZbWmp zm?-A(RfqogUczlqu>6@%f-hKF$Fg`>qxiy{1_OMf)Oz_5=)S{A3oS#i-eD3Fc}xx%E{?h9D1LJV<;V@IL5=B7+9SuJZLZ}R{PkUo^O## zSKngz2wi|Vyk=Fu3Ln)d_x)~ns2eZkBi^M4YBGaUN3_B-XAL#^=u#UhZ!LhDz^Ha* zuFV;ysq3$^q4Ii>P?6CuP{5F+w`LVkOXX8EbbH)UI7Qg88BqbRFf=Nh_N(6~8oJve zN%BYCi4({XW?2hfbl9R_*Itl_a)!2^7#Isa(!&QZZ>i9;oJ{N0u*RVop#+b6Lu#46qIk!%Cfk`uFv-xo?Q1EsgDQy6lJsu&pRcM%rBVdm0-V zg@z&!uZDpiOM)vE;}}MD)?>qL)CWtlqK^DSOl>%`(Ek1#vI@0SxdWA@1+tsq#)7Ep zs`tocNro2SMDMAKoiT7hji$TrTK83_E)`F>Eglt%-tC+!%UG!K@|+z!Dwdd0saSV| zErb;{T1?SX(YrC*@x(@asjC`FHMa5ClJ`Y?P>OUWCSXLQ$3iGgzx24ObHW*vQgV>t z@FeDQVHJ@u*_PZ!_fy+3w~CAapPfPEg<%-IJ z%g%Z%&L~LPwZ#fk0#gGDD@09?qjTf(xW%jU*-dg4l~)R;)KjLn+u%Y^VQLG?B zgSSAnsqWzyAI{JMl@h3KR&X#12u{8{wI%BBcIX5KAA$qL#W#BqJrdw;MHw{qX>#AN zWJJ6?L#+y7o}(vd;f9km{r3Ruc49E6#i>9s#zz{2idc*rk;V+m@9Eu*!3Syh03BpO z6i{G8GiY4DZxxU46C+q3NRRVs@1E0!!G{>(YFXAn#+nPh)?U+!!3TYOtdF1?VUj$* zMGYMI_J@sGq9or@p9XGn0t2vt-Mt0iXNJN{?p2jBv{ z41{*=LXy{Hpr=;d$>Vz&2nB=Uuq~s_ywBq6czo{#LkmZR6q{~qJ>n_`ALSpf04E_~ zZax3sJ?1j_xL=;U8^@f`niDWt=I1pKIyY6=ZV|BT+MnxL0(k|DTDeqP zt0`c69riho?-ej~L#i~mMZ;bCzqc5C(8uSs(yY0-NJXP4zGC8Z?CUsG_>qq(F6kR$g>Fl;2F zfzCL0EQ62pdo@su5+6~1T z(3ANA;2^Jx!z+?xlZ7$POlZ)%{mXTHMVgFapjgs4;bh?Yq@ zA8xst!N>VQAAbL)I5~!Es^5T|x)#11HE(5Id0JG3FO(ML)erJ!Ooz>ek&iH^hXdi~ zPIL&WRIy3@r6)ej;Db7T>L+N`O12naAE`ty0 z^{Y3$6_D!FrrvIR=kPklzQ6;*4`5SicnX807ZJ$%vVPf$adl=YEhMrKA$25HW(MP* z${^r zV@#dMDp~pd!KYhU_#X1)OOrzT(q03XL)h)>R|hcy3&MCqc6>lR#p*UX7EUGO?!UGw@>o;e#V49s2JbW_$RG;fX4^pcpO5^Y;fmfPN`YST>u4=#Fm~(^k{VE^Re^sbrs{E%1c46>A7r$iTshg_n znUZzQ#hdf^kSrhPV}O$LUXOX?=Yfps0q6U5J|;9ps==a@|DFG7pj-98nF^3ByfBPZ zkEt5A-FYK}j|&J;4cPiC@h#`SYwUWCKv4ep0lpiER%DVHFr0>i&wPOU0B!&*h!LZ`~^Wp9m zT!avQR}j@R<>{E5xb&tPjv%0T04oY#lTt4hb+hB5EqGQ8Fj!!aNR&*2HNLhzj~}3H z=(&_gU|7z-`pF*#Fa`@86Y>L;uWZp~=e+RE0M=jyC^M7*odo)M`Ny6g5JDkUKeJe@ zZ*F*v`v82w0E>kJMf3|ylY8~LSGW)03P2*SaH+NzYQfY}Q~!Sa;Q>}{gM<5VRm#ik zhg^QZJ$77B+>fiAG_xaea=YbrT(F`Sx6svXw*PHC_6j?02z^sZMo}ry=zG_v=i70i zTfFC$X=$rcd@FgZ1ve;s#l-dEz3J9xuM4L0A{lgUMGv!!`|=kjTh0w#3#;j~Zg74h zK{1v2XD5yJ2nr7F{Q%=vO!;8|_W_7{fMY=mmxZ>q=#uN!ZN+^6b~HpJXJg$<*7Jc7 z_E#UU-0g8^)NmgFcZR4o^#O~Y_t|lsxetIukT3U`XF+-7M1_1=ndh||f9&7TO>a1k z!>okF9;&`(3C5OA`Lw^um4V7$RUIulGriN={*2B9$%B|i*;$imT5a)!=K~>*nED0O z0--rJO*=kpr%aGIse_xk_|1Ozc@7@pkrm!`n(_6-&wpn*cv$#LYvCj}nx0MjjTc#P z!74$TSK*qT%~hwK%@G8`6XKGoSN)a{VB@wY*bg4!ZxnHjCsDT@G}De7#CgiqGdXWU z$73wGxWMMo!Faa#*~p_UxYB*`y6Ocwn4!f}*N(K~1~IargH`E$c+Ut6u6#)leqNK2 zyNr!na6w-%vR_HN&)c-uAe)i~aFB^t3}H%0RYgBeLZD2W#~DVDe9cQe?Gy=;kSHaG ziixg6cN(*$9XCiqinw}Ef7nr5*l~l5N`z~1W0ws6t{-Ey;AJ+8Af~9iKkD0lrnv=& z1c{0wh^h0h+3<`7S2{L+QBk<2Dq8*Qb#~k!hEuqv2tGP+fgKkj7=B(e+tcBViFVwe zds9VelaZJ99A(E1V&o#O&dBdA+S863#K;k@#pAtX3*gF4nU;qiaWHaFG@}k~GV=Xb z^tT^8$k7$JR-Iozs$V~gqYEPyq(c=&%+lDKH&%Nn(j1aog7^`O+ju?zUhyVq3XKt_ z<9NfjU+m1^X^;|8cWNq;ZwIW}*;G!Uk*^!~na0}JXzZVa80Jl*6T=T^q4&WBrq(xna*hw62li*yLYHij% zeH;gF7O<&)cE5Y&juw3>+Ze*7&QuduUAx2q+${ZLit~-jk9GhzOHY_=+^5}W2XG77 z_`3DGI)Gcq#tnxLb^td^qD^*-|Etyk+(LHSYu~muVC0iv?OMWa{r~o2Zwns-xLNFG z3driUH`st>fiV2UCLfPF_c|LePTWF1er4u)4&WB@@$P^5jRUw@d~E894hv7S0n6%q zIE_s=^S};PV9>aQ0&?rsQ*0*&aI*x&5mTJW@$H*-5%Kc3^DR4fNd zX7E6}{m}V~W*r$d6c*EM_`9grvQ>{(we9=0O}D~d4OObWb+3I#)QsB{h?QdUWxSpM z_X`9x*Cv-8QbF!NeE6n3V`9^vF;TyX&zRiwXH0JDGp08E8B^kn2L!T@YUQ?ac#SrR zmH2YZrU2fICejnyzJX{SE*E&jJ`)4+*q_}=%-o(cd&aB)wS(pyq5ps9FFf|xY4aAT{|f)Xpm}pn(EqCf#tDN+_xv9?=yF*A literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.runs/impl_1/Pipeline_power_summary_routed.pb b/VHDL/ALU/ALU.runs/impl_1/Pipeline_power_summary_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..5a6b2ef4cfb2b6c1ab5712293e8fcedc9ea5735c GIT binary patch literal 722 zcmZ|NJ!lj`6bJAbA_tKOqLmYi#1YIgvDO@#D{%xBFP$9LyC@o+=hj zuZ}G>M3=h6;D+6kOp>N69CFQR+ti`lqZZ-Rvzhb?q+)uk!@M4`sLML)M7NrPOV2eJ z_td-0rgEA{=J>YZF~LU_B3#m;rYBr=EdPxvM7ddOzMM>q|E!n7LkJBj*^zrQjdFYx zq0iOe7*bEFjV3pem!;t(LKD?w>dD)v6y^~k)$9cH#M59<1XFD^x4Hf9;&4tC%E>v% z;d#gzh~f)iatRDeU{(X;%V2N?Otryvowd| z3M>89)uzYnV J1z-7r(H~Ts|T#{H)TC5OUnwy(gRC$1h;eilCgXC7$1@a648V(I| literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.runs/impl_1/Pipeline_route_status.rpt b/VHDL/ALU/ALU.runs/impl_1/Pipeline_route_status.rpt new file mode 100644 index 0000000..2d1d87b --- /dev/null +++ b/VHDL/ALU/ALU.runs/impl_1/Pipeline_route_status.rpt @@ -0,0 +1,11 @@ +Design Route Status + : # nets : + ------------------------------------------- : ----------- : + # of logical nets.......................... : 914 : + # of nets not needing routing.......... : 221 : + # of internally routed nets........ : 221 : + # of routable nets..................... : 693 : + # of fully routed nets............. : 693 : + # of nets with routing errors.......... : 0 : + ------------------------------------------- : ----------- : + diff --git a/VHDL/ALU/ALU.runs/impl_1/Pipeline_routed.dcp b/VHDL/ALU/ALU.runs/impl_1/Pipeline_routed.dcp new file mode 100644 index 0000000000000000000000000000000000000000..ff740c41233b9090f87428750b604e4c9c6ef5a9 GIT binary patch literal 428923 zcmY&LTyWS6D&AYFNo(?| zh4D0%>mhMHHZp13*W}t_k|4omJ+~R8>@ldmWMA_5PdVsq+660llr&I;ObV{lwT~mi z@H7&%PdJ87m{4dvJmDTtmTYZBQYlsM2FZVC;Q9mrd{H86nW3#ziHr9HG?RRAOM-CB zkU`SvN~B|2GSH{m4HlSLo5x}YJv^V9I&JS?K;@yDIFlNyE9Lwj>_UGzeX2HJY3SdK zH3zPF{k7*?WB2lKlYAYEsr}JmVH16PYY$oPVjqQ2)~5?iRQ$$oS-KW3wxb;;^pzt{ zf_2Y7!TEQK-emXf8ZW`V(b`r__9RIoEN z7C&wycKJiJuD-7BYS+IW2UX+h_xnj59ps;BL|>P#KTBgl&NJ+?IaW1m+Th{0rhWHV zWt3`5yjB-AriU{8*cH^OTV{Q5nYkz{q3w$^!tpmzL>zBf7tZ39$^5NdVoe9&sC-eX z8)8cC?MB)?zlw~p0}n#yvL=^?!UpI$7Q~dQhy`Y&snplz2Zq8)c;BamW3jn=_1!nO z-{~BEI1@`#GP#u%eXO4ktIaB#-RsK?@Nm#@BVaKbEWvgl<*ulzR(r$24J2T8_hPaG z-8&U4w>MFWnoP<$jQws(~IHz8MJTEr`+2^gGKuu%(7PtnB&MCj6>*JQCOI zW0=Khs0)J>{ZaTzk*^Y7BHxaG^I%6dMLj)wU@^clQGoqe?B}}7heT#(7!GV=IJ`CR zw(SVH7wTFkD`awDX0$NeZIUfepq1$hzp*6zDc{jKe){l5rxxxVF+W+h#pk)qn9~R5EMb$Qvp}2k%(I_my#)QkEa5M-_A-dUq=0gv&WhSe!4>o7OG2!8qaj0VweC@} zkWyodJhNfKsb6b)A=nupQqm72oScr0#@6Jfy%N_14J?2`3TUWfELHe`0R=#6YgU^}sq*d$bl; z&Zc&Ji#s=+GfX7(FEK*>X!C)?AcuJT$6_ngC#OL>h5F~=uHG*#96pL^SChJ5sn&h) zY5FK(65x;q@0+FWs&Q%J({!)#=$%6CHC~JN|K4&ZWP}4&eMiYS+jCE>GK#Q~!OlfG zXPsr_xb4L*VMJ@eGoemn>#DcouB=%+Y2Q1?u{v+Cwyya1!w6j1Asa2ox9U_nM|u{0 z-gEp}oOSlDk9cl`!(jH^y!?ZZnQQl|8Z+gy_tU&oP2nzuM5l9{)(WtiSiMcss<2{R z9hi~92Q8g515+6)(Yp2KSbm*_@fjbfw!3lZB@El*iFKusP!1gYC~ccCCN`%s()X*7 zPl4}A{7EDgh?72O`&fnbB{lcuRft&L%_!&V84e5)=p<;wnWK&1HPY4*8I#4De`+Mq zDf)m`iY$5XZBnT94O-;hMkDjM9rvs7pQgSuqSNqDF8QY+XMZHjvP;tX%nI(}!s9|( z6B4Ibh8^7-?>2_2`rfWyx}+4-Fwx$3@^2Y__Ck_8MhUvkE;5%ST2CwDDl$?s6VEwx z)CQ78B)!8Y79wuu35tJsCXv$nMW!hc$pfH_|2#(|6GuAo3uJzYe`qWd7U;kBkblBX z^X>~TA+B2Yx+bFQqwaI)GfyfZo&eqm_7F@AvRo76j_9~R7}&38bxEy^dynRGTkxnn zKYI;ebeQ&32v6w{&wt|$*w&=pcWoc46#LfZ#fJM1>=b+>jdSwVIf+o#T z$fwYzvD$zBcI**-abn!@wuUNkA?xmx>#jcI$7O_Tz~97%x_KPR8U!4`4SOWipUfM` z4M);g6~#JA6Y1OR7moL&VSR5mapJwm0xoixzd(?H78>ES8$9w6Bo4YJ!?dPaf4mRYIP&MqyqW`YPgE-$2m6B{lRS!yKMvZipky!qHDH4SG z_}(B{aKnt3&oIH*8$fKs$+ddjD8R(|GRg7}QLI*6BFTsZ43LCG)y22etqdZ|9UHlaeHKvtRTIS2GkfY#XhTj}$QKx^G`A#(}RO|WZ}yJ8*&O4_UI6_VEr`_LNfxzbR#TPZ!H@>O2DiC&}M)@>CjO zGVB=rHTv3?bq%z}?Z$n_w+2e*i#*LRTR_#(%hOWuOkU_s79ne%RJ>vq& zf74I-x0)w10WlEbP_XLU=Ap*Ob1TNPcGJFag!7@~3lCRzYNY{B=Hbfc?&>c*uMwg< z;vSTyuViCy?nZ6le8YS&l&*JUZxGgZ)BW`O6}0-|X6Qj6d z4y$eyiO`6@sS78ECUfaY5s?RnJn(#nUn`xUOhM7W)aHp%<<3qgnKHi33)ZXD+l4uS zi7`&N#cc$Z#og`b!-lR}c|>YsV(RF{btHzgU6y(LON|>$a3dpb*lSZ`Uz3$ z=+O}m&x}pcYteUOu4We@J{Ig3sZJgHgL`A_Vmu_CW3H`qGp$1LsrtSa>{qGXHzkFs zPQSqL)YKh5SZ!-xiq1@2_vncjPx1}QG9N4pI!YzR1AElB9d)f9G_z37P9=NlY#dK!nnUf++gg=OE#_XZi~x3TyETt~LyN48z@tx}3YrO(&30|)T5NnWn}2 zN4jLfBV6oq3S3rP5dHVD9P-QEp=t`MYuuW6>zpq`kN#3GtlQ45+xXHo%x4DX6!aXA zthRt$h=YG@RisZ-A{p9Cqhu+$*Zs~Scjg1HQ zTkN_(`Dr->mn~h29E`G^QHc@BNqW|jxv?ETzr8J}U3hG+UFcw2eCFNRt{yGPXFGy9 zRIHbVj0n%;RI|r)eM~P>gg@s@H=C-3*PwgKrcUfsc0PRy*YIz(a~S)>w8em)J+|cI zSCwkr$gN@>k(EsjLJW0AFn#^1`1y4uN^e_Oo*fX0rP~>#+p$KDoe=d~%$-}M$0VyG zoUW(KzpvhTaOt-gsQZtHn8NF|ZG+;Ve3>u*>G|a@L6f*d>C@4VMnoKu z6FV!NjsHxd`o^nys}fTOHJu7=l$CO1h*${6|tm#)J&A%arTgztAbyD{`3h zIEV~-r;T0OF=9sc*yT*4TqZa*BPX~v6Cs{MS(gWQ+a37l6>L0=uV7@Asr9OXB2eg( zgly;%l?ILrdeB!4bGsVVS7mVYelK}Z6<_+9K2`jgUR-lR<#WC>gSF-E7o|Jn`bA-O zIc9`yr@V?sNDS%ocRR$s&F=56=+o!1*$y0~<9<>KKGB@6du2QPhET{XO32CxQS(MM ztXRGUAr~T-?fXg}48=YgW zGQ#iqV%hnc8gO2z&fI)g-2~U~N4cw5S5|C-h{N|@nYqr7ZTi3}Rt_y#?SD;;RSEWw zxvNZ#5hI@Qkx{oUcoxWF`eW%=sS1tOg%@%yw_&Yci@{3z*U^`P*D=+&hJ19>jf)X6 z9j0`=^8%8%_wMy`5tr<9URO~%)Eo7kzXaN9e9O0yVGYRY=Q zhKV~ugG0)yOa8gmdehm^`wH=WT}j!s71^vV(^;9D3h`~o>QzYX>iQkk64xP}?<~n3 zafwaG2*jYqbe6(rmgIKlUT6;7WQhSDy?dX1+1GiS)o?O?orf?UF>x1iTkW}X&u8HR zLM^l(%h}qQ@`NntEq*MmZ=A7ZM-=_~OF4V?V;&DM_+29|{n$dGQ|HK0n^EOt)AZYkw~1v3G~9Al%DO;Tdm0*w;KE z)#qC%-ZDBI*KStTg#r zf$Tv+wE;0QNqF1?5+x%azYvzAw5+z_O?6;M5^J}#a=oHWFQ=jRB-*lW!Ha`nNaAQg zpq0?zm~ag|)rPV^qx%wQrJAp4k;@yF4cutWREdIKl^;}Z)1rl`zNYmr!>GcN7z|`V z+yA=#iBvL8O=8fGrIH8{slsd87G~N49%Q_@1PsX&RZvT#9-82n*R*`4disdjnW*D& zN0%*B5wZt$thjr~Ood5%qU?#uF?FAm(X)iV2OF=D?9cYwT)6P%$oUXATX}v zAxGqMK>?uh)qP1^iCNCFL!twS;mcX6y~K{PhNCi?B#N@cm!s0C6oiW$HN128;Ut^Y zV7x39ygcGEBT~sN?f0)05G=|cA5(t=-riK3izoL01764oiKzq-E=JdIc zC*EhT6q;9*$as0t5^($a_?;fSm+>#q@P~6M=cMpVk|cDGQ6!rcK*6-1hF{`I zT1dRF2&MrdN%8`aXe)@n;Td9Dw>&0RoJHaVP3qQ2oH)`H(9piZe~FieE&*s_(nC87 zMhf~Ug(TTai$r^Y*T5(6ik1{Vh~EJkK7oiCEzR)f<14(Wt>JslAS@3&pb5>^Z;eQ1 znx-5~TK!TQGcJutV>I+(q#*jLfS4r>M0it;FVKb%sUIk9_>DJ}EHOAE`A$vpi#|&3 zu7U)KBv4giP?JTg2ah231zL;DYgz_oT6)^RNp7{t;20xyyz{7GA5uvuD_*=v8WQ7$DcO}0 z^_f7a<<137(hq>-_Gm?8wwO?Ej3(QF6qEu9z#G-F_SZt9S~J1j`+XBstsd^UqH*9A3^ez#R1 z1)&08pm89!=~90IA!a18YR~g#u5d(>^!k8AtNiv`3?~k;>nWk^U?d~GT`(V8er9)d}%VElwb$n-^WEu;Z2R}=5$T-ky#8rLCG&>uG>$v47Cv>BA&@aAwn zqB){wrWji^VA48Q(!6R&L}Z5zAuT~jR}fMcRGQv4WW1Ma-2o>`S*{y0E)VY}D>2x) z;3L6!n+OGW)Lbnoyx(gQRvc7GM^J*{wVHsyTd&k(ULbJ( zuvAk*h%J*qPQYkUK+IqDNjWt+sm6E`6^VXTi7#d>xLT>Ilb*7YBEh zc2n=?$<>BSyvJ_fd>AAO)d8fuVFwox^Z2WTySm-2yW{=f^FFL;SS91CI}q%5bawpm z$hfsiInJXA)d9ZOG&C4mHJ88kE?;bM+$S2Bd!x{97}xPQFk*7Qj`But@`5+I6ARxe zo$9S117DCm)|)V{*DbW;IOrgGD)LhH!h_HHvDIoZ6zz7Y>!9e+lcW}M#gV**+x)PiweHYhnL@rml|I@uB>d}T3Vx8S_2lm=IiKAa#?-myESnA2* z7yFZ{Ox3;=*u?fX0ved*w%si(>XD2{#dVdyWXd~xk@ibkx_)sb%`A8~`Ry7WiMgaapF!Z`Hng9oFUCTTynZ#TYHJ$AF0r z%B_~)y|1#;!zxMHKYHo8p5q71t|po`T-rLsI4*G1bF{Lo?77BzXGeI!-fHlqQm7Ac zcyRjC1`^HzYw?PWTj5qt7$-Xq#*`SvYK*n(LckhNssM6XH9 zmGND+LUlp+xNn&3kjdfG*-N8MWl^`dDpDC^)mk~04T7z&K?09klmp1WGyTpnHeY;I zt`%X5XDlaUO#nB0xUcl`$Z^uNzuW(K60!WUMQEQ!dh?!&r12nllK=C(xxQ2Yb@l# zsFa0PN5mJx(xy#0Ypmo;uV$<%JM||&O4B*YxeZ(PYJg($@JR~2r0Hzs5Ft*oV}Iq% zgYc?D(RQXdrRm-&_)ofLncP{~psLGwh7WTF6LX};Fl$Kujwl^Z*y{ha=*e8TgPBMY~KmLmap;BPM zHs3_z@nu$6^|qACLeY|7*>56$2uEu1{=T}fl%~5e( zC1kyS_uo~9kc#V9Fbuw!n+6$-T&uME$Pb54sH{#)+?KIs1nPQLfq;<2R9&knl=;V?4P*2vks)`8Z6!!C`nD_JgvroDpdfTm7 zzrQy8)<&+M(%w`?0Tz;pe9WIQnw)#!`Z5vNjTESpPv(O&g_$OynPKoMunpNCZ%Q5* zAn;= z`-)?KkYi`ly?(WuFCtq6f^hxOr#{1|IMWqGAMn4VD~cMmM(k@^tfB-~y@W?u;GGqK z`2v5u?yK-z0=4$YHAa1qdpb~FY5cI zT|{}Z5l(!J4_?6R4qCpaa&-S4mK^`yIfdm+Z~?K1sn2oX4dg|&o57l*xu~>=Va&Bl zlOPZ7j+KPtd^=7oM6)Q_;ig%1AS~_`;+vBwy4D!m8GcA{FYiLik zGDL)2zldyWVb56~_uSDn*y+t^V*gi8t?%89V(F=x`Y6out&4~Wk#Fvaj_TRGeeIHI z5hGoAh)9k-C!)B&@(fN*DHe$uSp;-jzv98fa!>XgU9KS8V%{T0xRhwJMydX~$3ON5 zg0WIX)^3se+{IkUC2JST6?QB1c#;BxP?RnA?A0b7M@JFqYZ;hEwuoX66cFc~L-eu` zmF@$}LQQR%Yd{_KEy{AYIGLOMYY|3;@a{R;uuE&Ph=_%X8-eDh;ha2}3JAn?$!4_k z6|t1jsb0TC>=)r^Jj#e=7ePmXBaN!1Nq9vNTchmr0AHbCQjVI8(L8=u4(v96G0J09 zPs&=Lwng$6C|Au6=Iz+w}4FKpfH**5ViLr>env3m{gW=lGHNBKExB~zX9PI&`A5%^Y~)~6cMFP z!|!|67$9Q#v^da6JoIhjx1EByFkqpr|K~tdw#U{2;Y8-LqdSawlSxsitF%;oYqDJg zkTxyS(oo9$RkNtzT{6|!5?!Mj20q3P)D%=`o<|~@H77nxF$F71xeJm~P8oi3Kl$`W zu@IP`42NHZAFmUqIPMsxF)3P$CGtgOOco@XT$rtnbK%>DzRzj#^zL^@S#>-~DEh7# zpQbEa>Z}I~Dte^{D3JDibI4kr=~z^(hY#63CO#w~$Wd|d8cYNkjW{}z+CQSzcVxb%R7P#9XL z9uPF2npEVNgMoursi5{1G9R49oli|Fd$CgG>QHk^fW885gZf%j1aQAu$vnaRsn{gd zjw+hcq!pq1vqjWI*-J<=3(p(6f#%urK9>Ri9HUmi?b9G@pV&o&lXx===kooOH4m1Y zUZuCWxYzteit;!9Q)SGl!QtK)7ij&tPQy2_w2@0R&7s`ji;s&LlnI(`Q-LL{>Asnc zJ6p_(mf3vkqs*%LR|Tr2v^YiA(1e&~g)rP)T-Be1az5{UGn%|Bx@1J2mi;r-+2;yA zM9dblvG$p7INs5Be4vfW;ow4f;ncIxe@3?tn|40-WcE!0R_Sx(PpFMRywMlqfCjl+ z+ZWQ-EpJnTN^(x#=+m((|B&Z8{h`o$QtX6 z8_`N-x(X^L>wU!|H)p|wQbBWa?wBs?>*!-<>HKu%bWTq>c)^fY-ylfiJCT~q+U_Uo zp-6AMN4!b~@SN8j7qFb%#-H;sK~r97m&FP@w>lrflEatK897@PG|Rb|RIhB?bOD^% zz`aleN;#9uOUb}?p}?uj^$Terpk=k7692-fA*q2cPE59(K`Z7c1m%tfC_nq*r&z>n z93Pd`Y0)uTsRrOP%o~JVnusYCd12d-40C;97r=%jJrXA9Vg|B_cj45Od@FkT9QA(NsP(qVTf1oW zc(@p?Qc-2<%&;_}FHtEYlYj6Hp%Z1ySEQ#})E)y{ZhD8DW*8TGa$!i%;s$UbnZK zL3OAV77n;8+`XGINs|V&c%z7+jWHA`=$Sx^j&kPp!iIZY!#kA$J5%UfJ$aF|wJpCB z*OSX0Vt{P&h8EC}812l24{zC#``W$Y9NvXAT~9yM!l9jI3#hOJXsUb(a7XhV;8tiy>`QJHgO7s;)@}eq z)&Q45&^b`h_6B=+w<0h}llrpZ-j3y-B#`ZIOA*?WdaKqHBnjQqlg*wNaZUmP4%osx?VMWgx0_a>Oxz) zzo+^jRF_)X=M49r&ZAhy9%M>d6N%PoV4F7M!ztWwI>7}i-3FU)pog<#lkwAOvt%{w zrN!v(1h!yx%^u5vKi~E8ndC}q6(nKfg|oGaY{dzo%k`Ovsl(7ldSS$higXG;EZJIV zB5U4uMb$7w)=09gN4}d@a^X$8>r?K?$nUGQ6mYO5?y7;t*n%%*T zTBHR+Lez&?dNEdiNWs+oc`LR`3F7wkZ4#yDLL*poO115v8AcL>t6r;lS^-&Um6nmx z9K{J|v+w}v%mwd0cO_bz-$_?_(ub`Gt9tec1|np1^It z#<+T_I^67=?%5{Cym{rO(=aXSPMEcoo$3izXfXKg zID{!64u6tPDT}Exd;q`b&cab3aPJD!wpARFa|OtL;Fm->r(DI;&t>Xi;kI4~E>O=F zwi(O9XOgCfTSUsQ%ucb%$X>hO8DWjiwNfO#YEG;!g*sNVKV+4O;Z&-qo9D*TXU^|S zP^rei-n3tDm1*r1tQel}X5E{}4^V*mD9agMO=<9p#(xFS0__g|V#)sjgg3ZC6ybCl z1RLb(hy$IOWNwjnz@-JPT>ZzP?ZLSol@E*KmRCA|aWYdcHou)52M3A3Vgpx4yX3MN z3}vDfvy*>vg$q`6nc6^LlB9TTW;w}1BCy0O9IqMN+*j1bgSgu0+O@LAYbG|273m^i z_>x^KL%e3BRsH^s0`Otq0me4*3l%b12wuEMvRo`yVI~4ETzEAor8X}WrDnm{gOwyl z8~2N>GM$~G6$L&Ys{at=?;t-`E=;k3T|(Wi~;dZV3z~ax#HZVS@5OS|C zzdohl8+4~GMTgJ)tY2BEYD)R)%08ufOcs^rxe?^86p=xNwl`?gi)0WcL+x7W>vXnF zzAJ(e3-Ck6wv|q`P*ucQO}9WU(POk|iB6-eZ8D%3WEU0G$a)Xo>3=DU^k!T9YEf#OfhH}C;1 zzwP}QQNF`riKlGnElS)!{>Vg|1vzt^?nt?F<8(?|^w>+&%#~!TKl{vIDOu<--hVjX zfT3a15*Z2s5ta2}P&hclSf+m@^822km@04@^eN*<=$OM^3+|YH3Lir~w_f!_9Iwc? zo~!Q9ttYmF0JHhS_lK7bJpZ-tPAUIu2~NqL+hq_e@jqOrsI`^iQlfXQ>@2ar{}-d0 zM4-x2vYIhRgVA7Hw~E3`!=&@=o`F|nvAZ=b7zRx5lm~42d1W&BAmB6wzi&ElP!tlC zEP;Rn>HNN?#{`Gv!5(@=l8q*!*@Z8{lrFpa=8C_4vb+)a+s8};4SS6AF~|6#o*bs= zqvI^KNAxsQyHHfT+ca}Q!{6$H6K*`Q)a4vDa4!+t(X(*8akq7IoIth+Pw%Tno$(V z2hIPg4Kz2=vaK&QyVm|EJ9gmTPs8BsD{+&lcpn^eml}MtTI4Gw`FJGpU<4DR&GieR zXr_pjTZ%o$a`saFhrWnR>2Xe;#Lea}=#1w%Rx#_&Zx zl9L2KC27L`tB#a3Mbua3dsc(&qeYLHrb?SZ%wwkG;%z!xJH2(E`00AK92`oOe`Gvp zpVWkW-`@Uhq5Y^z65?W7+upyf5QV3it=-*=?q6k-_2KK{d!yKgZ5pEA&L)C^sF779 z9rRFbj`P%yxZ$LPJp)m)KWwFIe5KWYyp>k}DI%>Nw(Rq`gc{s~2c*Gx!u{XZ@MVt! zdktSAAxYvQAz}Uhui<-`nL?8EpMP2ra(d6oX4ljkQ{A}ym4*Tn`$x2ys=5x^azr9h zy<+NiHeAQfq~I;-K$gj8Qgpmi%YG*nLMaD|)DB z$fZ>2nM=>H+ilR4$Ulst7w8VF7>H?3GR-KDCdA3uWrfNm~I9r zylWIFBpruTLO`7?)Kz;+^p4$|dB39U5GqXyj-RGJ#wKf1Bej>Z{&uz88NkmO;(2lz zfyeECx6`J6?;{k;sXvkNZlv)o?1wGpYlF87MkdX<*h#EZIwqezr`56S-Ul<%bH&Ad z(C>)b-fw$>;@)Yj6v@GVo=Ntjf#Q#cvDqjaUClRPfzu90&iSsQ-H$Y}*=MPL)@lv1 zvoC>BeA~)opFv*>l|Qb_c_zM4JT5K6NRSFf3f8g%isTA$d_VtPEUL~j-w_glGxra< zEA}NxTytXFhp(J^bGkIJwk{Wo&s(pf*5A#+AMiM*{s>5u)|mz0|JALpf);$yG#Q#y zXIAIJR+P)P)0z-II-u-kO)w+VDZ};d9=+iBBubn!8&ta8>Q8Yg`JZz0xbJDr(MAt9L@z4+fkba6F~SV7l*3-a5&eJ4@)K2cp-mc~3$eFoapx)eID zsxdJhwQ^iLuv)uxXd}9L%se*_aTi^(zb`$>sui6$dFS*~cX@-j>Ekj14PIz3Z7)MF z04EoD68+1Uy-y}xr{Z+RnH8=-#M&wg}|SwguM zSoJX7EYcTtKYqHT^!E3?R`T8Lq&|7v3Ka(X{M|A1xm^?cx8(oOpWfzv^spu1?(4sl z{rFgYA$~J6ahd6Tp)c&$Y&wSv_Rssbe)^DFb*Jy}1owZONfy6fqP8}CJc4)K9#D5Z z?5>e#brIBGi6_Xg;Rrr?uy;0CJo-QViT=06)CJ!af2v>mH$=TJ>~A{9E_gl%K6<*; z7tUN1&T{anIU5ka?-IX%q<*|T^$S_MKN`vYw~?IAtNat212fTXl0UBUF>}KI_Lkc3 z4EGS?>vO%6{d5lRx;NQHvFlnIId!+2yrbmkTI!Hsb@RVnp>jC>d3Qp2d!pQV)5hU{ zHp0<)*CzxR37meM5dXK}4ZI}hpDUGrILdxHL%4EIcUNRsHS|3LXZt=i`QIm2>{Yuz zs1rjJ)Afp$K}mDT%;41RG4tV-D(7-{-9&@32Z!u`NA=lkErXSd4IMn}PUZYIrxBRT zWh?by4vy2#jwMrf_?3P2f@mF>I(>!EyJ=#kqf%6NQ>SAG`Fia>f9-6F*v$J;+3#{> z!cVtq+fulLcTFHU=-{A~(*smem5f1`9CCnB%DGB>ay}Jj5G?NHDM2PL1rLZ~#~#CE z(IHPGQE%Z@7f8i8Lu+)01c@?#DYr>4PWu!gwSwg2AM^S1Z=WlL9iW72Aqj+QAKgW<>&@M)r74T$X=P zKsM&`Gd~R&M!Vx@`iL$ns$Y9GgqECLT5)_mJcJH>%B+^T_q%JHt)Jx?kvche&@pq=X9^iP%J8v4W-ZB|1 zMgSgM%lVns8POwItNdPO`!@EJ-h#^D4X)l9z~#EW&L)Tm^YXuq0rAxm%EV(g|Lc+L z2biTl{8r2Gabylc9=4jiho}HUL!ZkT68{l~$DQQtmaD(BLgvxf|Nd@I_+MheKNRzy zw6@QwMlI#7#BZ$lBR0l#LiVwv2DMeEL#aU|ejKnn=2 z@>7>L+s&Df9ecYd(s>(Eli`%hq{84d0lTCv3V>j!PmV@~%JFg;L=G|pbn3d8T`XMs zb8yu{Ud+!}x@%MVz-EyQaPO=<_FsbkY!kt2vO+am@-P}~@rV{N!dY~lLuQ^zDo33n z2COEX#_KY$gX{dgNJ?efH~NodDqFRHpu}QR-F$U=0v%3)!y1 z6m=Mx3ATr#B<)lYwr-g=F7y2h0)WT-my+6cvUSr&>H`n^JxxaR;WrgcJ5>}+D(8h0 z9m^lq<-zWmH0E*Ix}Cb`X1XUpg(3hLz_^ywR;9i_wR}0s;F$;j>)UrclkjI!{7lxS z^s+D!-{FJk_r zms16QgL|A`%e(?&wuGJ^5tsnBs-*Fa0eaOU8NiFW*U9(}0KEx&CBP7{*?>?G!fUhc zCH?zR8l$Cjbk678WiWBs17OwJ$)-)7R?QKf9I|sba$cBwdspWS+G~yW2Jy*D%~4Lu zmm89|o=~PkKBKSQ#&bNKkn~Ud__tO8S=`L*&Ajp;af%jQRTQy`zQ&7Q-0bMpIyxKZ zRlD+7Qx?o_w%yvEri2d9hdnR_zWH)(e=I%ZT zK{(js%P$Rqj9Q0X7GvPHS%1@1y-I(tXJU^a|DfD0z<{H*y4k?{ z?zS8jC*mVulYCq&bBZ$9zEmfGD}qs-$C}S7*AI|LP$Dm2CP>)v#JNqYgQ^= zFPK73IK3-oDG{ozo5!_4aGj{;p_mB*xf~(TcZQ_ULyO8@s&cpN=Yca<+Ldjq2qf@4 z(&ZVAAka0?#52WyHUu%`It5{%(;8u*dI_N-j8M7HLa_X-5Ugw+6HgEOS)bMVKI@ey zgh+TEFbvEc$nIuShfXI}#dbS;Z8r*9d3{ir@i&fx9`87+Qs@VcW4QrX(Wu73!0 z3tefQ?K;5mcC~Yzp}aa+glK?owCC&r^?_$C!H2+QT{qOKzK1elM*y+r%6FUfHV}le znYg?Ba!zfNa6I+!{Y|R0VUig*<_z%#msug+eo&O9%r|?;)1ZaV}Sx++uDKSJC zlHPnawD@eu$@p1E>RE@>5TT>SItNJsK0B*?w)6k|Za#O}{xkV&q%+V<`QJG(s1o5} zWAfP$!Ly;*#%Jdl&zf)85mC$L90K3|=WOO#=Rgag1Fd`38GqKfc%E5m;UO@h0%6C* z=Q#?s=O|JP+)#;cjSxvd{CS3-v$;LyEy?S~*xkOKbQp0Nj>`4|-FE=im3|}HG>3Ec zlAka}_zMdNuS($+=a{uCi>^=Xy@taoPr-N^k5c zHBBzh@~dWsuH>TMDG`sApZpzK0xnrh_=TQz+qc;|-1Klft#MkJpVNAoSjyIL**CS zi|vq~TKgk~o;Jk>h4Py2I~sTAC;OF;SNX@LMB|?G<(hB>$!F@6~X|?C>f~C z6)IKImU2_=0AbH?^jgsKigj{{$InBg(+$(w#NuOoj6o-N+LF$O#e862O`;lJu&)X2 zedvPorrLC2%V7`Jg7|7u+m*6jT6*?&EVT}zfyC|t#`Fa$w)z^EFTYy^1GK2EygeTMZBYL#$4b@3c`n-af|E3+!1hnKoimlXoG*faI3&3x(;UWBtM9U~pg z>xcdu^*y?6tYU3Z&Vk}{Jf-k>6(V9 z6&UCzVC5dx1i_M>A$y<$s5J`c{mJRRR^%L0CP^q%jrP3Ry$h})g1WH}j zm)C??FYpnN`-g3MIXdwO%&T2C8D1q2YNd;=bVwg2OrPhhtQTH(0)t{tH#$u)!7hfi z!QSnNYu2Ez*CMgsQK4u}@O^tjapPY?0~tRfZ1L>^n%wQJ-*<=#KDxUAhZCDFEnMz< z{GM7CA>@)>oRZb^->8uusXaat^4(f;zASBQ(xh*3YHL%{eb^d4Vs=qy%j^;9tBqMK z#B_QD^b%JNHP#BNx<8Kw3x4%CYfR zt`o$^J5#L2Sp~PT%ZU33^$eZvN$b=^k^#|Bi(h!-Up0;~@L}AfTiW$(00S@aE{2X? zbAfy|zMkdLe4$$NxTkKzR-tg4*stVl{hg`IG0W|T!sK0y1;~6OD|qo|Av{f);y}!J zDV0cI(OuJjV!aTu;Qr(h?%v%97i(d{T|8Gdi{o0NU(T3I3gS?97pTY;T2R#O(HD2K zb|C=%I|*=!T;m^EZCkj{37Qq}pNDA3$C(UKkXH%~pT+1~rL_eNTRdw;& z)*1Xn+*B8T)xD0cqL>X=QO<)QDkp4Qr^h!a8gZ}p^Q2%$lrqK1ze$2JrU)dPlB^@C zid?U{=!OvM%-UI%%I71fsTo8-J`q=i8ssHys|_w)N_&Ut2@IaAM5zH?>{r)jY{Nm_N@ zipbL>;xx-|uG4G2PFqE!+maFGa*vwXrz_6;y$+O}f9e1BaRQHlO>>$VY9%ywx0KgE za!hqev0$8cR$TPPBdd*f&bpa*>croCPM(%=`V%;O_S&%J5BPkzFkrF`6rzx>((Jbv zRr$4tn;R(B_qD%99A1x){J*b#Co}D)2zU4s`1}btO}k09)A&!VQztmGllG{f<39EF zGzO2M&ZEb~&}H-0A4qHU){Hj;|*SEI^2nV%}ePX_{=zPSa(*=W- zho!}6e%@@AU-N?F6n5Mvso|i`F%rC*`KqX}kV^H1*W-S@>l|$zk6v>^d zN_|yt-eBYRPay8G*spjIh}7>(I$pFeOWjLaseox1u6VT+8x>7A>q|AhsJAPb`0}D2 zTH@)MM_4E`=~BnGluaEaAaz>u_f!wIms)-g4Uc=Arg*y524FBXBjY~IQW!+w5zQ|F zUvJe}lgi($5>2mrYTWq}ee3LX3?3hVNBwpadZX*@&-oHh>g?tD5@(`VU$lH>N<6h` zS@o2zF&R4J&_32mY>hDN0*_NxjJcU@t1}%`*Ca@qSfj!e2jBx=`eB0$>wE|ah8 zU-B8%{j>zJhnz9hnw1e}i@t+4k5mDl}NGH7O#qUoX(Rnax4Q34qzC`K7ZNtaj zkEzRywx5{Sz3wS9WL*T-GaFWW|_=bn&=|yZNUI)IfA5n zt}|qu{5C|S){xcmYybx4V!&vyNmaVFaH12h3{}60!CrAZYNxlM9#6 zA6I2JGaeiR-Odi@MsqAU%QH`XO@8%#-%||K(Fl~8+{s8hAtq9~q#Nw=4@IK7E_FJ* z^xw3dVSF3GSRcCbK!T`E`Cr%(1N56efy1qF7Z1s@?Bg=+Xky{xbb8sxnqzzS;2}Pa zxpjJHiHo23^;>N|6D3Ri<9g?!WBxvnDKZH%n;4*ZJeF9z2WZLYo$K^<44A9ScW5Sc zE;4ax;MZS`tjlv~Cacpc6YC^0+5UJ@J3E7UdF1QmvYt##=C36tQ`hOq-mN0u+#>ST zPZIg+CW(AI6$RVS%~_L7B46_xjd34=Ke3KPo`X|_&EFo#an#eL_tXMk;a4ejdbR<$?M3$vwBFk6ecu7{YKIrY} z(P*+F-f#Tj3nLb?^O=~uI|(Kmmpm&YKJW{Xgn9AD2a5j6>og)Vb^NKxII*s_CPYe_ z_-JF|43O;mQ~IJ~_Nnk4Vik!gMAcPR-AUxDGbK{sKUHw~Qw8Efmkx=1)wczl+lk4u ze{`4dpORaMMfnj8B+1M_IY{QJgB{Y}6Z>Z9>DL-vVt(&#B0K6&McIkeXE2eo`cD;! z`2u@imbT|@WRDeOr`D1?mdXaqmX4cP?O75%z#jUNp-eHy^$DXh44Kn}PvZA-IrPI> z1W2!PRVJEmwpp#oX<*+8U2a<4{MD+)mc<2)OR_E>N)mIV&;U&B6)?RWX_>2E>+QU1 zDh$BIh%CImn`m85l`rOK&ue;|f%XuRm;f~$M$8S8D$GzZ}QdqsPk>oAdp2U2ze z4KT1H%I2ntFkk^qOp(~&bVjGR(Lqb;lHBHqH#%i>NtG9*6+q0Kh7=;)87REp=PEkd~g2nPH+lV8Ju_oM47F$j{u`>OWlg4?P)u=uFb`tPmNGH%JCBGwh5^|UDDa@h`QQ!`M~MGyk7I*1gu10B?PfAMA3j_ z!Ny<;aNyZ>8p7rnKTXR-hgD>k3DlY2qQNzq1-}*OZ)=AsUy>uty}alggQi^gZqLab zjU7;d&r^3TnzrYEs!&~E-_kJe*#`NBoxF@TKUfjtV%l?DnDhHhSa8G247hbV53j$M zqB*yC0@b1F3u$WJnhKmf9zkJ(#2#fh1ir%dt?SHIv&+jJuZl_6K&7#aQ%#fuquI?% zgG~X@Swh8#ddniDbVR*%acts^4KPs{xl8XGuSL3rOg%j98wGa__2E5V$DT(yK z)3eL)FVNxX@hJ$$#2O`3HM$m^9#^h~_N0?StRhz7gs%uJ&^)F*tlSVOt9D|;7z2(0 zt0E)dlzrllu-w>WND@0!(pDA3aJgI^s)Z(_Xm_ zC!n!$U~TjXP&NjfLCA(gv->|@xQEX`%fx}@(M!OV7;x<1(sc3kg>wRP%*erX@y^LU z{eT7Xk{XH4O*r8p=nI4u9@=DV8J&Ac~V^V zsjzjl$FPSwh6C?S*Ob@v6vGCpK==dqHN>8Zabsf;G&YQh;9#&GQb%fRdEG|!;A$X9BL);ALXFn}5I zh8mlVG&|@%s0JbgNA=QjfuunnAYmL@@j_}yYvg_W3l6O~ArO)cLWj0dPvNktgGfLc z(Obx*lfiD!S{Wp0U5IlbZ{4AFYh4jsClNaN`#v?E`UsNYi zUYpweW$Y9xgb98-4RJ!9z#=^A+^E+BlXF19?nt)A} zOIPj$2i;J

QYE_1VL_VYfrxe1G`BEnMXaCEFb}Rb}!Qtv${MS{?(VtwrTKcj$y$ zipsq?L5Yw_IIcUH55$apj^F0skJ(Cwgg}(g)EXv_tcoBukVQ08(rz$}8m*7kSA)Jl zJJ2~Iq&iI;pNIK8`T1lp>yFkNWs1QZ>ZRdOPe|51ka#rM>?xJcNLawlSGN@#!YyI1 z?pu`#c$OTip_~^Dl>WUsv7rz~`Rl;g5U1Y{X}rZh`Q86XOa46gb$r%+8}2T*w5Qj2 zpNGU1D&Nx1e&A84LZZEJlYS)Fw&v$!6E{ldH!7r(BOyD>sB61fH2%w7m#zy1N6?~f zC<}#Z(019FebYCa?yVj(*c(lsDGn%q2{fN84nT@6gVmuL=xN|=EI0+Mj5Jo;==IV; zO5mEKNt3%2rQ5BfzrBoVuGC6TcLB#u*7vLdXD2zUU93Fuwj9lT3o>}zGC||uDcYNk zMg1V0Pzljt_vc*@#y?oj&^S;)E7AGO>S52RyeUt0Jz7|b$8?kf@mH3wzoUoj zoNratjaC&414VnMQkGNl9AkG&VP)v_T*tU_5So%s%I4(_WiFOK=(veh{qB2JPt@-lFy(xSh(ajPa1gj;ca>G@K?bP?_1tb zx%$ZM#`AFHo3HPLd9nW#yc-*Ajd)0HuiACT`r$p}Ze_~1v0=I0Dwm_OZ!2*tlc_%s zeaqNIrk8bBU;LxP*w6b8f7euP2zE0=QJX1E<3v_6m)!PY-WD=BujdS-bHwQuh?lzH z0}0|NaofXTJ?OmQJoO|_dn8N+(dU(EN@LuO5*8RtF;5d|{#}aC+c%3J=`tNmnI+PT zrTDTJa&%{T6j>DU09AAl@VW+#0u3)H88Hu3R;5vcsv^17a&2I-fJA^c(o5~0we(}q zBM=c1R)({F60PJt?HwRQow&&{*=cTTcd{p z;(PH?8c+o^IUQeKNsbf`s1_jt%z&3CmTMz@)EKO#`LT3JWqb;|b7G|uvKm61Bylxb zC{MiPvSePLMFsP~wN-CO)<3a3J4G~K-F?{*#nAB4v>{R|8Fkavjpjfw?8NJxxAb>5 zY1BRGPT|KtCCp#lk!A3D{PQno!S_&+l~xd3W#>I~F?P57c=D)c`J!AJKD!vp4vImL z+T2V81OxPtf@&Q$uowWG5CeG*SMH-_13d<%LAKzW-Cjn>XGnHDoI@*CNFVtG3BgCH zrm$M&LyRGl=tb2OKC29f3Vu6}FJ?Cx7J{Z8q1G^bWM2fcK_`qdB@u!V)IbAuLnY`7 zq=TBHwKNBaACwN+gNt^1nIMHBk!TUMsmE3k5Ig)oN8cXGc+9E!={R#W7woGh3N6ai zTCDh{E#+w>?S{jM8W3%xmc(x#1#3hvjxZ$vEYMfzqSXvl4cS4J2o7MPx}mZm0PCiv z({02G;s7N;xH!rZE0vKiA+_jnH4_1=AV>jzP!+>%9|s#i*AAyAl$={rR6e{%LIc)1w$;+2=p8)|TOXJPd zG{ZsWyG6b{*9F5_&AtmN3w{zZYZnJBkeC0W=M?PzdUZpDZ*qsf zbelBuuRF{OWEsEc9&9oSe7TWX-#|0}m$UotmT~(N^m}sG+I4Vu=OPz?g2RZ?rpk@fb6CFlXLwQ3UPy&L>rZllUln@W8g-`St34lnj z_QaQMirXpX=f$kq{AOTP&rSGP$NPghqsb zwORb2ozzD7;=Lh~?T-Z&uz+p*LOBr89EV@;@gh1CNTNB;aVxHJ-gm`#e)m9}Brs{GMaM+3bwA~-iiu?W z{fJ5>Hu?&qV)n{hL13oc)1$ME=SKrBEimGC`#CEJQ4Ok@Jhu7>$%7EppoWhb>KPS2l9GxYKy)$Qq!FdU&)(czxkTZ`>$XvW13zkCkKHGg16kzZV$(%t260rHX9l>RF5(2mY9YDaK6~$%>3X z-_nqLv``4KVtLE+uRg?oVz9bVxi|bT-on4TVs{QC|1|z*^rfqhZjj!A-41?qb3Xhj zJ0&amNVxptU$>*LGAciU$H49*9^Jx=f4FJ=h+?u^9??yVB{OvK}7cqCK8=r!psipT9?nL`2plwoc0 zj(pH4FY|%Y`IXW?!AwX@~qI9EC#V~85)VD?%9D~e zWCi6R^nn@i52gt@|f=`U`PxTfdpc&?&W*k$xJ^ffi8F8URnB7!21A`W1NzCp*9C-sbm z15|?$0;1GuRA>Z19}rZ(4v$TZvbQd=WF_RlP2 zf{}FnzW@YF%7d*-J6_=`UNA1NPj*Ia?qAy;`5pGG8%E#%tD36#B*V|a^OS#(_J2pg z{#LQSY?>A{;eCEBsU}K8;jiLT|FcW}W-ryHVx;^l{)o+BlasIQFJX=ULQ8bWy#1T; zy8kG@Pixblm|kyaSsK*lu?gQ22zeK;&cPp7X@*>Ya3M-!YF?mtiQg~jYZ$WOdFUMT zN^(n*%H`3cz>L`P*m520b|2Hs}auNW0M@`teKOPVPFhw$|x!d%|5x7AukZW+} zxJq5*BjjtmIy-+tr6%$%asizlQ=@=V#}_Yas~hs$N5TpaL^~s{+#G$KPA5?1?0b8? zFTvpCnbT52sNV-SRoSL+xR8k~Hat5b0icccqPvHX(oEt8!4W;ceAOf(JOe@^RIK}B z0C4~fq?4Mvb$zhAy^9sKJ7c!4rsD9CuGQ>K4jmiZMk)z*#Zt*bg)Hz~ZL{@7$PY6SsubkMcSOnxH+&pP36e5NPt3gfCQb27D zu@0NT|58w=&2;@A-FElw@FX2&Z6{no$bXHlbez{43;n-DbP4qs2P(0K^A{Vjrvdww zFrDA^H9BIO`L-NwT)i-e8<>JXb~4VtoyE+jNQoxZmyK z>We={tR_L8qU7eE$Qo{SqF5G!^ zvHk1asqak-y0hOFHix6vRB5>FV_>9t6!{df06nxIT}NI-Rzy4@2JsxItU;ql!v{)3 zYymmD#T%bDM}MB`<`4c`@ZnT(vHv%#1a%*U6Hlk3tpfshRF&w_Zdd8LpFOCG4Kn-v z4~X%U{B+Rj4F zH?O}{goXnjwQN_sy_NC zN3_~Q1wu1xqcSF}h z64(A7GvZ%hxBt;ipjHD0|E`^;w^T9p!bx6I!T=XLKwoMaAJ9C3K#vWE#KE^&#)d6LKyAKqVHvUhcxF{efdxf8^N36$;UhxF#*Y(w1?!GCC$8M( z4mD}7YnA!OE!`*xrZm^^{J$|lYVcb8Z%PV|?|llAUiuHvq8E63L}tU?`CxTs{C~k8 zS6v*2(ld6}&Odbhc;q*`r27Z7n6=0~>XWm&5fj*Uo7*bpR5&yULR^GarRTLuhRj2V zi_q#mswi&!hk)HW91ib_#GhRt&qh5mn6;r;XJ3!BeD7^?LyIQ=Q4{}Di+U+fua-qW zjaGJ3$2}o7@0TnhbrX0thd#$L*~b+hZ;vb4ofThIQ>!Lep9N_ds3*xq=0Gh(?qvJ+ocDX`Dhp@?O1VP)0=H;f`!&} zWAz}iV+qz#FInG6Y4OC9_`4`e#`76f*xvOrlrkDfd7-sLiJ7-Y0i^cy7B=s*9o>?d{4tfsMHj|J zAGYB}@LH;b1ZBMu^mzPkNr3vIu3U`BzDyW{^n*g_%7JM8?olLWVX3vwuu2cyZ-0)Yt$S0hP3W!f;qHe z#J^p9ZW|!R+V;mT<1~S|La}{y&(T$wghS-=m(+mXADV8XjxdShND1^z8Xm=H7ug)u zfagas4k*X3 z_zJFH3`hH9pVyVxw-U#Z+(TL$!lKcQ_+}KN42n?+Jowrw%FU|cuGkq|s4MvnH4>kT zvMoxLecdnQ)c>4-lLq&@VSlU!r^?#&3)w%j0Urlf8qeoj2;&+Y`kyc2r0x5K9M|;M z^M`2NH5s1gr3Q5UD0LfkVQ`-zw#&nPg3etUxL*t%WubTPXBgoTJ9}R_`7?h`43|u- z-U80)3C`%rzQfy53tD$+v7Axx@rTOEwR|~YoR>qt+r+@6L;sz_i^hG)rF_zEP8}EJ zv0wQobg9l@WW|(q6v?(nx?Nam^=L9%`;U@7Eh;hG4dEP5F`2O+(i{0-qh#&?M zcOxZ~XVP+kVQJ%xgCvyAzb)!r(7V}O;FYZU^cG70;o34hiS6>j@X3I)T-Yqvw0X+x z>eSB}oa=STv9BoslQTly+0m)OAfRGkn5-?B1+tur(`3VGvQpX=3XR@!?-C3Pz^2*v z-V9=JhPnDbmwy&kl1vMOeI}$xDNF1cyXVsh!(5j~ah^4btyY7O=`@~+d6=-R zj}w7*W0>W$u*{PV+AvucFqil)DNgfX;PYLkL3S(7%mP$Y52gP|8a~8(*D~yUW=7j> znF6Od)c+aHI0&)If9RgiMk({B4VP_`SBB39)^COpxTgDm6Ps|liu?o zz#yELDwQa{`@}t8PxvupnFr@tq^Kimrw+8^g^EWr2rqt?%5Yt7#A!;{8sUbk2iD2M zGHeFp?FaQ1T{E88@(MvqJ(oLino71t_+g5Hb^5S?{pMxMP&Ed140axm?iy*`fdQpk!JZ zO0x_XwZwQ#?Ar&zPHxKsIL}l?7oeTP6T1~xajD49$N{D%#$#{ye1NbMbU7Wj?;SR4 zIXDI$gnXM{6SAcTLe~~gtp}gH3dN>n_OHth>fkh+%Om$PNGR=EgT%t6JR8dP7$LWj zWm}BDR!xQ?P|%KTY`9FRe46b5<@~*xorW( zTn*SxZzb{y4`b=FX48A4LQD5xBA(%KOur&G?*4A-Kmp-1=^q7>Vjt^&sh9R)dTBPmH`mvy4_u<|HRB76k}&5 z<#=4hOYN}ch*+UR*zwg zapi%_DJ3&$B{Lua;U!*?XaP5jM*sQ(!KYHfFjm4aq^TMWI`AAAe>14RSe_}gBvtB=<$3Vt=x4>R3f*rcg!hZS z>X+oU@MiCgqOs|^16}&k)Pkz*D6Cva-r9q2dCVB0Y>on&m-L}a)KKiakDo={+sLKh zeo1^e0VkVkMoLJkSe5Y?lOQhrt=0u*fjc`QP-V-lYC18_;7%_LYI=|)bywV7>Qx-G zBjNVKl+gxVO^>&0+)uOwN%b!6K70T9cp z*c#XIUrgKy2%L?;WmgZ(H08&XL(9MPPLM>63?=TO#VlMaJweR=rKBTuX zQ?J?bhq>vdg$Wxl?SiOL3^pIO_P$iUgog=_D9$aLs8{vZpoBXW&uacGD+pl~v6(>W zG!^r5sZk^Q#L_3zhX9q9J`r2FmHGai7-3eL&n{+=_gj0(W>Y3Z3RX+6sqA7&bspbM zq_rv9s_j2C0sF}SEF@~Z#B~aTtkn{fjcv@@)fOCUw`x%h2otI$9x7}{ZK>o!md}D? z(AHj!8(Adtps)ZG4D7XCaE#d6E3Mp-pt36oE6*6cF%7H29!^>Mtv~UU-I!n7A8sW` zp2f?&9D>cr3wSN(RSld~e6Rf$*Aiy#1U~RuRw_>yX&(MFr-wQwH?jk}L<}n=Fz>=< ztp+{5Nw;7WH$>}6Wp;OPW+0pyjZ9hx^Km__fZe_P4%JzP1crF10V@^pJ!0M)w(D=q z2QjQlbIGJFFsHq~$gG$4|2o#=97Y;VG3hfsiqSZ*h{?tHT=&Kkcqw+#B%n!C>p`;U z^-8ddaAeDz=79Hv?{!}Z#jpJ#IQ1a~#pYc`OiP-A8N*lkZFF`2x}bo}Xl-@AU4!U^ zH_BUrt=WtsGO%%&-75Q|xle85@Dr=-BJ*P&cQt$SK_da^AWv-jYnYY8oHBOVQ^jZ+sAHN}A&9uB^M%oW_QbK<${uT^L^JlHU zbiB&m5Slp*wB3lm4JaPU>L@{I6MsN3%-apl`{F!@smdMu|LO6B7 z=mU!mzS~wOKk3bbY27=Kq7%NMrEZ}uX~gYld``Cnq+yMp1{N*?+h?mK%Gr%aYlby%IEKb-oW4S+j%Dm(teU+*;kydon@)Cl`1*!SW9oL9yW0-tDP{>fa|hn z7s_9HWqjqGIo~f=X8E|Mx^XgdG;~JFkes&c|*T40gglgiN><@ubSxf4pro0V!=otLXHs}o*Jx2zcRr~8Cf~ZDt^V;VUi(gUAqA~B{({Dk z1W72$smmfne}SY{)@$^OPl*0fkWBkZ;;946+Fj5_PAIl1b9~ zU=NFJ2EWaWYF#tDBBbjTBdeX$&%d zADq0ZShn;~4jJb!ea=F=^Q|PbmZQ{-<*PucFI+B18@^1&GIM_-^p1n)DoLEI1NWhT z>_km;0MpvHHbE7!$;necn1pL*XdotB{n zi&zIu&F+l2Ec3H8R7CEcPuliUCdf_z=nlFMhe??av(EL#OxN#h%*oPGzPX^3I0{z} zT&<*%-jWw&%SvN8yehNz%?Z77)rKzHoL4rP=lC;~Z8$6Th6vm4`y^Rfu#r}~SBwca~C`vtH8G@49@Y!Ytwk-vm48X(k+581JnX{?0u98NN)x!uX&g<_kQCmBUEJ z?qjW`(Jn@YfqimQuX$qp*?9iYb6)4sH>u@ylzgMt=|s;Yig|cLs_j!1BfUkt$0H5> zOZ~|2Pi4I$QM%7vDKA8-&Z7$^eln$}o7Hj&ar zmFAtvH>O^Nb@<*7csj2l>eW5(PtKxy7;-CWFQ9~VL<6hIg@eV9O4eF-3HSlw3jHz5 z?V%vfd}Vvq%^79I92!xl*g{t`1J4`mVOe4n&6xjt&kuc`g^|kI*gtIdL zO6-BG`VZXrHZqrbVQEM6)swd^s9~e_WvY3@?rp{+#hI^|$|0X@5}9RR^W|F=3n1Ys z%Y=}p_Tk9M@d6X(9J%pNw|%05pW1|<6VJNDQK+?u%d_}@5|EkntL4Gcp#28o*g6bb z1*-g5oq2Iq$=Tbw02yfzh{GzmxJD9WsNDyMj6O6OuE8H1M0@jvc*mW_XizfwYNA?|_9cpR^ov z*S~4fnr50Jz_;EDtc|#x7*X+@hS#0c$UN+e6=2-%f@scn)%Yt!4*AU&f%P!`?&PhT z;{`k4Y0QsvqL0`*`7GR}3y5V2TWFpSaY6>QDvI=6uzWF)YwNb;nQuGOqV+(>{p#;!>q0ty)V&0B!*cQnX1Pcoe%9O-6Z;h?+`rK$YJ!= z;qk}6qeSJ4Q&}vO^I>bMB@1gH<<`%teSJe z-cwr8R*Jxoogd-ZT~i_ftdzZNkjNwuyl1jKy6y##oQ|?8c3c zgZ2znF3dfn`LR{eevH1cccWS{_FO&}p0Cufr{zyF^F!jyJOU9qt(9GNnkDDp`t5 zyU82vz~^3dNsRD&rcqD8zD%tR6D*l1N)-Jw+9l&p4fZTQ5%e+(zA%%OOjF8uer^h6 zEj5=(vYxPm&*d$_OHbAu06nGAk*>xhp0qVqGD9rFEtt4_GD|PxDA44Iw!tYolS2Bt zUsA#us+r;n35WKY2;)w5yM^6X)$uji?`(VW_OC{ru7|6J7Eja5fW=V{BX4&LMU#!V}s zkIIa$DT`ZbV0Cj2#%|g4uYiFH12*fM-Q}&*W2L;7>(iAljHuxkPi4xVvHYmiG|Fq2 ztm>!?8)q-=@)QxVF$nt2QXHYYd(UI!Mu~yZ(Awrq$I9Ia3Xfc3M{Z{pGk|tAJaQR? zWvtE~_E06w&b!d=-gw@;_2O*Tkw4NAuh*BA;v;e_pP$K0I$RQ)^7covMy+)5k;xHK zkE%vNj{E0Ti<0oNPHX;o6Q1$jhA?tD?gMtcs=QB+$IVKzP58OSPUO?MvfuMZTHF6J z5gs=Y&mN#Mks(`m!avmD!XOJL2FRKNh8HB3WgS-R7A}onFUp?{L+X*r{37khU{;=# zRGx4v*V1){`zG%P@#wVQG_~ykcGY?p^SidgSswe`O`NP!NYpPcd+wZmPxS0nN1SUC z|3UZLOh;3_Xvk(1#(fm95sMDXrqpq5_qKoE> zSfsa}NauzwjcuZ-%)RKf3+Jgt(vH3r70jp6o4-_i!ENS64_EY1R4)XpQiP1@+N&0X z-gM|D57E?76czcct7y*s+lPL;DEl4#Cxz_cQC-bj9Aml<$n%izQ6Y=j$4>geDb@Pa zwj-~KF6IDYm4 zPkCwtsUwlQiw;xh^?1r0*K)_IMX{MGc{kyzJL4gAk*m8*fYNH#u zN165j9Uqg=C^&$)B{V4R3Q~2vn+Ew=ylhNbe#72ab%)aE6*_~KhN*!M!9+GF;cB`I zC#esHSg7(*8rh(&gvup0jZ{_cntA@rUiKhmzF}{nno8Nwhj=M8`?9_{Mw`81zAY(d zLuxZ5CWFF$`m&Vf@iSP{N*xVa}jT#X)A23?tU-qpCYLv={XJsnj(f(F~zy)m-S+SOs1ABFlpW|HIYk>yKmS&d9; zpQ@^|kn>>3yfu%NVMp4hCaM-{f?Xd2X+S@}x02y26nNh%2*u5YSAPH4dIN7q_CRur zYa~zm^tr0sjiMPcYR%r$kjMn>enAr%c@87ocjD-4|Bulx5)@4IaY<6ORoKuk83Zpx^+1 zRdSC(2`X7BueztO;;BFt=hOLP^*b}GhsKw_kD#2Bv>!YOO4eKAmN9BpuNmL|DvVKP z|FQAia->6>@h&V4 zX-m54@0Q*(46b>M@aB3fXs-i{(4L`2M_pY?YvA|k`Dm(u6hKgOl>+gVw3sxn#|Snu zfYg@mF7h5#aE$;$i)+RPT|~Q4G;OZRb$7<~m&V9J`k{jAP)N;sFcYW$BNWJEngY*8 z2A0@T9P!mYeX2^M;Ika8qIs+iJNYvm=GYJ0J_b_z|NJfxvKtZ;mFC)y$m~2c!tN^^ zKmQP>eJa0uk1Id`u@Su6Pd4=NS+zl+>UP()BT!o4;{o#*XL~WC|0=yxNDdYA<+guw zrSeNy_bi$)k8ew453`Vqf9vAS$$u@Hs9P5A;4o3FAtF+^8TK~)se7n;pp0GNHpV@a zKCo~f0_uZFz8-Tgd+!iA<54a5#QUzv543usXnF0+vY>m&)9lf>;ekZvs@=C`(GF*6 zg3DAS8NsY|H{)!A9dq><7J|tFX%EsO)f`e@FFYByEyOtvth`{_{VLb*J&5|yD$5XY ze(d!4qyt{CN^M(u*DBI+Rd4!d`NnZaKG!_6DIv}#!f{$}dOlbt@W&pz^s7F_D(PQS zvg4HE^W7|zGGtv&-kOPAX1?mRc4?dKEE&FoC=CZm%LTsT^cMpd$Juf|Y5J|P@0WD6EjJW0cUIVX3q7}i+;kj~0Gu|Btn@eZQ&D{~OWwa%Pea_Y z2YF8sC}bYCt&rd67nr%&uEXPZR3F7uY}NgPba#D&Dio3z-ndy-r-jqg=oJs`WDZ0EYV6&E zC`vT>E-!oC&r;j3pA5NW{)L?8!6|F$i@U2Jqxox|z=jbDGXZ2zh=+1hsVq%R<0jDJ z-I-t2S*a!R#xBi@A|NfUU!F!gg4uN*u`529^fQ@aL+zxH0;3e&;M)0y*k}P3?@Wp? zZeo+m&-5|F3wV(6^+1>G^W{f+XV`?%yePzU2uZ8hXtua?7;v?=Z+DC5dp-tG;XRKu z`*AV%ZNMx2GmpR%P&j$>e2Dp;Btu`07)WrVJr%+VXxJ?Jcw!upLE$!j&E1ccw}aT3 ze$?%ZJ)uYon++N>!PWMz3n%kMN4w6Df~wZkQ#bCmt}2UF!09lB=tBE~TyQh|yA4f- z*L%Ez-p}Z-Z7kvD?(-odfYtXD1f*cH!1u6>QUB$}`;=zMTf%mPl7i@Em6ZT7ljhw+ z1;4fS7xr=nP{cAD4UTSI*ZfB=+X1EnD8}BnCAVDQ@yS%KY;-1OMfo^G4yzRyljqDS zV%x;3A;t@(ytX|P)Ayd}<0G?)K0fcys$#6}nnBp>s0tK+(%T@)VwrMii7{ZqCY?d< zHfR-vc?;nOyF&)Rrv_80GY;B)$dO2k-jUjd>|SSCjDuK9*5=}zq-SANHvGgObg5NL z*98(+G}|JiS1m-TQBx-m&%gGCq0frKoI+i z{4{~)u)=(4o?^rbyzI6U*Mcd-VeTJPAJ4oEy49FH?F<&QQ9Wvwk7Pd*SH>DSm|%O! zKw!;tyPcTnnR`Ltexb6fSqsnK-M#mc>T-R`;KlV-h!vWpy^6!FEz;Qh74qBth94AW z+&(`duMy{yw-{{oY?vmC9)sKye-q;KLafYmpj*POC(s0gCJMb+ zg3k0>Uyh~W6}U@tajACQ13>V#BpIj{=C}kW*$f=HFnOYX(WWEAIeKNc1DIY%S2J)k zi`4Ft^|j?YNFUc1;z@Phmyun5+JGBb0##SCbWQPg;+!q55NJyg(x)%qN#1kNF@3{gYCo+!y??2> zmFc^O5$N);nt@1AUQEh2cy^MbfBYCr7L}vmrR&|L455XV$^C5B`?bmv_OP{kmjwqs zEga#g`P|Mab;33296NrO`su1AW3Gt`-1YzH*k^6QdSY^^)MssVDvMKvU%yO+wG1?=Op7M>a>NMLFbKIWVCFy;m%oiQPQ?do? z6jLoXhiQgNE{V@aEXB9m)yNdAuU=}Nqq&S5)rk6l;I`8Gh#n|>(UlWI>|b!4;v#VjM=F5gNPR2orIX40s-X|&YQpiBwoXoT_ zNB|K|k{xN5fq)!=oK&_DH2z0UGE2ytE)-5vONe;XBS#KU#Ab(s-!7BadVqyr@CU$d z2ZeuGM8IW-V!{K{Agf^ztb|#b*)Uq`i0PNrFjRn!*=96t*ggXOJDJ&JnM*!;Zd?zI zCXb@ZZh?M2CV(Mpl4A$ylTJY^5N2POon)aL;w4d}J2V-LjW?j>FT^c{Y z^K%I|yX`oq|Ki1sx9WQi6w@*w~AvXsmtCc0a-4(jr z`EA>}Bmm&b4-?^ja4^odZcq@%%+En{yE~q}T~0-e{fVz;-$R85z#cd~!I-0lrAWIh z{0f;#Bxom-hBgKYbX+{ZT)B!+;ZZ(*Jo_x(A@I5Mm=%Pn%M;NfocxSx9Ag-e{xP(D z987FSgd|JP|7%r`D7tHMbw@Lp&aH_9XPDD&!(PFWE|qsL)9fG=)<1GMX-K>96S2}8 z_K>%By2!Ese)Uy7!f5WS>oB>r(1#pbS2{@`M8|FsRThz7(#8Nt7u*lV#92b#bxv0c z^`D0E1kFgY?EJkp1}1dD1K=5vMXKn$1{d7n|2j+*u^^88XG4`3UY{*Qi0Tw=xX$Po z(yqbegIJn+6*t}^V#Bu7*;Q?F?@C?J0VUe7km?_hP9Wm7eR_E41EEf^&A2-q7>#j4 zGlz#FI!ob#CR~`QGyof21cZ-NqZ=w zePk<%=L6_mcq&xDUqk-|E$Fq#)?LS4p{q>A`t+N)5u8leF&<)gs2~BlfxysJ?f_=I zzY&Y<#XZvg{l%=nMU6f}WB2mk7pv>N%k0k@rc0SOcdim^;zikg_m8f^zd4k=2hxlE zS{VPB>8KPKvn@T<-1*U8m?@1}sxTQfwx_GLP^pgvl6p#@|8ZfZQ=wmpE!Uq!FS(M9 zQPK=w;-l8xTkveub+1Ww($l?dbJ_wqY2da@R=-t|SfMCi9+MF0!&J+SAZcw*E{S)( z&^_CZsP+5*BY_7lEPsN?RX){p{MvYJS1=*d7RX~pM&mSJbdt4E)yp-~sYzGItIyAB zdSM8GpY(gnNn8hBvO9V#PzIug zl1vUpQ}z;La%NpqkY`H1eVk}HPSgJhT(F*ftkOI>DG1H_KZ&b7sNRv5gizSk|i6V2fver3F;D|nJn zhG+Uv$tidD(6MsL-BmF9#hMVwYfY8Cj1%c()2R4@ASe%)nj$1`I>;__Sz?;Q1nR`+bC=-1yi z;K{8p+91Vz`pbJsh8!r5Vh_lO7Nw$%C+prMGosIj3MnD{E&&x#WoD=mw%Cb#a?4ai?*;t!Usu!SLSGyO#vdttcyD5uL$3>kMfV7yd5bT8c85wna{R(bvP$ zb>cU3UW%xRDJVl@UiL83DCt`wL&R?8&YmBRpE7ObY2idM25P>>QQA5kL2%fDs?|?R z;#xO(0}=v(`J=$Aw~?JCV?5Gg{E8Ki8F-t`(CP1D4S+k;KRXkL8e4K1*Bcyzd&?@w zEf$c#K8xlkeM~+JW(&yMI=xT?GWWw4w%Hic>I=TDXSvmam3g_p=9Z6_N}(D({oFn2 zlmTwdeSiRR2-hCAS6WH-Qph6NZ@$t*eFLLLe08!8uu>s2*Ir#jdv!>p@}L!`d8DH` z$nkp<#sWp%U~>RDyJ~Ca?~lZ#Qxk@^*1>Ejn0CaaBNK*iw04ARqB3@Js|WAKyx6|@ zGZqg`2#^1@qc(-m&;8BtkPZkMui}yD0ISTuJn&7&NcMsk6vR?VX`n{I{;)Die#OA3 zQ?g&}A8d~wdO`z=Cgkp09gH_0$$Ytif2F=Av~&BAy*2Mr$%sPW@V~gMCUrUDYkj77 zSv||a3J5*9(cJ#X4F#`!%;a0M{bmtq|0q4_r&)L6b=#!chmd;yZY7u_N(dafx} zsZWbulB$f|9PdUt$(Xfk!{8bSm**Vk9>96$#3iGIh4ObmAFI}>jkY^_fVgOU&*MjV z*LAziqLDZkMcOBHQZQS0#I3?T@?MVC60flHq65}6*%E&Eg2L3}cB)o5udw5y7M40$ z8bQLI0$^k>Q|mdyU6;LU=@WV^_YQH_k`H<|aP|PTi}zu*-F#%bK}+x2znJ*hSBgwK z{GQ+p|1r<4Th1OcIm|=P+nA?zY+P!h@kT>|#|CBMR6)arxS-nd6UQ>}y9O@g?c9$6 zf0jr@s{<6d+^GAk`kU};ord5ye?$fz8jiy(pA@lZF{*^gV#DSf71S9A9=~Z*sO~uh zNtLf?cW^DKl=G3Xg`ND;i`QE$--=GvltXXH(Hup`9?+z9N&lm}=hP^ml@dVf`D4(Q zy{s8k&ZHh(FV^0WGQq{w)p5R4+-rHf^gK)1mgi^vc|t}I8+9m=>FWrf-6M5^S5OUU zsC`u6d%VuZrohs3%@51W>&(MdftgZdK~ChM!qMi*Qewdx?M3;{@6DZqQx?wh<(*|awncFAnov7v@`FPZU`kDABBFVxF51t@3GOPp%v0tfv z=j0hPj;PNy83WKkpy&?7z%;k zoG8Nt$n7fi1AJ{2Sve+^S)t3Iyk#|&UYB*^=tX(AVJN$!y_T*8909N#L6k8J=328U zoa_g%A}6~$J^4R$N{x7LZ|_(vmw}z!aUNU z1YV@>UImT0~Bqta+EES*wFef*-* z4FwWNeJ}4wgif)vJ^%vlo|az|?LFSUdm6FnyzgxVmH%G3ntZKq3b|in4L)KWo-r4@ z4=1e!$oDk8p#3gcX$ZLl9s1?UzV3rww$A+f0Bct95&b;eZUV~8!C=XR7(BA08DR3D z4pA<8s3Xpdw*QwwOnRP~op%`ATKaNk9*T;q^m)YC-u z^M;gb91lyC%Y3u8?z}VyEvxlmj?k{JW ze&0g0{HdifG^GF2Y0_aj%}g*1uyE)t2v8A{I1U--fA9Rt@nmuyl5fCThu194j#OcA zLTq?fjnzCkfl}k%F@6XzS8&+Fs1BU`y5XNO5oo$)fBTDg^(ND=-2?VG=c0wWiFYF4 zN*o4VzI>jL<4Rb4dcdIiid?ujd-R=H;_l=4H_1MP8Sx3_p8V2`jk{Bf9RE(?gO{0h z@gpvCFH$9;?LnY=uazom=i&!ru7z^l@EOX)V7YYbaT_m^j2|x31)Y6qeu$p&Mu0;v zApBR%4)fvtWJ=9*-i*cy@PwzJ0Z+#%if({!QMMYubh)wP%M`Xy{ua>9Mu#wC%Eisf zYaQF+K6AaJA$;na>6NwD4EAwPK`rpnYj9HIaZPvVsFzY$&G z=7q3ZL?6H(G14vH*6?hQqBqoe&^YZWl(PLzz&;8Wrn8bEP{?v)D1fdyhO(Wx+Uz@R zPpDWS^M~!$`ql_Q5Tc!Uwf$grPpAgyUjC-cZ5ue}B?L7zd_QR14u2~&`8rx)4ivg$ z>>4if&Cf(+WJM>{P@P-CUJYhH9+-cUGK=!ED>z$Ey7zR#O=4lysQ0 zu9xLh(IqMA6Sg!Ne_%%hf6%X5B3Qmp23sb1Iu_ONM!sQa_7@FDD-tcuw!t~14OG-M=J`c6sBXLU3 zl-BN+r9V1K98&7R#k?x6pbC#|wRj+i__!&lvM#bVz2FOfm)3z)f4sxR{{b|i zcUa5ohiJJ8+_G6P6WrXpk^I^Q-zt7cEqLYGF?xvV5{v|$1#TTd5Cv&HpQEP|;J-*5 zGH*PjPR+ExS$N9rubA$vg#W6DR2}+Vnx1D z@~`H6zvmD{I)x3^~Q6%WFk$<^(Uzq`l#*N;2Xg8htaxr&7@YZarvtB&>=B zDQ_zNRMOWGax#Dar^U3Y$XEgvK0pi|pbP>HB_hk8MubT)iV7ZI`8x|~9t$#6F>lHP z1;3+30jM$f3m(_W#7M>oB%2Bh=~N!}RovN#Zslh{*0qVA5zTlQaWDsMPn$&_9p;_h z78ue8h!9PHbeW2mG!22;Rb(r24eu1E2u#uSba0m`3!Ll*ic#n{u|a{Vr=)w&n&*Vt zbx1;TOOxUE`sLYzu*g(Vte}3PSc&%%R2hSw0%2^Yt}Ionrj4llfsvvRamPaRE326U z60T`VFkgs78@U^HJfxXJI-isHMQ(>TrFHm$f#?eJBo`?`_PX}Cte~B>7@J-K7ercc zNV`EBeQnEfiFuNQln2_Cn`16`kX8(o6~zYeGxgx@ePod&o##jI#TY3ow20azq?aJk z)}~?@C7(yNR*iwBdiJDmzhlXbQ;#3wr~ih*DuKh7c~?TlzX!#n58@hV76u?%eIt(g zXKT_Q49jq(^pXP2se+k{KQLxeNBxYRPSEjUW(r~~9u#J=*1oB8;rKGdP;?*C-#-v0 z{ON5c15OD3{ERGHT(~FG0b0cyoF-5zmdWfY?< zTe_tl6-i8O+>k6iFwOGo)0wnpis?>1?mw*xQTh-`}EG<1q^<_T_CIwfdT9 z%(zMr#aSq}TW_SOCVLti?#6^2-N20V7qrMcFfDuz$PR8%c7}>Sw;2LB0(ScEXzw-P zQzfR*eB?xU^zKwpVIz8KX3Cv=#;vi6XL<=2$T+F9~R;IFX4VlQwd%FmA|QRk28x z4Lh8VsV2HX94iCV%rKD5V@hO(ABicOO{%R&rpxbW0tQ&MGZz0PsKy!!EOZ`%)+P#q zbZJqrtqyO*h=4${e|CA8kJW>sw+@*k(L5r3ON0!2ig|1UCzb`;Ne9x`A!yd|FP=|q z#mus&Sm9huK`f9xlGvMQ`GG8$D}V<} zig3P6mI5anpXr$nGRO1JFIRGB!RjN1&4T$8?Zla0EnoNG@GLIjbIXE z6ld<^&MH^2AU$z}9DRzyup!ZxAz6xK%V1%gST3AVc=~(H3IVF|OaXStje8FMd^3{D zpog;eyLJjnen(kFy&cV|VgT=Q#9n2hK7%27Eo7+Ld1%Q$Qz=fi5;qEk=_0y;m#Pia z%s9~L4nVQnB&v-ZQ0-(dPIq^(olhcLAH3F7L?v*+F#uXFU+g%MO%_MNPJt*crf<;= zVpK3J0)!+sxI~ueqtQ-*!hcec_&8XQr$ig$K}RJ;G>=Mfj{R@T@c*{-qQ{OJLQ8pJ zg3P%;RVDVOZfmwvAU4rp8Vp%71u+%JxM$kTCs^lTjM7Hj^&pK7!P>p|{;yq1`l-kv zsub-I+r3Z1rDQdu{Zu*V|60cUuVo>a+!tlbbO1XMsJuX_ZwE&H9ZV0!zk?YU82o9B z8`a|eM=D%s5a_tuOPSGQLo@o^dHHBlY(l^HwgJ_wDP!7-$OE(RC z9KPZ!$Un};&Tgtz;cSx5MXXW91nhD^?n;_>~~a~f|JXVU|Nh^>0rL}N6I6x~9|L+=YM zdko|*=0dLP**8AAyIk$98dfch<@q@xAC^@EFlm58$P$n0=~1muTBw@f$4ray>nAN6_CR7v5pw$!ln1px)lhPY3(FqpkMqtT*9(7*%J6+ht8& z;8|A%%4N<{picaz(eDjipx>oyW*}u}Oxe7oKcPhoO?wQp!e0}m7tPL*}Hq zZlfMw75}|On%p&sg|OVM6t2<6K3`LJE$!o$5S`pbVGtm`XewE6&}OqZPt!87H16Z- zq_&*k@)P~IkNQXu^-?dr+acAgx!fVuov-MLl%uJykCdZb%!6Q_QXc&olK^|1u;85v zQhC?AEU`DzK@Q4y^3pglp=hfGr8{VvGyKMo3-}s_#Rp%P5h)Jy{SVZgmMcxcbBLrb z(pA)EFH^GYBy+dB4VWr6R#YSF9y`Bb-n+FT4x*U5RVPQ(Z3O9O?PzPGUx%w8Wb<}c z(%|1e2TGJqdogvoXYVF8kKb^6_x>}yW7R`&)y*8{nw};>fI(ru6G>D3fxyITnzfI} z#e=`^TFPdmPhg24#y2!LXjKmq=fq}rG`^TsHxkdP9>&C1JJrn@eNice6I(;PMTkVC zH(NtHc_%T2YZzNY`c7Tvn_X|_*JbeS_aiDKrh;%yUVfanR6Uz_$=h4Nm-hHN4q~R? z(g?oNSI?Za2X^;+UlRL|V~St;R2LO?{R~&=p?%yC>WP{dbO_e?U2>^Ny4b#$YP6Xo z$UcSq?OKq16ZuEwnEOBq+1Ip_uxm0O?)Ln90`nH5w95PBsY*|o#yJ~5!R8rPPu!^&QJ zKe5Q+Zq(D^9$=H_VpJWD zxIvK1Y5(n3`1{j-%AeuCRLBlm-y7>So2nm}G)R1y6BUgz$9y@DbBl?{R>UgNQeo#T zFOs@eH{UONOVCGZAIK2=wv&^fFZsYH;N!=FTt(H8w|Sn$*%^(OvBN@;Gfi`c!=(V@ zH`n}xFEv1bTyz8zEHgmz`JXaamUzlJb+^4dI!hLl_teyp@{d~i2BTKY^5H7XyT0xt zJ4X*EyyE?;SrPfOhN;;NWQ{-rB;VlIKH9f zq9W2EB>+G4qr#NDWvxC}U^PItYJMY-{a0rnfCRZH07UVa>m$yV-us-h?U_8m%C=;~ zh*9b&LJ2U6nZL~yae}sy6k6tz4qZ2p6y1s2#k5Xn1<*7&@bQb#2)Xl zn3BvVC+5lzEUyk3_wA^Ir5l;II@Hd9_C)a9tsknf{q& zfK&?xOfIne5116kFn^!b7i<624~b(@6c;W@CHXJ#B5$jg^`7SBAl1&of6?FK z9P0rIS=+OnzvvHej`fwOOXN(xRI)#R3;CRE08}RIaHFD$(sg{)b*pN)Xk%)2B$yMne}s*heR7scrkaW z*KrKUk;B3;v$Djx7?#7O&f(8^X5DbMIEY}k?{!=P`p7ZEF=?xS!*6clP7hndj@}SP zen2JKRu8u2vu5R2&P<9;jEtNctMwlKM0vF7A=%1iz=n5ZxJ)wT@d!-AuIaAPCX?+mV4MhbJF2EC%zaw zoj%qJnuAY5yDYYY6xX0y&EQn)JC4QG#Z)(OETY)cvDE9rxZdAlo1GKE#_v(q>Rst;{rowBJL^@ zV~YwRlzzrF2pGpxW!=>v#T8yt&e_gAv?TTtXulKC*izUSR!M3U%B#Bym*~=zN@P!CBF$kOLIXF& ze;mT4LpA9pZTx>6f~5c!FN{MNn){DKz>x=b9Va=s5NcN?LJF;Nl;o^)q9HQ$*oy7~ z6o21oC;;;k17J}xHos~%!JdnNI)#(H9J?#+xeVz&MrPe{mQcwhL;s zAXok|Q8BQZTNvWwHyCRiZvj-+c;S9Eu=@Y63nplpklI$0k!FgF6dRW;c*)_Fnq>rTX<^?G-c z7uIDBsRgx@#im_aC6mVxgjCc4*C+G4dDo}u%q5dCv=HY5!RoE_kek$N%%;U+(QnPx zCFBJ($ZfEks7F^-Ub`MJR+Hd|Av4@6LqjKXbB1c!??2n)kqfVWLOxj?pgaE+Jm*o7 z(uj26&3pgKC>TnE#>-Qkfmx^#B*2tDJ!c4(e81OODtV7ysiv(afoE+w-V`v0g&b64 z#C6o3!<^XHLWYRh9gtMlG8_-fx^@3h#rv4x>-_J9lDGcSsK+4%>$IT%K%&%bq(5jz z@&mtX)P$MfmB%xD+RJhgng5uQQ2M8%740i7$F42QX<~VY@i)H^%6Et?)Lixt<-|Y}5LEu^418?tKhh&t80oz1e&b zvm&-hirbU3q_G2!fjcrp7Zt@SF1yp^bj2aUQ! zSHN`FUG@a#)>5&QPQoecoDX{I?sdq0c$~jDkMnG?*gu2O_=`7ooLH84MjEe1c4tOCJ`bCy@0&y!!4{Mkxe?&SL zsN{zi1B)v6`xcoqT7K0ce~qnC0Ib)Kl+Tm72(sN%2$BOnVN=FasPv;0*#Yaf${WuN z?RA5(mMMIwzyJk3iy@~XC&K#i@@1rI8&3p%GM~iH2MQ7z{d`69FT^TFKkDV#yIMlf zZ%iMgs=~eZS{*-;g_$T|Gi(PHF%dv>wSK(D`o%ld@04nF@U~km`eM~m`jCO074*!9 z^bXC2h>KclcN(>p&|zdi5t69@+ku70Ksg8HG-V3`*h`_rY{;mnlh7Sf-h`BM*n=^^ zT6Adbo~(zUPNtXpc~9YjX**Nj_w1T+%*U#bcCq7HXCad|ERPI838tl7O8rbre$N0e zB~ZB>NlVs39!{#zqbroCRTqA}QNHEuZ@0Jx5C=Fh!CR20C4XjCNMqVoDQYEzBHzkF zJG6{=A12G+4?HZEH#$EImw$79$P85J2X0j%iSwPlfyaf#tIQd6ZwdAm$(ey+U4dDT+a17X>V1O5zL*=SXxMb2voTvWx690;2cKYnxfDz8LMYxEDduX`Iisc-k>EZS%0G4~; z_o)V8SLf9+aKMz|Cvx%vXg^X~+l9Dt`L%BxcqS-E-9stFXE$HtvT@B8xWj_hXl8#U zw!UAvqujd&2;1!uVn~%-{0|%E%QX$paQXCZM`tZ}?pIE0-S^B?I8ql?_1PVwwbFUP z_|_Bj$C}hEIC6B)*hYfMFGmd0tvHqErZe(4{zIdcrS90S&W^OFg!$7=xY*seYUs0L$96ZZv#n{ZuFbB@-u4LI^$l@@u$xJN zE4S<4G2ukG=Wg6^BAUVro{J#|1hD@vEAX#@pV^9^Jv7{t{ai%hOWXU%5hmw-zqH;q zo6+rSBX9&XW{3m=;Pr(AVLB_#{<7qkb|> zVCmLaM_h|4LK40^AL6|lNKtm02$a^XB7(}C~6F+uCuCz-%&Ujj9sCFrSHnHX*%z( z6iQv%ZAH%-2i^)jD-K7BF)g}=2f=18cAtp|aB-I|08mN_6nIclb>3^#mb$v{FH4o= zv<>ja*iwo!HPqttmySsIz%lqP!YBHd<9r@&z$V!^{uN%V=<;+x9T24R#!U?*9n;4>`pMCC{ zOit)|Y!;Z0`XhrNsrU$i^pExIvhMv6d~)0HASYS(u?S^3ODQC+AQF0ayuySEK_na{ zfk^2UTTWv+X)|t&UZOB=HDeRBeF8^LB#SJ~K(u|WV@I^ytXX%oa?E!Fqp)vDU;wBQ zE*K8w^23Kc(TKGD&gf^Ir~S}4=25?usE<-2Y~qG8KBC>$0^`wLLH&H7*u~?yh%a*a z(O(XX(1-|c8IT^8a-#a-V)oB?6yH3HR?i>h1W_+5?ps9p5R9x?h0Fi(X>Tunckd%y zwD9#b&c3uM`)pRD@3G5yXoX2PkQ#-hxdugvNw=)CXcmz&xL6MUm*yEbU8&;b_v-rN z56|GY=}bIz9o_lb666H*tXfi^7gOl9q>|~(H$NLQw< z;?j~jKXqJBEKew6#iwZbMw04a7l@frvtdBlBt}qRb~TMneZCLj67Ncc#2{khq8H0W*4Y)W*3JT61!5q#FgLqBYY#eyG6$U9%(i?^)!CYY;w zh9QIpUy4{FJ30^T3}_Y4JQxv`_6M_xiI6uOHvFR8iD-~lC6q{uu7DL?A$q&G%873$ zQO#sE#aMZS(?r1#BB~|fZ#ctT`5w2)3DlB_rb4saT%S(pp=_9v+?NuQo$s4q zmTKIHmak}mH2Q0lb`qLp>98Fl+llxluS)XFgP#Ka@uiOD-fPxm5IzD6W>R?i(`6d5JX|xK-sbR-U>4;@c(ke}+9o^e=zcwn_+glN z%`n+uo6CV|YEryLz+62%*vM>^#_4QhbhL)DBq*|D&arXNuwg$zV$|BvD%WDB?vIBV zm>Uu0@=-qheU!41IxXdB{*_$xCpcZz)<{RG1!&jA5E^eq*=fkKo2-q^Pq*My z`gqFz{yTf1bZn)f_tkD9mP8L9mB7r{SLQX%yvH~d=oJLQ%8j4`Hisf9e$=X@t zSUME8+6l@$Lh_;4Ss`}kTohj6|+k!jB|R_XV!Fm;q4sF`&jMtB2Hf-ve# z)|hbKlxmXyB#Df47g~@=qv)>xjbbj&<6lZPJ?H2EMoMms2LK0V{>LC?>_QukTZI3` z{fUCRmMKbgBmP1-GazrKfqP+b;vjAu3-l9tMH7$usp6TDcEYGU^b;NQt%M;B`76lI zsO+PnBJS=seM&F!UXk#Vf}(|rJ2y@rK@V+I2rNYx>~0+8;Z76G9(oC z_--C|7ivG3um@$9d1fgu^MI;q{s;@8i84~CqW_ANH*6JoOzM%TZd@=Ab~j}9fW{`& z$%D&03^|J5p!e!h$4bTxACi@>J-9mHg@z6qg9Z6NcF4zWdc{D-z2p_aHj@ zVO6MsPyFij6gdf0JHn?5`7bR~5-C8bKB$dZi`Q`m~KuZ6?mxhI1S)G)MEW5kFYKWT=WgabMQutrUzvD%DJ_vg6Wg$ZBZ)Vki{yN@U3>i6SMwJf z%f5bt^ome~rYebm7mHm^6Oyk;w>wp?OoYrfe&_95n{x>Wwu?6cE@uMr4g;sovQC}E z(XM)aZ>gXu`F~)DAVjl~4)f;TRJlsc4*LBi`t)O!S4p(Rxz}{B5EuR^(r*QUpZ$nx zbj;L|bLwR365tdZR5Mz>8y+k~uB*s`pZB3}gKBZ9e&7}%9-)5O@X-526{)oHK4Zfd z`S+mv#K91cYCB(i7avSj0NR&+G#E+Yne5=3>I{2tmFe-vk*w4#>k_sWw}^CFm9jRr z%*xQu*4PU<4`j7;(8N>S&d1rs*Mm{yWnU^`FcQae-pO~-9>zTc9Xrq!YcEtU} zXC11|%I##cTl3f*_ddrc{c$~fz#;al z-elUzVp>JNI%rxouY--`$8`n!0&}fpFLj?^$Lf~^vn)wZTecRREdB+*+Smi%PiNzI z@$81yqbpnntJi7l3mLVldcKvucFNB`j2a+cglbj$+Kmm?uGbEX#e#ipAHSznY^h^U zs|uNAQCy7ad6AxBEdNNl@JyjLvYt+@fVQ-*RxD*zOzJgEebF{3B`~P}@x?l0{elJd zv);C+%{m$UIz3g^ZPY%ssx7saQPcpg->X^|s(i~US-%hKB*Txq4Vzu+#cZJFM-gSuEy{}`}Nnl@y_~s_Uzv-*j?K;Y7 zP>OEgzVXE)gZYBY%a+2&HoI}b%)ZpfU?k9YvYk(@E6ne_{pu!2?6QMz6SlTtp_+do zyJ|Owx~f){y>`2qdV*_ay~?5SDSCDYcVoS=K+vvrmd_&kjgjzi&28&A(l;j2%T6jO>en)yz_P|a%0_F(0@Ch(=R-VpxLmE`bHwg?(D7oS8B(mGnb~Te2L%iB8i2g z)3H&WSBjTeH5y=(;05d!mF;*BN5|2JDW!sQB7<;K`?Rmxj58m(EiQ?LDTzun3%X<)nv`R7 zS$($HQvwgBQPNm-cic1&sl99t$qQu^J5GWlJn`FJg@xlOf@wX_u zN?nq>nU&ZZ%zf|6sHnO#m6QSgVk==J59t@_19Jx_s;rZ6?|CiBBS`9OD)pCD{zZR~ z2V{KyS(Q!zZ8-HnkkqDT-j-XsMf#L4s?q;9l4H4~DmB;U3EuuM8>a=}<#Ur?8u-Kf zZ9x%I_p$dPRbpN7)@Tz9+f(4{v^1Ncp2FYG!RD#ZLpRusNnUxGxK`h$1 zYUhDU0YdN+#_5kHor$OX=*J71YadweHR5Mw5l{ql?@TCS1YeTpZ%j@TBJ27NKv5p# z+f59M0Y=qv@Xd4?q%5}$O*w6XGap%4>!teGbQA2{R88zd5Mv!~5j?Le(G(EB~f#XIiaToq< z5K*`e-%UeQ@(${xxkx)OA){puSE}m@-(GZz-Cyh^@;RfXum;Y&Id&S>4{XM<+kh$u zsm})0g(-06jZW!k;PP=qp6YDO{zNCfu#Dxx95{2sxYM`(wl#kV2F{$~u4lizIrimw znT%3KaIXdt;`+$!!88Tz zsdDIz)JY3ZO5Ruu4jB*qNmU_V6u2+LoLt;r*JiAB<*h$jc$zX04bAkA>kG3NJ%H%guuLh_obKmk)o8-;A&s#+9!!i|dV{@EK=- zGvCae)PZmkp(czm|6M(f0Oq`^1A)!gS(Y)O0vSzf*`ECQ2vM1D=q+J(gic7*OuCo> zYUKo2S(>b5LItw!qY<71&FHpa$b`zsRZOX9t*R^}=b4%e{w}fw+rtqE0~P3h!Y~PM zkg`JCS=-5@O=Yy`JdqAU$4C~D-l)uyMXSn~(D5N{hANU`A-z$9rLXD^Tu68SSwXo( z-X$F{r8CD&N4##be2XWA-iml#WGRIwg_eu78#r(){fXJ1IQj$fNz#KtB4JD&GIG*` zvL;0gH?m&RLwLRQSO>8jlbJjSS1*bSZdnzZU^ zyQceKq@%VNKwa`WR#R=n#D>jhr~Q#B8;|Jgv8JX~VJr(`3sW|cK-RJ0tXtvf8A^bv zw*iksA%Y{ouFz_rI0iQMQ`6Ohz zpd&`PSWkg29@7)?q#>UtalGWC5#1(*p3O^!tBNlN9ciF{LGz(b>C z5+;`9tz)eAe*Uv1eR3;uRg!N16e35+UU#|EDF5Y6-p4=Wc`4dgojg_3KD^6^K8u~* zLDYa+%%(~+QoE9XS*XwXi)C_IB5KL^U$^4ra+>EdRbd#o23ZA-1GN7EzLY`8gdKEg}h0U4^+0UAO4MN*2TmEnUvz zxI*Ir2cjj+OL?u@Pl0zXL~2SljIoy(H1cyn1HnX7XzGRKhHQQRkE5#&i|Tv&UzHG$ zkZwe}q`RaW7T602QqoKJ5~6gcgrqD8>|R>wQW_DET0o>jO1k^q-}}$!IWu>jx%WJK zo@dXQ`J9=xL`~LJDOs{L^D?Ti@f{y_WV0?{(0nO{9#q@%F)x$qT=IpHXumFF@4Vfq zV{&=z*=-Eg<_Li4nT%#wD+^0#jwsY|f5j{??$<1%wK9q_gjUWqoUn(#(t zQiZln8^D)hbHOpIHH_`KZy+0*F{sFZU>~bk(RVr4OX)$Td}C?5&1=zw`K94+? z|1LP0`DtQ82_KIwocnD=Uq2uO8fZP*IN;$t@#Tt$E&) z$30E<6~xgS+GKB)!O+p~A24l6+*lA?V~)eeoW08|8_coY(co{1;6l^b?rRX&vk9`> zzx>*lG};)<@`R%X)%&u!`Dx0)aoaWsnsv1ql%)9uHU9wzX|?-eVb5v$!Jr@TB2&G- z)NOqsA|Uz)ykhXEGZdV|y#}S2{-I3Y)|77_b|!5%5Hq4m-_}%`9(5|AONkkg<1)1+ z+fVvRnHjNVP%nt?)5!V}D^xo4(Ozf|`ax*pDg?|)Qi_Ff5%q$@667eqRR}VWH2NXh ziT((1`Y~X*&c0d-O3*ZepzRux_Csprwz_I2C{L3O*4o-4OVd)TOm$RqwylK>0Nfw& zVq)=b+;2$Dw+E)cYWOE$Snfgty&ld4kjY&r`2NCOET;@U$cN42E`nY%hl#Lf)RsHU z42PdDZCQ`ECvYFN@F>Y`8p|Fje1a|Fwu3B8C&+@ULNnj(@E7Q2Vkuw?u?ew@E;7$> z2xiMfa*|hqLaTJO%Dn}SMnFK3hA3l#s?r=HXxv5Uc@x2L=UYDdgHBnXlw(gyd^wg2 z_`tD;)RYxOfrmY==y|KT@g9F!)B+iO4pHBiD0^9y&}7q4wHW!e>9?^9H!gi=PCp>O z&sZxqFp)o#lrZXznJ8^sbX3B*u)9Smh*fbgqUb?5u-P5diBuewkV$bWA!@3L+;n=HCu z;JRGw^&382yDDTi*!oEl@8g7KeirJ|b+vLNmd9iiGHnM-9nI%48Hb$P!7@f~c}&Je zsl|f{-u-xcUVZr0O=?%~@Wb(gh$Xd+M=_nm;1+doHP{xh58c7LFgksXwTP6mfp3!Y zu^o+anQAN{49%2Ri9uZE3q^oF{8#>;#7+nBmO6NQh%`|T>MVHC&du|biSCO@*^7y< zCOroqR`KI3wXEmu$Wi!Y5G1$G%TQ4E!6g`q#h9VOf+xcruUmb9E4^Wm(Bk5{(e3p#iIZ%x|aX>~FW%1!+Ovjf+QBC?EYsu02pNf8E%f1iHuHi`LN zN#jK`vLN5rdwjEGZeyQv`@)Wf>aQ}*JEegDW3~I-eS<3Lj~h=)0}V&qoAokq=T9il zQANv^-k-R+lm5y9b)>^@LF7MDjM5lyt}ISWsY#E5qR-$;nKjQ>7+Y);F}#K!R-T5)Ke zuXO584&{e&XGvqDO+|?PT7Hu-{!_+|#aI+%2h@<+DIZ&L1G zTRrqGp8GEs9V+j2sWjVT;3poua4;Cx47?<90S8HI5ip&e7H?eA+EQ3+1OTv{8-NbR z@QSB)ZHa8a*8aLUAK(^O^3Ha9Q>QMMIl;FvAA1Z)rE2lT3zh{1Rs%&NW- zlk?w0(tJV@4PQX(w<7hRjZKXJU;mN~+USX#!EP_+$m;n-4wA^a^45V;_yshcS;E+^ zcPRrDc*+k@#7Y>RvaLW*#}lZ)BxM=n(~pPR_3nL!N^LEtr3K}E(a+oP75eFjZDP~y zg>(=2Lx=%)?Y=F0fAi^wo;GwyeFI%#i7_g{5lzs z*qKxQb}Q&c#JA;0Hl#?(o3GWYB!}x1AAezB-5}e3`y%lWw}oEVH#<>&XFVHbD3{if z!V*R*>`O2>JjsF-G~9Pj_;w+hgVGyyE4^E!-l>%nuFpxdn~BLTW%c^0Jz=m>m96BZ@J%wo+<&x{~`(0xtMaxB=R0kNK5{W+214wN<74$>zNvlj5%rpJ{7W`Bma{!b>XM7P67OS?6fjJbNwX0~53&Tfm1=%H zJRcJj4w;<$V1HBC@{6AkXs21+Ix}#!RrtNndqU|Jvfuj@U$LqyioO@H<$0c30;kKy z#}&ewqNigBTG9)krHpW6=@Bk`=7TE0Mi>HAkwj=8@>!rv-_cOiLam&8IEoT2OP2!> zyEq!G_Z8^_R7@O*l(&mrV%Vah792*2ijK=`(szD*V7ilqBL28cuQs94pKiR$^Nl z*E2*gY!G2Br+VXt)l?3~btwS~Vu%(z%t7xHOdv#`Z>l0+g%Zkx2q7$3_s`PUwwcUX9yU^SV{EEalqc zADUv=P$3NZQMc29v|bF*?+$%Ec`y^O57}dor2A}|u#Z~2RT=kZJ0#%;wN{ud{JZ;< z+V-Lnqv871|AXxynVuk;U&Xxfhis>vH+i+58+?)V8gl{8Z|M9Nic~R>!-~fN9<$Or zr^&=0?w;g*mX1|p;o|*z=*SA{t&FKp+OA^21_M6U!C$_za@9iqx*t#`w^MSnuX?+1 z<2AdB;4-VBbq0(GNxwkNBDB#@nUzlSQmUjlKBHzIqd?g%y7>?z`VjqfvgDH@?3GlD zI#rqRc>GoPXR3L2#x1*KXTC|zz6#&0(V|%4)zJ!WW)T$lI1$8A3AR^kn|V8pZa@=+O7u6d)7eLu6=-zNk)u@mN{X6Z0?!^H87T@FT}I2S!yK zVTWevO4}B+);~?JDGb#3IL)wIJGu*L+qDU1Imb1fAx%C9oDZ8I>+|26!BMJeXk+l9 z!RZi{!^k{AHwq^DgdZxt3*VcQl`fF{da;ZWj%U)%dv)XSZ-_LBKreEJ`{dTCQU>x!SC&VD*^2md)W#?yi{bmV2@pMs=0ArPkne~McVB0Sa{_zXm z9a@R5enPYO5be?3l=uC@b^)>~ZEWfe$vqB?u$f-R*%gQPcop~st>HJ!EiwPxN}`i- zl+jiq8DjpJmKuRGd-)yjirOF`y_Fc{9UaZq z$@}e1$w(Y=20r5fWY@_mItWkC<~jU39i`0jg5p5})0d@$Ppe@Bdpy`Qh)Lc7ypn9& zN0*579h*Xl^egOj1oW?Kp;S7EeTtZT=15dxq!7gEi`UYeQ7E6Tiq(zq(GpkWYt}Vvn2985RgDr4s;exGHx}PSzba{k?Teqd?4=-S}@D((!u3#Eb(AY zpZ#1TmYtPjV3HCR$oG2vHm%F-N840Jm&-eg9nEDU&QpzLOf%U!k5Q&>(_aSN(8y`PL_dUawCg%3>HKfxY7r|b>zrX6T-_s5{wbP+cW(8-GS53j!TKvPRm<*@EKBJkbbKQ<_%9-Ex1W9KI| zN<4JWL|cSV)scaMV&Wl`#VnY7#QbZ-?2413VhIr=F6$(NUNmXT>dVF`oD~$L;i9XP z0TrRTiqcW!GgggJHDIS)o^@K@Rv0`gyNdkG^vc}_sWFF zo&sxKXFcoW=F*;~;-5pGX9zZ2x#`gmnf0HPUk#cFmQs>WHDn0cSdv@QL&-nCd-nr* zCR5<|*)&W3Nc4oeVB3zL@#0w7NO4Qt5i=9xfGJ)C{oWJX5BLxT zK|WyclF(1aC%^XFoBl`Bs9Uot!|UmPb$c@P_cMTJcM?ZcJXX@{PC%N-e4%c&mS-X~ zEQrSvJna;=;lHR~PcCbTf7MaPj9aqA{X!&tLyPaoBf0A^J1Xw&R!0Pk;X+r5qN9!l zA&G17E(KD_zdq2dqt&THD0*q|DI(ASQi|}i419(VdpGHf?9T%2IHqpL5C{cJ(+`jZ z;0ereC@aS?jk<_v?vg&?xS?k;;JzljLR1)1eO;D zi6<;SJ)16z$r~h7oCn^>o|oWo$;2x)Q&vesN+W5kC!a^6e>hHdwULWBTDwvEsxQNZ zTIvNRn9NO0s8wYRE+lA*O=tF#pYrh%6hGi{Iqa0>n>+0{=c^zpe!8puwx%L--MIF1 zB&J>fU;9G5hs-3znVN5~)6H`UqGWD;qNrF-lm|n~CvdM1{4HH#Axw-ILq?3>9+pJ8 zMUHhu1l}Hgh}w(N|3Lpw?QY;kL-DJ%$P2`HIp^f40k!WB%1_b7no2v?bQtlJA zt6!wB2Ezsy&oF6OLf;vbu_DLZmJ@PXSC|yGq^qZ-*fCa_cL^IOLMtU!*^$rJ<#X)Rfvxzqb7qtKs$I`%=~*FiYqq(5%frCL+@I3$_LS93F^ zm79?8YRnuD?SU~9l&4M4Z(725`4Cd~0`X!G6!BS1e!+V39%D9qt>6An`6=eLriaBE zZ%;2)F)J@5o!yN7f3Ho3r*R|t=K!b8@5!aC&|&k8sH9t@egphFLwb~j2Ol0l^Fa_V z|9Z?2Pe&S3gh=q<{*W)>UudM%ygbQ=7$L87LJH)Gu}=O=4k65lG>rV$6DQ9u0%g$s zNjlgZ=R_zPVc72C$xqKi3nMJkzyl;|DiKv4QkkQ&xJe?DOuB4edb5b~oE%y6q}V14 zx_$=Z&EuDe;)!0Z@(|9ib!YZGS6}h4JCezqv3ur-%9zN`6~itPDRZ`PUrIsP&ZqmqCM7 zI#L%alIsKtNmV5LQhP-Xrd2<1(?#Tv7X!xlXwNl1t&B3y=U4Opos`gi5}*ntK3O}s z`me1zko>zh&Y1OoBfzgm2ZJQ%X-_BV-`a&8WTN12^qpwpAYJFz6W`+Z^0z;6V#RAX!+og zS~B>ZX&z)}tOD}eUh5N5PcV%&$GkRlG$eGPWy>;jq$QFE%D@P}7{EY$1R7baAp_|pAoIRf zmZJOL3t+>c=IDm-GK(T%Tt?KmVNGRAc33o+MlJ)XFR{`>=Z5J3QSxHYfIAao(Tigl zy~({~0Qe+t1TDTZG2*+j(Wviy)C`NJ5&~J?4V4R3ztPQjm%))y^rifxKHBS(T?}rH zGn719ej|UQR*gW7{sQW|xrA3NcG|xW7~Wl&_--dBLwA-hMzbZbnUIW8t8anYM^xY+ zqP+3~Nw^<3OtVu9NR%Iee!Y_bN-TN_=EugJp_I{(J$Hr+3xa643zR|Ppc3r)#8FqI z@h-SBaOAcGCQ5G!f&uwp?9XcbU!to+k%P{YL4@pk3sw4`3eRXy3AU@p-WMLy65{7n zkEIlz(h>^8XFU?ztXgb$m!>c3wWf6CYJw+x6E!bNbINV@3N}Wl#jLz#j5~G9DwbdEbHhMgk{Z)m3$fUy_=*f^K z1imrz_(MjFeJ*qOQeY#NPeD~c47<5=hJ+l)#vDSKAgbUiV1!NBY4Y?Rj?F6%>IAmV z2`gUwk+9llGiO~G{wRTW7>C72iZ%1T1gjgNQf#M%CjNo5K3Rnh@jZOaHbFMzNgsnk zzBoJf@In*c=0P8yLbW(MmeKWHp!T^FeY%@x7JU?Dea+!w0mmY5vI+iBGWI7|U#j&#^UUw>UdSMwN z1}KLjo@>=m$7y$(atdJ)E2h4fAymm(5+Fx~l_B8A(vKVv7b+>V$&vBUwtpcBw#1oeS-2A{}WkX%*wu3&@@#g`O zHpu*wPH#Z}alw{kQh7l-gG=6S9#cYcT@5QW6UZHY7z)0i-Y?Gy_eAJ0!N;}qR(Fu+ zvRfH#c)|ZP(9C_T^+H%E_s>^fI2IhcMOVjd##99?oa2P`W)DQzP0cz7L}^VbwmNGP{B4Ol-#-NwX%I-ujv;4{mBV3t)TgtB&pwW{Cw`FB zlNl?EWGL7Kb_0vl>S+_)Tp_gDG|Z~uMtXBoUC{+iC8kgMBDN>VR%Z*jKYEoYv~p0^ zdGwPVgBeysC=2)-Bf3dkLxhOqs;(IUlV^2hVBdTLEc@Ekb(Gd6SibI4?<|8$aQjzr z{;cpscZa`9?|5b|yzKgJtyWu8X$U$eN#4*8Bw5{5WM73Jet_{(%Y&t1hv6_e>c#kq zoz6aS!>s#}{tKh6n|;}Y@$koMsHDVdBxU=OM(y_8MHEcGPt>;DtC|@T>1-j>W_#NA zh#^KLlF}`x_%#6&u377%hBg0OMNRbhw3U-e0)agM8SF%?6dI?t2Y zVpPGh62Xn)_}uRQ;O&?Q&#$pel3>i1ZR`R?;-`Sv??MO?MkICK24^@0H#8WTL~t?@7s zpPMv6FH`hREbV1Ip6Yr77A(`q9B(q^**j8=Ld4HL)o3zD6VpVFQL;|khYSRJY>>WB zv>$Z}wL9NDw8JwZOCZj(lhH2Z``x4Pu<{3c0qZD2vQ1@NMUsjJ#+terX} zBVN}ABWr3Y=Yt$i>%kw&lStYZ2vZ;c8yInYtx&T451$))>0bQ0-sZ2adOF!~eoCKW z6B%hnf1wGG74sZjNE0~{Y)_7CXiKTy7Gu%#B?-?3SCsdzm4Vm+eOVEfKP2)j?Wk4m zyQFESF_WpTT@qfDrcKSJHhuToBuBy2Yx*(O=jW0|b^gu2o}T6k7Uu}tm;#yauR#>n zoOi=%mpMB4c_~zKe^=qUbsb>|p=xPSY`G6m2B=sl-&$>{s zG|PUl8xx@f%)5lqD{L}=t7TX0_5uZcxzsQmQ@)`y)!SK6!e>gW#|G$Ym4H;TzOg)g z_B04Ij-By!-4a}aL zcN9%g@4Zz~vByB;@BlPJnMFx7=e-X)9_uq|gftZ#45IqB$(U~0<&4I}s8y76v*6@U zbu5DI@o8m*7TL3c9;>Z_^KJYj%E>As(4Vp&5LD;q8+*zf5rdw9i)REMwK+0RUIE5_k4N@uK(%uIN8+P=c5-uJNcrxS&%nKK*h<%4X@ zX3nim_~xuMLTn)6pws~Cjp9XT5@v(ubVATFvCriOHmRlDF)ASU&nWShT4}MHqQUrH zX8_<0F%s=r;Q-8dL!hTUE4cIRlyr7~NV?2p6G7L$nvjVo&3Q!xAqB`GmR?@)8)6?5 z9Y_bvE;%<4&2IjJODV)|rjcIp_;BA5F~q$K_3QnnoVy~gRKD^}HU7a@-#OKL*)YBn zd7IZ-cm4@mViOhAfSs9O(O}~n6W~p63OF98FyF{UhklhPJeX7YaiWWe#~x@m8bU@@ zd^Acl^R)Esj|&2wc=li|uju&HTL2zL$K^~+vlj*)F(A2e29j@5TP0QhM#tB>7c4dV zyFr`aBOYqQ$NR7VIT*?U5PBeCSd8Vf?;io;HPu^Uu6@&0-Yxn|kNDaM+p1e|UnBp% z_eKjHXx2YygO=oaly3RCq}vFMQ+zzAr_9Vb$N&c;_<>XQ8wK>6E-Fx$OwRZ;`yjhi zx^9es`166c#N&2(O>13}K&XnsrjaNXLJQ3pp$k}&NI{Dg9F2!@5IXgw5k~0nXLZQ4 z@X?Aunh174m7Ep`(07Dns3I)T^pR44F*ytf*GKB>C?ibkNg}z?f#lpkauEa}m7v(X z{T$y8{I`P%Fuz_9b40X;NUS@}Ysv3*l(ezrwYn=!2@(u%Z5eGHBCi%CEW@r=^ii{k z8yTU<6`blP%IFSG!{MtUXa;2zDd0{W2S{6U8f5&@N47Yqg4_Xq$MLBGF zxcZX$Hsgvv5q+mTl819FTFV|X=L1FE@RM>_qTUdN=tYrn{o2oXGJQYlWVIrM0AsRp zayMLt6g?9lLpuCBKxUjbM269cQl2qcL`x!B4db()<#+ovh`Xh_);cwfPSmC;`&+qL;&&ILlb~B>Jd6$;9t3JcTE}WtBoB0Cz6f?`UFG0DKfzMlw znUZtm)tBGMcmc1(;p!CYS4Sz_w1?}=fX~`bB@p={AsRZSNKK3?(w4@+0}SN!CPzbu zSNfGejBjS(Ys7I1_^K;FJ!3w|7I7WH)3)+;6m!oDxjdsN97aW%Lz-viXquwnW1OPp z!!rqw|Lew?OqAv&mac|7hAA@$-9cay1W$U?VEp9qBhgfG*h+0MjzCJ-$qc_zfKN(S z9h{Lt`S;V&>3r1ua7c0$e&c<8u4q;N3 zG87`$E6StG@iq$8`~{Drf<0NOH;m(!h`vFvCORZYcrV^q)@;Yic4qSXVM}++Je4`? zW$BFNZ@@0=fO9q-^gdFsr*6O!@2=tE#P5zOlzFlfmLpJsN84S)?MToaS1A4DXIQ8J zFP>_54QCTW6n1C3z!1UTE&&b`qV5W-@F2EMF@Mdlw|gJ3^t#|807RvHj*K(`P-kAa?x`g$qfZ3h@j!VY`!I{WTWqBe z$EOL`h*uu{#5!S4@*?<@H>1x?PLm8=N#$yYu+5?PCj-XXYs(gic|3&g!@Mf*EJ5#4=|BX8ih|DTi57 z^0WkDzg_CbL+7F(uhKo~R2$dC!jjg`^iW4Fo^*QtYiIJGy@^-FLQPA|h4_B#E<$o9 z?>8oy8~4Y+xjT+@uH8GW?aV*-nJ+%y-mANg@4YLrYrd7|GMC$D$8Zb6a*a&M$X{`3 z$z7DrY08Gt^5h3nJJuN#2fx?N&G?HoZxqNzU1Jb0!c;$AwA7Gc&@6ebZqb(m7wx$S zd0pVqe^8;B`N@AsrkqrkaQRgRa(3t|cV@5DU!7IsL_PCh(k?@Mu8QPku1LPuXan*yO2Wi1ItX{3lz4klvEkq4xDT*@D^FtVojak?VZ z;V;I=DybXFbm$`l9ccYp)Vl8@Y%oC|oj}791sB@T;VFn&+fsk5NAbCaE20d)sC7XG zAR&xCfGR+lx(u)SpN4$DZHqks}+x4~5m6-T}Vx@PM z5~O%GUbTMvIV}M8VOj9RU$wz2d1((<>c2P&gQHqcv(^J*j<50EY0h(h) z1lUV}jp0@cyRUjpa)!vM94i{*N4LWfJUM4w?_pyEx1hAerlD_ip)nd$nH~L}ss%_K zYqO$aP(6+_Pfz`><)`obu==;k&O)1GmbnHY;t9cB#0KX=+sDi<8h#7y_{=uAARie2 zx3|u%E1qhiNbrBk(l&vTmiF7HS!x#!e?etTW_v)x^PJO_ z-*J6y2M}OH4+`*on*%b&+9aq>BMw$x`%K4EZ4}}07liz=?`h0e|b_A$(q4hsXc(8y8sh(om>*^h6Kk>@N2;mp}@4`HnbQecAkICoXC ziE)wZwEpMq_9R90fG;_cy_#jQ`Awoq0mw@!4(QYl0KPrX`?G&VxuE&zzCfL72cYP_ z=iSYObmIVl*k{y~i#o9T=RkFl7w*)}54zG>l-s*pyaGt$Kb=%YkkK*xP$|z)Fd1j{ z534~+;dO<9?D)rEkp4$Q+2pPY@J`AeS}CSo9_89p-QwCUi+)SD2QtnIl)z$p831Em zb|7AC&ZzQ_hnBBZcf@uE;VPJ0OKnKe{{{-s#6R7z=zU|7K@-oc)b_q+tmeliibH#5 zs*8(^5wzEaFU>t5Yk)elyMT-zYY?-d*5(uDGnS-lA)k!!vT_D(j16G)tN?lR z&~t5W^(8&X{qWFa!miiAwJ^1ci-gI^I_Q}k^b~955!&k6f^j1DilQh@j5qrBW!t#V zWvvMRmeIq*N=i4J6*_eB%iv0>$34d2>dRnM;L{j+SoG_2jV+ z(SfW-z!u|xaF1cO$>3p1YoM_noiXBkJo(8 zJ$U(vQa7N`Sada%5nY7a0yr~n8ps~JEQ3uyV#uiy&jk7U7C)b-3GB_Co(q(6f3b#^ z6ZRT}_gG^}6dq-KE!ikt=>8AHFB8 zlDv9|+Gb_^gw$O<3_`2O$Qju!d{@hQ4n5x zopW#D#IUo@r}Kk#9(`09po%3FITfs56}S{Hgs$S(1fn}Q$9!y*_1NaK`Dg0|M4z~V z6#{kOQP*e@H<8j;@c9!Ra8^JB)*`K3Vw

T4(O9rZtP_ITws$Pfn%1XTHqwW79Rc zEGtI3#z>q0lk!RZKeYsT&b^KMvWgKYFk+ELS0OA`pm6rtNxA0AXqmK>)+D+8liS_4 z!09eVi;i-ffZHnCRqQrl!RjU8JOB?v=n-uzHDfo$6DC@scCU=a&b9yKn@o21B((CpmyGq|c9wLNJi# z7M-yFciE@$--R~8{_G(NHkG6Y)xva*u6OJ22YuxCHdG`-{^w39MD_ZI@GP;slXV7D z2o!z2j0?mwbr}0zElUHRm@xjVF~)53!Xc_!EnMcJJ?cJ5@VGw_YY?{e<0=1)}UqgjgyyB>YR zrqn7~dRNmKvLqw4m4qqOX4%n5C#qP(S3d7LU@TYyGlv)aNttZscKA{C0*j%{4y3p^ zgtxP4_6-YP4X%Cv-Gq<9Ml|{DB->m{>|founK&ubxRwxfc4W-jQrz@t{tVkN(#%4i z@K|^fj4S+N)gwacno_(k#V#o{RG>iW=S+wH#o{Y84%3CiuWdb&&64RZ40IBGkn>bK zbOcMdklm^NaSl~Q=tyzG`en35U%FrJMx%VdK@+v2sMi}KmB+v6?YjOvRUBqk{SZ>X z9Ypu($pmfJ+pP3NkJH6L+~o+!uKRkphayr}fKKr=tb_zcQV|U)Ub22HigzYazr-1F zh4o7jX?Y+NfrI5FD8f0AgkV+(c|u5_`NmNhLZeh1)<#RhKhoY`R>8nmY&_XGL`h=;R_%G>F0+dinj zdiOjiU)?ZPH9t~0C$;EQc{al+nbb+oBSrms{3gaDp3jNsks!XZM&Ak>{rr%(iV{7S<20YdJN~)n#1jO31 zj-@Fdr8%1CWU-GaDd><6_t8##$T0D_sW2=vsVgV487q~FS1IS_!Q%tNSVhVQKaASO z5L=KA_pS)>UjzV!k;E8~S^+}0*{boOzcr{0DA=tbKx)JTo#|}lU5x{Av+J}0TxQBE zZ<%z`E2e{&Jkw^iC8l+6CYqDKN5M!;jMsB&N@)eH(alQi70B&}wH2n1d(yatN#ns# zbzX_DaI1yv-bdcxLppmvxb~xkq1- zHs7@X1fBzE#-0ZjWFIJ^>0>rP^h+7T`Gg)rv?D8q ztok*z-?-G@?%Ms^zsF?K$|mA0p~MyNN^YDGBb|rxs6p)uec$N_CMdtog$4R)%s$kt z?TG<}3g$m-SN(sCm(XgAk4ymw7@3{G-%EP zLMdLBY|B9qpcvw{sIy`=Hy=p}xbQL^>Gx2-f_vjMWt*`&8siJHgmD^-Hl#a(Cfj&U zr2Llpp#1L5zk^oli6VL)RiN7_0ZCLzZG?WP1O`|^&{mk8+mDD4!0*`=R4H`R*G+^( z7R*uiDgXO?Y<;MUfW?8*f*PfJNwM!@t(43B)mJdKD1qV5cxJNbA&(f8%&4Ngz!byF zd}svle2)Xs7>ZE~o)&oXl9yJ9Qc6Z2zVbb~Z4`B&_HBfC@A6nv?_~Uwai9=_GqLza zVwEffT-`(@k4cL4jx_|!XgJXC;^VA1>IpEaC1d-qZbAf@)cV8fC8VCZ^y{bQwckl3 zX=43a!L(n^?;j&jFcJbZ^%aBwg24}4CFE$f2_6M!p^`y|q7zob94m=zA?(HvTv`J8 z+B8SHM+QQ}Y;-f)rP!TrLhD}n|NShtq>B!cAt4A%(S0ab4NK_BgGrKp9qHZn<_m^$)`*`!RvC(m|BYkZ-Y>=M%= z;oR}po4i&F2c^ak`>9u?EzlA%A1V1qym$ z;yWu)6__0%2$+$o0*M;bW2`0nW5OEa*4;&vL^CyoFrBV8?{YCrGK9_A2%R25qttP8 zB|27pP~K!2U=ni5bJ=-+*M5J0f44<^NAoO_{c>@-`-tjhpI??2Z&tOdqoW{G$o)=# zdDn^S=&m?Ts`zt(7WaLA+Be37CC4+iyD^9K_^bJ=*-H1htC7n6`K!)KrunNMm7nGV zcc=K>+C6#D7tEU8JoA;>jczR&8%7;w*lrXpz?xC58Jk;13-H&d*^I&sFc(T1muF~u zC+0xk1_<9H#RgSG-4bc!l~>(#T73H}xf=Ice1BD5yXmxwu1-~$dAkj_)-O#Jnf-Kg zZK+?Mdeq3+QolRJ(&#rg>+Afh@@*s0T;RVcgqhw@U+Q)7hLbh^{eYg}-K}9PTT-}q zVjBHwH0Sh1>PAwmSF?E^Q=^u!=E6;e7OF4V$6q^ra5vY0@w;JpxeI5#pT8~d z^?#J9{&0AGwEWG`YfGFy_(J@qc=Upq+t+#59Zq%s_Y*A0{IAu>;!(28s#;Z?|AzZL zN*DSmwpj0u;I8)im-%c^f64#8OUid=s4~l~-D_vAlAv+Hf9Fx7oX?J7qn!7Scca05 zWZC-K{@v#C>AmbdQamK4<*wiz>fOCsw9vKx4a>2AaQdA)pXV9e_A=u%aYvr4MCv|Q z`04rQ`-O+axi@IQQvPT4!^j&;6207q`+7`r0f)r7@07k>Wn``2e`y(n<6dms<3h9^ zVUhp$-+zz)Q%U}26!TPp-Wc@l54Y?wO--dq%@JeV z=B0nr6=BIQtfboattx_@*PgMQ>ebYl^G|hzxf*Q@|HMx$H?onSQcYx9xbAwp1A7O= zO?|jimG_^7kS_GKpr*e$3fVyVSI*m}A$@~Uy^yPP@Ne`kc0qc6EThlElN+n7)JFG= zk7`dsalYO7*`2ICV9A?M{MhM0Z|J9XCGP8x^-zr#6)VnI(PrKu=e8eoPCez#L*%C2 zp`Ovg^+=4X)`8dlQMwt82dUbF142j_^+(5&JR*bUEv>dEzB?`x#~b6?|N39&UK@s{P{k=j9$d?loEJP< zNPcxbJ<0g%yzkt2nCVw}x}=Bs(qj0F8v(%I0JlIr*;RPG_fxOz?*J4RPG(V-fcE8| zEax86k5c0t&WQ`W&ei2Yi;i9k&LS5pHtxD4VY+I0CcZ4sWUD5ir%(@Q z;eJe+e9Jlgmk!nX%%b@Ak?2yCC~TvwLNirpgYaLIr{o*TcZ>D0&t=^;1LQYekS;V( z+}1)h+W)z-qG^3ck2zbf7>lp|e6Ea5(w+FU^~KrMYCyw~xWZO_NQb!nyZXFCgev{y zt#Uw;$$L6I>$E(@Vu#Zon@O>ut47v^%_l&Fr@Dvr--ar;>kbFORgaCEbSmp(3}u3_ zN3;5|iI4?T@UMQI_HOyxBgo3iUM*hNwXcSv>tombla+;W7Q8Seg+yUll-r+%J{^v& z=KKdkjLX&p`)w^PBh>T)SUXcM3{(UbRCorBXRm8H&fM%nem=Sjxsc9!HrF-VyhWzB z)+^H02w7Ra64EhYC2PWt^9<7DYWYp{@A(9 z`h+_o8_G`OD+OrD3*wWz&X8|wFN_(Wkf7a+;>ra`&5vht@tz8L|> zdSNL=+TGbKGu}$lE!>`Pxn>P4#d~*IP$5{MbioL}%m#!Pj}qU~?7aR?MP;>}0PiO{ zX{`^Nn%+mppVGylzedr`rEq?1^Q zG|!jSHma7PPG95oNGWUOo)h4CQK}E)W12Jk$2Hz1zUKYqA*OZq^0gn0)AMVXCDJ(r< zob_i^`0Qg$~@M%Dt~sO;)jb)v}PG`80Qi zK~UM>^;})tx8nFflkU3>lKb6BL1hKE|9MXPHwC(n($a;O`b9qrtEKf}yU-ABK1M~G zdDr3o!m&RJ!(V>+L(ghHQ$)hR?!x^s}{HL7tgtG~VuVjgZ8W^Vh>c z{VA`t3dqOrhRF^442ArlaD9FzQrZZarB}70UZ>%@Yh6c4q{`LL1-aOvnj~j*P+$eD2Go zCq0e4zKsfepveA7`r)}LlrabrxAxArOkzZHpDM?G>Rod!XGrXzGqL8_P4ymi|L^Jt zv<@xLyz{LLY-0z#i8b|as@-OkZNaCC;01gJZi`HHhaT3})DCcT|4Ba_s~H<$>7Gyb z{Ev<uM#m649|+Iug^$F^c^VsBPS7&9J$7)Tdlfs+ z3eWou9|@E5q%yc%r7f&>c|m6pYfc5v`+@22CFkj2aM_*1IQQ7$1)XWEIRMWagOBvc zd2$$B-sb!_7h|SN_d3?x2%gso*M0e^i3N4zmN;rGRz_IS^MYGO*ImW|@~P<&>c)={ zqm3TUz1U-**L9F7^Z(Q&i@LGbA=Zr$R=b&e&&rIWLG;Wb#UhU>ob@HgV6+8&6ZY{d z4*bd3tx{|<*rMZNHeLYS>S7>9gyBiSxQI>Q};#r_pFeE@+E)+e<*ef zflX$=xH*@N_ZDt-IS?a8L?S5qOfx1l?NJQLXO4~jmw2P8rw9&QH;th2)Z6Xl7B)h! zMaSuEJSTYJ{XmQa5kyS1P%{RR_K1`0GymUy$R+nd3I828eC&VL9c+Ywi<^_#c&>1( zyMY)fdI3+oB#1HU((j#@`!~lAyPZ;=PftQ_Ov38ZObzCdR0jNKw+(@z?sntKVXTjD?IySt%jTrNDsq&1Q@pBw;jk|c>hNY*ueC@00+3-+jrs6!9#I z%dApgt5V-RzLe2n$ndht1YKqF>sy~pNFw2%9U{}6xa)lMc0M|UZSmO9S)Q!$))cG` z<`LWF6q8*ll(s1@-ukizHmn6^(jBMM(bY~D)JfP|Fd4H(Vowbv!8KM2zEr$@ChMnNPa|E;aTd{uSI&b2r zbjVV#(}17bRU^5SjfePGO}{m~1RwkjxfZLaj+Z?%Y+q|OjdKz*`qU7YJ2(gY>6q}l zb>-7aLcDd|ch<923z^vwO&aDHw{bhfB1p4o>JHmsA<7B2b#*K?sK>!!u^-+UCam*hZ|;#0KGZ75!wE` z_9f=R8M8KK#sL30`8?;O(;#*wS-}yw(%ey1Q(2yOQe;q((gVT9w$SrsoZz0E+LU2wJ`KPL1)n$SP&I0=7Q;=cJ6`)pyX zS)w7a*I)M9c3&y;efLVqD_ggi7y0+W_P6j?7dB{h(ra^_-CQVL&2xr&t4D03igHcd z?@dyxZpt5gNgvfpNKO+xTnQj#n1FZ2=v(rs08&~Mfz z_BFNQ;`l`^cIr1@{I}~Q#e*m{s7buJpM+DD&^desLfhq!Ja0_}CYK26KT7?_lI(rc z@yq=mJ3njAeRpkKY--|98($XZzE?q<)*d@XN@F}LZlmj-ZGRd^(!rRj7A3jk>GSYc z>jQGrb~}QBd;w=sQNN5iI!#Bm_BN=}Y+PpdhY}{tz4yuJzhF(6W-;pS{~|8qr_!@` zugx{DeW>pjp0xt4T^X8t{R>Dw*LCLzB5N3t^!7dPt4Ij{-lvQ61)^^^6<(2?>4%>= z9nCCB9nzM6VMZ)?7Oq8$74G|B4z4A$#pJ*8gp{K{a?jlii(D({>hMo*tuRT!7TY81 zl$)YyWyE6^JX&MBd`3o5QaA z&t?s8k;Ac>{6^Z7&uOui{04X9aaG98e~)_EL@p=~{>l3_W)R4gTh0XsWl2%W3zWa} zjqbcADqUI>K4)r5yHvPSJ6KOR?L1!p_uk9V=>AGK{G36j^PSb6yvHgJ*Xsl9H@XK0 z5idDZbIuqd&fnD(Rtqi*_rCo%$HkCOve|1g))9YjM?7_&;ecy7v)=s9{?Y@vsQ*0` z-(*{6ENlNwKlNj$x#PET9w6&!DdNhy+# z)o&Vy7!-^dY^InhBm#?Vq?q2L0}jKa8Qx#urN-qM( zG#_e+nWje*7VncGsq2&9wMYRACe^M)x<#doQE^<|03F1H981I>8q7! zM7@cn3c}~AA(BZHOgX{J)x9!f9#QAUh~L#Y#SfVxV};JOcQ8YSO8LQmJE{@i81RYu zBFweJ5 z9#N;phF8Pm|i|{{ctRnwbWBIq5*}v5$ z{#NS@QRLC$gs>;<^jBQd1T&Rn4Qht%$44J0WDRPC?f-)|p35540^8q-Hg3Qw;)WG2 zN0UZ02RmR&=vHYED$Jsq8n9f24PLA5As_E zj0JKc_X`BTSSTk9zJLXc1#==!7u@JOwAnDLh$B`wA5~i3H0**YA$wtHz)7+TR2N;< zydCN}vX9F8WduzFnwny$C`Sum%qUq!T(QFGN(>FW$aH({eQ;bqY{i+L8k@H6*-!V^qL@k3g>=Ao$G@iuS%80K zI@=k77h#Q?5>7UTU`3e?(}Osc(~ZYjhVC*W9L0aY(7&IXs0y$dV>(gWzu@mX{R$~sgr0sSM*xiqYKuD3 z)X7HyYFb z*TC{y(Ur>FKy8qc8^cevMT=IEu>PJ=Q66A3#B`yrQaqr)=U^u0$KSX47yRp~{S`t@ z&-?0-$LI%h96FN&osr-@@pf!H_Vm9Su>Z(0{96v=-*SL|%K`o^hw*PYhWvckLNQof z0H$&Wx+AS6wKMGrph84fcmv=LK`&RmDCp{J{Ct`c-Zz8CC zu2sGbDSr+G*AGu;pkdQ5{qp|P`^10r{zV9jKKG3!)HQq!AFM)sdKnsa-d3H4?ZQe0 z_XOglqHjUTPY_B_!FvRV*9aZL*vPM$hLMKNafe-~4JRf(@1Kk4*JH!@7rk{z0e*bT z?g4gulju*~%Wy%o%#;$~Xb#iGu`wHrz1v7w_)2j|$Rp9+l)wd$QTa%yAxfy?N|*!N zr_5=62Jk^#%;WKfF|f`iX$9T5V26~95&>LRCgp4MbX$x@-(oS{YU@sX^FvcoGC9OD zIb2;gS&4yddF)sJv?Dv}MQ~P&W@->m($w5SpSJ_^RCiC(ARVDCdxE*?1!VoV!2dIT z=@|S~8RE3r&sj~&_2qCkR`J>?3#VLbq#1|Ni)hbJ8m3_i(2s&_KgaM0pu195-$pBN zE&XnIs-AkPPU2dTb*e5Qnsm{sX4XO(f1f70$OQborMsA_h7ZaH6nLsh_f-97YeP|M zgXD&GNo#}0#$geqGwJ9$)hKRkEv=Xqu~Le>Qi?~CE7d4=M6I*qqGT?E0NsWERP}Nz zke}D$Jw~q!R>BogAcB%l_>KrOf8n;ssf90>&jghU!sDO&2K>gArB(y5YRDcM;j2i* zc4E+fFfIlSskS<7#8=MQy2s#1l$Z7P8JP2jfRy`%VKF&Qq^|Y$yTz4 zomLSCy%Y{+J`~KV54gcFV6{fjR&!|;v4&mmz8EI*rRn3KB8-}Ih2N?X(X+wz{(5}q zq`EqjjYQc%viJY&-w-`O;bZIejyXL8Bvd{6zu`0K2<$%fJ@ql{Wt5@ss3hr4yf{@i zy6r8(FzpD-HY1|Y_XqzQY5PH8n0ka|YyKP7tA&GMA`5+g6wFtbYVuC^5gOQ?0lTNSZKRGem1$YVeUG7m{Qo=L@;NV^lRtrz;S+iHF=YG z&7NSZ^X}yIet+97S<)}a;JcU*sM?J2-fCbpms_0Mysl2nxL#Wu89Lh>%hCTFnyOY1 z#Kx1>I={}O<%4qyozll2TbP(w$bpI8HB-5;uZyX6^?&hy@E*#swn)CfAaA*lEpOB~I8K|WaBL`E$4bhMp!KtffuMM- z`cdQZf%?zHrM+*I;H)y?Yo@b5Zr5x3^pidG^+$PG#nxv^RtH3)dXSVid~q_dmUKL? zdZY=P*o_5M!UF0@L6Kyta$zi5I31W#ESjVqG^v0(p($0|jb^}nk>F`INXz%<1O-Noj+u1(`nd^_&=Xr z51G_DKQB(UPv%O3dcF-VB-Jwz+G!8UK}6GxHP50oT{RDU#c6Zyr*1v%It6}ytROVf z8=rOlo|?+uKPh_N%+Y%YvK?D?n;y`;pe_nb&2@{pQ|bUHaiXiCY55YnvaO#MgFYsk{xqOp^YgU zl`%VS(GZlW0cj41SQDSm1{A=K#X|)JWtCk z%C#>Yo62OykEvGzSR0L3yUd5N;6KAVV>Paip65nJ zMgV$_JbpU-ypyRF3>_!WcjfMH_1c4g*bWjz1_35FFX)1~xdLA?KVRPu>pL$mKXkd` z>M5_GYa_N#PW^`;&Ib89j}q`J4$I1^XWj?NXNn zS$8o4L$?Acw_9F-=Tmw=?faOmsmnjJ6nb49&|X9C*KG$EH!?5%B?yjp%~6+1aRIVK zS~bqfMFq5t{;}=d`DIx?69qzMu9~hyM7x18;qR zn-YFn4XVY8UY(V`+u!8&udN&}XY|<`GnVz4{!yC2wB5hKKpVd;V_+I#g=&Tpr5H*Y z$31|Fx8+`BU@)*TY)-d}n=iZ7b%9ExA|50EpkxwthMmC`VI7JaCPE?;x3~dFSRutQ z5MPOX(-++ZfO<#1Ri-|fvevpz%xqlFxIDQblL=H?;UQgwS#XGY6Rkqc@Q1qz)kEat zAzt`fJob4LY(8IghPm^#ALZdGI1|XE;tZX%$?pza;8ou?1G21CQ$qi!tN5AAFnxM- zDoC$y_*JSfA6QVWJvPicUOOkWi0@JL5DP^|$+&#)6m2^APnUYzF?7%GT+0%b?)APt z6L!SvIo)yxAJQsqMP~#Oi_oF!7K@`ZaO1SfQK%WqxnwvT%N`&&+bg+XI21Jpx~6*e zD|^;6I`&2yC214#SEcf{*8#TkP9k(e-k|cfG;7czzbq6h+{(a$wP(cXFs%ae)d}Z? zaT;b7X{atzNajb`t&;Q9l~(D*e_0ikhE8-~I0F-bN7NkiwM-M&5 zAoUvC6h#PE7DzZ&P2Gv)spe6W-IGUJB~6vAXFIn>#BE*GnEPUGYBdm7$Jx!dXNc`N zFk+W_CLXwa)+|RMteG+VWcNDSfeZD@M^_q_r2|>~iaLOV>5*YhS$7 zA7AiNwuhHs_Ro4IXQ_o(pC{h-=Dh4kh!B4k-Ii2wbx9#(yyez1Au;mTN1K#NgD02> zN`kkVs5R8q)-cX}E*U=!yqWc`CkeWN+GG(q5ZhGX7QVT&p{@=$c%RUqR$ ze`n5CR|W%$DRRbKY#lkbolQDMaE}iW%vG%@xs0L-)ORgl1{h{7!FaI7T28%`aTBRX zT1y&0o+%%1bz^73xS5Bdzks>6%<{6*Rh>%gR*~k|S%Ch15oWB?D_wB_#u^mDQ+I4@;YB zl@(HNH0c)Fd1`$lo$V1V0_hs$Axe20Ur-X#6<}KQ- zQNUcJhCGxB|LR~Tg~3Y-fiD&AQMVAh{9a#`i1IQSs_TUIi|$3JgJj?2*CJi=CW_N9 zn*G4ah1TD*ufkQXp}51^zt(6vIQF&Ohsm@m>i@K!Xg6#|)6_0@tJ4(Frou1n>Hnn5 zf@6Ai=jYryhqA;s`Z}2TEv1#&=`h!gBUfTzsN5EkB;Au#`X*4D%(yLNZPYJoL@sM; zbExQZWCB@dXotQ>LzSI9wKL+`8*X)|FMVlDbT^ig>u&`HJ{=Pol zbo9e_%(}6Oboo2}=w|QareHk>>I1m)l?^jK31%$OUIe!RFA$Hn3WxAW@8(TtEnWS{ zreF;R;RPLng}tS@{plf>+Vb~!c3{?(?}+DgR{=7()Y+hJ58@O~b*wT0q%uDZk(0Di zGRCJZrS?`s`lr$+OHl|}eD1PLBL*tDN)SC_bZtZSZq(YIld$-qCu`yT7R&929AKcE zEcOpQ?1r23zqf)g)SA((a5e%7jNc%@dX#ok)rN|^gs=P)qeaziC?g`eB5LMswYm3-jRe?}{Wq?*KGu2y}ID6A`9j z{8W&oYZ^PaNS%#iooMzS%?H$fK5c0^mTnN_;Qu+i%+C41^M9(QKl7)W?jrz*E-AgF zc1UdanxO@DfZ_ZYJoy-KfSZ2=Dm|_N-W%N{v}9iD!DhPyx<8+C(LSJjP5yOAiXA8) zm^``jdvRk0jZ5|cGi&kprn_l_YgzR1Fu?EZ$nR^ zKY?!-E8Qy=c$v<~I(ZDmfnn1US^pA`5c;b~zY$$ccn5>18u>;ZW$|vH*8yk8atI9* ziFhRGxk0laP(TSW$}MA_@@dHqq+kQwvhBjnbTKzD0Q#e~lvS~)q|`u^I_(YY*L2+ zKkaVn&XE7{+X{NhH@#VHA>#YNK|dYKq?`GP=J*=gP`BWHgELgLHe8#2#h`q!;93Nt z&utcDfT3NN_Tt0K&Fl_;n{x7r=h3e3dn(#W9-hg9yLU&~Z%>8hWaif4myQ{+qUtk) z1G^2DO8N58EHKty#oh**@Z+F^R9)Jt*U=0-*}9#oy%3eaQ1yU>(Gc5#lhg!HK(-$UiH!;2W59P^aTI8H z6k7cA6x7y|Zzx%)sOQ!_M?-RqJB^0!N)3lx+@&7Wyd6Gj{A#yKS@i=ed`=Yb$mM%dM|oW(ef-!p6dM=j4`- zpxv)wh37{1s@%#%0!Vp}r#|ice1v?j22A=J0}2)QJs>A2EBcqa?qh2Qsdv}^?Em)E z-aJ2CoRraZ+K;N#kbR>`!M0xW60bArUKBQLi~icDGV=I8$6T--^KW7Bnt)uWBFG3< z0Xcns3jwEm0|}PA^ydQ}S1=i6$dErGoeOISuinxd0U-ou5P-wPIUi_mPty_eSKvQ? z%MmLp3ENVi*|B_+_s)sK!{=WqxyV0(;?Iqn4x@CtocekUb3o6{w7F(x)_4SXWf5whT_s2SDb zxqv4AR7Q>F^6ibLfd%F*`Nn2|I@!Gso9XD*eIS&B0@}vaW5)(EZGPWvr(O++Dw>89 z5IqK`a|!y)!x@hQw-ZU9d%(4d%PUZl@#l2W`soW-duhl9&)OTHAFO;SSQLv_I?tnc zVhlljA3|r@RI?x^K8@8QAzsEJO@JjclKn=Mz-KwRExCr3DN^JUNk#TkR;BZf1Dj{9 zk&J5jAW7ln=ZzE{P6ED}i1JuyVc1ICD6xusX>HBEtE{q@dx6in-8}i9(|hRny7G5+ zM~>-Lr)~f5lRw1D{3p^vF5j(77o3mlvtKR6+NzEe32H;-9|MXj-tV*=ccz7fS>oyj zl(MVR?fo##;RD9XT%SY=7xNn9Y3f&pU7K|oRyr}l?kmP$)ZouF+RCO4-@&o#Dr|L7 z)IW~qV`cP(8_eM8lp8?U&I+AE+9q_c?6zzcecjt4x`6%&8sjyCm^Q4nz(Z?yYTG<@ z=+lvNULrvLSm$Kj;t@2=MBFDbppqL`fV7fElPIy@d;=uOUb_Ddz?BU2W9;=eWUyrR{Q zSpmT!AnMO=AbSqxm)}J8zVXL?W58b;+sWrV9XmLk4wifJ5`U(B-5PMwiGk&=tr&aJ zIyUoS>wz83;6k44w&NHIIAzCG7;Oqru`m^+(YPI5-)MJ&$2qR7QV)J9TC?1m2*Azg zv$$>dbw;%{-u3fL3m=C<^8Ds2FSO51{D`O|I>_<*6b2dZXjbbVt}Ky+PfU%c*-+T+0m~5(b~+z$MjcF*RFP4pakjfyRRX`Tc{7d^(O7M&md2JN5f6!*u1L5e1pi>ulGq#1^ zFy*Jhy2~uyDHNWwIUVEH*G$9$Jc0zyAh*9$vllL$D}+Zs!s1{4IN&<@oPdCp>${c; zsXOt+h|nE8K*E=#tdX!q8QH~7Ggh?l1@_S%JwUD!z5K*U&*`{}l;>}vPXeOm?$ZcM zP}r+@ck%{`xb)ZP-qO36unbG%mLI!}kHy6#U}NO>;`9MO;0?OT6l$5Ue*C$xOHT!$ zBNCpI_j|Z#5{SET;F*;*PY<2nLyxR}yF1fo4tywL))L+;1Rs_{h)cvGq~H;hxBHPf z3*yzGdVQeRhsvL&$beug^YRk4?|C%3 zZml^j*jR;)O~l74T%1a#Y`&QJC|RBpl6Gig<3)PlrBzy@ntgO6eJ@FSVZL*FNa6i< zGB;GKN1Gac!yzS_Q%3I~kxr5Y=}&|*A|~T|oPE=DY};X(&p`iT+0n-`S7TLah^E1Ay0EoEgb%~$Kx(a)1{KSYT)iz%u98c7-^us1wXCd$vPMQ2A} zjZq+gn$wwNl4x{yxc8=z@#yd8%+3A0lzK3+TT=aS`C{tYg>RU_OI7;f{o1z6a1 z&I6Rg5&W=Cw$g4DNtsubS|NVw8>F0IH5E!$&;+yL&Do3p7n-vl(u{n!cbg?@xXQ(VhjAfu*rs8MgC<8 zkm-`8=}(7>e=;7ACbnK1W3FwYnWzMT0-z;T1w&w>l;Dk%`Y;a|iyfy+e+YrmQg8Qy zInBd!S%u*?h{UWCNnFGgxD6}u>}R*-F-Lm&RR_7T zh_!BgF)aJM&;=NIY6Il^_|E+d+hzqdC*p+a;ewPGasUrRLCo~uz5_8Q;C$|Gfsp5O zI1E5R$aLSX2QkOvJn80wP~h?y>_LIgw!d-#G0zAR#KP2>N?lN6Na0A;$fs$XG~gNk zuXcXMIt}aZ+e}YZMK9pKVvv9<+~V_OtAbW)hAX$_zVIkF_Gn6?HaC-zRhsg>9+etp zet7#HlS=DcUAcf+~`FF9#QHqbz7^?LCG?|YUR@1W6|C>oJp|U8C$(DvF^Pr zOEb=#d0Y%J-WglJ{H`3VR=i39+Fa=7QQupl^ceb(Y#Gz$+F8%9f}jhXd=U0Vpbk`U{s zh8?pEkriqqfm@vggzCuLPzhOq>4+S=#kNThCtDM3#9{DXMQWFEWz+ClB=~Be(Mw}0 zHX%fklJihd=tSZq03peRr#N4IBp-byAAPpx2Z%t=orkv=?x_Dal zbvBKdKJkSWN_3n79f={Mf-@UdK(yHlT-bzTi5N&0`i4A|%v<`B{fu0TK8thL;nBz8 zKqTg``a!A#MCqS~KTzgtv6G`j$czWS5u@EMt0Q1%{Jiv)yiUJY1KVci!DK~uTVs9H zT7KRPLq$h7HT1;aJ#~$O$v(0++2+<>tXC6sxEjOu8NoiajqSiIkS&cXgrtg$D~5D! zQK<_KA;Try$$G=M8jppOI4QUz#db!Vg1&aGb+Q}#^p$Wc= zNdv}yFs&poI`PO~SO}4GKsIobVB{%P97aFeuIN=X@i~oYEYT48K@JC#vZhs%a1!S+|>(f{wOvOq}OxE*_V0a%1!qR52(RqYxrMYPhR3bu=Sh85q z<%0$fYAO$xVC>v0F8CtL-G;Vp*6C?Q5nSn-*zBDvE{aH6$_Zp>TFNS<5sDFthDDLfvNIDzkdv-j4+Z$wL+gxV8+PaAfIb!L1L|oWc@E z#W5{qT&I(p6U^|J;6}dbIBWGUHWaB&fyLK|2@m0grg5y87Bb)$F@ii! zw9L7Vl)QK$N95tE-m&~2YT~q%de7;zb8_Lw=Rn+m0%xFO8_L$Ru!`5&Y_hB%6vibu zFbnkPRH!<4m_QYDpdGSYU`0)EpdJ#!0z?N`-~=(k`&|WKK@0AWBrt*7UXCdkfr4HH zr49%lG)|!tWqcQzvgpGrW?*4|(10O9C0Byj0Adyc)~pU|3v4&xJtc5!-d3#M9aF{y z0wGA#X&?NUSi$Z*iK{fP?h#%}gtI*+xE}^B-&OTaCTBl}(x)ru(&+_c^yp=*D*jdO&tZhNLnI2%hYG%m0 zkG0$XOFbDp`B9 zLej*C5q0?b#}J8$XovxE#Lbc6sl>;TaZkKO7Q^sVYX)fIW7otNZiK1ajMy#0X#y6^a z^8-;q`|Sm1qsHks6;K9^ani!yNUeOglT=#1of@MRTu00F2Crj5QU=&7`MBSM0`Ur^ zSF~&nEg+t<=oV3Y#i+%ZMfMQVt6?~<*d|(Xdzvjt_nIN|HpOG|x@CGcW}YfhfZ34; z*grY1@k+UjIu_Q zk`~aHV6EvS&zDOKn$lxf#zxCVL12OSO=hp=4m%|~(On1rN0Ec38Qhqnf$PQTeX!JS z+BtS&kwL9c8feVOFD5Q%a*SZ8O|?8)$}!54a!QVX-gOg{BXWz}SDjzKZz^ecjv;%4 z+2id3Lt8-%Mqy-;e~iE}j(j8U=mrH!Tm7a2YtRb=YnNf~PcxW-dw#g!UFfU6rQi1b ze(=muHv?J(XWcam&y5x-zf=Baw}fFT7`3DKy+c!*g1JAlkd?#~zkk*mG(cTG0C{OF zLs1!6Zw!ua2d8<<@;GQJ@?pC!pYN%%$8TN!hQL-NQKF-=#%n48osON_fop#BzO?9=v6;-IY?=`ZNKmv2z*|xJ#9v#~<0xbB7-MoXpbv&KTln<<>9EbjY;5SUx}huQj5CDP@v4#Qf^5&TaSQZ>E+QSN7K0h;l&n$( zS~MKqE1Iv0iuOHu)PKrID(;(C4bMBiQv^bzsI!~?7n8?-^Lg(!<9_4(`QqhpBJAF`PEBVb z8_xfQ>f?E3<@{NIUvI0@zY2T)*%vW}dvzw?Uuu&T>RJ+q>m}twzh}e3kJq8!Z?4%g z|DsEO(60+SZNtFFnN~deS3Cl2?Lo6&^<}fzVURfj3)4h1Y%4ga2}?@#X2jj@|nq(P!=5^YyW$l;7G< z{PK3C?n2-1v^Xt~L?~@A+En2(x3DJ;d4SH%x!@$^x&a{Z&~dqa;D>G1LAzMkG$Y9q~YTuU?Vu6AT2+W=1-p& zftO$&1c3`t9w3CC(&btRfz+j88ZD%X{}|D|(;ITa_YbVV1={c)6t1x;(E)n z`@p@`{lmn?#gZP^Zas~gtkNV}?D|RozQOTnAU&ze?`g15divvl;41Xi-svD1?x8Hj z1Nk2tK8cdn&-HL7(=4 zryM04NoM)pfSo02!%V#P9U1?$>H2T-QU{x*-d%ItL&lauV@(;2Q2v>zW+ReEpqD=j z5%dzO#0Y*6?z1?o*W1P{UZ5>v?3-tnwG6$VvLXDL&Bi-02cpP)Qg-ljmKUcoymI?n zC*mxIkuOonRY+|u$P;l~r{Wn5UkF1m8bLQk=0|_@hfCNCis5^Ny8mwBN4@p1(h(+N zl!~%i63Tg!NmfF;2*eqb0t0)za(ZPF`Q=m3mi=0jpd?JcHen^$jHwVIouYL>Eqdt~ zu)K{^vIf?nT4VbtZFTw!_p7+${Ah~y&mwgd3ezn}qG&|x;LL7FS1cq+l6mYr3Pxca zaR*$Qgm6WJFQhCU@xP!gf|dD5}p=14TXK=Y?Q?`*KNhB+V&VkOlW zLD6A<2ND$;)VG*Cm)vR`RgbLv!Z`XgZW$F_6RyufvBf9_5B}DK+Duj%4-;!7Rbd2G zrm3t(8-ONLX*5!XDwBaK<1vs>E&=)wt{EHdt*{)%aLGZVMk5C|r*(K=!&6Va4Gq(* z4YZU9)jSJ~&)pQ*bP)T3F5tNw1E9fL4}!+B)7nmPZYIklD-8PHI>~EMVM0T-@TQP5 z&N6gOcckxkI|eEBrov<_hLzCr!CA<^RPr?(_&YvtJk1q;Qiu~%vCl-x-9=6S`MCCA zAa`m$3HM-Nyb1Pd!01yw^?>D|q^TY#2eu^9rXtt1?nrq?kSf=Trt2kE$6kl7nDbGG zNo>PF>C^fIY$J%ww>ya$5l1A^#usbson3_)YB?GnX(m^V+$3KJV(OYw;2O#+3rx%1ZP+MPeC6K-9r%9msUUF%m__U6pLb83P zLxQvE?xKXJ_`g=L9_?MAFa!UwXmnnEKx8xzfJGcQ`i0hKF*!bY{F z%LjSS1}O(a;00@X+xP7;$*+TWKoe|ug|dc??vKcQVAb+j`ks236gMbN9{(-w=wuJ( zOA{YOtzeleVx3xm!$_TdK7}73n_sH-;-y>VrMz87b0tFIz0t2! zw=)XzJs>H(MySC;j9>U>%X^Hj*^GPX5XfnM!c01c4DL&@eGi0GTsC!kw}a@5o`LL97JcVm5>u6VrorF2XV`6dJNHY*A<(9D z&^eER4^q6$szk5@BOS$YCJn{1L?X-m#9WgZ5crYokmI+}El^ZbW!X4-L&f)nqo^N7wD#HSWsz?Knz)i;(?h zgz_Vk8K{0T@)C@1JtVf~NkZ~%fbIiE0VaTFJMyF%kvk^x^#9`SEra9OvaLa}O3cg_ zGcz+YGg{0RGc&Wr%wRDyGqZ)3#muac``&)rSAl?J4mvewWOvEXVt;?Ue2QzrI;+73F(z; ziFbwRuv1k(nbFSYAQdus!O7N|z+;`K-ee<6f{{0#cI809r9u5AK!MM-f6)@p)T+4- zYlY(`&*Gx>;Y)kjG1f=nKFk8|M;M!O z3e{s8jdX+}^2`H7Id-Onv7y@sK0`clj&DfDLWpQnZ~$LrYwfH2Oi-cfP_BAgmKS9p$8mEHUU3RSJ!TKpX%d1(%N!H;jBpNk|VR6p>Iq7yV_vUxa^57DBy^e~u zcCHQJPEeB=%2rR*@a=5ZDYBQAVVjb&Q-{e*{AKHhmi-joYT37YV%-!NFKabtb3$(V z-f9`hhgPH55dCf4L1K77A?N^?fZUE>5I129u7FZ`ovY6;Ft1pxQfnm`Jhci>>X|oJ z%hJYXZ_eMbW4cB-pn&+YGMT^$T!K>1DZH(W0ojqDbQzYzfh)tHYA->#6P@S-I1&EM z7vu+aKqa_VtpE`WG`eWvoJ5vp0JAjJ1o97T-~k%L@1$s^tU8D(azlS%C?_knk-wm< zoeDv#)h2-`Zhhez?U1`_9-7X6^^Lmi*j{U-HA0q2{+R9o{btf=Kgw!0?x;w7`;>k+ z<&7CZ1Jwhxc+py^3LDk%kfmw~1?8h9sPbwLE{+`@qmTsg05%ah!A@Xqxe?BhB^L1(5~`6gqA1mzvkrul{l9VU2!j?|XHGap2_sPPI>~9U?O(LjO{UOdl&iked`Y!IYK7g}Q6u!9DC{ty zrr*A^0Z$l~iI8sE1=;+mM(8k6*pPH=P}So_l_DSfJ5k&c1}k>(y6lIS62`m0E=FX| zUSiATw=0gC;}_hR;nwJ3&hN)uB?Hrv;W_JZncs+x@Xe+q)h2CJrdms#Vwny|YWLL+ z4>D)khts|#Xh%{tqcb$4r)Wo_*pCxGz$9qHj8_dpwG`2z1hEKKLh&>Yd=(;;Rj>k? zuG(hu-&QM2QKPiCNH&tLKrL*L8o#=M=aAfNl}~V8k-UcIh1(S59jo0qoKTw*z^g*K zE%hQcsci87wK)Q`C4|0x9S_{8!!9#624Znksiuspji!sE7rcT?Kai)uxe;TwJY*y& z9RpwyBiP`=+R!Hd4yp;$ASW+?!}h#4lMHi<>tJoQ!smr!ceD!C<)r3JVWOF1H2$2F zQpZPJrcUR+=p?&N3yk9^j&dyk-x7=d#TwZIv_Spl#(h zZY|#wrIAD09;+S%lqpDc&WvS$hafn|`z^_MhjUC3_kicBVJNek=l5vkzOiO>R!xDs zhL<}>yt{@qKDyB!zF)kjL+nqOl=HG{d^hk#pymmQYJ%u%cr9KmYEA;%L>OsXu_1K}Hdb%P!3$6!as) zOd=FAzMvA6L*;K2Xm$ryShyTMPo)vM_z0f<48V*#mT5u!QeTh%q1woRWMjw*3!ZJ2 z1zHe(iZ5$Y{1aJEo`G3Z7OmPEZ#yNLYEz+rv=56m9|xxx0u9D&D6A_g&txc!%~-@- zK~c@zf9Vuo#e{>AGE?tI3UK)e_CnS;`ngJzpR!DV_SEcNp-6xsvbKMsa!8`Ge=2O!o>6(+)#1nueHAO39T*2-W}wMNc0N^GHKgZde) z%y4)NPbSx|HBFMAc?NO|E_-o<2SUT``R zC2OL15L9G~?3gMKkr4~RTF;K3!6NCyr{Xk-b0D?o04x6%8bBeqC9T3zz;>PAujI8~ zd*F1zO4gJ~LP$Pb{koR9SA8o~ z>d0j+qg1wz7?? z3sstu#&C;%cBaYHQE97vjZrbl5&B&&!QyU zMW@lVd2ZFo?~0A@#qin?EBw(q|9M;VynSRi&!=Dn@5|2OG7*sPIUHp$d8r0)x$BEb zo82)rzdNCNbzbz*7415ovevS=3r@&UIU`>zmZu_*mLcW0@OdoU*d(94B%i!bG=0r& zIYIt@RKX7`Gi2lGN=viTj-GN~9bELQ8k|&`m3}k>Ipw}MsOVQQ80iTM{ir8=%6)cV z(XVV!(#TI$8#={v5dWT2#{eEl zDO-I<+krCnV+>)7LK>iu#{?guu)#zdD3!-V8!ENI1Ruc6VGzSKw(iFih&qBY4qz;1 zi#j(P`R&5FGgknS8i=SPC#_qF?ZiJ~w`Kc~LAKg*EB^eO5Iyz0oGl5@a1Q=zohT4* zH?uSUG)|H`U<)4aRG|7Ty{;g|rP-!#-YJV^Lw10_ddu4f5X(1cr=jVgX6w5qZobue zso?bC4yyk^5JGbK6$J@FhBA{HA_89kf#P;MFkAA_C=Ui&*J}m^N|)A2G_L}|gCtKJ zhBtqv0HG~mCNVf$;cygESK@G0Xqw{oG!S3na8QVz;&wOidGvVji%C_pBaRr|<4+DU*u1mgQ`3oB*8IlXi_-yggh9N*2smL@6_`=ypFjMSmOH z^7d%q?fKw*|LdW~N5|Xu{rLBB^TAg4Pr?@M?vorWC!!|@Dfh?e%bEkb<%_N9>hsMG z?HaxJw&%C!{dwZ^T$PXdVODCpSx$It;^l@ z?oRjiZ0~xr!yWU}F3|epCgVE)n~i>>@#*E}lV;at0y|R-{*L#4gqrDBvDA$VPnWQw z4??@HbJ#96?+rUhrJ?)fk9vY=udepaE_Zg%`|&OQF8zx6-R{TDYZM=-NPU*H3b z62|}hgo}~k&(BG0>~PqT-#7J%9{UHMoBC6|IdS2`tf|Qf8!J{gQ5k*)8&lU-$CyY+ z1>_$3c6-M+jQ_4qVRoXlQZA9CT_xt5?#D`yh93=m`nA`e`rB^)h2O{9^WEyz#mNU9 zLELWoo#*%==3vV-QS^f1ZB()QL%HUW%SUS>hb(gOVN<=vl_#r;EGJzu`-V^UtxvXB%vW5`&Vs#mD|Zx6i=&76!982ntZ5I7iy9wy-$fvS!76`7 zYH!A;q%VNo&Dp!j=V-7IXZw0~5-Uz<5>FM<5GwQ)0(7;(J{@D=CdEDM75oMO`xl*1 zPXqehw1c9^j5O|LJ_nH6B|Zzvpqp!=nBQ$)E#XBc*NH%vH@hg)54x#SiGT^|19pe) zHYfg={tl-AT|lD04>EY&RQy4Qq*VNkf%tFTHwkQJ8^QspEL>Bbfv7!gi_+t+^T!)> z=*?Tr40K(UyOAZb`f;U-9r18D)xY^W8;0*E^WL(f^iwJZo$kwAZ_jo_VMCRa0;5dk z7Cc6CGR^MQ*7iM?@l7?Z^RXPWh%V5Di11h(|ndN%pf zRR|fr2!ZG*2VcNNLJVRdH{xJVrc}7Se_iBW^HSfsikd>;KpvaUaVJqB5OAIK?2?l2 z@k39suP3LF3GBNE54KWx?8*heMXX?;mI=nc1ngQVym|{lu~7I+Qyk44kbt5Ltk){s zivQ;PkdJVSDjb4|mH?!(a(OfY zo<$Z<&cMXwuALd%dv8j>9l~Vf@qJ^(10VW1o?iBA2n0{lfr5^~2yySfrA;~iIEx#< z5!bZC-IM7LG-`X>f3(eBnNl0y?N5}~uC_IEP29}5ncuuxKH6J1x~^r-qe=S5v#v zd{Ohd@q6ing^NHJt?y-bF;Lwr{5D4{JR?5@)IK)3DvFXhnaQeP;*2u11|PzZcz8T= zNA)$Qu<#}aCh_;{FDjqcI*+z2Izq=X1ogWe+gl|6V7@$Q@1XM7M_?hb@}#dgUrpsX z4Wnun8<6h7!}DvDZa0>wtjm|aRzXnx?vbs^)*Nu(R?1dLCU+t@$i`^93zI0qZM_0y zq-?noA*hH+8}R5P+=DozJd3onJDX!jaUelc3Hg7^3#w9(1EG`6Q4TVi3Lf)59)uaB z7IIh?Vw_$oD2#NF=^9W(mf}FCy5)JWK^3LYM3TbSIplQ5tz`S{sX+N;Bqtt;>Z)Xh zw(Vz%CX{MBpz8g&RZrK-m+TL;E}a%k-{n~W3;O7zMT}#&OV?4x1V9XBe>J5uDTf8# z)9VQDyhZY>Dc>X56s|!rgI4(-&>zWZPo!KrhWbvcTqP4vrM#y@_9OX-{^BrVB0%<9 zBwIc1K$azfg~!{~lpeX`*)QkOKo8SBQveY)V1_q9hG?WsJZ{+XSUMB{Kj$21@f4UqLKf*s|QDWDkiBC-9z(_}oAepFc zmHL6$m@!L-e6-Xs&(5XVp0Cxu8(ONXSfx8Vx|V#hwlSA5fup#AcXii2UWTLLtH^U&Y8k*V4}Ze(x;G;paoZX1GsfvtESU0E@2L;Lr-U#Z_VMGv}(XjP`FU2L!`&zr8pfkj*&U@weY8^U2D7cuB^936NHddB4z|5WQs<(B;qSj z-61KVlk*P=MX}V`CJ8tOKe97Vdve}TJJr1yH9Z_AfOgy02X{R^v}Do~9sQK|q2{Vk zUWvOh$YF1YZ{L%BKQ0>XKiG4o$R7BUrL*YOR@GjFlJ2HF!8h+8Hp{xzrxXRTftLIX z;aXaIfyNE}elzqqV$N^?D*XqrA2kAdej0Eq^=SdE1?16ktO@1PQZ*XlpDIARm_yws zGFzjvYyaiyGxFPK6u806b%zb(0b3Kwr}b(O_4{ESeuzir$8P9`62p$6YwKnI%NPf5 zP0H`fi_>r1Y`3OXdJ9i<`RAo`I-dcRo-sp>J3sNdDZHlE8cgC`OvVVL5=^LwS+*yD z@t$&2z=jycBrEkZdJLJfcp^m1&{fP3<$oGE%d>^i1y5}SPY^NtNA|gs^T*bhy&)*p zV7+3<)|X^p-~f;Y2c*Z4f*~*Ki;T z5FC&^Il@9#p*tHRLy$=_Si8PSSs;DrQ@XF>UxV0^k&cp)R^1Z?P{P8%8Zze6f%S7c zeYGKgwcmIhDVz*|=;=cOYW96Zak&@-QqhHg)xTYl!{ago{e_vy;4@kNV4xCx-0*;* z_4uN0A)w+d(+CiU|(ZFfUAyZNW zKEszILC~8(rKJgh=4)6)fg}G)ZPeurwOWSNip>|GTEkn0+?|0IZs^0dnMbqZhENY+ zkW{8!0QojAYp*e25QAyWV%tUsADR07*H<>TjPs=a-QW9et#F&gkq1BAf;sgJ1DlUr zxM((0Rs|X*U^-;gR}8P7_uag4I%FSy8eT!{yMZ!o4xPw2yagM&8qR97=!-`RjZMTc z!4%dVgUt1S^7Osth32e+zD|%Kl4bcb9Kk+9ne@mLg8EFMU80QmV*h_d8qF z;5L((HYgAQV7`FxW7+#>N2wSskadmsFTLJMMLucDNFd^NIG=^=1P1&)v^nJLdF9N# zp6W%E!q~$B4g*kZK}8gO0T{&M9L!<%*WdJP;TAC=J)$7P-0m(7XOC;5W>S6}SV#+X zNQ#7MNQsjH*xXP;*olA=-B1YZgfzJK4>2J{=;gFZC@M8shp_mDpq@}acgjG9$n7w& zjHf7O2}(90h>(@2g(PQh2!qZSmX#nEFhDC^(oQGIaEl^;jIKs0u0}Hbt~z`blg1FF z6c?ew9I$@^JRr$QAh!?{gcJ{v;>gb+2VSG>m2bm!PPmI@YqcX>`WxE~p4ipDkNe85 z{t7mZt@!j`h>j_)y^Ohpl#h{#y3i1VM|3RHTlkqq6S)G0{X`3th>l06m_ck{U$O*5 zPFfDZzI^fbdXNS7^=<+%#SehBYvCiGS=6{DZJ79KZ67yle;r3ESz!ef*ls`G?A?Tp zei00jBjKG)DUsJsEu;tF$pViL_Zwl+i1`o77)tWcWcTi(YWze^>FGztq7n2bl`(YS zq50mMfT}@@=K~zMWB7zclLIo&9t`fLAqYX8+XF3QnCCgHsHDd8Q747N`<=xjV>zwK z&Nz|PKLewRcBfj7a&7V(jF!@o>Etdtm4-kStVDV(G?SXzkd)+-pz&{$%8sZ;R>e?W zP&Kh3sxb}iL?;pt+R^V6qmYJIe!(5zU7g(;rt?ko`6@g! z`4S4{ z*J*ME>vLsyS}Sv^0Jc(0N1@b+2nV0xlUcM2JlDCAP2#-T#nd7Ua2! zlR{THAU13FhN^jqA#uebI}UBJNcAh19%XVRNR6t0qUv@VbY>-pods7#QGzOBBNdm2QAVW(7tdR9|Xu{DSOTNiww&11&nDiAW` zIGjV~TF(1Mp5`-ExDDilY6Bgzy4s7<9BqJM>?M5d1I#``lf0RyO zV$kL!!G$rx5hj2xg#UfWbA}x30%D5gh!!h}CR|ws(X5=Hn(*AhN;X=SE3PB$@VzCz z*RZ{-;TT`Ls z50#RM2Xnft_&XKT!x=&Q?MQ2{5lCvdE;PytRCsa8bk@{aiz;oC5!^(k#J4`k_{R5o zjhq<$o)KP4Qf4Dk2TjRSR#aK@7*`~1AQ`G_i|5ewYYb)I!XFI6T@o3e;lqou&6-&0 zEG>03f?5UWtu9I(O*aP%mb9?*kDzu#T(^M$8~4?ZI^VkWY0uP(b@@b&y8ze3*Q&*b63L6n)u+|= z&#O*~cFxZ0iX-jSn(Q3iPCQ*bo2#$j^n9C}vL5`DV9i2Z8D3hZ!)XA#Aa5)x=7n=Y{Zia+n--9>s~Y+!8V^m&`SWXr)u%Ae%)Pa0~Sv- z-8)pEJ#6ectyQ4eTR5(Fwp&zHbIq|LN=Vm2mG)>kbxJ<2zDvhO!DbN1yOkNVB7 zD_=NttFKFXZlh02V&@Se*9RYN@A}_Ze6(cv<<;kF62ErqL+E%Fccsc~xTf**v7>W+ z-oZ4rFL)%29TZduxwzf+TA?;jilt$QW!D`VN+@h(0XB$B&kapBh&48jR)_*XNg*KV zc_I8g@eu*#o^83FV47bcEe`(dSPM1nvMO0B^;#Tk*ZhB|*r?@-rIIVkQFm!AT$fE2O%dU_v`c86=z{7cFHevP9Tfq$~{M=H{-<1*56-9;zm&@B|-FVC3v;6D!`rLA1H7Dwvt~-XyPFx?K z*pC7_-yB!ajK1{}$>5O@lMLe?p6g|6xPTyW_F!tm+=JUE)8)QKthsqK7_;^4bSs8m zmX4f15r%#F*P$WPJ6Cp0cPu`_=LgrZ8gz0wxe9cs)FK|0eQ!B3RZX^n1um7YYpKj{ zg{Qlqv2BI#`@!YKT3;PXtvB+H*+_vM3SATz@|1D|v_Oj#a#a*_UyuE-C&x2Z{n9@c z`c2YCRBpsVt4I~nhHl|Oz!#6Bt3UC8%#9f80y2e+p$mpT6CA|^A9T`Ihrpt80SIbo zuKP)|houSzc==0(SQUZ_0(kjy$AV{5|kw`92g3u2Mf)8egv#p0;?X!20ztt|t=LeG2H6MS@*B9V+48CmcZ@IqPVB?8N zvUG--1F3+Dvd;X5dsZR%d+-pzF7_zFd6f$)Y;M2$uOTtR5NcD@1(RuG)`1^KUys5| zkHUkG@^KWKUYY*w(zqS<`Fni#!K@1=)qXUI^fOS5o7I-_v&}ywOSd&TB+KylJ>4P7 zb3vW)9=P6JFmIxV^5Nacx;ok_C+F-~oQRT@d9cSqZpO(uI?5?0=inHUh?1GTb$eTE z#?IS%)G8}?rW1h7$-AL+nQu~-U`y`S@8nR&IX!&?z#xdH>t&x1MnC%jB!v3ix}Bd1 z)=vS#U=AK8H{uKZx=Ab#gn;T_dW_LUUFX>&6lgvj=xpOaU)s;a>HDMtKmVB|!L*KW z@ENiADHliLNg(raAel8o;LHm+G1pc5%nJmvZ*`6OqOx7OYY^KrC!#{vSqK#Hiqd78~SDg}_I`5v)hZlhBDS85Ue)UZgO*4s7 zTZ9DCpMzYYzdT@zof?#2BVxBO1$}4f z1IEB$nu)ae^~i{)ht=jZX@5B=THm}<8SUZZ%S zUZ9B*i?KLi19>|^jAPrV3%GFwDvDr!=T55U+h>WQij@}sJ-*}DF>(6uCA0A7p)>Jd)&Q4tAZzM6?Lm2Qx9Sx|Os!PJc8_t|li?EZz<&x+*dh;QxeJYzissM?~V zd>e{9b4~4DBAv0#AI`UT^XAAnmUZMv95OwFV8Lmqc!`c_s2GV<17J>{>pG_+(}tKR zKG@)eK`&S5CIN93r=uf#Jy8%f{d&;aoBqKtHFB1U-8zz-t3~lMO>D*=2G4xvEn;So z%4smT+I+@F^w0yXZC_}a<*@jRQ$6&CeI5?BlP*%5Zm@0Z3@uz+IbcIS`21Vm3(KJb zlE)8d-fbZS^BGMZhj)eo0Cxev)qf0r%3KikT$6}A^LWlx1)a@sb%Q+O0Y**20RyS; z*)vY(rvh;E*bbc$sMkvcwyi2~^VAMqJ_yfjO^CL7kBwtXq?^G>l>(EkGH~G!Rfxcj#=rxxMmRAKQ20Tic;Td(Ln2Ts?jg~;RwPmWmO+7 z;k*VzYQip^RUbXzye30x!Y@@-A5G!B`a|r(F7v3o+9A5W_BV?>?qR8M=zE1Gea;#h z4SlIFuDu-o2TXTqd1PKg+!fdA+|eM=+&&k=UU8#7(Fvp6#adoCL_8cA-CoC zE~t1@c24B6l#R6R-PCrYfxE2v!$@6jxI2;mIKV{?&;D?O{XEPRxWV#9d>(+rnZRHb z;TeMHBZ#~dVUZ$@!ZcKpZEC6>baHRk&g0z22TA!S5(zX$Yp??26Lol}fbKcOdKi`d zyq$JQFiVJX3{e=YIiyE@yW^02^#nV~~Wt(`H*`7aanClmD@5^_XeVR7Kkti+aq zOS=6R6Lv5)Ly$^{c0zUKt4b+SqbL$UL-dyL+E zZ%+)z9bA0eKAv1@nk~c*DO;&Lt{2?gU2fjb=SKb$tej6??ySchBdzPJ-I+3UzSU?` zd#ua^ix+g^&bW^!S0+xZcw1Y}Q(OA4Pwz(k;hesnt5;n7w&!-@IbFQ(R$gy8UOev^ zPQTw`+c^k5N2zfTwx7;vgQv{TGA2VH*Ee+V5i~9`+g6jeP3L?Ab?o5xJ6EmQ)x|0t z@?WewircA3dDqi&C~2@`L@T}Rw3M6RdS7X4?OoG^Lx;;K89*7F8PT4_$VdzN>!{&d%nzS#{K_wvw0Ok-KS zdq&o|iCHN3;%Y~Z_x$GPt7~dM@YE~Zz@wIrZ4OD;1A-DI<$V&1&|( zj+ro_VA`V*)UW8*=k?oUPX%6p79WnxdR=%aqUgLhh@%pDi&tdX)fkT+atY=VY{vjzG`lZx5d@j6wvvNic{m$`Ma3ZQ>E|L zi`kV!b1sH16N%g2HW83 zf9kb-3S0km^mZHVou=50d+i;UxEoHyvma8^T$9h5aAp{L?VSyGiEULy%Bg*WGL89Z zlsXeC)Dho@TC23<*RcPg?6oLBi6-L;Hqpw|2og*Fk;C*7SJ$ zsvxyXJ!nT2PJ@v)d(V2CAa#?o8TPY-Uc-4)!=l>oR>y}uvOSM4{-XzYmo30cBb<+g z^7#j{x4F{o#%7%0787;}^cqCZGWDf%;&w)ItzA0H{p8pRyDavL3+Z!{$`li0=SxR_CY;{sq5$!Mfdi==hb$ zGE*&fVF%y_h*K^ILImeUE5F=}Qc}4Sv+iV_XwtkBv!{@-uI7lvL6JI?RvEx;QzFG>BZJQHn zL^AHMP)Lp{T+VW@Fy*jNP!2m>PHV3)`LHlH^Olqgi;x`DK@NmW3UM^auMAnlk<_3L zEZ!O_jZTn6AB0Q>aWu`35=F$3%wP^Iz7i^pO_0nEWM39>G{eswMdX^yU=u9911gPO zkmUblrkco*`rk;)i43j(jl`bF5c}Uqt%(e^|BW|V-a;EE0&3m3WriA(Kl*C z9ZgB25p^UjmWr1N4_EpkZ-f;mfrf|<9KHZ#2o)y*j))EvzVKo26f1Fufc_D-@NRGv zEAblv{XJ~q&0sZFVi5uTEo|Y{U^G@@5CQ!)Y~jVAHCCb#0sSRx;n|=pR-y<2{W)ym z$>4jeL^1;UQ`o|zL3pf0Far8x*usN>d#r>r0{TPP!o7h-ti)FY^!u=dI|I#F2~`C2 zyRd~@1IbtkQ3Ukcu!S1~&R7Xn1oWG*g=+)KSP4=D^y}B|L$`OxjRRDl|9DUMm5s@5 z?YFM3-MSdM_eBlj>yO4Q7T2y3BSpg2WEjv@OaL~afB~XpdGVTaroffytZ(-h(u%nt z<^^763qvNsIFTiZZ~M5}o}8iidaTpc+4Sk-6=spEPsiszPd=^L@%KyfgM+U1q56;? zKY31W-ZI)<$5)>`+#mM)9q)~v-oMrBb=m36Ph_mF_J8QNXDr`j)PJU@E?)bd{I;ua z|NVA$eDiktx^aEqSSbtCh9jF2Q~4ZumCK@FJSjvtE+jC{x)sd2HLgtLD^2u? za-M^9o{O!Od(M@6W?YgaSdio&;?e|nuERFYstn-LjINba6U?d{(jc$Ml~eo7QdJlbgskyObg`F{H(b2nfA$ik}J3LndYoOe)5^d zRhoGBnTEG8>hYNde{8~=&$YVya=qWw>hpZeh?SYO|tV=cA+PA<7Ut@plr z?azJlc99x+|B!g@`qJ!1)S%>%-HC{*3y`84=+^6MyB(48(&pfT7XJ|K;A{0_I*{%< zecyb2&v0X%4Q80v0^sNZxT}KgXM^pPH2`RLK6j~}A6^euwHk=C0q@1ZxrahLUoO-Y zFVyF+eLmf+wEwDsJ-pX>p9cSYskK=B=7u{&ZIY_U$$K?#`~KgZnN1CWenU2j}M3yY_z9 zx0WtD!?)K1C6^EH_xah|`)N;y*N!)G(*3j0vjO2GFTiN1`4b{BfzfZ_Os_Bucts#a zXah0e1qweL@VEjX7gT{*p+`j^e&}s+;8zMi0`SWMARpA8Sdd2|KZ5_8m<8g;-WCUa zHTNTcyDR|qVd;s5cw_|Phu9tldd2i30KGH@@`3G%1%9jr;z!>e26@%+BY?Ry2KJ%p zi3NWIJ_KF?9szCvE(J~l4vW75X#f{c2VwyikOyi26A%Yt0TbW{Y5)~r2Vwygpa*II z5g-R*0TCboY5*3%0Ac|aKmck05`Y9^0TOtF9OGAb^WQ~S@X5UeO(vjt71(ff)XU7o zAU_x!b$9r0c;%?qk%>WnFgX4{VcA}XilZJCCw+2es??M;=|NG#jnb%l+3$`8M?FhU z`o_#uttn~RgQAohCEr2mFC@F`A1vxN98FI*->8s{+!y+2Vtf=2z zwsPN8=h36V?h!R2}>p75!Ew<2v^Idj%gz|0S z@kKZlm7LE?68s15iE6)R8c0~hHky9O`ph1ZgjeY4AEqdXYCFPh^BH@Zf*%%I|>xBvdI~cf4MpDj?!Yq4A?_e@| zUid1NgajKtDZKj}*MgjBPMIL%{8VG`Xw5c&*~4Vi&JI&*eu3KRYYzl*H(BbbidJoj zJ?KM*B_Kl(hZ6fu88vJa)ab=;4;_h`cfw}V(DnuXn|6Mffy8D1&pSL)x(5mezZRWM z#ogx^X>6(jRV9)*+^pL>#MJW>;qE3cLx*8%F=(GO?UH&T823J-^1@Vx- ziy}hePXU4imJcZcABK&{2x}qO^=mnL{ruTg3Ul)^b_(8tDIOoif-xQ*#eyOJ9od3D zv`v~&{b;DsW=#$%V+i?-I=%_{h$_Aq`G_(;1^I{~KE$y!$9fr{D70>Tmh{7dbXE@8 zf+U^~*@8Hp4%y;MJU+4oQ7G34I(>dnli(Vq;XF@%C-EHDmn`BrjxXNCb8KI9iRW10 z@1o9rB9S(*L#|_@@pZfUwASZP_<%NQj@q&}z&I6pi=M1R8)W~~52qK=*4V;O7kU=et@N?hM z_M{6L*dSNZk{OQyTfz7{Oa5quPz6|L3o6d#mZk|R#zd9H{f*H;Dq#Fs{|cz21Y08O zT{B`T#{JQRsF4bmVWkkA|IA6td!M=_#C z2AEakXqscsnvj7mvUT-m*$guUG7E*`75t>p_*zPnzm?DEHbjj?u#9%dfAZ~PCyjEN z$`S=KNd__v!j>bh@C4RL2G$L7QDhJsYmekw&*fUz!j~gz=mIJw118UMq5WBdd)7!a zv}J~pK$p-#P7(~h!Tlr8Q8LhR5O(bM$O;-0MJfx0`ow4OVsKA7$%;-O71$Cgh*hG2 z4I`E^yoNTQQaWIgwN%L926l`bZVZE&B8S*mej0HHb5plQci<8MDTWU$D$ zb0Tqc(Ug$%GLId>UqCT5^`q~L6DRKJKCFDdM|bWfQ`ajpTyF+n4@OsOn(OV%*fMl$ ze5_((y1rNX;=NzHJG6VuS{&rIVUz42ab+mF#eIcX%n}IA+0XGwr|;@=WBg!9T#X{{ zxI2!nVejJU_`W3vk8zVxA^(lt=V@!t;H?U#HS-EwA0Jm1{^RE9+4Q~Z+w&ajTU|Qe z86SS#4WSt1VhLpDId=W7joL2`W{H46iW|wKClXuv>21PM*g4ol(=@65L>lH&K1TD< zO~671&r-(iQ8bfBLDVKiUNc^><#lj*{pj9yz{ipfpGvtc>Ji1Qo-~wQN{5~v3okgo z^umh+S_*2^g29ua?Pk*VX3~AxnU2-z4Cb5aaQBj_)}tX#_3rF%^Lw1^cLzUFISz_l zF>(x9HI!8fAx^&8LT7%PZl3DZj>)*mPJ3mF{$xSp8jc`LM8m{Qc=o%NJjBdwN~-U2-QTi&O43~l!tc227i6+t!O`~Dz zhk`YK^;J3;F10XN>7=pJO<-gEG2cTocf7Rb{dn=7d9kxOv%weLEZe}@aLd@#ovTK) zw%h&P;3OKHp50P~(~y2?r%qsIw$=64h1dSt{u?LheD)yF^_y{#-9sfCj96I!FIwYK zHToS`NlrOB@Zy(Jt<&2`g#W|Hm z79Cpfq*8%K)N(b4MXEB(Wcx;Fdt0prYWr*IfErAnt4GqU z7*fvHfNc!eEuOBTOSm3(uq}qy<0~uZ?wXxc2+c4szFnfyeqn_<;kXAxmL6diEQHz9 zL1Dywq7hF3_BK&^RH*s=E)n1cagP@a?h;XUNSMX*3NgqXaE%`T;TA^rizvO*4baB} z=8hl;$|I6^g*d6l17?UH&=HyojGsU17G_G1AHaYhxG6jXgfMHuBT|onAea_PctK?D z7i!LgpT8OzN;n~G9~!z{7;11qF5o5PhD{5QRVCpL@XFix45t9RG{I z9j4FSqX2&X{v~xltXtUJ4w2NHFzN*nbW$kAr(bI=PkBM(kkx2|@i64ijRBPcR}(@uvfv5dA+*mU6yEY!l<> z_Y0BW1+gP?e#~qsQh$%pS``M35gSW!S z3rHF7BA2)f(`*`EhMhSilurd zsp`)b2D?EBoz15qw>Ycg>Pvyp`LzQ3;qr@sf*`^2$)J1YdG|R9m1$JxPOhS8?Z2{`a zys966b9w&=x$hlX1vgS3hG*$jD+OhPuSRrp*cN!H$UCcXxCg1tFoyKdhdc9*uE!bB z!{eTLEN>;}<8ceZHihI76938ao$bzW9^|frOxt%3A2>4oxzNHNTaP+vJ1h_dYziLN z+?kan7Rk&kOc$4X=D^at?aLG$Vmp^h?r5WsU^qvM(DtA|=vh_B5dPmYyKTb8HVXTj zZKb?b!FY|>z+K7n6p7{lX~G>WPzh>uDZ4?OVT3sC1^`inOob}>H&+-5Cbnc)J@Wuv z4Bp@PAFCKfqCydi2&oR};IJo*H895~n$jb5`F1LR-#f!2l(|88f4t()6OfN^U2W*n zL%cAIpSRB=aJs(?=fFNes%{m(j6i0vFMf8&45i!fFp zk3k4mhv;&*$Dbh}&oGF?(|={M7Ss?-xF6u)61Y-f4A}>W;45tpSp|-@e_bKQJ>co9 zy#Wj>;0w;0IYAP*`oOve86P0U?P(ed(;I6o za?5ug6N&61jMGjkGZQTuU^{8Z-VA7q6`GN^;1=t~zn#S;kQp%$gMeYui0=i*vL4x9 zV{h?vC$e^r7ax~y;3sYAKy3Jz!SFfiI-GJ`WcM4|KW1Jg-zG0aFP81Lx3YR4whlYB zeM1%;>#?meBT$XK|F5>*0;ZDZi66w>-QC??m&M&39=^cC-F0!-WpP<_ad%r_ad&rn z=)?8)`^)9-f5|12ss2n=sz248bWL@pGsOT@2?&T^5GYUp^galPG6*CX3bKDsYwttrpcgoioIWV%`Qfr^T|6*L_2Xu5 zF_v7V%+%EHY5o4~u~YYVkDckw*-&<7S?%_3oB64wcN@|Y|LakC_F3=b!S(pX-pkS8!Omk)(1*b1=`#Cg zN8o3#!`f#p+WhghQ$`znRj;7H+Kp!~Z}#^JyDq;Sb#ygi{HL`?ueuM-^$s2drS*ZP z@maD-{l)+hn@QNs!rPUE6TV8(poN-2`D*bkp`yD*%EWU(itrEjelK{HBb2TY?f~7=b3=~(EAR9aFDbAWl2{v*3IEc!m)d-Ysbe3`-nG7tYP#R zf^O02Qg`s_izy><8DDE6z4+_?>i3gPGOzY-8w7z(f8g%mr~!LE>xLumR({$1tWZJ4 zIzpBhFg#8=dHz0QY3LBT2v%ij^R@cow`&<*yQaOn3{ZJ2Ax)N<%9W~UHl>03aF!LH zxHYs4-LSN{Js3X-l0CE7mHzf`{)FXMw8Pzu^#{_{Lq?&c@u;@(s5megYP%l~&(V04 zQ1lrC8+Gk^^YOi0M)s8oJ^XfV!o!l2OKafxw;`RJl{~6<>>{vc(f?oh%*n-L@!wAZ z%{15L=0>)!%A9ZZ@OpiDM5#x&I(#c7I0bcG>RRb^{iI#kzH~)9A*v0_vw3)Izb6Cx zG7MTuU&X(nqIb&2nU#FpyFcDFSr2cL3su#=cdlM+TJn8vJ&T@!wx@08vlDZ>o$?)P z>92Y>KHXk+#e2!}--N`-@=%6l2lxAf9y@a1*PZxN^=)dOMon4`gW7AK4@>#Mqa$zp z@ruS@vWaUJ0CMuG3>^Q#ATV%^=RD5`;hUQ3$+bG(0mAq4T@&&;@3{;A?vFzhyR`2OY(?G8>u z4~quS@STUdMZymqA+9ndQ=sk=FKaWlMEwmkpXIKKM0_o_->y5G5a2X+^G7iz!qT!u9< zZY0^-yYUv{o0W1GPGra1#ycT)@_W0SaO`#J&WoTQY)s$t6AwJ2C-yM7j7bkb7j;K1A(`irqfd<2R+SJ0V`h5?7 z$1?l$z{Im12~D{r@d+TR3ufKg7|SMoyB!zLiSVZWe%jeHuOSezW0Lm9m@2-h{QQ|` zW%$S7O)IA>ASZt-zV;Sz>e2YJ{(IVd_BLJP>VXtzeNF;-_*T`&Nf233Q+B|Uk1zNz zFjLzEt1e2llVLyeylB}?c*U4ELwdtS8tbBmj@)X)MSIQrQTv%;A6easlX-o%A|~3Q zw+yoALIMq*OFns)&f&}I@{;0ZGvp9E(aEK6t6Q1JvnNl>6y2k`SO!sJr@`;2CoUYp zEupU$acR;QC$#wQ86u}O#7-|zO8lGBgOjk{Kkq(m{XMc{jYP7Ad!N9!_n&x;FF~6s zPITmfdcEftz#a^*wgHx&S{+}70Y_kSWi&!yZ;jCIau?7315hK+c#KF?rNpwDEES!=z6@k3gmB#9yb=TrndZ(7{Z{d-@Fv-$ooYjazIBmlt zOEAfjWxOSb`ft9$L=M`PBWy!)z^?r_Jbg=>`TW)tFtoh+Pu?S`P^6 z#&q6DcB0ZAc}%<}SR3*wQ0j5b%3Rj%!&vDtIVfpyx11+7WHKnL_GJ6Y$ zB!pywEKO>=$d?G8+YLS`JE0Ob*0${=yTF52wkku9RODr@qPvyDhrxfuxji&a1LKa& zb`vT*ZK2@%J4I~y^G4$b1EMA(MTpZ)z^)yTKG^_M9`+2eF-pLBgIn}vDx@M0LVIrC#3fmn9&nwC5fOVP2mKCjnXfH&=Lda#w-FLB$={u*H_xua&zuw5y*4ZW45ife2Hk+`;()T z6di9_74lOKfre5g)Gs^cPcThJ>W)eoRsY-6wID@>o5G$Tt-R}4a$uqm_LpiLL+-zZ zhZIg#VO`x2F(G8xi*50G-=bO1RX+s2zsv~1aLa67; z+QNH{!+XV|UWN(*vyj|=N44Ye)KxJelu)P?k}oXcz?IbHd=W3GV&o9#?#i)U3~N6O zYsbZlf>OR=(NnAM60-chNQs^FkKP~oU{|^?PxVVa;o1_L`YuhUa@f{ZIFM z|C7#WG^Lr{R!yI(o>q4q3(`2SuiLb3zd{?y;J@+)#GmfL;k8HNwikh+HmwFN(4Kh@ z_-qdNu!n-MYTh~=wUuvF%B_(^%15DO0`%)5hk*=M{{MS& zWI;cN~M zpBeMCwE)FIup47G6SWo(RY(|V$$k#eT9i**l&Y|S%DH)^=EOY!NcrZCi)g^m~;VJ(@ zlmFIDw_iUT3zDkEts7Np2H0(vm_=_sKF}n(sSwl03K`BC*^Hnl_;du6gKbZn%WIQD z-ZHH_1|1P2|NhbYD>%RsU_6^4(nxm8$SUn(d2fd5TQ~AHd;!&2u&F|nJ)v|QGI_SV zt@byO48x$Jrd_3R5`^`se3}Qe71x{jEd7q>qHUVf$xTe#F{SsG^D#J{)4+idNRwe8 zDE0GkAi9rHDtrls@UGJ4BfD@~yCD zh3}du{9~%g8H4IF`QhW`bZ>lUL+NqWQP}Q5i^gg-vB+xzn#Jg01NzSvjz~z0ern|w z*hzjRb`2J(@Dr%fxpmU!Wj{wTg|N6dGK$<=wy{-BqmRV@! z%roOdRMd2cILPY<5_!yZ24?BZRHvW z$B59ua_GbDj_}Zg@&fRaQ24wQ{fU`!fA10u{B2+El&|hwHUr~UdSOmysEF^(%Bdt& zBZZe2een^p%nai8Qi>tg#T|b3mG<{bwcnNouO3!=dKJd8??zD+Xwk*M3W+pAP3GwL znf4H_FTtRTd*DF;+VYUzEPjbljx)v3+WU?HvV)se5g`0#f6_a(Y9{`B5)fc7+14M0J z9o&M;#nY0c64`K)nR*dq3gJ`KDmJNYH>o@C3Lq`bl2Ku4mK)Cp1g%Ww$itXlRV7vhA%!)tE@TLaD_e7V+UqW9=ZDMH{eIyl7|cq?}&H7Zi1GL&Gk=jhqu zo}uD8W!Bw?G1;&3?h5fuDzx|hs=Q|)(k`AC7xe*jJJbVER{Q|X0VoD((Ai82+UH-0 z01FjLM9ZbuuwYQs-KxeN(;H5xnKL3T$4X(a^>B)zLTl_$f@R4W6Nl?pispXr{Jd-| z^6N?sa!P_K<#>L)d|7bqJ^O1ZrPop-svI}r2#2MsRAxuKgv`mFzY33o-!9Dd48pet za(>a(S@#`0@O^H8oPn@lsz4utE6}1|dOEALeqfVAJjn?wa&%+VIMxxluoJPDFF=tD z4Bk3c#Y}Q=*_R2sKl9(0xr6gzO!`ylPPkG|zX-G~LySAsmHLRLPhY2gQY9@;Qnv88 zADn5v`Y3Hoc75^{tTm4IC|909Bw8IOVf9;35D?037Zi-~^FK=#>RztvBtE?I(e?e4 zO=3s%eb{99)a?NM3$@(uv4;7rT3>A;eR^;?GU8DmvkZUNm*xc_LkA%0{hLs+nPU9a z>1|23J%r&o)0?(n_D2-iwC)a;^dmZ@E&PanJaS)YZvGV%X$@ z_sa9r5#0;OLUB8(;(d_D(DAgnuyWf}UT`F2>uB~}36q3mL}k)0ZTsoCy=LR!Wb)OY z+g78h;U+8mx;WZA+4rJAR_FOjz}CHN~J6U0nP9X#IA-aGWX<-rL zSNn&q_Uk&gvZGzQ%Aq$m57*8(kSdCx)7zn#VLVwaV2N8Hg3B;ie~=oVlLG#6Qpe=$R1>B-D(VJT*a?#7DXo~%R55uly@UI@va z|EQgBwqP@=lk~tAOlO=d|I9rT*qk6-grx)zI~bN4E6Ew6#Wz8M;D^X8oR(cZ_zK1S zU3`UAC-ZF+k}3c2c5LPWk>+aB@8I?zzvHiFwU$nc) z!Ep9e=O4|3;7eX2iA^NGL{-MZ1@h{8H~_Hprh>*!GZRXBKSbU#bRAr0Cv6I=vt{V)(gh@bTdz zzsUdLS736~_>RNn`J#f;cSsN!Ae+z;O$Ac{4aMHP{$P9>f}rvx@<7d~CSsAqO}*oE zlHQ5_>4a6I>jHcsxhD52dE9RzBA!<7wcZb;;k%{R>3!Y(aO1dh0Zi&KLr+dprg*nf zwWb>B^eXWGI3rUhV`LPU#7`(DOs>WkZ!Hg=+Ir-5b#`|0P+xWNYblc!ZRe@uQ1P+# zj+5`^;3+{cB+}sssJ%hH*}8&W|ImQ;r~nFGX6K$&qr^@DyECKRU?N{iq5>#qs0!@5 zFE--hwhS3c4!T-+T44m@aNGnu1lpp_)sn!C`ffRQ(<{!r+R`g*y}ZWt&x*Cow)aH7 z#@b1(OHdK{w8rEXx+kH_u2VOKrhg~-ayPZ7L3v@h%r`+%ZS{g}dh=j*jL z41e94=J62yea(&IySZHJuF!PCPA5XFo>8@NgCf%v zjrgv|E>oqa=_DPE&6&nnWUHkgy{?CaVzi*PLus0F54yi8hpweZO@$Rom6Hd&oe8-@ z%bUad`|^e#Z`}2@;h5{-S=xT2}IRELg%qBbC-rwKcoWQzx zmVa<|-S#s=+;Pp~FrRM)$EyGIOtX*ezD=V%6-Nts{ll(Mt z4K-~c`|Z}V|0DO&i68Aj=?9V0-lP1t_la{Ezq9r3EA*}6?8ni`{JL|z-!Tu1V;fbB zCkA2w*oq9giA*s=+@qd0m;06LyS)|TdhrY3u}s2P5BO#Y{kFdV?-}pp71|wsWj0Gh zW>aE9@a?n3NZhe^MsG~{=`Tso0OG!x7Gm7AP%=WG@DtWgXCxL_8;bib!d}S zu6Ga&zY_J%9Nlg`%lKg$pDjz!8W)5!+5v|L{v>U14R~j;8bV@cf^);9;TL|+cYReV z;=}5>9Cq+U^s_NrtDhfiWQbV>7t7cYD;wf$;fW|#QeS4ucmJ@-UlRe+3#_zqgZZ-WKRS<8KC2lCRfeb zm1bx1_`UJiypH>Q%3UT-b4;LfAy#cGzXGOjGyML^{#ZAfiU~Fk)X^x{yo=z1`hEJ# zhy6K*LE8DNRJ(w1@j}~#!XSfOYac$=-NqMXA7mm*=%mKMmSMlNrRCJAy4T_U1S!g| zoc(}UVxZJbUhW}t3- zRP8C@uGx+PaJU4E9Z^$^RF?-)Z&JusvO#g(-%vLgO{XrrF{`~XnGpMNT}0!U@xb^` z`sfnVhKP3CBM_=+PGup-Te@iWx6d61ypky>X@K9*^rvTMs36*QwXN_AFCD@n;3+1W z2mJMEbZBVuZ1%^3(j+R1aS#KfiG!P7l5}vdr%a6ZQJKCv$I=c1K0U!loQ32GJ==c!#;f zKOFkmF3zvHf?zk9@Hi!Zc-SpYELDCd^hOT)v-uaiIO&c0*yJ+K5|xYcy_i_@3>cyD zV7k;w(cC0nvEfqX;NvFQ{$76WZoq|5%`qre^G?5Y(g@I-*Wph~TkG+1x97R*9@6cN z0Vy1~k;G+Dy1F=&1M5e73}Z^J@Gef0e+*qSzucin5EyQGDzH;OkszlcEC(bDED?7N zO;m7J04?Z}i4TSs57Mxcl=eSsIHAv@UlV4s^Jw}(5WVpW*(*hSXN$6l6YVYGSfj09 zBMzttxflxdeQMBXS*hyMjW)F#?C_%#w@~bghc`>>n|c@@%Z*Oy3W|^W-mO65A>O5U z5Vc#3>>w0WF|33Wl9}X@H2g%J^~!2n@No4!hnBDIIUdN!z^^_Y{kdWZQf^N4bd*9M@hZ>E@oO zN$XTPs$O{MN=uwWTTE#Pnryj^ISI5rF8w>nWx%q5$txl~J-s>p5-j#IwRv3+&@)Cn zYnu@r+rg~vL~#A>WKh^WHB7fQrh~TWVBy#HwLk>Kdv)(=rChr2wJ@IA(O87liV^v; zztUscSzJ0B-Ud$f^KRb==t{)nhe&92Y#9uRZ-a~fZVQScKB{34h~wtruKun8B~`;Q zd7Zafg5_5Rtx3Lr)axp!vF#2G#Ikw&nIZU1ty0Es&sxv9@Zkzo+mdVSP>qWU%LB&3;Wl2n3a&uNB!b9^UrEJA~y|AHl($$Fq-*v%Ujk`nAo z-k0E-(8kGE0k}Lg`+jb)Y+1KNMJ%xC+Ox%4B=qm|bx*;Z5<6b{U!l72&Bc0Cw6mzu z2fIZ7WGV4Z(2T4eSzNCgQH^%3vF|Q>EthtLe&;D~W@mYy16L$+ZL|+p_IV$(Hn=J$ zsLf)VV!QR8;yt!rZY_~I>^@4*SGQ%5*v~J{uOv-~mit5f^$AvmM(W~ zJDTY>d5{cY0n_-aoR~7k9KimooIwJ?T*)1&MIEi-Lc3eK5Pea9d=`!YfYfW{;r3u< zHzzINi57hz!RU==*Mi7@#w%XMuucRFOGZS>r(34~G8f`;l3Tq=qYEXHl-kADTM81T zCJAL9zs9Q(KpU_{_9Z(7A`bu-4s@$4Qlu3HXwJ01Dn?OsV`60!r*vpZiDX@3&=^XZ=4%E-K6 z*qZ6a!C!@H$qXY-x7w5tl*znT2)}W^`jZKFK71~@yOeI!3KR{k`J8-iq!SP;BOM8b zpFL#fe;HC%=SwQ7Ooh$wIGOX`!N)7A$ zRl`EeuRK0&ONnDzO-Ld2$}O@18}bS?p}z=i2X^f0OyiZyo(Yx>q|J&RMBO6|&;!x# z-`HNah^DC*&aGiO*RQYhD~0tp4Cb!$)m|;W2*AfS7RFut0M7|*Yyj{AN`R6dBm>VD z)gLSqIqy?_Lcb{j0}}T+Gxah+x1KD-+sf9;a96U)MWF5`wP%vUuA!t4r|!CtAWWV$ zvvcwr!P2b8%ll0PurgE$kkz3)b}Ua4Hwsqe26knp=`f9*vr@{cG`%C_LEAoUO6hWU zMpLTUTis6HJ~}yl>N_vy15S_}bu*JLGu|96$|cNgI{xbdCL)a9BXKC$&}W*Dg$D^^ z6(dl1yV6*9t#yXAlJlIm^2xwJ(zaN2xhsUeynEr@w=U|ngm*15=;W^s>#gig^*Kf@ zQ;QK4|KvS@)F|BGc(4AM(U;svKDW)0`IEl^IpfVY6Mjk-k7HoS$T>4rWNGnrNq;t` zVejFrYD=cJ9-CO6*9jT5+SmpZff+x4SWGL3Cid2qr8@(toM`uFh!V3Ip&4=rPaQjDjRV!Vv%$x|TDw~y# zY9yAw5NG}0x00hyO#O7^6)hA5%SVC>?FrRrHO_ZMr?oONz82oMX=@tF>0pR<0PD3} z7(6r`Uj>Qo;R=Zdvq&Cmd@X6!UWqNMqt3@|Cd_R4;b0A&;>r*7jE3v8W<%X0kYu+4 zC91-1+sK7NC7(B+I_-Fx!z^mf^YEi<%3n?B^MD<_gT&rm(*-XV%Isxv%2shV3e}D9}?8}2*Q`h}BPMC2hwimQ?7 z%#QKFl&jnR9CE#YO0ztqeDZm3Y2(VIc8{fkhe}0?M!K&k&_kK0$>TxC%)Yf+ooeIU z(FM#P_<6okC)5q?7s-ny=Th8eC7oyGCBjv_o2Xj%=~Ug7zx^vXwN!ANS}hQ8 zx(VTIVCon4zmSv~+gvMIHU!BEwjSTQvAv<+9DfVP!C8@$s+Bo+rhla+aqn@H%hq>y zt_7%>72OZu9j7uGxvkI>&9C|$qE!&~SjS8}7lUWdX|Ejag8f?KLOgmirD5E5w8-03 zgh0Sgy(M`1c0Ecu?D0%RLstIaCY}$;!^>2l7kFYOaol@N1wNC(2%bXN1KZo|hFb0%oU2NAJ`2JsZLjJOcN{y~@yn5Ai}l@8yxskj02TZwKe0 zXv11oG&)*6x<@TQUj)H z#JCvl&%BKJ$5U~;v>L8I;B$%7264ZvMKFRl!?gkavgUNACIdgI3!>F>!B>*j9vG zRAr6T2J+=yEfPa6I2e-sf&cd*z7Pv()yK?C3(6Csf;;HRC64V&%ySW*F2;79D_CvC zE)l`i5;fgfcg-gChD_;%%>7tCvSQemr^?`cG|BU2*?@hV^kWkxXk%M_Uupi64@!#e z9gwka&?7e(C+c;N=X=>3_r~RZ6WZa6&_h2IHxJZ@hyG~H__|NEkExoA0Se;o^EGnX zHwRG!g(oM7ou9vjO>yi{sm(-&chXX$bd$9{1}b=7Zd0sfIryB`$Mb%MV4Z*Y>u1-+ zG(`w~9f>DiRFo9yFLPZ6nP!dJS&roWqe$&2y#m9?ex>1&NarNdvjR1ur~kdvV-obvd+HUXvR&j%^Zm z{z^a)e#|YZ_Im2vRmsYfgv#UgOWfBv1RlbCh`+Zn5{&A{uHQ6^n?|0@&bUB6Z{4|Q z+1vm%WH}_A%5R+#^X+H2-80FRJbya$oZlDfr>Yxv?u&eWDd|(K9)M|7n`=6Q9iVy% zawgOozw4il4nPB->4YsBK)(3{hQgr_*takyI$V>uN+vd|8LcX~6sz{^WtfDuHA)rq ztDjUfYRM@Qe~356tNfs$AE#NAJpINQiLaEODqY;mqC525^Lcl~3n7T+1ZR<1lNRlOIyvcnm4gJ%_oduPQgWmZt*iyZ(<9PmA=QDao6#-Ez16Ml}r z*r^I0Fu&g~fSf1haJ^+aM=j^rd$!}ieGgz!x?kjK2}3zL^U&$*OSji^{+1rIzLDVC zN2+wygp$v^eknb%5jdY_fE3dKUsUD=N;^5CBtyiNhf5bcfh%4wSwe>i4eivh&?YOc z<#YJr{_KA7yqILQt1XIDG*68L&v^{c*wZXd%BFmSiulceSfm7*xFm_EQ7@{K2)NNV z$91irKz9257ETq7*!teJP{}{|xiCU^h`Zb)2yO>7IfuJoS||CzMnBkqj{8t(18pYZ zn7MSbR}>B8VQRW1NGK-gJ~1xD^^>>F*2M}&ZzcZ*9T0)S3Xjz)8WT>MZ`B5gLZzDL zB#oeWG7GCF{o{GkQQAfyTD7bxOR169bl;ENYKwK5<2*(vCmI_iQI7Wkwd)~gDn5QR zpHKwp51j-Ll7kCJUwM=SseZeNBFW6WnZ1l&S?J7MRTjUvgsm1;w$->#F*flV)SyqX zGr^KkA)_obr*c90*_ao$ez)roCksMG0C&$zYDFyP=sAjPiuUs z(mp;YynS%y(rQgo_Bg^P^^Sdw}wePb(O(uSt-1YeA z{ho~8Hef3vMbK%beSLS3Wk^6RUYU7rja@yo{bMNM4j4;!EuaBcHd>N8-cH9ngqQfI zkR!zGc^wmuNz2BpL^zHM`b3Y*WG&hbX2=9xFK&OXhs7gr3DJmy>7?;E<7`4n93Tgqd`7c)@xg+vPStMZ+0J<83Tg10{2sUkeQJzNZ z&nmegRIaH9bd1G32RQs=&?hCVdsG8A9um>u!dz;M7V``y+LHddW{0-e9`n`4xY)87 zhk^dMVuDO!LV>?|BZN*CX^`lEedJjLh6WP~4D&-|z^7l|&u|rj3TcR4ex9AONuwtM zf#ig*N+ij5UBSd5c5SbIeJEB-9OO?+TboXcM8XBhP z<-!S>S}7edr_8s1`XBqrafBODV~DumU=d|Y6kX_se<4bnSo3iV#8r~FS>ElSPyfAN za}|DAoE0dxX}SXOSSWKOdv#KTx^?$bGG5&0BZ<290O6B9_VhV?&-hSy2;$=$n1Wy& zv6AzkN?*Bh70*>|N3C=8632n1>$ zD}IQa$$6rI&W|ddfL%QN`WWNbIyeTo=UPk;_h&d~W=6J697v9)U;3yxQTi4$-b<1Z zifmmueRK|c)prss;Fs0E1}C01rY`U>ZcE<8mZY|4Mga4-fMCrw(Ax}{#i0eN#U`T+ z8hA#?m~-=;S6fzOh@;9*zuIq0+s`d`1m4~HFgO(|{o@N&Bh9_!zTf>amm2;oWV8$C zzA)a5txHKPNPCwN&a|JW*Yr-Wg3#LZqLVD7(}achT%=$1m}6T9UC9rw;UdL48PfUB z!QSuioR09E(2#NjzfI&@aXuESJ?I&BH1x@uIZZ?-!L;Z?-7!RJ5&DJGD8lrHsC61d z%TR`heEELyK4v3`3oTKNcDO><05ti+T8Xw~u4}85u;$TE+MvoePGSMkI`GY~dcTn( z4`qj*9M_lTXVvPPYS!fH?oGY!q@~nk={Dx`GjdW5^(*>R-A zz=jFkDG8nC@6rYHE%yZu{D+59o_Gk zT~w`QVb&=*xw_sNva0z!FrJGSo}bJUgl3N+1u|}vZ$2QDq(!%2tkMwpR9LjGjNt%+Io6vnZw9K04YTiUgn=p%A~2-cu&K%^u`= z`uNZ|IhJDZ!gB{-YSQSyxuV0NQOX5G(>nusaFgtuS$Q&kuu_Mx7$@2GLYYE-`1d>* zKAhInbmeTMXQy|iUd-iI7@f~o)*NR)`59?EY~J3`G4|Z-bZ{CxZ;vx|I4>PUT()iX zr=%IQpJr9oY<4(KE-zn&Fm*lOgniy=zmqZkr~RgJ4!dU4rDvSP`}pc;?`q`g@#^UD z>hAGsgDK#spGpf^$kq0T>p^ne4ycrR(a86SgNCpXL_9!1@+pLsh7=#G0F+mdM>cZX zzY2Ts8M!hbcHX}_8oXK_v7#_7jh#YrSaaQB?z+$0nb}D%Zv^d(?i`0*kJ^NnhClFM z)z>-AO18>;kPxJ2WTgMj&LPChA|VSwhi6HC;~ENaa`N%9 z2@3F}YH}L70^0`W`<`dg)34K4t`p1acJM}SaSUrY>@x6k($k5vGw^b=WI$>IHPr+W zR`f|24M}VmAdI==siIHSC$2e}VgZF%h3R-DV`H4`1~Z?EsSFY+t~JKqsrZtQ5^5@~Atk&qf+~&Omz(Enhva)J4@DryskL&w1Di63wQbcN`oX za$PQq2r&!ta|#Ly^6?6=Bq@%RJTXuz7BLa#%Fv_(ep}<%Zq_}CTIact5D}$k;iu!3 zx@@^_uGieQ7zH+$-}$BgmZmZDc25R09tYogq;pyD`W@fRFCPucd!saGZxzhAvd#h$ zN7K_sb$Fu`_<*^;SNLTW8Zg~xyAr5p=W>WPeSs2uoR{sEu-Px#yloA~qp|T*6Gb2% zi*cScsV5E7!ht!AhC($p(*|}`YrNduR9961P3f@3oL9Q+3*TXIn_Z@dUCwX{tNmub zt1~cr%X`TH$9~gkr^dCuTp&9m{W>aYrOtJAb}6UB?FGHO3ZYs*J?|lv7gyeBc0aWwHq-UK zry-Q1pXoA6p6;~SmKWeciGLH^KOM*;D8QEv#P@Es$d9iR!ap}PFpt|>d+_VMQo?TJtEejj3AP0{?Qnxz(QH%X|@;789p-OX_&pF0iC;Vxs z4{wE7`IwnYFhgd+xSW#N7ISmjH&XnxIKc1>+MA|AY-y69VCws#f-<7NKlQn-ulEn? zY$wg@xw~j~K|u}{0bU;Dx7Rj>a>1y%?7UOnuDiKP@A0@v99!9dLn|1XIirK?(d)aS z8P}yrK95O<4SuN}-@9^dwiHA928xEeX8SeH9tJ;)1I~?X{JEsutemVI*#NfV0lT#@ zf+>*zjJwphA~jZ)RR5d_B!{W33z>|-^29q^bLu${3w8(k&QB>Vsg-3)0|wBXYoG1Z z*5&B&7{9O4Qygk>UphVi@g#5EY(tW18`%IX3 zn0#>qD=P=D04rbY_{r-NL#9XUc|m;Ix95dyVmTqtBf=spUmQOtg_*1vg$YH4NyRyu zl_!rJxx({R(H`$(nuq7~x~S3eZ?D#Ihq0{r$ED^+u)rQRg>g*3{!%UTX8~qb#mk6S zVP4x$L2&9WV#$)ZsZ^Ec$SFMzFa_mp8cI=Kt-0f{lx`1<`Rw;W=*;5=rwo4)no_{r zCBt()o`T+-joFLWXLQQ;pDLA_o7ziU8NExQ>r$Uf+G^+M&@P$7$dDFlrJhSuD(!JN zbO_1Fk$ATH?TDS4jD` zDKE5UK1*lvQ}^+6YBEnRw;eKLN#?mkI>5KkH$r~Sqt}&}q>uu1*C(oQL&d7lBX^8g zGWRVvJ3n64nth9v?auGBE2O?3#He->>CK%c9GFnQVdkR_tX!wxnM&bXhTf$Mv9ieI zg0bBV^?>@@5E(lo1%)kUqof1I=TLEi9mfyO52usoO0P!t9zDHvib#l$34Zz0 z9T;7(u@f|4U=-dp@uetk3mkWN(w_UXzQxHY+3#W7EWPhVM!t7EF?Dj_0e~ z0WQ~f?|k}6P;vu?`g7#|5N|d*PWBHWqITcy?L1Wyd!8?(8M*h^jI=2rO&K^1F;}1x zcR$b0vkBhK$|<&qWw~<@RvWy)xMrlH5|IReJnY{$2im*``?${y9JN0eU612@P(V8g&X6h&SqV~ zGF`z|UBM#V64Nl>2J0v0iLZNoeL-X%nRg7Ms}5m!?yxEYXxFKACJ}9uq44PF6fsH6 z#-TFki?}ACp^!1mvJjKRO4w7#O3=AHxnFZ}a$zL1i6b04dE#1nuT!~aYnKXqTKu&A zYj)?4!?0NYs*|ZLVck?$?Dv$VqW(#BFksj!(e1Wt@)d9L?XZ5jU$`Nv*r%x6=hGY? zSa1%NJNM|3J{{e@-PqnsqZa1;;IMvTISX{?n*gmv7>yVD5h`#+X&L|B{15RSn< z{9R*Yr`m9CZ85?EGL)Gw5tfl+?1NWm^ASQnb*Irr(DjNEX++Gmw;Cm@uuQBzp#mPEL5>Slr~AsW48bE4^k1;#D=YQ zJQ+r&U0@)QSMx0?i~A|m6)k+g1_S2zgRjJFnHnlzhP>rk2pJpxB4%{Fg_muPXCK} zt_S(^!~Yvq`7#XBLKas4;@B&@_$}*yP@T58vO4wu#rhO@2$#Sc(cOv<76H}0gl)+3 z-zzP)vAdL*lc2AJfexnc=7!Pzq}@qG6>{&oagUjaJ#bGx@jVBRda*r&k9^TR1&;(# zJ%Lw#1L3CHh^LK?$=GoKrA_!@Q%8R6VUQy~@i2xXKiY7TBR}5oU+$b>Q2FXkB(`J| zb4i#JgZwsC61UX0b31v8z8whHJAD-mS z2?4cnZ{iIzaBo5nckH%e4cl?&M1b50r-rZXxeWtBD1=Od*W`qkaUcQ5PMSDAx5fw2 zznk2fu){CAk7?W&{Z3qQh}?!TAYwwMzH3#&4}{@y$Ie`L|2FK4KVh(zI|vMC3;ij& z+tK_y##c`cLlS?uud@o}xLKNAT-k@Cf?#OG?Qs;m|dd6uhWh!UVPIUdDj@F?xL z$#b^klM~)IOXh`xGfSUc4Y$PIo()o;q1AIIPdy5scR07f^8;a%A%7V|7T81lgSfz! zW^hy_X#QHl1So;L@51IM5iq0t< zHUP(ukF{*aYdPGSYU#>n27Oh08^7C=b_THHyc*U&3C>+bpXZ2Zj6&z5+)8B}ks_zk zni9c}=1Bu_CQh0S_ZuU~i5yHZ6p`;{`d~s$&XTR(#dQ}~SF_LNdo(9_xYL4j2xqsx z_BS#yiw!0V1=WWRPNV(p6m;y`u|(Xys^r?hAuPjhCg5^3ovQs1ntGmgA)(zyYa&gzDz-==5@V_Sz6`1L$QBd~$<$a{T!w@cv%$wc>gsWO@{Jd)sP3N+@pJeKZNY z>e~&2v)uVP)kf`^QYK;Y{0IU+c2%@vsr_5LJ)R|pgqkmH_mAn&w?sO>JGJ^hZ0c&! z+ZWPdw=7^qQ_N43XiiPHG%D{n-Vt>U1V%K~Dk92hmu*x1d_MhhHec;wQ3|ZdUZS+W z#PhfaS|YPIYP{@r>e(m&_z}d}E_x{hFOhk61#P^VSg)_tH~3lf02RAt3vWr;G7VYx zJ+9=vcGGVL+4iF{ZfqII^vp*zNneMeY!$x$!4{?aGFO^r`|R3Rf}=@_pLsFvT9q)s z*(G}srvzkRQKzN@qJyREgAw0=`UhQG zh%`TmXaDw2B`ktT9c&U0x9BDAm@EY)ML-_OPBaDYomqeQRIwn1otB9f-(ksK z#_K`245Psv;#ZaKX*`v;1@&tl_i zM*Se9l{b~Ci`>1Hw;w7UQwwyBjsXnoN{DGTJK(y@m0^{w+a|rhaXDOO-QK{1P34re zc<_h_=Iu{?F*cZ5W9C3;7l*HiFp%1Trxr-Yed`3Wq94Y>Df-N(Ehy=K_0lGJ;TNk4 z?I(Co09A#Xm*!nNNz8XiL@I0TQmMfpQ|`6r?%*X!{XH+&*dm6dH0qCJdsgl3Tk-rnvv<{x+|MOKwJovr#^UO z8d5zZD*>}F?L>hOoMwk|!EW7U1c%Y>PTgfMFP8QM2%c;Ib?uw@D_VY_)c@`ln}SM% zTvG{W3=UiTVzcOdv#$Xkf@0`l2YA0KFs1l(e-;yP1MiEt^SzK z_}v-}C%ka_TVZ$Q6dIN!8j^j)h@w7OU}_cyY+75v z8sD$znH%*`mV;rx0O>H`bnW{x+dL$`^W()Tr$>Q5AT#uhp+ob&?##A&$tztBmA(P=M=YJTwDY&QMV02$Wsr^6f{D-8u>OEp#w~5BUWop4yxctGzut(0KP-o!8>uyZA)=Efg1QMnf!&}}*b+^n;;kg0XYb~Ck5;rcvn z386+nprrjLoKwe-JAH2IuJZ$j|NDY2E<**WF%vdl zM1}Q{sPps*b^eW&FWJ0{?DI3qQt7<57p~n3ARias^a*WZe5{R>`65ni!1 zB1Va@%oMUhupny=q2TJVwdXQ|cCoVraPDMz?qNepDJUL=&=A%mGBMWvO)_+JffA5dbW!cfIl=qyU zLIl&(b6Qf_j#naHx!?%2%PAC`a+!}hEKB072Jbx1q&noE;WzuEy6KSRa-pq+z_a)% zNtyz6s;$Bmhvf#+R(Ws%(L_Mx=>OOMm!nG&5H(EyYtSL!b(`D;NaD)TM=-eEtK{H{ z>$kq!gKgOzMLqsa;{n%2YsZs|&vz?U!Mdr!m-Xa6m7aUabKBuIk>2w*?62rk?{v_; z&S;Ud)~^;05%BVs{L0kvnKDU2lwtyV&IFC2Ufmu!{<#+Fg*$NQNtzZ4coE2LRui*- zp0CfO?rXQ2kIj_aXtkR6dl5uO;8y)li@i;^2woV&3>z;U&tv^A-Cp8EO}Bh{yZM$y zo@a-)Aom>pB6^)N3mz>MIJRE6wz(Z9o#ANUc}K9I+L&ZxpDCu5NmxuV*^U#Zb@=>G z%EW1T!D+I8DC9KT@ya6W2tb{>*qUp287*+nt<U%btkNuF?cr==SgL>2cx~=`+ab5QS2`V(<|8lU?W9&ehC=>5% znbV`{kyXSN4 z<9{{jFc$$ji}pY7eB1oU7}6(DB9hsv^`y7 zLO)(?l!E1Ao;db>6O*#u!PdVL2q03`#>bII*^ogTw%pNXlt(5i4Fffi3b6^e24gGE z_si&)!Q!{f_s>QRsE9mUO+${+ilQn`-3Xa~2}glR;5`yKD3bsqGpeUVYC{d+af6Xm z9K5FNq$Ii>Evp&6#w1i4a&pqG%j)0j5AAR4-&2jyJaD{A-xrK#9mgi}g`u8c!fUe? zOR);$2}`G1PwUyI z_2n}zBLBZuw*Q}KNhFGDi=E?*Dasd-MU{1zIJW>MuN79~<~SQMT?}q6K&(#)3o0E-hje%6JNW%Q z&-?xPfB&D)oINvV4#V!;=Z@>T&z!l{OJBzcN!q~a)5;MWr@NHOHM>ORf~*hLMBJ)c zrrx%_d!z5+v|98cY)o7XV{LH8UF-3#Gtz_Sts<|6*4W4XuW8NoLi)DuzFc{hD3C#i zPwA_hP}%BTe5G})s`y`Et3t2ox~hS1|>Ol zsx>Yv`*e1)zspH5wS><{NPf{qdi&>pbuU_+GmG>tfbPx?b~g74HEnHc%6cGK-mOc_ zi;&dH8;BlCv;0=5CW>4hINHu_>!-I^6A3tt=L^-( z9MyE|3DY=>fZS(gNLSy?mqE=I=4EqS&iPIQuYL&Nl(Y-HmmCPT7FX^vg!Hzh7wfjC zW3Z9h!>OnBmRKwuaV)I17Ss0^WeBTle_I-_wu87dnfip~j8_8{rowvi9=|~}cIehL zjOf-(Z5j8W3clkI6RLg7k%0D&!FJe&y*qhB>uDIrC;44oSzA!*oRZn7LJ&`LA?avT z14nb=61F`;0@55xqw!Q$`mwBB?(gtWnoURP z>UESCAIBWTnZ_Iz4hx@x_TGBSF@4-0^4)QrrYD!CAd!CMJ)4AshVu&Q5iZ#t2tvbP zeguNE;|h<_1YvkdJ0!|JII|z^7oenM5xCpPG+nK)Ep@!5E)!idq#dP~4pF2eCU9!s zniE)d-P1d50K(CzhJywGGe&u}dh3sS%yw__8YE+)l|^-cJoUj|-?rdG9{OZb2JT94 z%1B+i*Pqwu+l|pf_*_uEYE*itSEOm{igMy%N;@B(SJ3~i@cu46qdz~}~5xvsZe zO<@zwbx&R%TD#TiN)EUw{C9L!+%w6`r*oE6rGG*C<%{e|bLn2#*4O#f=QiM^)nd&{ zpe6~P^$hM;xVVnZbTj`lX*JFv#c$nL|BE-i zetlY}kl(^n%S;{`e*u*rB|$G<4QrUvxTJN(G8BXg;&$CT@sMT z_`iqt%??yo3b`)nUzTmxUUm`!`ugQxYI5R-k!mg^UlydeR*sOxqtx9Lmv^pW1-+qq zIBxpjw+55MHg>^!p4WNXg2NjThlOV7_|H$F$6 z!NJ`V6Krs{{d^&X-O_Z~=bxxozP=WMwe4w!3JmY^LQ+&e_P23Tro*IBtY~7>^IFH<@GFQ2vCqfiz2Ss*+4_OhKR^%isbD1v$1FuNpjH*BvJ=i=t9iDZvpPUIO%?eY8&Ri!&BKd3DSMIYFpI5;hbz6 zV|Y$gH)$WG>-A)Jw(}&t-qiP5f$&k4B1l`F`CIP~)YV8#JTx;^;9Rt}B4T3;21)lE zXlvmvMML5G4zu1%5C47{+CBG=gan(baLL*EYL{45aW8jF)d#yhAL87veGV`sR0 z9S=Wb#v~gyp3jrYp=YmeQ<4GIGPA?s2itDURDSi1?*np7v~jQ$0|5_t)~pe7CBnS@QXXfmxnn5YLZ7(!6&K96t)LwjK9W z+r0k^T2=MSIDwptz4%Yr=ADdDTvT-QlW*qb&Ah| z2c72pN-Tuz#eO+wxQ})@>!<%J$vW?fzssH!;C(N)RakDmG8A~`O3@aSbmMQAb<6oW z>$cK1ORjwNk*jHzDj!h!_gia17fSypC|jySX&YuI@xh zwZ1#HQ9U>7+6%cb`!Js%=T8jdYkgOE)znN6jw@XPKCOo_%xn|tzo}a=2{u-AP_SSEEch(qvO$s6>H;rap1{%y}%PzMRY%E znSF5&rN@r)za`WZoape&U=~>C-a6$gF*>9Lwyb$tZ;)p7#IYIw(ku08Iigrd`po8l z*hW=aHgC$P!YMkITod`O)E&q6!by}`w`L}^@2-(ppHNdIJ?2l=($0JoTkpd~1H-y(aBL2#{^FWKmy(- z4WoqA!ew4|MQ+&`$-L~#-A$mU4v84AXIGRC%p8S1_6qm98&p5|u8Rb`h83doiv>_DYi5kx6lEe1V>WFVijhI5PggskdW#|E~soKGqQi7L)S2 z-``r&`gt2|29id%9e*|7;Jo+GJF?U9Nw7i4Rf(8)_Cco7tZPTT9ZRZ*Vz0y}C1ZEU zNC&wV&@gv~g3nke9DYTIoUXhw&ZPu9RpT%U>EM;*$;|TWj70-g-H>J&ih=&W?Cs@d z#gLL+a~-owg08*OmX2k{^`_I|PT!Yte~p&eGUqqNpZr?;%l0~N*Dg**y3;I&9|*Og zW%gFwH6KkZQ0g(+mNz|Xw0$pdv-vxiAo%y29 z)zPT{;MSHj+)@@9OJqEo=U+>~xk5&%hA(k2^4rehqOF=Dx6``$Fdu7xxT~@;k?=c~(sQcYx(4dhkw0EsQGMghfNq8p z(*@Al_<33+THX@uO1MH~ zz9jk>$Rz4f^|oSP)VH!;3E-7vOU<4Oj70+#eeaeOa@oHrBA|&>64@U5FVLy~ofGH$ zPEFSY^|0U-2|JMOp5uJs112^8@V4ur=`$=MoN4)PX{(+ic_rvMS0R^{5-qw16?!rMDs-Lt4#*BWGVY=VS%R6hz zcaW<_-zQ8LY;~YXd!Urnfkc<@lw8Mx9YhF4RUHD;alrKpv>(U%F+iJU-wdO+N*Nd1 zePkd531u+nEU#hnweLX!Dv%$Atq@HWS1mowkaC~=)N-#4Gh=ObV{I*ww)qmjGsr%h z#mRI{3d;WQtP1kERgiC~U!(bnE{LUoG)}Lu@p5*Vyn2Y8cTWz2! zkK$9hAn3K9^kkRJmW_8X=TJjNW|ZAquR5;L~UIDR{sQ zIX_mY-(_fHM`8ni;HsB8+htBon9o8WntAp;EnlVLHv^W}FXB}jmMFwdM#)Y4DGUtl z0_F0O9N0G@QLnxqztDP07`_UVsLn~E))B9;Y!8yagkb5EFW~p*1sfOT6G0*$_Xa(> z-y~b{m8!DcdGM6R?BEf?@CfTCN@Gu=!8&v#;7z>8AblDVFi1LBk~AFMc7$^{N0o{M zJSEO;l#mpG9#V^^RDFHZFv$vL?P?v)18IH^HMr`jfONVr|N_?AH z7nQ`jue$kYa@kHyE!k()^GQa=PHPjdTe8Q$Ecnea)(4^)?qV~){Eo(Tmy(lOkOWT+ zGwBz`?Cz^F>J!Giv0K%zqO6eZ_gO1xwD0xZGUzG1dZ^lybY<>m^}IQ&%-~bzoQgg- zsCZar&tT54OHLEjuFxXelT_Mw{Mu?9xwTK((^0mM^=oxAyP9m@?$;Qa(`NR}5o!Yu z(wOalNx~|e7SfpI_a~{tu&XTV1LC@-g3bzGGpSz4c$z_NMjtsZOcI^I+-wi z_X}H;*AiZsZwb%Q!UtZ72V*9}M@V|&FHE)fpmnM#a4>^OlhE+*w~#}Pwi<@$kdD7v zL)&VCW8I|^g-~#wNA1ox1?vw3v7ZlVE^IV2}2i1 zADbZNDq&{G;Xqr>SG(fQ#Yon-D>gH4e@2O7{uu_XVsWJ{o8@0wF^w&oZ#T6bt;L zdcUD8WYMgLH-XjDdqf{Zx2|sjvz;5n_Omm0aju)TZg1PzzS3^vd`y@OxFm}q&Gj2* z$tsb{XA`hLPzH1>fPQJ}bKWF+QIpvI<;rzYabe<3pi4`}c20k0BMe@pFTT;Me1w8Nk5+WPwn8X!LYS({H8C}<1N}FE|7#kSeE75~gtpaAxWi&+K|N?S zQ73YhAd;tynds<85JwqvTNC7vMdfj5ev*k6l$RNk?$74evSQUU?O45lm&YdV{PWiK0wjjKFtN+f>bV!?KHv=S9%Y7_nICR zCrd*9jJWK>4f0a#sI!(QNoDBx8f>x5f4-jR%4nkjIb>W45av@rn4JFzQv+v(uXnpy z=Het()cXB~ufbVri{~~D2(1a-lh0#z(4*Pgvv)h_6-)5RNZh53-FNocve=uaGxGt0 z&ik!jsWC?H9UB++ZNm{{cUE5Xbd9tWrL5z{jZ9cEz3IaVqtS6C~@$hQ~p z-NrfbW{{tE%kte9-0nJp$}a1Rt%=_ z4Br(~{`2cgpU-g{sKt`3DZ6VAl=y1EN_=3O25t6F>1d`6+UxG4J8Ahf6g;!N+B#7> z)xTSOPu&|Yg-p)st06oJHfmaFD`kzn6u6*BVl38;+{^vh@-i~ z{Y>ZW7Sc0vZ*u=#p*umlnX`R`qqURmV@`b+(=5$o&$;HNE>Ga4(d0>sDbu@&leBER zp-lL{-!~Y$b-T_u^oj!3OFLfZ&|bBda~gKFtD0wVw4-4qfqC+Ul~k*?+ScjIj#=k@ z-aX-}GBy5t=9B>M?6c3l^&IsrdhGRsm}z>}3~74MU(1z7imaI3%a!F`igpQwyDSOQ z&pxM1*FZ?hnj4zjyzzhXjd9H=D7^F^-06w17QB$bYEXJ(v%DO~3xQKe5u?RXmg8VaS?tzJ{SB42Vh?Y_q7%PM3vdx~Ofb=wrH=Ka_Ib-Q}Fi zaS$D`)&WX6zqC5)Mz{|k>W&qcfn4ORp+3&U`C68t@sbj2%f%K0kS*sGJ=_N62&;l;783Nk4oF$ z3Z{skaUWuMDJeSm*u3_Ac^)_&3ky_kp<^5pg5eV^i>#BeUZ)@3`u)I}jXdf&yRGcQ z%nNzEiE+A(eljE10=0ZrlyCVgSBvI*5Jx;S5m4Q6!wE6|GWZLl;c$cpJ>{~(kHN@K zshw0sGTE18x(Hz=9q>_!C2arg29E~EU?c<$IIU6@to6GOxwLV&X-!l_#^vP1kRHJ@=>bk``+)5C-1NDK@ca&N~$gVxQLu{x&%NFL9eYe;Oam z5q2E@H0sz~c^~q}5MjLZ8V4-D7xe84Q`g&{3Uq%gzho=wW?(-#p$Mo@p$(>qBb&Tm z2rmSQ`j&hNP7}>xeJr|Fu{eS|{Dq3Rf%ko`4L&h^h?6*o9`p73lyoQ%9)~V`rzYMt zm?I~w)Pwosq=;imJr#KvW-HEl?$$HkcTG6G(Hl>RP?$Ho&Y7ErAJg;*FM}ce z+n?Eof0KpYPrH+~`fUj={URGp{}c~-EWE|G6c9+fIUPJ?SHk?B=A4_p7G3elwvo>Pt!6)wPRgB6y9HUuL3-Vwx9~X@ zsLpf$1v}Sm*Tzxgx->-fvWQ8-l=GZxO(gwntyEowDc)N=%l&Rdgenh6!aKz<^O8vMqOd}Myg^r8*S-Un|(Js#LxZi;_&6t?bY0ktb)_s`?cFVjhR;UGs-Wl zXR!dl_6JWb+``t=u4Hp*wj`l06E{bE%)a_`!L4y+-(T;Gk^7U<2j8vm`(|L>jw{DLc(>qfC7PdTmp|%WVErI0##y}8e1GEaI&=yA z@Jp$RU)gA;H`?sKvVr$u-K1w)HJjakLWq(=G5QC%!6Yaf94JNgTr4N)tt)I-)yF~w z>j&OI5sMk7q3}89Y`Myt0>_752V>f+nFe#+Pg2uDiQRVYByrcG)M7j<%U?TcwHp?( zoe9BVcIHp22r00@wX2w(fI9WRv4utHPI!!+xK|7l)BqtT%UD5Lufs7iooV{J*PPpv z#Uby)g=^pQL^xBb>m(56@kC&~K_{ukt-rB6a{pi!h3TiW7B{!LU}t zQ3`WYx3Q+E52vWDKC9?<{EIPWW^s01HhPdcQ;bYi8ps9d{nxf zc8?n!a|U4v)!Qf9@CS*l1uES0*O1$VKtiaM8h>V8)VK&evqYvj=Ii;BX5=vw|< zTF}jBMFgTlLu7eb&z|fiU7d=&%Um z>8^s8%M6#?*;+wjoL!PeaoQX6tQo(L-o6g$#5K@u_S+_L=yO3>KxmT_ zEl4~r5bFn{j*XX8oMvJEHzrl)vT`Gj5VTGv=3}8U?&&_SM9nEldKf|G`SS147vvDD z+SJ@~kOXo_B03uQGf*c<_JQ*I|XsIuTJGO0+WYTUs;d_`u#F2oWb#cL z!=;`>@RU>tqOTgt|B9j-dKn%phXKmOkna_n48P44rWRB`mS#cVMwmQ*7D@abYAy{o zl5V1U5YnTTQ_Gj!6W@{=hetj(aodIYa&D^3lNCnaV$h`An9m0-J#_yXRr}e)`=@_7#Rayr zW}3g^(PJS|%CQCK`K79(tl$|~H((~<(bF3$J#M!XI z=)w1uM+qioYdC^tYxF%o29BX<=4%CA=9$qN6X&OQQdP>|&K>DrH=d)tcK@1?Zb3dT zDfFACZtM63Hk;e!voK8|-}p{-Q{ZFN#5W%G#7!C!<^HmzorR!*{8s)dbEr3rd_sst zLFc^OE2*;yALg|@`;VF+rx$qaUK?2I-eZd~X#_tPUrZUHT zLcSB7Rf}z%6w3u3+A$`!-@K+%5UGQgR9b-0drZx`f_AAf+1-1gTW947KyxXhQGVR&=_25 zSt2UPNcexwBd~x-pi2@;Jf-=#L40!W5pab_;4f?+6QH3kN<^99(Zf?3FQqvqDWJuc zZ;qQKzUC!S&q!1_^aKS8L9k{jhV~rlJ_RwfuB^b%8i5?*9_H()F4%Q4+N%`iPo zGnuj@y5UosSCGaQy+e)G7|V|)1R69t<1H|fRY^20r_nJg&)a{aZRsl9ujtJ7E^*ZW z%+%k^k3C9u^Ro7oQRI!Zuc$YR`iv;WP0M51Py8g(mQR!Bj%qte^%)yq=?rxsbSCmb zP#SWFU{0jov9fk~RsHDlhNYd1cUlHNe%OlD6bi(Cf!AhR(2e(ZltM!v$RJCX{|r;h zR+%FtgVumC7$bkgV~$GO8utXb>_;u^aGbXt z*~0Vku4q z5S{{CD;W-N0vV3!Z_H%kX^yQ2@Dx`RSf5}Zv^znn z2nUA}>wdIwu|yJAL(PTqprIbp99%3Qx$AZ|!t31hYU9YVYrNNB!p z;b9zlxdt3W5taV5i;!Fo+X%U zW#OopY%mNvj|7iu&6u99Ef(&yl{lR#1e2+K`^u||I^A7+Q~SD^;-$9uD3__HDUBP& zqJ2tdo!OIL;yc-sr#oZOa|3lEKhi`_cGE_-bHT7S!cFXG@e$&vA1+B(MImI|HJ0pv z1rKGA;plw^PieM*r__+c*vW-0p(m+_OYIWsQP|-aERmBd^pTV7^pUAnu;bf0Jr1tN z`J(E6)b}0(8&LgGcuKDnSZePdx4``&%lwB5dR-e#;eBO})(?|*RS2dzCK;xv`mOq3 zcY8neQ2nnsK6gH~@*=A7X7EF4G3hPICt%83DxS*(r#gHH_tOLN+P)7^m$wWOVJuv< zAmdNV{)t)_?iD60HYSK4w4{CaoE&wy@ooqMcI57barIc*`RIHj$Ma(n z-1DtTKMiKLaHUZn4JLam+R%-ngAI8NG@(m_qu3M<$^^sj+%mpfMAK~i0+4;fjQErOaJPA{83yVgQuXhQvg39~XNwlTrNwm@0 zr{oot%xI=bwBaU6z7|ckN%^cPW(?4(?M4$bZBRB#<_{IFo{<+dQKs|cOg$sQoINe{ z8Zr4rpO+`(vZW>cSG}cvjXtmLvnYF$XljL(WV(@&YHAglVru0=+#~4coHS7BgWgmv zKkT-p!Xi%X)1s9x5*PkOGsT?mx>mZ1OQ`4hVe7s|&SZ5kBPyO|?~9rl*0g?>#pEE! zd!0xKZe&e3Gf~vHpo(zjHfo6FMeko@yuDzh?1N{FsQr^99k7#0F%dT&Lc9=aB>J2N z)>l~$MPihHwvSRfIZhdJx2jWHBnG;<`4If|?vm{G=K@$YDvMnu5Nh760j|Q(0!xL# zQ$*U}MvH<^+D$JH`?-?3nQ&#hs7R#8Dk8(mg;cNBg6FTaPpYa0)y3>Z_pMtW-^hNR6-8Ni%^ zBM!NK*Txt0-$Z)H=J$u({@LYqoG85)S8x4dsC2kx7pj`GX`m~(*5;4XT2;b3Mh1@r3g@v*e8+4v}+YYOV$7ragNofkfKiFLn&oHGo(Xkz0%>owo) z_`QaWP-znT6>K|R2=vs$TFK$1ORChwjW#9`O>an8wp-jb1OV6Hyf1op zDk5J0cH+kaT0Z>0n9=+BEqF!oY#_nr?iI|>h0m$>%E&$~{!IH0q`tntc32In0&`Oj zSPg~O!p%ryG8!jh?0z}np>p+lVw3Q!akwpfn|v4LP?9kEmGAg!!NZWu6LBk%w*2+G zRs7QCHV$QjM%IKgag3iJO|Q#q&uHdHm718^e$PH^eDzXN8*q6yP}j&y`#ztE5>Z-KBGuFGNBNUc$-mO$`*50ti3XeR`O{=DftP#iyVy(bcd*>YZ;BnwQY-_r&RKku8Y_N{T7bY^Lz-*ZX-DCZ|0nv%R+m z6IXW1KUKOKkQc;H3D-a1UL|nQ@KSRcs}F5s3XMEQx&v>aBYFAY+au**@ExEBs33r@ zqZM{Y=Za(jwzokh>74)jBo}>Z`FM)ZrY=8K%8>x>3A*ath zMYol=dx=upG-BsopxK|>g4=UZ5~fsW-|dL|H3nQ_^(@hdd;@aU`1>n&MhprwdCl!| zNetf6O4wDG@GOouFmGM3>w1=oLp>_(W`Z#^U7F);EE0a)XF6~aQ-OC`rqVZ$nZ;YP z&M>T7RKVCEAq@mzmoUNFfOofB>W62+;c_f8(qM$`8XVK+Db2X^wo2b4U++6tm^0l9{IW%?nf7Z8g`W4(2(&Q$ zR{hZOWUDrSt-FCGzz%aQ1MP-vjhW(;KolF|m*@dGQbt{l!-v`N47A5F&Jb{xGlbh^ zKzoRt$r)0b7>#TtYZ!C#3$3C4is-}o3)GC(oGSP=+WdfnaFFU@&>r?+C85;~(}BDh z`|SdEute1$F;*J(fYA`wup}{-SAM_IQ1PnjeE5>juTHuq_PKF7WP!cL9O*sYH7-j% zT^M|FHZ;4m&x*eVDJf~(;aoFyY9agg8hsw-drEQ8RPd3x>`cUL5{!BQKUD-P>pL4#Ye2J~cXkp~+d8;b25 z>`9#GLqw28-@y7Z!SIFG=hZSC*KCp6)R25rh9^K5v_r~vh8$o@WEK$P3b93cuE}r| zFT+#PUr29Eyb8G8DiHU>%RsRn9$f-7bNO1DBZ3{)_v(*b7pyf!zj5b6Q=<*z0|9Zp zN-(nui%e3OiFOuR=OHsul1019(`%squMEtwH|VK9o_B(zrP@O!P#~x|PEy=BU;QDJ zo8fKNi>E$3zjm-Otk8eka9BKPyUY-$qFR1{f}E^GH6#VXmWjwpYkTxI-2^zq$Kwwa zS5eD(5ab&`DZ&E0viD)1K(M%2rx0Z!#sIbIU4~4~xFaNx+7a^9|J}S(<-_;pPb{Gs z5>&e@SSXo-7EjjQywfFegbu5)B&*dXQRS-~P6Otoe^4xtFD)ZyibFlc+4>SNJch|W zlR9P$3Kpgcg>&1B6QZs?wglb+Soyd|bszxl!fp}ZZgd}AU9dS0&S>wad{^r*@>Z+XMKj{XJAfu*%k4fO+D&R)s~=B5uV};ggR!XFf6VJ6N(hgmD0r`|-AHA*V>DaTS2B zr*lyz<~m?!&u|kwCinuk$B=5nqxOrp*PP#y$JCY;ph;}n>^|Rvek(w(eUVy0e=DYF zfZ<<+IvVBTozUMx6zbwr0d2nu-y^HM;@_q5CKqR$U0T@u{T1gx0rl8RxY^~M8OG=hI6L-Q*n^>s%;m^o{J$xKooLe!OwX&Xu^V?{x$%|m+$%~DcA_0mfjogcT z<=hKx<=j+*wxMg1f}!hv{>E40KJvmInIoLd0amFbsY$H_TbbwndF9vA1?AT}MDwgx z8yI#)g&B}iO`T83>@5ED8ajUQ>N>Y}8MEvQA5!dHnx-gl=7vBZu3H_dRhgqbL}bT& zEJ5rYj|Svcg3R3blA$q9`9A>Km|f*3HCYpYpbbeLd?{Mmw!9#7mg$Oek2WG6M^fiF zVz1(;Vpn${zh(InH+oWcpICQ!s#h{XA$Lqi;K4_HUH7#!7V~;bNpw@W)O_qlDbB1= zhWJ@+Br>2nbdE5rfC!V*?@=aN=<>c}H*WxfLX8YSK!X4RYJjKo-@u8i!H9i<50`~k zjrVfxJOWsaG3Xz_$)W?6iiR&Ndk(2?=I!qF>e5$As4F-EbQqe;ePrDp;4uVWSCkc0 zT_>JfrMb*L3_ovV*@@7Jq`^bzmBUkJ-rcid3&QK^TT~hz%;e^qjP-3z{twltYQPLn zBJ;%|SZI|-&^WYg&pW=qq<-Fa<^NY0nQJ^3>X|>pzW3S008Fx~FRh4oO0*WvD(Jk~eC7C4E)1Qp#2+!>R#1Ue& zesiXkdzM-uxp$`LoqHyroSXb9EcYx?Irj_=C?}-|i{*l{i)u{uJ^wEGW|t(zX6Yiu zX0eFQF$FPKw_kUmC3Vs301+aRz}By+beJEwAGt4Y!bYp>R$Pq~p+-hnT#bRZ@)R@? zB`I6v-iw{>MX$kia?A0}WK8YtLl{<6gb9@-majq6xnkY8SG#afp=(~#>_<6rtO9czOVmAsm5opzixed*sSbd zsv3gsMmmuwIc~(KHW9rkvfA8SzIB=|hPEb%-UzM6Y7}3NX=4Skbh1A2+$fKd59+N<40>RuEy|QNvMejCGN3 zyd!1;_Ls@Qu9Pca*E=SSv3v?5*Np;VR|dR1R++{AA%)HvIpSnNlkR->zOUdk;^c?# ziIXMqmr%}iBxj)S>RR6s*!vSO&D{!WG~Mj+2h_dS9*V{qd46)rW#4&F%0EKFDodZX zlG0?K+THD)WhMOvU1#CD-7AQy);=$b{l4XC9QNq;BTUi$m}PF=udM=^iHv!_Kd*e$ z+2!pQrK12bkHDgWWNir$1Qfodq@{R>wj8MWEJWTn$k#TmxDc?FfsrP^-T=-n0!|b} zaDO_~D0hs0q6ZD*A7MerWH=gqWjNTOk&{@gk%1D>lSikY_)i=X0X+M-8(vjVMT zmOHY#Z!Q})c8VQ(XW`QIy9pIeKXwoA&lZn;G`m|Y+(Mc;aIxF=s>fXg3-4h~AuQ&Li3Sru zz`4k9JfM!8++~c^{_<8W`y>kt@Il~5(%?=nc#4pa375>_As4sL@J*%6|R_D(zqSt6u5 zD018kXBt7!h}FQBdsf?;;=J_#SUV_AOo}>+LYk(ITZQ zB110F;~+LJ@vMIC19Lh!0wWd7a9u%0rJ?f-@Z=wjIax*)bn<0T7T!TdFP9RiIYghr zOngk>U2=u}UFRR4DZV>aS9}LHmHIW^%{<`q3j;=@qN8N{vHfkiV=n5%`SM5lii0G7 z^^R@^ugLesdJsjS!b3{Onv9pdv+doC_1I-1d3`p^3#LxlVy??mV1BksjQnZuKapY(>=Fka?#?3+kG<@hwQVqx@Y`$HAA@GQdq~NlT%Q+&^MhDk;Nho_{m~>4jz!HbiJ>?dc9-Z3{ejB`>bITYmizZuXH#$AR;)aEBqPfJ$V_68a2La?SQ7idnR{^DySTWLqh;>72LT=jb z!t26MLLv$fsRm>CfW2vc_G|bjO?((?2~lBoD$yG5MeG|m#ulg2XlGi=r$IE zmHgXl_HeGGMM8%Ml_QC6GNd`u%**id@)l>mIP=?eIf{DI{^nwRt8?79^le{2CnMAI z1NmDE)x&$=!(W+bwi^?FG-|k*aMZP_yFEa@9~BIiW|0BmAyng_=7wLOtH0D>SPvsn z)HWZW<=$Nil^Wi|CkADJEK~miHQ&*=N75+-J}`F2%oG#=fsyZz0DPK)BS4F6Ai)#` z#lZ>KfB=k`<$E}(*IWGr7tH=3G~;`O#ufxkp}XG!wLs|@p2_!=q8!i1ZUiJ_)Mw~K z>R}_C1TaH;wb}Isg0itaWc~^w*3VqTt2$apMv(^;Fut0H=!295bL>Zuk*<vWYq>+$-HJ>(2 z(|(MhAFO5NYdDU6erkHgtp?60Y;xT6`@{tT$Rw0&4_bDw2~Jf6^o{Q-Y-A1E9Q~F6 zME&$vk9jaZc0M6p!*J%n+Jg_!tBOG%WWuQw&YZ4?sc53E%A`%u;cDc5N3$t$11rt> zjaSZv_JDY{0!J`r{J&fymqs?4mrc5ZkoSBsxE}hz_B5=JN4OTVzCRT{i}u4d_VG9L zEsNiZ+hFP)T>nx2P6ymU)GN(FS}en1a{?z~#+T8wGGm;IHVKa(w)FEWW6Y+kF#(wD zrNtwB#4}21jy3wodRcvNU!+JCVlZMbU=Qm#j_@f8=iQr&(!K5G+@!?`nc)iA)pVxK ztTVFiYSv(CYa)gEZA8;VzK>ZaqaaQxyb&6cjRdCGK%b-eq3Hr z{09oax;M=&5O~5+l!H(W%;ftO*ioU**0s+$Df=^}d80*HF_*;rNpdNE8TO!p7{1qRshv3X zeJK5T1Kf%`JXYtSQ&AhRFpd;XrvIBmELGhv+B;(KU@lnb80{Xx{;Y_1dw{=)8+bsZn+(j)E;Q$F4|x>)GLe&Gh9vLYZ+U%?DMaHd{}%jR==22 zHbQ%;w2z0B;`<9$9!u~7HYXjhIX{Ao)gf5vl?(G+x7~jw1QyCHTN(a>G{-^_YlF{i ztyP9NULMI|EtN$RclV>8v337gWK%|A7R_P44b{@l zxMjqz;%|=BzNRZ+zD<*dBx742dQ=NTl3^}UJq%Lq!pawz**y%p#-15Yq*43)H~dHZ zu1!z9zmxfSm_jTmTo)Y`eU->4%j-gqn%+n?<9%OwvrU!M6O3~TDKL!pI16p%-oQ(? zzbqMC$-8M=sV};@$|=fEf)|CDD6wF6j{&m=Suojaju{d{Zo>ZhtpVjMYXpZ9EdpPo zQ*~nEc6usa_3@g+`t9>imOrLOwTxQXYUqowcs4>Jfj{w#-om>@TAvCF|jvRCRunPZZPdg6C=XkvVY z`RDb>2tT`WBT+sr_H0t-^Yy#G=R^$U_y^yrt;-y{ziFtO^<3X0IZp1_lmMn=1>ELb zG_1!;@?7Q(abD6GtBU&%`RE_Z=91jNEQxR4vuoj9wlc$x3&av+9=64bS)AUfmTceM zrc44aynTs%m%+&o&QGS7zZ)YQ6%%=xxp7AjF(P&%b3AyXdtIQ1x@YNc1{aztV!JF= zK{rfXk2!5s@{kqkRwkWt6zo+2N%tx|bTGCO2!u8n-~4>RLAdW8VW)AYMK)1FVI{c0 z6XBjM)NBDX6;S9XVC;KT`iL*Dpe*|eXVW0k@N-~wV)Shm-(CdT2r#r2)CJ&~)w2F7 zJ+;hbdJ$;vGpz!8cPyorBTu(o7`IU+xm**x_YeiI222bCFQ}RjICoY-Lvdx0IXS2z zMk+|NhQ(0TG8Nl}?t?EcFMvl+;jxD)0{nSrI6TF>Mdm;wewqhJz4(1v&wW!ubrVu{o3rd13|{lkZYxfdw<7aesqhJe?QQW5MjxQtG1r2`1XkRsdR6zw6p;o zwKdQ*G0%lN&?}uYB$fIQe94Y~&>+o)Tc*N|f1ps18g3}mFMZu%MYs4kV$GP$f{-6m z!hbxi>WRG~H?X%GUG?OHX5lwU>9oKcKYrAMPtsgZj!6c@hissz@3C%u;b&u`^Ah%& z*wj0_v=wtIcQ_Hi$Ex&RfxlJB*=Q^6@YRMG!T2{Nhur9mh&nniF%c!S0_a?lW#r<{ zcQ`}jHw6`Gh3WjZrLQ);F<-Qg-<+p1i{KK}F%HR1XD77{?vp?GfIR(W1m)QjnRA6a zus~y}f_6l~T}Q9{JLR&~lCG;D_U9~-ih!0?eu1KQOLM5e@e!|S0TQ*6<+3b0(Zm0q zR98s>ZhHpBedY{s_u7wOSh0~K927ElCslZq&^yl~TTo#>KN_6q86kpsE(%^>6kawn zkils3YUcc){V)%ukRUG);%p}CMFA(Y{~R8fXW-K$EZBmK-27^0`yh8gPd$oQvvgfg zR#v?G4 zSw!V`hbQPYna+TEjw+1`E_U?9@!YrM1&9Qdo?+cZ=)*@jZ-0CE*{f?)wZxJffu+}oD?BBQ zp%RD6pwq;cbWF>MO$9-~lq3UzusJ?+jR{y+{*uE0Q>;3Gor>MxcPp{8cL)SC`- zi#IQD#4Z+Q{SQYW>ctoK4}G}5ZI7uv(17)EHb7U0H{e7$c!+IY!hF-d7=RvU5ry2x zcTOLnbj~!9o*5Z`>hCk*iy|nw`at69z6-^D7Xv_Rt3&?j7M}}Ekq6>9!PB#205tW3 zvmXLVx&8-C`QiRakktbtJ0907$++2+ekIwDpXZlw*a&Ra-;Uw7+OR9_^Wm6f8p(M<;$R#Sj}CoF|9A#O_c)Fz&QJ0MDp-TjYCrI8^aHJACv+oyWnv%p z;Sb0MkbJE8b{tw>i?&88Y?+PD2)f6j@#Soinjg5t*P5z+!zy-xR|uFyqqE1TV;s|D z220|%VSK%QFPO-dBHdK!NqyUflQ3O12hkYr^fhCx;K-(>=0ezf#2G zZ(1Yo!_O(##l$2c*5?+9r~f)>jB1c&;B2fp6;(%efp}trA!=i~>sXJCM zaO-{A3WA@>vHb8=3<9zq|A(uufQqAOx+XXa1X~D_;4VReySux)JA~j4i@Up9fZ!V3 z39ca!2p-&>Am5Nz{{MN;nPFz9XZIYYx~p#8x;;%N%3R72K_aAtf)Y7X%Y*#)28)r= zDPB0Gvv(!Av^nL}o>*dY-zZ%A%YRgzE}sAR{4ylpW%Hp;|7-}3`9<4A=Uw%nfm}Bl zp*`lHSe3?_NKH9T9&z)N+;hk`bLu&Q92Q?xIPzL9O`V4t*)yIA*zj(&D; zaC{$N54&gio+SG0u821G6e4my@U@_CmZi6uzejR1U@sxQ(?nv=qaxw z3(b_^z!8g+0!oB>YV+oHYV(T#>fa%+fD+~*P{O1l=)`?VRRhj}Fqe`IkVx-ebOy3@ zLP;?c1c1#<`%(jeNXe}T3^CbGvA_gEq>aXpn9e>P%K5)q5Z|sIw<6k?oR?lRB8j_!4jH@JzOU;gg z{572f?V`~z0?3YOpsCr#x&x&!Y7CWO>50V@E$aKbU?oHt2BrmT3ypfBLf}5&F)!6;H|cDhXyqIIGyytr_z3>;%v;@{Y~4!?ExQ532WgX zXeZ&IYidW~AYtc!pTNr?p+?0w0juRaC(tvNuA|4!;N#vgQ)l&rqef+2;NS5h;gf8$ zJF;t8_ZgWl<*bC2vk(|4u-2bNi+&(jl?6_|04Hzu<&mTn7PB!`SN~27bIKZupTXy? zV6X1!NLD8Bo^TVos^YXCa3Y9F#zPI|C<~H81-sf9y`mD)kR$mVAWTvkkK)Ut6<;*i zCjL+~cxx?h?aeprJg3~Rr8K634#@A?3vdhG46IdBzcb+ivgOZb(f>uNA-iJCqKpyt zbi>#mB_P!Ayl~)wP&;>P{@?mFiKi0EbY!5^3#9?@Rqtf10Xc$Hw8INS<+A$%qfpU+ zs^|+RRYh6r9 z;2l)*){5u;iTJEc^43$1!>WdJ?NUK3$lfPIM@y-*oGKsjQ+Lf#K`OG=YrI^gPTr}` z_^UFkrDu<#AMu|vEaf*5kak!Tdi-QqKMN7)+bRd`6Da2P)n?6gSK>J1FYDw|vQhIj zO%}oH+0(_%x&PAgRW_kluqLk{|Exq2deAU=5oI#R0b zk;m%-T2g1B;Z=UQ)p;#aLQWlUVsFvr_0zB>7qTDbkON3fa1u8tDaC$&8N(8N_%deD z`;a}lsQfgz$=K4wFTtd}Pox{geY$|NM;M|thj;Cp1a(d7ufN5I6X&~pJX%TwOzqxj zZ_V&JKNJ6M+Fn-ZKeRAzpN!K`gVrr#1iVLYR!7F0qxGZhMq11H^%K-^>&pTKZGCR7 zt&h#;M~{~n7>TXLI0LZo=t^Vq-hX$OR^`D;OaJfpqu&JRXO-AFt(9;#dcuvrD~t6w zdN=~~&?lv_V@oAR=ob~_8-KqSTKum}(p(&ntU`%0SL7BLh5>qJN8^_}xGsmby>>jN z;_tMj`lb}HSM8Oc9XPZili`={WtIPTbsy^*{_+P)1jhjK6D|{?IV6N)#-JWU1w27bB4HxEV zac=fKnQdlyVpf`Y!<4bB!;bghRA8{eQD)+vSFkPe$>ypsyUxs(WyGqF(b#;%^mM0I zcsmjkGLkz8O6H(eeWGXQO#lAOH*F?yZ=~;9ROP-H@0*eGjtjk-XGU|^%gn1{1GXp#ILCqF_3cS;_ZsiCe zIHY$it;b*xg2!^tnl7}Z&k!7UhI(@z??f*#*qgXHi&VveTcs^uzI{w=lB^rL?u*|~ zVyzTQs57tzu!aJa)aDQwaF9rWKYE&I-ke2CZt^P%g8!IYOCAi9Q-B+SCFWKm{!-U% z-X^>E@DRmgQIc^IEl9Hh4=5J@Yl=gZtfD(ToaTd=2mRuqVx;)*+A!l9UGPOpgmDwF zvaj2TMLkRhH1%^mZ-K2VkZJG~q%C3$jKxsHQ;YR@oE{49Q7Wo``}F<8Gu?0ipcW9M zPJz*4dOIArE{JpQ!}r1O)&s`-5#$|zQ}(erusbxcGLXGHv={V>2qc5RzhJ*6l26vX zqYiaTTHLWf{?L(u(#bk~@?hgKxhI3U-LXI^_Uq$Xv`Brf@mApk?%nPV-d&lFc&Cla zkdAiuf|^K6G)wUs1n6yw0h82Fujx)4EFBTv%S4PE5kzDU4vMe3 zk_I)L2*2AH2S_^m&@g`GpP*`S5HvpDRl>k`m>dM?n-Vk53<&8fEKj+1%1pDKK`{cG zmQ(ki3jp*HXpP$hcA=0GG=f(esDHngSBlES8Q@Kl1lQ9jfeqhz`A}aifc&ukGw|SF zi8Av58s#jS2w|zJG&2rh)Lkt6x9kfjF6z-KUn=zQF=9R6F7oo{4Co$C{;SGRKM`fV z1q94ZbP=t*qRgoXN!Q0L;|gaSJvvCWb{erdN7yZQM#xwj&v3C)BfT@bwoI&mH3=&Fx zKu3|^@a9d0skFNKFvF&1vaV+TpDyBJKukW9rzvgHqlk|j0{EF5v354pm@B@RR&xvm zP)C>v^(9_RevL3^NH4#mt=OA0-(1s3cV<6qVD@0*F72;UW4Jm2a*!!wc!wFUCk^A~ zqeFq{wO=?U-ltyC>mkwbDDH1>&J%hFOa^KNC?ZV!Tz*#x?oZQ1OcSjbfirb!Bg96G zSh;`K2vC_zF@Eu*e*YwtOMtL6WDtw1_;l}H`EWJ-YP(vYKR=o8iN=eE_^JWp>h7>n z)+q4`=gJg?uC+!&whfT0Fz8l7__Q^RKyBwr{E+c2Fnf(ObtMqm#r#lMfDvIO0*+l2 z85FIGB(xkNC1vQ)rhN1|*CO5}q5TQ?a=`OGnt<{D7tk@;vlc zp4x(gyT?7+E){}&f9cY!-d*$;0&{$=F5jsrT7%HuF&bvIFF4(4!Uc9;lb9O=Bdof?V1Dgt1J z+A=a_#G)%rgb=Tp6pPCR`Mrbf+U4FiFVY_@Hq+ zN~;;Ro?&pfAqlX)2ra!~uPAPIKxD!~>8n z{Lf5iUxa@11LJ3y0vjkL5GrvK0=gat)btf1F~5`G!pN`mU2QxDX=YM>D?yC2$-uDP z1f(-b3Q_I3jp90ifkox?+2Xp@0Z@2XG&ZJHZDbUTZwS{J$9o-y$WM(?`rVb1jMUX> zN}BM4ciXQDvwksaU7FNmoR#B=?PN!Svo$8DJ!Oc~JAZ$JF-r3u@vq9^`y?1}ZUqBM zQXoQvp?CzJ7!*Bmn0DBSo?I**aIBkMT;(YbuAi8^Rc_*~gR#AfdgZ{l`2oQb>iy~& z3(@eWKhVgJk|}QL*=VxwHj| z)WmS+uu9$3DUx{>h8YsR!dxs^Qb4WWQlVCj_dTYb1O`pE;7%IczK<~r_=mR?!xGjI zVCC>|V{;*JpIHI5pm{x12mc;FjpWz4f}k}(F#=B;(eqJh3~(rsZUFIml{ZJVLWS^e zCj#j4KC~62iH1>oMsG*7YM_loRC4~dcuFF7ad_9bR!Q2?ED!$Z@DA+d@D7<1?rTmx zlLD&ml%mX85PZaAo>7nY6hM_*YEB8briJ=w|4;F5N+L{s{K~F;n;(9y5f$o&4@%-) zo7?0(l;J`}?5)cYyy1cg)FC%~H+3aCRFGG3E;>}9Z^)T)!VNb*494Kbb|Jd9g`Bre!+*adMxRs{X)r=OjyI{yq^|xchz+ zC5z%wY5VfekNDN=ZE)x+vc%c@Tx>JxqXXlga}}>am*pJ2?GY)0zZ6g&hG0+D1SmOw zke-ITK9nEySso6!IU_^(MHThdJ(tsY&1a?zroF50&B5O6Cm(z+4%;)r+*bk^hzJwW z<|fc=)ax0ud^B#(mlS=Uiz=e~esOL@2Y(E;sm6nHVJ?b0c095O!Ye%Xa~DGXRfl0Wb%$0%D4N~>}M#Y{EjOeKo?b70ylR>(1j zF^D;eW~+hr(drCCthAWw(-L?@FjH9mKU+hYw4b)O>9p0J)0_1M8qvrS^o55V=wb83^q%)lpFaQEnAK>M7SJ|H z_SNORpBV(-mtXsLZuKc9x4Az&uD)&GdjABi?@1=RShi=!81MODQCj8qY5!iLTJF&z zw94;nY0l62_q|_s>v8W`@mJ#1PMrR$Sl_~Nzq64!zjFe+UvRC1>q`|C*+v?>s-^1O zxte*jxU9>})^J0W2(RDPZ&{G}Yn_{5bkpA{g)8eT#cT+x;qB4;bYd9LwL{qUV03?r ze%8J+u!vLgK6IqDv=ZTzAqdo3N~1Ab`8}^UQb43C>1(3*6wX2rLCc7CaXy`2nWa0J zpS2t+La4VqBct%Om_v-?R7Bk+F_xi&rlFVv(sWrem7<^g^!7Z>SYFXnxl}Gc@{cTu zI}4;vy=1q43-yzP+T@%yf71~Le>xSJRBSA4tSm{^2Z+6~F=*RbZrX#`qp{N`NX^{)7=XHB{G87J=y%B+fN~_py~7@ZcYYs+Cxk&ptsgA6ND6Kt zJw%}m#1vD^Li7Z|ZHMKdW4J&Iosm%U1mgwu6`$+|_9?HHJ@;;WlATFBPL#_Z3!~Xf z7CWuqD~_yG-OFwA(2eIU+F6ol^uLN30lSOaYkmQ42EIN1 zRq)bIX2EZu4{kNkWLNa7#)i$gUj{cx06#D!5hziDj+`z$Y8l~)8Y`(N*m9Np;e3F>#4-U_L&_<&$jwGn9%YaF}O?w8$n8 ztk;>>=IF|bN-1Q7DMPT7(5W%t0x*@(ariJAzrMCVYjsEtJ0Fbr)70%Vzu~w_NLk?T zL32Ni*2QPDOX1(^ALWa^!mJz;XNMXB^}I4K17(KzN)Y80^xLAlvNlhoJXLgQVNkWO z_`bG2^zBx>!84_LT;hGdqXn?669ZAyadsX1p4}1H?%NqI*qEcC8$HJ{8HgcPuE5JWhjuevq7LHz|KqH z2+TCV(si5^q2uR#9bqmriKN-IQ7-6+d&KB7Lc70|w(-R3XA(2iAmhTs_2|R&!Prt& zk~8=pMH7rt-&4;Hf)gq|P0=;T@7lg1eiTbs`XQH4=_;PEG@Mw(-XxnalG`DLxw_{6 zZ2|2qWiHD(Z^7~=AuG+z8iE}A%}P>c5o@|=6jVx**ZQbEv;+*L8Ep5KiiEFB@+g9A zVv8aoC(RA}EBQ|A-PELS?`uUW*6V8zl4W(+m3!19R9`tFQ zHL#hsQ%;I8Px*Lsy_Tp`1KTaPL_N-iZ3uJu&odr*WcDq&EZGuR0Hy>t|X0&jNDTq0T zCPwB9_ROz=o`S4)T3KBq2>WjkoiGAjxqKk)7z$kjGaOxmli3i+j{bwXrAbVUFo9Vm zV(Vd&E!it@-4_JKVH`q15*_zN55qzsVvJMB0TfAf@(E{Xs0_j_j!cixoI0~H1hw5e zf6PqCm|BC3ABGzJEsUvax4%UU2-i?T3|ymxi?G#bad7eR@=Rdg1P59e0jihfI|cu} z#L5caitn?vS4*3NYr-T=h+{Do>Uw!Ei7q0z7a|B8d_(&O4?jkl7gMoSfHEP1<|J`i zh}P=-NCk^K*yGX)*6P^o$N^(7on+27-51{>XSH+EczHl3_GAi=PPTN3OXUGXTKVxb zyX|^VRLkTJ&TjPl_wGSA%FN02_Lj3v$?TPMiK1Q>ZB6>rPvoEMz!Es6AAVZSdVVXR z$?=P*SK~|c@KXBeY|}K8isa(R; zmcFaV4zE5tikvU|lf4Ufh3wv#`llbV?w7?!_oKJJq|p{_!P<5zyg%{1f0wAx{s7Be z8eyw)W!Ns!_l`yoy`M?+Z7J&|dXK)_2M6ubBDnht=6w5~V*$1c!PMkBp+IX-YP`Jn z?-Mb_5$4#wjrixK-h$G%)lCto^a{4bwiMf%S7E5C**^s5!%@fVMrxOi{+?RmoRtm0 zm&@DcahKNySWg(k%>S-^^Lq-slKoC)H$RFKa>k{~lsMJ6mafRL z(smHd4I11Y(LGz-TqnCNFCNiRnee#Y!`dPhqoK@$4bVh32=2H68&GE}x2J((!X?IKCe!pf? zj8B9MWI0cnjT9K?#C2^JP_vWn?Tx42ywz;OsI#>zwgDLgP z(rq6|wCu*nN59B^HG8=v)s%tv&AO1|8~o4@mA57aEa%z7M4lOcipjd3CqBQfV3HK28^+J$(b@JPw)cTDb;k$AYe$)oF*d(KetdrKy>x))66uhn|1)Y-kB zJ4L^|v}cxMw>p@>^OUtXXYI1UB2-SyI=g-oD;gTRj9x6&bcXf%Y`f6FW#U$OM zOpO{rXor(%b|QyXo?7umhZBN>zvlLWh`DqnV~1y|=AM53=y@axS1TH=zBVlGo|EpY z?lj?M31*1mG#DCJ1<_D8Up1TdQRyuDKSt-x1g3FH<kpi-{yml-zNh`Y<*dv~bt6>F@kU9?Q(09RqJ#vUj|nSGfw)q% zp%d{>M;Do+s{6>=hncUb_MTHeKaJzQAdTJi)j&h7a4l(sl?f<9%`4ovlslrsb8Gd| zZ(Cs8F=7X7@ZtQ=`trz0=tnh#+SLmg+%A^O%8iAP7~=&Oa9fH&_w7=A{f~LRTI!T| z&GzK_G~A?Wj!*E^lv%J~ELu$P&ojo}&<G1>eVE2k(!Y!W&bsH}*~O$a``0 zJ)79s?^n(#U8Ixfe6Yy%%1(CP7k+mPg#;(X2@GMh~zk!luhkEafv4a7-u}Nz&MjFqUrB1 zLI0dCyr-fPHm9m3s_bQfkzPb4MyZCIPK?eXL~I&3MbYT?KXC&mdv1_US;t+uLcPd5 z8vFAXNz`b&MEt4)*m6Dn^$NmdH_1#XHbTRrh=85(qLJ4PuKhNGtNfSki)?%j*IxS2 zo_l2?HYabnzhv(;P=>^DClXb4rf`Qfr0eloSB*4BeOx*@iJI+i77CcR#c)ks_=TvS z+3<_i+1%@5_`<{iFF9W>#@I43eqv^a;0%b9GQM3zjp=B5&ODRfj)qZ&kG>SXZtbvR zMc8tERQb9kzL5LgKx?NhQpbDm4E50;yF88+(sWy*2>%T%24{OFm(S2i`zUq7_n)p9 zH(R0zDWXyRZUy4917Q=C&*Va_>Hv^z`NjQg@5|;NpvmRK7Sf3Nm+Y#p1N|83)aO6_ z98pIuzfNAovRE!(H=8C2Tg}W3sV05nPvlj~OkovVbu%kupFwTiQwDLRZUPNFE~#KW z2xch+Wd=+!96T6eJQYzv1k`Y!h|wQ}h;M_Y+A1$Z#2kvKuEepg;h{ZX0@?#=Xb*HT z_OmdpHIqMSn{XgCE>Q@7Q4h9`=FL~zzd*$Td&h{q}?KNTz!enYG( zwH=^Xy&X)8i5YQ%?;)(D9}&Ef{ZJ+D%tnfPwL)ewM>bJ*Qp@P@{>1yFOy}2KXZ#Kr zV((hrj>Z0398G@e`yUD4l??=O;E%xVxYD-rh=B55`wH<7w3sYI0aoY0$J1e?YcjIx z(}&$*I$p@rv#x|7q?FfSVJF_8j}kkFMG?m^NW(oR_52{7ukti$)(OMbFtQjh^?A-tDIeAJ7#&de`tyF-v4MQGK!i{KG;xHB?&*YQW2w^ z#-*cxMwQD3G~Gh`*mI5bAG=XET7kArY)Bw3?JZ~QPdFAn`Rq7!MCwqO30p4}L%O9l3> zwuy$2MxOdLiZ(y;1ok!D4#>7Bt0K7}uyq_Rs$1RE_+%^nhYBwionxfz;b-|)=)Sz= zih^Av4E>RAc<<5MBexj$^Q-RH?$_LW`=)eebTtP~Z9P>)1nXXELvUYxy|>`%CA3>L zmVbx!sO3~2T(Gz7n`$rL18b}6FrU2t+RMFrRW4ec=BD7Eo#s7ese?|Zkq7GU-MMI_ zuvmGwk+-IaWIdK-@_db>7gOwYpu1SD`rv}02l$XTDu{Zr`AJ`Bk|bFnLh@-c9#U~KT~d%17mn!u4Jz?3 z$ktxgGgnD#`!e`|)Pmls*Y?xV21}jJkE38M&Du;h z^IrS;bY8T=w0u{mySMV_x(KT zpuPl8rmQpt*-NIRW7p`YVA4(?wtxO`4)<%>Og#HlTXw6SYsK6&%>7bFPxEAyviG0E z;j^QUh4Q}+dzCUc5_b;nvliv_-J3d3$G+4PsOM!eBexCPFL545lkGRO*CKsgj}dlr zv~u`yjshtEnv_g zH2wA^Q*dr2ir0hSuTIgjuRjJHoPycXFHN{!$rf2SKw10KLt(DLTVOU~?p3F+F8sXc z8(QdR+>_b?jF3aaDxiXRAv=9{HpZTdWlo$MF=PyT+ZpTgEdKN6_Lro>ej9*?K0sQQ zz@84W(~D!r9ZGZ<&Y5>U^?Y3~?c4$?mcAuZ53>0;gW&A5>t6)#UUgEDKYeLBIqQfg zyg}~F6b80+7?s@)X0ySQXzg(N)v=SGM|T4^;+`{i>B1KuAipg@JXVC&`$ z#BS;bl04$H&1u-X--K0sR=ZMbZKN?wx?bl~Fi4a5p6f^Tp@dSnQ#T&P-ffJpgM9|{ z9!XX35WgAzYsY}RveOSlz}})jq#X{BL|mQ6>SX~10`sMWAOQ&269aBPT}6=d4RqJ< z@56R`X`aqiI-FqUpMT_DqX?+tBXNol{Na5d{u9)*bX3DQwMc?OVuTcpljrVMm?ZK& zRT5Vi!Qe(C`*Tp%b`!fdIxP8w9ri^z%-cl4x6o3XpU~v9Dq=$~G4c^%NzmhN>3l>5 zH@@G8)%4q4)+#t|IH-1I^Z(K(()s**GFJNxWJkUZvP&w!cAFWpHzXaTHx4EZ`EYy~ zjk`xZK+k_VnVmLZWx>iENxsU9N8B8s-I*pPkHbGaoH%4&|G45h!J3e9SwwCETFD*) zM?j?slbb{&Y8P6Te8QP4*}PF8@T+cto!P`11n46x6BSD&*?bBnNJ@87IzvEA*O1ii z$(zKfnZgPjUL-pZMZVfPIcxB-T7D|_89>GIszT1tq1Q=ZdqogeDun$M|LJCTDO>$C z|9MICp8O^vJ~b|0q^kiqX=Ij&(jAYAZ;ZFt+P7q?V?U45Jt85a!~e-iA^v?(pSf;% zNSs}`<#W7X9Saez)^Sv@=^q+>T80H=_NXx~w^pNgK^A*W@;}_~kmBe$=!L&Of-ScE z)_f9HV`ywW^Q|3;JC7&)Ta*KrFtIeau?9D4^eA6ju@LTg6s>hJ8RHOE`8X9Zy-f-Z z+S%wbC~kH4cu9TchUzb!M2IHU`xaJM3H)SofBDUn#(USwhF)6X_|p0OG#~Ez>pZx1 zTC=#<4YEqz9HpReA$MMa-CgZ&ss1!JUG%Wahk<^oqO5yP56}ha!b#SkZDBbQ(GQU0 z?>5p@FwHt)C7VGGBbux9J+YB8#C-gG^Z_&tuqBF4^)CnX;*5ewo=A$Rvp;W&4fJ)P z_s)<^0P9$zsJQfMLaUS|`~sI3aTKd`)#%9NZQjJHJrn_!One_e1Fm?nAXpn(WtX1G z%-jMnBvoxhR{QqDrwj9khT-2ciFK{CW53bE#!1jKMRxVG9_s%Gd=%^qX&iP%9U6Q( z-^@q&qcQPhH1PDD)B3PFy2IShZVcm(jmhX?HAWCaeHXiV?^A}_NzaodvM}e9-{dt; zUn65Quf%&vw5MmKZ7%0K4<%TrPTu`PX4|*jUAhx=1Qby)_o+^T&m6FiXbYVz`z6EJ z2o!VGr&I=~eT~&I?fXy7j}dz+yaK+ooqMi0$cRUoOciHX%h^~f*HY8jiH#I*;AO|t z3Tq=@1y)Bt*lTc{f<9Ku~M5XB{#>VmOyn_EL0WGIb0P;ho-RWfHPs2T_=AQCXc3fz?Ad)F| z+(7%F=By~7FeDbgP(-!Orm{OYSfaSr3#Q#*hs4b%$!p!%pJl|X<}UeZ0fv%F?FJXP zs!%%`MabjI7=rYq?MKE^1c!3PkKW;7p+Rcg{V#^99!Dm|wQlV@>?RLSquO<%RukG0qM_`V|&a z#^3Pk8D*#jtdztYI2Dee%4ZC_G`xVIT7etDeoZf~_%0e(h|Pi-y7-Y{2(cl)AF&(~ zh7mj=F3F#JRonRIv&#(@hhVb3C1sy{&4C(OFw7+#?le>@t8T%ouXut?Yd5^Or_oB< z$d2z~5te_^{oW4ry<^vSpLC)D)dCB9%ktp2(>3bS<7lB2AYJMrXyBztq%bvvb#+GW z!>dJPf|56rZr%rF^u`40>juYc>DseHOxCj*9>@CgH;h&MJH3T1H4AIBp;xBC^^FwB zEgr;B7s;CbUtQ?tC+ADM)DYoRJwbVnYuxpS@P;8_@WV78e;Bxl7CXdp7sEJ{b@H^3 zuS4~_UlS8DeQm);<7Bw|v|-k&rBjd9`Lhg?i)sIMSYFffEki(V$rQzL33@=L@U0cd zOjb^*29BwGMqttJreJnv^Ysf9U37@xe#wYUyJ*1dOps&zFVcQfT@nDYlFDX>Wc}To zXG?&*aJL_zEoP_Mo$hn~lPxL=ue>ny*|@-cv@){OR^0%&B8U4m_Ml&=+}e4$%Nvw> zkSV-Q%NBOW%x^wDf~4W^NGExrn{Qv8e91ET=L7jRc{q zcT%;@W8xRe>-^heHN&{-<@F^u@uzX$h|+W-{&SWKk=H4uO$VdN2(UDvHaGb09(Oc3 zhAGi}Y3HgIBPTK3tak!g0Jncv2O|niwS5bwVx|X8OfJ8dgfB|{WR1}1B=Zb0&j`7E&x1Z9P3f8hal%TtE zyIPQaFGo8^5qxiGjt`56ZgzhxW(s>qs31Pbl7z58T(3)}CV=YUn%nA&F$dTFmc3jU z>S%(={!&ad+-jVFF;Cm_b{j5>=E zcOIWG<)bYxERX4g$+Rd)q%vyyU?+t6b?8imdLUi(u+}Ji7phT66)#hG13N`!e}r@S zXt*`yW*6E?6!Z4pw;9GqIc|K43V6_5BC99%@9wLzgE}{_(eF)rV!1CL?~3(y3v+wq z%KcKI?+G=R=K-$2qqc$r;;dLQRrL!jjG;pP(*m@er@DvcgGLLaV8`e{UsmjYVORei z1Nl`D#s0Rwe`zHJt^y1#soLM~aoI)4qX1P%qI*K+7mnm*900A{e6lZ0u5wa|B8z77 z3Z&CK0*zrN`*Jrpa5kGp)UBLpMM%*AE6iJSI_k2>T$bcRc?}*S4`ev>4T-X+a+oTF z1QS|_95zrAQ3ez*$9xDPeS=NfhvN|47&u%LimvsAcm^LCI{wcYb`?>_59+tJ%te8@ z#9NL>5{2(Yat={ER4j&7vPn*MKlgPx2J;SJobTsw@$N1ZKLx-P;RPlAL58Uxd*?DqiwR%;oPY9waZenq2bwg zWZNvpgOV?Jf=nE~nmKvED6F4TD+YxtO!Us`2Ub|p&7WPNtuy~&0pBj6Ee9^q9M_+- zpUqwDrm5=|nfMD@jFNI(`pQ5KxFk~{7|?dOB)4ou(Tfr|%%Yxesr^YxrquFF&`;Ba zKTD|~ipb{E=h8mXTqyxghsumf1@TrkUm9rmB1ZzatqfJQdzJmd7Xm#qbhf0-17N9& zDdk9{*&rLQfFJqH60OX`qKo<@M)6Gd#6a9kWOB$F+*so!o1QozEH3~4)Z6wf zSK4#Nk5=tA7XsVg8GBr#9_Ik&bh@kc7)_wKiNR-u69Jm&_LtPELniS1NG2?P9a2J+XLfpQX;1A zd8j@=x0;vWJ~FAL#Q4cvmP{rH^AJB+tf>5yNSKi(TInI&y(c)q0x{L*o4Yxhl? zc{v1nF$1f4PUIO|L(#Yvs`Yv3q?!D+_|z9ieOn?|Kn}OEzT_bOZn;BerBzvZq5+6Y z?LbY_kIiJwr+KgrTR$B^@VmMBqV z>IG|yt5C<=MBG!a8Vv~tFnSHnD9{+^To$F3f=mcudwCIqJKhFIVItr&2=c@k` z^zYVPiv6?R7A$75S5c|ynXaXc;W0-8T#542knqe58K)m zpY>r3l*O8j6O=c@VE4jJWp?$64wu1dg=?p;mbJ#MHVV<)_gT7~1FwaN1%Jt?ngUH$5g}jj*e?F^+RTdLJlietW1JIza{&o}tU8YH*42IkP-J%7IYtNyQI<%lX&_oUmg!zV65d1tso3YTfUN?Gz2Y5cZtwMpgvb zbyFoSW%5@~V-HcJ9{`bza|!xkI)GLpnG#9;Qz3DqQ#B99PX zjccH%26#RIeSoAp^czrrJ&jb@eg>&vZ!U(e_7%Hk-QiJzT2aNG)r>Oo8#{!pw zXTMrge4BO&I&mx84l0_;Yibt>vRSiZeLQU%3jq!Df*E-LD+U_6+KO}~^^ zSprs2rTUoN-@f^TZE6*MYj$w;qvz`+7(^I~`?T^(0=6#w)>k8TPXTArzBIl7Yeqz# zHNyVqdK}GXk!X2}{F15pl=92c@Ex#~u%~hc6U4F?{MB(9Tz~{T;+Ln46H1w}?R9Kf z*EeeJUPLv1TGoq4h?ukdT9f3+a=04e^q>z=yS^YQDEz z;BUD8q+I%)&F{iY$zPHB@Uck0LCXr?GQ6|CvQ2nPpU>&N0M;y9lD?+C{PQ_-1v)QR z^}Yk|t?w@m$6F6cy$~X>X0;>;vs#?d4tvp{GCTi zFEwAkU@xT?gsrgzE`MN!pih9Mo708q05pLOC|$x3Z#Fjk5-*FM<;qX}uwd^U0tKJt z(7z(y{7)Ufw}5PUAHHZb%+Uy~x?YDQiz5YLy`k1DSmjg(y=sXfcy=%aL%ydv%JFto zaXB~-KE~+{%G=Fj9>=J*$!_Lji)4$YV?M{2Hq*6520S=os%og=Z`8x~--i9UJb?8= zSO_hew=7MYw{WMjq9RaIqx?})+g~>Z7+VDlALoCPc15emKFr~wj?+7h+~;T6U8Wh- zZwA=nF2PS5N=08m4ksz!xp&81jm&j59$iBY?CZR*e7IW2 z^nhaOX}b&S9sFUPh@*a-@9NIzIVV|~yrGG7slMVB;)m$%)W%tt^KkK$;#Gzkvsu0# z@B=CByb)D?Vd|SbNl$O`AFPlnfae|Amd#JkENF-2W`SVpmeap}x4jjt|DI+h^0Mf% z05ppXTkr-^lo1^#TVH+KT}G5KKhYobvmmtw+jDvlQ-852+N>nix_M8G;DeN)mC4{G z=36~jkpKIH6R5A=)y^&+?nk?%S~Pi)w%jI4`i`a;Yb{^-5vmY1p)_En zdB9<%!7kkupUOaMxf*bv1{@I3jBI{;JWUb@ichXxzd=k z-{Z0jFyexa8cuSVoM7gkSw?d>CT%fGbsM32+#Ass1${d< zG83tMAxC{2_I=l=0ADZnTK)CUZ$}@f$hO$Yg4%hH<5&w}+vwCDq3R=a4g#_fLnm%me8vjSzqm7t$wZdeGV zjW^6ohit9RGE*!}&n7p1$4TbPpc^!va0dT4;Ge+FWW zmkH=GG-IRGB5mBOP@SbVq`7hD%__m3)GEPW!XIddY|2i5TKeTxNH!*6yKyvN>3>-? z4W-734;4`G3Y{7sD&Na*)(!kmN!M4lv6KIS>-3(7g{@tCkcuA&`utS2v73C}jvbVU zb=#Jb^Njd2(Z()?Br9Q3H2ejqD)x@cpW2;JS-;lC@?#_(4MS*;bxF1f0}Xa{O7M9Mq2}e>G*-ugYSb4S<~va(WBVSF#|uRu))HF z^ye}Wp~qhEduOV(7SjqdjoYbjHx(lG=xQq=Qrb(>E}MQdcnb=^#RCPT{Wh;)0Yfvh zsG{_<{$X5h#Ue@f(jZLmFg{Y7NBhD{PX;WwNG6hBeovP?WY(#;u4CUeoetu_5(^eb zc{}%AgD!R|%tO?YP~Ri?x74SoDcOdD3Zs52`Lle5t3lNEv!P$RM57+o*mNYcv1@t! z(9D@`>V!ic&PTP`e>8v-X}y@uVLFT<41$BRWQ+Y>3$aWBCvs62)7Hu9eDrA~D^`Sf z0#WNNRUui4ED=_Tl>0csAl%ml9AR5|Q#unM(U10DV#D^9#fkoix;}|t{oLC;x~j!K zh*voTe3@!O^966}L@2>0uJ0eId=5iC8TV5Sx*0R=PW%dUDp2mGZ6cFPfCDWbv^bq;^p+K0Df-bT?q+Ho;$;T;-Y*4p7?r32ldI(e)YXIqjH@k|e-w}|3EJ3j#N`tv%7i~( z7Gl*2vF>EInK7JTnd3|639;gH38R_grTwrzz3C$*>9Ec2BRMA|etX{ds+Z(zcUXw7 z>YD5Gpg^l;7?KSfXp*|l(91Pk#LuVK z&u@Irx~8j#j(s^{KZ@~+%2)R}l}I#DoS4xOlM0At!HS015S3k%lb#zmB!-7Aw}gk0 zyZPlr#r@QLmmMZ4pP~>Gl_d)1SM3K76&a`m9e`PQ07>0v57I+79vO4g9y%dXx^;9y zDjF&c1>9XAZHZz}7tQ6*suD%TfuwXeHJ1k~42U@rzw&>J5u>+q`SsmkZe-c~ya<}? zk>C|==yw9&`?gZn_S<2JUb@e%X$@@2ltAF$(gsZk&1J0N$k-Ex%Y&wCf=(cw1fTOc z+r@d~xwG&U5uI|!Wszz%NQDo!z!OpQ3cw%xnFJrJ%Y4&6cWSGNYML;Y=@WR#T`m29 zD_@F>^dUuBuMF^NxsSb-HZ!ThPB^8c%Yih%<>*aY=#EAPN zjz7;Xw<$-}L#qD+9b-7Uptw}we`Yw0qYrl=|MT7Hw z!)J@{6Hf+Ju7gkcqmPs2ZH?$}<|ZB|tFr#&Dvwv!CT%=6V+`sfmdd4(Y1%SGk?urb%V^ih(C>=>ck3$fncn-4yU zQ9#Z6zbbRGSKfAquU4Ng-hJ@)D-1b_)xzwY{N|&0yD5g4kOK~s&9{M*B|&6?R6&>^ z#KTGHPI)n_L=XX`7rX2k0YxH9e;QQ-Lr{Lr|IzgpP;qQsw`2vvp< z2k@TK|B?&;gb1Aa?~Vl;Ecd^I|K4SrX_{rm@Z!)WSaj1v+hGV5h3Ir;`wSW?2I=C^ zV%>099cTmvvL{Jn;?Ry&0vZ=pKqXbnx@?RR#ME?=Z9()Bv;@GIu=UQVcplLh`28ifgsdR0>4M zbq1VQr6oRz=V6|!Vu(aZ5*YZlh-`>)i+irOvKW+Ohdj50)5`Y@wBAiQJo1rIKdT2< z$&?ggSn0eX+LZTPp%4fqDq8cPK}BGneY^^2S*;&_4^k!MxWOx^F4h502M~MZuzzb` z*$b_SUae-`l-6B7@KQ6gd`H&eXrAD}8T&lW~ zMsmE7Uy2}cVV2NXYP~-+;>b~uyjc z+(h}G_mBn8CrS9Mu)SS%mdKMsQ-=GHj86?1aeYXQ8Fn4k9mCl}N4G4Gf6JJN!ZXnL zg+h2k4_1qeU~vsr)f)UjfSyD^&=Ccvr!j!0s?2+j1u74^(pbX$;yo&pPXijW%{WZO(WbC+m|F}s@cF-XT&707#Ypjhn>K}yJJey%0-<Of8B9;+;?dn!=WK~HPlOita(n|b3is*J4Vo6 zFP#{sxxPejFVoL-5DO`ZUz=$Ygxud~i6r3obh`3;2(?+cQ2JL(97f&IXay7yx1?-|G(8EU@6 zysKc`k1-#CbRG$wxrVR%GjJ#oqn%cmCH>{aju6k z{E?X#6)YPNVmtl~M7t^CoSM3vrYSNReoJxbXq{=zFq@^AKcgJYETc(>YHk>&nO<#& z{|HrqMM+Aj&)E+*;4O%4R2sb3m~#n;s@Q>0|Z|$z^V@lF!k7W`iav@0w>1 z`8^p1M`%=;Vm`TzdIXeWIv)J--Lp9P2E$wDa1C@0D(H>0Ab7S=wv7@MnSqq_Pzhk* zO_u10^>1S(M*er)4RkUy=6x7xYz=QQ@o))Xo&<5^EDbzADgx7Q!EPEB3k1nfg>)S| z6`(C6OU%j&Vdzp1@jQ|xGsFt>goC<7gWD!^6UW~8uXgGUqW|9%HiP%b2hHQIA7s%g zU5nXVT4d3@$U0W0HR9NSN^C?7l87B1*c~TBM0T5?G|P`=3}@h&uDeMHeM%q^!^S}p zFe4MzjYyFEtsZ-o90sQ|f{NF~#lnTshjoP?LT^S06uW=7Sz1*goiOlj8$cZ0%5xBt^wlG5$OqzDev~C}BvU0R0Zr z2E3?fCW2&X2wKNQg2IsX!fHU7E?x?*hywpIqOIXmIjDEFH$oofTPiCgoWw}&Pmz$0 zdZGx=o*qc_D;szTnq3MMvi?oAMmjcW(!L6~w+Q@TRVVV>sY_s4wq@bB6k-um;G)(?U}W%-h3ITszt@h$$`h zGZCrED%Jef=QE<>ovN>kV5#I8AR$L_9>>um+^)b5Z`ra}7JlCB=|>Ys5m)e?IIF05 zoAgRkBPAw}59B02_M9oNq)_L6fL#70AOCwL5DURMO-!3SO^gghJ>p71)gLMqu%{^o z=>Fp*%hv^e<1uMe9e|+0-*_EcI7@nR&F551+(#YsAwYD@s);*9iWVbEiW^*e$ifxF z;{J*iv$f|6Vfs8n?>1d|{G*!P`(s^2w4t9!WweuDZ3Uv~DrA+v{x@;L3|oX*V1eHR zE(Cy?l4Y!kK~6X(~Nr z%;nD?+-k>uhh-YZF#Xi;XJmGyh*WwZ%ymzdK{?u~x<+?%sTO+qEQC;gc-Vs;2$u(i z2Jv(VNz6Er(0upCEG4Rhamgj1i;}TP3`8?|No=+>aLajKjxTy#ZhwPePBOaDHSVyw z^%G`3FDV}O=v`9G6l(`!#@zM@WP!9PdmNG;YfFlN_mcTO`YrVQuj3Msvxb2E6$-cj zGJpq5i~ybg#6*q9-(&)Gzqur--}IQJfZ$cH`z_iE2wwl8^rw$Z5PHARN<>sJpxZsS z!RgH=_kE#5(nEu5B1N+keebqp3~YOayEDA-0tu4~CVT zKeT^y|G?jvOq%Q`6mgcJWJf~8TW2u-+qCM zZZaW;)tNhg31|AesQqY)sBJw`9w!;kbOyuh`P@pTO(|zOk=0qNcxfZ|qPcEzVcLQj zWeKMjBk-Y4Yeiq0nUx15D@CoRe>u-HVc#Z6TT9COM$^=|g>xO{uG)rG=cCK-+)XXNT{E=SAENq|F6^6+6UXqG&*yK&gp%DTk7PSpig!Yfc0*~8Lw%PB5utA}pV~cE z{q>=bWY03itSQyAiY{(B+YZ{d>B@*%Yc98i?3uUeWa9Mz-V<#qeS4R%lO1_jTiW`fhPT#xo=FU4|NI8V34!?SY52BR@IF zXd@klA$SUw1_!WRxLs6+<)FMQ2hurHPX+LaIEfm?EcJbC#@EBGHsDYQModK&XmOI1 z{M?#+kcuQ&dl5wjfoS6;?;JWBy`i@A__5`7L3al+d12MY7anzS-Owj%i>PrJZ(Rqd z7`#;saJG@iI`b|V{MM$W2e7L%qZyaPMkb|RQLKk=$2{!gUKuA(yKUty9)-6FU-?$D z@LlfCotVT?w0%plTw0tlTw2CvLkWq0NM%N(&nAB;p$*|JLEBH;Zq@p|3@-1(P8JT^ z+!>KZE9d|YaNTLvQQip53S>*r#^pKiyaGk`!obbb!s*rjv*ak{ks}mrDh0)&;_a<( zXOh6}ny(pQn5M)Is8oKIl$E}R)?_0K4{tmh)=bE`)@KP7Ln%S?%v6KpwQ_v7dvVc( zIvV;P&l3PXEC+WY(kNiEJ+5lRe2JQnw zc6LdbQ3pbWr5H+l1ve_MOaS+8o(=ylnj{LZM4~u~>TW`CR8>N-Euo83tT;-w^9Z<< zE?GE@%LrK%p-TjLIH55$GH=Te8SEm@?&b<%7@^A*L^xD+Jp#Nwtr)~gn!OBZia3M` z7Dnw59c68HLLip~8U|dpr%0#_*awKd*9dsHS3QNi0s^`Z?PSFX?HEXC7pcsYs8Dcs zg|i|-kZ>?EJ%PdCw^*MXLx@cRqryzoKiPSpB{+ZG;~fM+HrN4* zgx*?}07io1^*@Y#y+Pvrjq!_fo-`@rRl=&l0oSN^zen-!3Ij5y; zz66Pt4)EC#1?&n!y^K7P0|$r+KP*;#Lve)xQZ($Rq1-)p+KnBMVW?=Qg9bdD>~aM&e_)%k!QWeqokvj0?-G*uOYaRE$e)a={x|%{tcFB-W}^xOQBziZ%8f zX^%_x6Olnm*$f2ojHyQtsQE!h#3ZB$X}SM(&qdW7E-{(qjbnI3S*PCvOWLeFc)jH$^ zL&5kTykNu$hM)Eg+{f=vx25OFOx)e~jpFbXNn3*H(If;^#WXg55b7W zc!h2)cpO}sGrQf^%=Ru{lP-N2=i?2RW>bj*SP;?TC@fjQ6OjNlCn&Ik|Cp# zX}*OVPUBWgDaaS!CB+mka01l!Fa;U|O@8pUDbCVNo-z|W|ImIfqbai;`lItZQ82;X zlhV?~(Z?^y)Rkm{twq_#_DnJ4t|p*##KIB%vJcUS2Bu7Iv8~gb`(n7(&i~@LlKWyy zvVXzFG(>|;aV9$@Xx^YsGOQwy8TW1mETQhV4uX5I3;56feF z&$Tamn4j6O27H>962D2T04vezPYJgH#@Vb530G&Y^WxklR3Be=X03t68j*|jhg4Nj?y>*d0MJ6^oSj;QIsKRfrPvI zm71Ak9LJ{Iesn=wWol_7mI3b6Qn~(YAr{(mVVg&1#e2Y@X?aXBt)ulpJa-%h zxss;6{+d{*cP@=|?{zl^A{TrTaI}mdy@%m}EK3|sY1mqLAbeu@bzy()m8+|D7*P0d zc71vKaM$cVv2m2=f_ebVZ!d?u)_FDvJT8WaI>A@El7)Z?9GeH_X~d4ltrX=AhO5!p zJA?g~woYvMR(0$8JedwOGLWhb|H@VM+fM;vOH_;m zq4kv|ZWw6|X*ny$xw(E?{@$2?B=Y-IhFVL-jQ3H+kdA_K)pfj+Ks%4%QT`Ehz#oM_ zJ}XeUIY;a{IlAfCTX3G@aRxq0mut=#p_x5{VRqs=IKor$y)}i#&Z>_|iQ3nI~}JSvL1@ z;W;6)A&{k1k~~iW$i!QLBIqb^(ZpN0HRObqaKpZmJRG%k6XZm!`@~N;QE2Eeg+02fGWQJs?`G9vA%7aXa?O=m#;}z4=)&WOqfWq}V{{ zM?e1(A#x1zbGt*=<&mS~oI+$Mu?fZHQj^Oz@Wc~4K~i#RR9J~!Gb;#kPe&Ck(#n{6 z2%SRv5Xmn7jGfvMMaOmI=ryUhk?@kI_&yfgn zShj+ZPe@pLpO6-L61MPR+4OfrU}C;O;HmD4NP&10&?QmKdX(@-oMIvIs+~#u8wKIb zI2>!AGWW$XA5gPG-d!*&=EJ{|pb}>UisZw?;b$Zm=yA|ndaZxWd5zS0l1|Wh#fkhk z;mYK~1o>A8D&t4ZJP876MisRx2&x4ZH3Mk5Z>-58kzF=%5L6Ep=}>ZgO%PNoOAdJw zLg_cG?coEJJZ$8jpnOYAVLgj9A5^#e$Km2e8qpUoRC$ER!=K`scKculWc&>tnZqLMV-3+(UUf366tNaEgP1EWc6I|21mV-q-AzTy3jG~ zNkzyPL8KyAu`7_2E{r@7lxe!f?qe@LNJiGb=No-JLbf!}}k3FCQ(Co&5}NS){b=Zv9a zJ0NRT-b6?}Gi2gOIP+;;&}L8;h+*Oq6^o3r2K+=wS)**VFujMMVjeZpW?hz+N0r22 z366)a_xZqMn z5lTgphpPeu{lb z8`4UaaLzdYGztdEG>TJ(3Dv4&(XXtlEa6=HG!yx;=^?xo_MyDjn(gTOWlpi(b_#Cs zq?za`9%dB8E(}8J<|m)zQODg@4TR+*q_F0 zp;*cL`yc0_M39E-FS!;73CohK_98!@5Uk=>cUm3x#^xdmwp^a%!*Z_+9y()92o4i3 zwk$5~;Qxtpj(xNvUSz>pxVcY%pdsLEX~>^KU*(yOw2#Q-UZilyk7q3b_b`1=VTV5l zXLwImI%a{6>?MDvi>sHz2+I*>n~HqUa8TFq`9(NDKyMb9s=bMWVo5?Qjzx zmPkLdKTllAa5GQD)$vJF#aJ~MV!kN|Vr2vtx=$4u!HOZzZ*E9aMTDR-ucr?R`dgi~ z3aQ(z*wU_jeRAc6{5%G-2r^_iWRYcV)2>GqIz|F{*pVJtM*#s!!UM#qlfQEAxU%7} zlgOb4Ur4zqSP0VXa4!P_%i-EfK_32^q#x(%$i$gKiAL4KyX=? zB}0bAnG}gi5HqYz6*8eD%LHthMq|ZsEEf5dAk^P-0<_0@kwW(@`f~H;KLBzceuPuX z{F|v|dXVlP^0MMu`i2|G(nHJ*Q>yspfl@CU>-%dUkt{_3kjP$u4(-1yesfI`1pyX+ zMhS)dx&FVlDalgse?Fu6uyadr!r-6`47v6Fjc&MXq!U&7qheN6&3S1DkAPR;sI1e156;+{nZJ4qc(DT^Ne%X+!*OPM6&|nK z=I0;gkR2ihybifdP+Ea)B)Hza-7&sdO>NMx{f*(Vgx=LrTT9q7o}V&a{Qc5V?}@xnKJ&EwTRD+ObZfzg@g(J+;{!3={eVQGNH()z2T% zPoOB?SXYH<1RDx*8+s$iPPCO&j~B1!+f`VQg}Jrfy`4@V_Jjkf0foO5TkQ18-f~18 zF!+Mkecco?uo5i%v|#!LMR!A^2;;~(1MG8}n8t^wE9kNTkU5@8B&{L#PZ~L&hY<0mt z>zzTpRa%quY`}Zq0B(fuGFGy1ihCn3^esLVf*<~@tI8g>0Xe&lx^S%Sh}RV1^Q@^> zMe5P~zRT&#YNpzsQw_1Ev}dA^*zUmxUy8dk^|Tt1J5ML3o~;cHlL(NFCLz z7i~j5h!l+f!3#%v5cz4}z!QAP8e3Pmj_*gL)T%CgKMbdZ#Hv?Q{BR6iH_V#i8*Zfe9&02LNr?!Dr%!j25Qkfo|MUp0ZUF?fglnFaGdPIR z;Zwn&wOI-Yn@ddB;t18Ke5S1K#DbZuY+B-tNmRz+x=aA3yd@npB=|?N>_tl zEDH;*XuAj2k)F3&0%vG_O^M3b5~vR_(U}<|P_b#!78+aC{cJyBSbdvB>yxYBa4kwE zwlYOcd{i~7R<9fUSk1cFI;4Mq?@AQ&t>s@ln%tG>wCD}Yww?G`O{7?DyY9-H{u`{c z)CebBW(1&9A^CpJzax7Rz0=?hQ=_4gi7`Hzw`3G`)y2eB8)2)Cz zl+lv`Zm3I^i|1jH4hBU7j&RmCXzB)ZXgd51u0-(oa|xX2JWnVmFP{2%NA|(9UZ^Q4 z8z-*xxy`^>sPOU2sJqPTZl%U6m}NXW&s_KSWrY|Zx5{7Fd9V3_!$Y)t_%$n{>4kHH zM(GQD%u#M_+KY1zyFxLGJ>l)pz_yuCD#}4%-^*qJQ>g09`PH8AhI$Czk+TZ;M4Ffm zuR6#PjJ-rj4#dm4gsQZ=1-oW;utkad5-QBFLUE#ff(Vd1I zHF%*NN($fG1|}XMaZ=x~+OdFYo@IRYtzDZ$UY$$N$E>f&6m>uMc5Q@sS~J*{w6=e; zQQMlv@#q*e(o&C0g}ttr$R3CAl)Iw$~NMd$6S%qP62d`uTx?{DNrIbeIe z&&T;lAMe;Skvi_*A|o@aPD;tML^xNDrr9Yh8$kI>!ig}&-@|+)YObN`{c#BKTyBgo z-yr-EHMJ*|aSLx4ld@*<>s~p@>O|ybLu#QK5_d7!*A+dOg=zBX_(dIv?6kxj{V!TK zTz*oCN9<7wxvFLl8g-Jn)vSJPL)S<6bJQ{44*$ht$mgg}i{8LeTZP=}?__@ayU->5 z^Lr(~6~h6s9^a24yCW92Lzb^h+>Jv~D1YKm8tZ6@l!X4&I`=R^^JA~$k4!?)K+&Wi zGE;zHx;xw|xwXC^OP_oh!*a;C`&b(+_Q2tJer>%|WhAt+CZ*$$>8oPo7bKKZ79S|s zI_Sa`{IH$xy3QOn>D7(TchG4$En5%m-Hs zNTz0_mkoPrGEaV&TmPu_Lcwxmicnf-K{MFD9j*sMaxPmfh`Xp1j_NSH%>t|* z-PR)$CJp20NNwljm#u)_a@F#f;TyCvfm{H$eVGlxTEWOBwj@k54O>h35(M`m?wY=- zlWS}*vXt(BaLL48WOubU@H;0A_oCKj69l)tzIAVvu9;J%)+Uf-t>rsr%jm7-YafSu za>y4u9NzTdW|);@1E*dG_g6j9Pd3Run_(#Jp*d?X1FIy8U~8w7%~&ojjGl-{4m{Yq z?!OX~SEpc=9J&d4T7Oz{#IEJwjh^WwuH_Jop7E-WDxTJyxGsO`iAMHYr{cbpcgIQ# zcrDVWfz0aY2jlTtMB1!4|7mMZwx7Pau)av%@|Hs9=zIK3%$MC^D;F2MMt~B1_h3gL zz5A+*n)!7GinFM@Uo>`olx&oPpM+zdT!1cRK73ZODL%C7CFG{n`%1BMG^OZuWmGUx zA^%EOz0BRseNJ7^+&ww|gP^XGt~X|oH<#D*L8gtWyQAyyrc_D6HR4BXWNKS-!B*d# zV|#`ea#Ir!9>4>>FZ+N9@PG_%v31j&LjVtG@-L1nIRx;4f5AoEHy)7TjR&l|nlJJm zqna<`fiKVaF*UY1UxZec0qQHzPL9*+hGH*WT7FG61`I@KRb$;y>U$#J5G(zr-3Yp& zFkLvyR>kM;pQzHNalHQ=rW*GV&pOTr`}eC&11z4%Ul#9=BzWD`){5(}Qjap8_pREM ze?5h2V5DZ1bER2LpR|C?otJ4B1juynkL%%y58k2ES&+%YYV8zB>X;6-U%#4``YXyI z3+&A$l;0`a<;@Li35W8fsFLP0%){L<6GWyFOOE>}4R+EeJUCAfQ3@)9^yP4!M)p=?OyB1)c+Sc-~Q z7v20%MjFZLnlcZqU2i~}9`w=dTxJP<_}6Ky%rVt|*ZD#Fgr9Ez+76Y;xy7%?K|r5; z+cmpmg)8ih-G{7BT^K%Ax!dE{B$kGN5xygUM#gp)pM;0yW?sp zo-VCaa>Ci8{O@X^>J8*b>s5mg3EAG$G&9xPL{AoNS@$?r^%y3ya?@W}h!jIJWxsATh2ZS#o zJ22~3(4tIuK4(4jLx%hpV|9xt9X4weC|AdIsi++mr=JoQ<2rpeKkis3qB)xwsjg-ezM-Eey#yb*C-pN#~Ua=*r0s}~ik@`1mb6YK$5Gyohv;YZr4_1(`K z4hzVRm$zEVS$2BJ_qeiF(5zkRmch8a^moPGdc8F^{C~MNiA2aZ>Mbm)N+isd@ISO0 zq?GQb7#f%V;p_m$#jIuMAI@$i2Z}9&`~3^&?|k^@QbjS$JMNO{kXIvER7H`zX(%XU z#gR!s{m0G^m<#R)7+w%ZzP{>7{7r{V)d~KGPNiPrQ<4o9OxF`Y_5EI_|qYr2AH zX0*Rks$eu>(aa3FLsDIhevMxiq%<5%M29EYWG7Nh+?UQSl0Ftr5jN*vcoM3Q>$^R}}>A32Ui7A_I5tezvT z$BB5}ZES)SBzThiFfpmu&JrZ0Bfd{y(G@{-t~6_soVLgQis>6YEpBIpxo8M z|7PI)vf(1{BH<&9QlMM`R`JC-OF{`DE_sAMgeUSV=Qd@% zhF)B<)lMyU+@VO#S3T#X$j%2EXt}u70xTL{#p>kh7Qvc(dnSfLe4moC z^yBzq5 zU2vPvRX*ONxy%Pnh{ro9x?PR^YQ7Xuhj!A*hCYmRoK3ETQ0YXTQZ@68Bky+w;pJ%B z^)}cdO;xiBv=3b%Q84}oFC4i*;-`HBPx1R3Y<(c6cw3dR0<)OB_UAEaO$@Vi2Ri(# zp)C#J20GBNI}!3)Crv(jJ^S{aX`~>pN-i^%xT`jywzwy@Okp7!w49+<&odbql00nh z*_E@|MbkCbnOA)ERxw|~*0eEwr=epXO?RUST_JG#h_F0&NYX^RWTDVS`mX(`6uAx& zue#Izl-9HLn}|D1+l_3rpEyR{vP~ac23CjTl3naJq7hP96Z8XyTSDSUvvv$H z+ z5Xt;A`owk3+wzMm(~#UrhD6kBQ>dsv@is2q1&!oA>RNbAOGl-#fLG~*5%%<$dw1Kq zP7{C7d$Vs5jt2G7A|95GDHmti-Hi*q&2#v^@>gq!F^=EAT)=v@!CPNx$GKFaUq#4y z>-ZL8I+V`}vp@HLC(OW2@aG0QP#`-{IMQ!G4gc1hC6B@g_pG{}ABlIg3=+#UJw$*| z|6#yW1Y{?8cAgG|Vk&ON9aJ9j@u{DfLfLdyxhPR7Ig*Smr z;fM&nB+M)gTSCPWgxVsmjDacX$JkFGDc%3zQi-2H?rLw~4^9}h#eIC4J&94s@*FYv zgzX}7(whbbo&+&c($LyNkrgvNZIL#JSpyigy=gC~SsS6iZ!6-Y^FtugDlHM4L$Y=> zjiB+{#gpC*!O8hxNSR_sBVRvk8Tuaqka8RB?lhmZLS_->*GHVYJWhF)@EQ=ynq5Ww zHd>&{R(RG47Uv3~E&oVEGKyuF{UY&kP@j-et5Tte`&vQ>ssFjcyojOL5RX>ATw7{P zjp-pr?kfqdPt#Sfsq_MBtf1cg)+L7p7C@f1p2lpBgbBemtXC&jf?&;kf(Mtd+&^Q2 zozgkduqD@bjVO$Hld;p%vwb!;;>?Be`ML26;}kjdzG*}F`;LkeRFZ#q0U13?%>LKk z={Fd?K+0L;0Ior@BkJ(lkk}kHox)^sKnu5&dq9+d#3<;1F_}K=Jb69ox4oHg`vqQW ze+v=qf(eM0M8ja*D=s5C@yJlAh#)O+U+r(6kkvhlui;4EPUW_GBeoP0?w+&D`jW;$)+=ca6$=F5yR& zl8WTFa*%3L(Z|d0Hp**Yb;c^w81@=j_jtWt5?NKvL-F+z_R{rg(y(BDl7z=Z%l9Hy;}pw_vK!4rT|+wK`#|=v+>LsdF;4T$!34jK#;7vtYl!kGw2- zkEd1y;sze3gsTY@wJ0AEIUV@r0ptDfYfYW6A;V=c-%WuOFm!w0p)|)Hg6PaUMLyS4 zs+Xz#&3J9(E-MJDao?J(tKrqZixa4AUd8lhtIh~(T(@|b7E!=LZp^d^jW+lw(HsV` ziebCvw+ilB?X~)Ttt#JQZH5vKPe0jwbB<(I$5j*zG9Civ#G_*NU`M3zZ1OmH#ky1n z4coS4B06(aj3-?a+7zdhz#{IYzUe@4BKCi9RkMNMY|tC%8jC*FSQzQa%R5Rwc9cXd zESQLK)n6{TdvKuZbQLVJ3u`jd5K&pb5GvWh@+Shvo#3*&4pSxbC7nQn&{e8uhOqP2;*o+EeCg7wX(c+pB7?*cU&CblTGG;ESmx8PpF8 z1l84MS%tG5uG3y*d)p6)5Y9ucOIyt4GK%foKE@HLbq1(i5POBcgDDzDmf*6z7F+q@ z4LplLbJS@GG)H9N_=6ku39ch?WZ@dLkk(e{`<+8neJMGFCKc=NCTQ49q!QtQIcyqT z6Wko)+REyk|0WX{iK?7CKG?@r= z_xvvy9QfK5afly7Ac(JDg1{PQg2BXLU+oG}p`P3g#;)^wK%3OkXVEn&jIkR`2fshU zKGA`aAYO`ujf5UTGf1bU;z)r-j)fr+kOb9yAz~hPreVLCHzVhK>w52#p^UWWg(eLS zSFC`+D@2#cxyPUw$S6$nb-2$`_0%K>6}y z=O-XdV%`tlov@b7c?chxuMl$O9t^j4Ca$Zz0J0X;p(wgB!%9Q!&w7r!c;#y!_vbd~ z`eD%E*zG|~8Pp$qUD{z8_1mxzG)C0eEd2P>GD>{bC@;Na(W^!^!cOC8%Ky)Iehxff zF_Ir_p*=rR2w{h?W=%`#jFiqHEpTEQjIlfV)F0Zhaw?j(7^0B=Rl%f3G4i;^ZcT88 zu`l9I8W%zT|I{(Y)S+*nzA2BZJ+x&*Sc9gwgUQy{wyWNBE{Sq2CyQ9~u!h=UCv5Lj zBZQ&TXhzDNq6G|n_rbh=^WJoYhqTcy9gidzE*mvZys~4q>rGbC=I5CnaK9N*zn7}E z!4Ftt4DAB%q?_cJio4Kw-ra=uu(q}#)3#M8m>CiBC;C~hl{Y_KdH!D&F#G>+1&qgw zeDttG${LwlEv3MhC>0Idx&@ z^PJot63Zj=4^rMP)>QMWyBL!1Qfb&)B@#y^2eDgQhIY5{lcQ}Fm{?}X|HY}I6qst0 zlit8;*4CCL@>HGVabroQXgMa6^HHi(u2zlq6fK0`qD&@}BUGnkts1UMO!a$2kAAW_ z!jwVqam)qV!5k&YV(>cGHJ0!u7ZWquLke`5QFvceBmwGD3F`B7gQtp7m)DswjcVje z<1tJ(-?;%djjJx80y)|V6KYDMw5!u)N#TKV%m|ElxhV%Bxk{17KejV{Vz^OcB2k&} zkU`z!2ZFVwK5`jqBXHJ^uQAh*(I~RY!(La}BT#Bkktmbyfp*PT*9=-3pPglf;F3B4w z_N-BfQfZXET~bQDGp`CpQg9?ofF^XWe5pWSG3`pf6zOY%VtZYdtC*9-sU2)3!x5AC=)y+j!uGA8X+r?sb*7Pr<6U?vy_M!o44K=>fS zK~$$+B6Pc!reC@^qJDv}2qg`)$=_2?!qnDylKjPsw{e zL$QlGy6+MrZj2zVBdz1pNc5;TIrF=dIjUK?*O!IQ-E-nbhEscuwtwz740MYLbeTx1 zguX@y%_bMb(}oC@*hL9>D+K8Jc>*ox(X>sW4+63hhc_$=uwp=E{0KkDSF>p2`$*>L zon0HdZ=oAgiF&6(r71&Coo&1wDa&}|CkLzLyVo+>7kS~%%9(&UqE~|Ao$h13@KyLi z!3-9V#Wo>E&*vSsK!Of9_2DCs$<<*m8Fv&?tE$;ZEV+1f6ywOpSh7XVF#Sbb7n36B ze{ly8o{I@}=o@HYI`Z+%O3dMR*En8osm`;Jj1~4PkwnAGFN`b;GPLi&xMS6>n$*LD zthwz)>wh*;-J`31uLTtTC`2}}tELBQzhbgoyZPNGpVvLxvmPy>CqF|c_#u_} z$@tWNMbze2?i^d|X}fwC^wHd)x2;~O^S$;zLyV{XEyCJ!idV<*kM~@e{ZuADv-DwR zvh+&^va-Zmra#mV$50c5GbJnDHqP#FzzDHRmfMbu#UgnUP$|yC9I&6o`Yw20E;Zqp z{sB@IF$1d+;3|>fA$)Cu|AWu=sxENNXr@fOSc1F{y% zgg$EY(b}JS@WWnn{^hkMAX8p$4oU%fgfbs!el!A%4~qga6OzB%8ss(~(oU2pX}%+` zEeeQ!U;0q+=wTgkuIn|CG_BI`=&$edXJZUeHlS^XJM>&1SOBg?L6y!JMpe)PoPe2l zqf3s7E#ew2*qDq!unHP^5`P7eUsm@LUfqKJ`JDR{Fi7pEi{Y`V^Wm}1n?}`LZbuF- z%PCtnkttfOu-YIuXhOT+)@4)2Qv2ke+W{G0rp#gpxXmmP%s(oU)w2(dR;%)jYR-?h zPE12=QO8rSwtOv|P3E&f_*6@~TSn_9mf;e{yFzo!m!(yl)q}+7;5XJXP&J#pqcy*} zhA+9lK*QE7mDs8|h~3;aw04Z|T4_5`#WK77FU}b?QB|Al@&;D3Hn%lxFX*_Qu+lpi zIG=oN;j};y57%onfkJRVo~(+h^m3?jFDGouKy|3vkI~~iXqH~9h^1ZAJrit}6GL6| zw%*kmUHGI|EQEa|CR;XY;ojoz(Q{ICGm*GKAr)ts7glf7CVt2L#0{I#~k*P z0jtL%t4FiuXKB8`>0eG~)QuZL`<8Dnk8dya8P&5{0r?@hA1HKj(kb$qx@HGk%D?=5axKPv*}inF#s*143dUZ4~#F4kp$hfpH{VV!Epm zb;RR0@@v_*qf{x$GMH|6y@5Wn;2sLUIdaDEc4+!Iv;eWfP+&^9J#3*2(Pek z1h?t~VxfU)jC(BW3g?g&KwbZf6G;wPEy?}`7jX*>Rxx87);BG&=sk+5tJX9_={?9) z_L!h5)x6AfkH2jxiQeKzE_p>Ihv1&iqyjCCB{AoX!CNEN6EbWo4J*7$DrU_W_ z>rVxvDLq^f0#^{s^iX{`_(eD)QWJX+eiyA6l*m{ZIyf4a6x8OGXsPjgzCVLF0}Qvj z_gJ6%y9puhQdPv&Sife$KBew_dUerI9bB`m8zFNN7fH)9mrhnJ}T$pQ)3o?)rw>b~)sfq==MybQ4zz zT@_f^J=^&mcO&0611E5L!PBXNAlY2$nTfreM6|33sD^&wH_F9?+x{Xs^NPK!$(fHL z%&JT2hD}NyAIV8}=A4!jTkse%d$i2w%O#7RbnXHI* z!s4djamZ!s_f@f2(yG@K@IhN{6===lc4_j)bH-@)YoU&yN!+E*O%a@8Xke?(dO3&Ztv1kVL42?Xyr;t zEfHV;hxq3o%Lk?9F98v`HFq1fFWgla0m5y552|;4Jds`tV+pU)h~h6Bywc^E>jvmA zuW$HO zVXs1a)u9|vL%wX?*2=@0LY$2+LYw&Vr%MK|PVB`s5lt3T{&PyjjEiKwRtnn0L*<6M zX6~^}>?4i!9skD5)CnI+$Cqd3E@+%_lD)Wuq61C@4?a0>dnf)GQl5&S%Rm zssM|ljmIyY5Ls&FSZC7rSVy_fTK9vnQ!~$W zpZ*mm{IyLs%bB%wHEOA)z$npP@)*FDmaQNC*{<-%dhY8a`Ca?r>x1ko>XtDRegOVs z)Sspo$SumTPj#5;I0`Db*byF*EB@KY*Ga1 zW4B+|+$s*@Jk8*>?kY27oCS+nXL3~3+}*GxEv1|V#lNOIo|Emr)+q(G1nJ(N%S>-> zUhgj&o_Sm2HEMS@eAr{c^S;Pxy#yI5xAUZhZD9L9C+t5q-CbZ(Rb{O{j#~vkbENKH z6xOZ(BAvYE5Z-6eukVk6Fyb-vf|6#?A{ZF|jo8CO=pA*i;(}I)*7^I?DmbOGZSHy8 zJ>~x{@_BHd*QsDB@YNn3vT1tAK>AGW=%^K4_Ls6vC-v(i`6b?9|MiRd^EvO>{|o2y z8Tqc)KmF^2bcZPVRU=K{#r&n#-}dDq&-gfxak4i7{v9jhZ_&%0f%bR7mnXuv^soET z1^>?&Cf*ck^Ay}|SUU5*N}JQ; zJq^{OUut_EodxOXj`8@`F-eKcnxl{r`_zeF3jx=7(VPWO#VwykHCN`9j$hqUn_nM{ zRr`Ltc+Xbr6$JxLiNv}sf4;_B{jOU-_z5}x_$PHexuD}HMiPsXzpN1)X zYlS5|hb?^P@5DI%ajg;3SFAPC5QwwnZ5H=!?-IVi%ag%-X;ZlWR&)5TCu{5>H};5L zMx3FYI}MHCzZ>^1iJ}FBLnNPF)+j?D(ZF0{x4qTDe?@SI^4t)ElKl|9(@Xf@YkEts z_V%q;2WvZ?gb?b&A$r%qzc#!gdS6?mg8#DC2p2aQ43bb-qAd8=(*1nrAs#Ytpbx?} zM1l2dg!yc3jxbQcyfX)n&i{9sxFrgwzn<0*pD$wc-{=~#Z{Tz!{q~ssUoDt-8mj1b z_7IrDmc3zO2rO0a`~HeXM=Q(`y@$9E%zZ?_zJCMu{o7YKON2iw6O8m5JHk&=#Qn4{ z3Da-F=t|z7y(Ne(EhjVUhDEwRgXux-@oaX!pzv-sDRo|2wRNP1R(AB?I(zOHOqhEA zLVxx#o-rM0d{Gj3KpBa%XY{^y&F|p9Ds%kuQ6=a!6|GMK3R3YIt&W!uqkt zD}F>p1-b(ksJCWpf)R7??!y+JdZuhc?+&pP;jBBaPdo2R2Et@u__A7?7(_hRy?@*EjDi6gQAyE7}lTHDU~gwBO3jMPN0E$u&!=h)^x` zqu)o|F{j>NcTA>c2WLJfcmCpi&3!#>^ft$kdTk9qHPKujBssiXaQcycJyw3XE58Cy zs{QgxzTbMVOAm9G!K;-|d#-Ioo_YAnT6mkd$Xe-iA3VObQ|b=V>KIKsDO>3@+S&7d zVf^WbLU$aFBslUudvJmz*p2rLD(KqaK4gGEPWMStip<;{f2JpEZ`@JVW*fdU{JyI6 zj=dl%Yrjn&EHck0pr30Xk6hdO%($Z?ZpJybs=)G)Yy(XDK~a#l?~76vZI|SEO}X|2 z+1*$OGjYF8RTOZN)rA$Kt!&l{3G-b_*CBSFTP?+b)!dR4%d@@WrOWtbjNZMS zpXeMw}a$vA$yA9qAr@UJK|@l&E` zmVG2FyUcauYPjg_S;zs6f|PUD**{LGVwT-Lew?i0Ro}cuPS>}d;SUz7=?l(rVw!sS{RTknn6vl(6efK8Ka`=3YGg z-MqN-piNMBW+uE5UXW%u=Iyw+6kfn(IPS*K&7RQfb;XdU<+7!n`U8jaQwE&X&XNg6 z+Y7~Eu?h1wn{5!sa)fnW*1R}lMzWEgbm}^W?TvLFe_GNxZl&~5mlLJ>6xhm)HVd^C z1(&#q{1iQ)>FR$bU!Y08T*$i1uh9A7$HhN*wY#hBY+CH}=Cpa%`9u5e;mMa<)5ACC zufHl`>Ed_;Gi(6kaP;;LTs6R-cK z{DzaVK2r|VOP*M1nhmt~ns7E!lQn62`|5m6COGP^SHNbl!{ACl15tVF@Kteo0;p6g zSUzJ0YLtU%iZsRATuGabwrE@KUR=TNwk{5L^iB99;1{2f+6n0A;Of zk%X-NmYsoY?lh&u3Ha27q_!RBP(4_;y7$v)EEHEO&VHM|9rI8Qls5rS-O3<@`uXll zcOWI|4-XEewtX)SCJ%L12L;0cl(a20k}hIC4^r3Lk*7X=FtoP8cDM-R;B~IB-FC_v zEZCt&5|Y(U;{heK8PRly#UWM#3jB{d-6)tiC#F5sX-9Yxu7JLf*1T;TdbY#KYOaI9MoGfE&2Xttyag)Pu@jzdL(fp_ z03s`Au7k%?X{|3t+SEkV%?4$_G9SD$ZdkOaZ#?yOmZ}bb8lMhEo3qS7SO#~m%urjT z7AL*~lRA&C`RGvO1VNi)3{O{A1X2e4MMLu#P&rv=Wg4bN%UT7PtTWaEWH}y`UAm}o znrmudy3L_oPk_-5@AuFFOMNy?{E>3?V0w`FtqL&Y%f0u1t$}JOya)$4Wqkl?z611C zYMo{lnL=P;0G2^{+MEWAu3z}jNKOT(`Lut``44z^G9QELFs+o0A`J_K6BLM1q*iC)XiCm{Nb;@LM zcu<#AaF@mEYCn;6f#qIq{i~c+0@w|YBzj{pb?$v;fuB;qGg6b#HKkd2l6L!bft5aa z1MC0Ut6^A{B5(CCO8WmSYUH^j;+M~Gz;gU*`<$A1j$^?ez<4WCO!rGf0+$T?CK7;s zx)W)@xdTZNK#YGbq|mK9$G%*ItKxy;Z3d&&>GN|LlCK7iU|C|@3VfF=vvbgb^Zk5G zjYXkvdbEaYOxJxFjNfPgY}X3wpHHgQs)G)V_rVXW_noq=&a8`*j&@2%M&B*IUmnE? za(&!y2Jd0Nr_db}i8cZ@AU6eekw8bow+I*rlAnL$mVh|L{088$th&Jm=o@)&fIpP3 z6b0!vD%kp3ov3){@%&vBn?1JjNyP(WP<3Kw$wEP%>c=|@em=Lk)t^SpHNfWUWxCZN z`{BXZ1?9&X590?N6A73GG>k;>At4n^77+j9<_dv}3{xaly1{gc`rXk6LgvJz1^ycz zvk10r?j+xM{3U^OQkRU~=}{#o>PnHxN2+w^+{y3sVz*5a>!S_J{rej1@t-svIkF znj-xV7?L1?%6SEYvSp*#eEw7+T)q=$p_VeJYS{f$5L|vkiy1ehq86S$-)^ho6eg;W zz)P4emkYL(vi&DWW)0RuDnJXpi*>#b={iVhFbMzhK5R8P)xu_IC=uYk5 zEvi&65vWgnk9C?d07U%%L3S_tzUG*v2pWy0Tmu!4&Ylm=Z%8jT6~B0>L9j=QQlWS= zxQBm`N+AJIjw|ng7lc2Z90;7I%dSN_myQ3!i(t!bGJeC3B?CYOd+V`YLohsk|m^wh0)BVDz}gPxY4?Hhnhr z_w?oW_UYmgXlVtgzq{!E5#VEViUaFy@A-7_?C|}xs&uX*mqQZZ`MbMZ=bZ7;X>_$X zx#{omIbM+u#R%5x!CB>{z4y%M?%olh1}~2So#RtIr?Gy?k~|T^!1^m^K=~_t;^?ah zY&0Y-m=BXeF|M*1shpNGSfZ~Z<5mvbiJjl1#xWK%M6Uc<@zQWb6w{;a0l-J-w<>D8&;j(| z4VM5JpA!pHXT_8;j0#fzPWZ=8SvnK+x{M&L7Tt84g>T9SG}_noJQdUf7jN*;X$OD^ z259rZ5_9Uhh0n2tG;@bXOcx#bEk=7KV{3#HWyP^1yam| z5fnkaErCY14&k&#q7X2=j*u{)u`q<$j?vY^kv9QBen#QW41ZJ`K9iuS_hiF`O9*gx zr?GBY3GH)6Q|`4ciFhWZT!L>&<=`Uw6m1MGk&fm7=48qTI}B)kX-t4libR6tl61Oy zb(`fsD*iHlp?hh=@b)UeJDJ<;2 zJVAlxWY`;g4o_(gKii6_iLiXe7xb-U)PKWa4Ihh0JGrI8RQHsKRg$-qM-oUw7cDNG zG+C&LM=yh_Dz4FjaR{q03#E5Gr3P5r!(zjvd&y9iQpB0wII>HvKWi#v!&?(%zD!Ly zRjg#Exj)A%%utUfXP`EUb4xZ@oq$2nkYGO;vWWj$o!m6@k;bRWi~^17u?iQ9UN)L5 zbfqm-{k;&j$opW1!FezEPZHBUnAu)1Ut7v}oke9aF{RlL^vQa#>z+1;=Gfva4$}@m z@R=&J`-&Zmqd<9-9NvOx2lrtPlY{iSN%uc1>OTUF`3zzIH12hK(HT|>7kpc?}}p*c$mnl zWVAm{BIWEnUWL--H#g(PtEqEv`);@@KZBqk42hzF+@Lj$%~~12;kmqE#u5EkIJ#k~ z=Pq+0B$&Lg2$;pdYyh?MbyY^b*0O^>^62S)xqMofxx|-o(zKT-e{2uItu$)-{;E5- zuyFrp#q>4a?)~g|;i7YarxIvO%*(yX2_wb#k#ybEVLD z9b8wfcYFrWinej}GmhhsvdONe>U17arevbG&H|5BnRtpsvd?f66+t%7&@fWNL;|K- z)LLvqzPW(L6x7TMQtlNl^9zIXd%oL6D7q=udB}i3&9$=p1shRnhSwSF#>=68!Ul0s zx%if91MWO%T%DSIK{Bhf>1f29_H0z?Uia^WOyl(mx|$|)O9~E0V_Mb0sgzu6obE$^ zBW6W4)2Ieg<11u~p6S{2$CkS^&a~v^_)ExQUXEg@KLvY09)p8 z=(R4j&YVKA$NJ^cjpB<`)#R}qppm>*^q4gO^#<_)pUxz$ zZFIMXWTnZ`3ZxZ9(rzQPK4}vfnjTh3o;jgw`H~P-W`P&$F3~y>COVGTn&4Ih&^2J1RGtXP6g(aCrEe&1)(F( za2Efch94&(AfLUfQ1jss2bo9k3)q<~XsZN%qBp}g#|y1-dV^M>yg&}3_(eIhfunx`4?I#@?y;4)3c z`auxhbe<}umTOVVQ~Ape@Rs*|Q^vvrd~UA9zborrT+0eL{6SV>m>To)-5j+SFIB3t zEMP)0J9(0hPgp=;C8Q|!sK!h*De;jrLKsMs9qLR_ky2#``je!%@S{+FVj`su4D>6n zQpH3D%OKTkLd^x*$kd3hZyGcftJqEBrovZ~C(mlL!2S<#q~R&l;b`;6PWWc!S#Y?Q zo{lko7UZB~u1gsKVOh3kCc216V4gA#@$={`vBwUOFvIsH%rK?e`ptlVo?hg4Y#_DJI>|4E~YRG8lDl(z)&}e`>L%4=Ml6-!dHmv z9DG2D@LSw#z|!t+IdZC+{CTk3A4zm^dqR`!WF_uEQ&o9s9I=n*&+9)6I>md|0(q4) zQ#-or7;0XRb_0JPvg-KyDd-gH?!Lov(9(omIgylbIC=$f<}<*n3thkPsB9m+8;3ZzrDdcPMkN4_$pB?;pXc z`hFxtBGV{`f zLUvyg>ZajNoOL9;KJq-h}Jkepn{;G}DH}ZXXxG9|R zNHTDU<}D6Vach|(;3wVw+If-(V|i19P#S4>>|BuSymiyvHbD8c8~O9E)%_bGtM8&T z1-5ID5?MabW!20BH}%+sxyk_<=qphc$mxX=oTA8GS~i)XayiRskqulz(7O4Wq6&zw zr5q>=wD0#yU$cy%Mp=7pF+p_z$dc?UDliw8l1_mCifRc{0DANiW3FjfqQDqAh#uJ& zT_Z@-?t6s@s0H_nXfT|jR@*39{&@aOEVWQce*9p?NColriJ|PO z!Tw4Rwa9CKy5@@&!1-?rCA_WFGp^by$6jn=L`3Fcz(9hHu?1#+4UjHE%4+gRJ5mE_im{rBMYm8cid@EzLE zbES_kMN0qp-qT;bw89Y63f2Q$fvG|(bvGDHZg8_Si|=B!LBm5UZBOTw$nQmKbzNOX zomaOV6YMvxmdD*sCi<-s_oXtjK?P}sm*nX@Eb`r8$Lc5V-iHMl=SHOyS-daaN8VR= z=PNJXkM=UoX}`1m#|Sb_igqryYJh)J-i_}Z!_Jpg!p;THGESuKF4?)T%I{Y!N%*bt zSixc&hPz*(GIaUv)+Ov@-&SdLA_n9v3A<~i+B?6`Uc3Ru(^q^eZD%|b^#aT{rwkE1 zZDYj)^dUF&LD#iqgK{IhO}F`T2vxmi2y?a~*UzlB+2+U;=b(J8IwAiWFVi!fYfc?9 zfgt~CK}93X%HM#Pq~JOT&93;AXvS_t8Zf7SsVe%DVT}@4J|Bl^JYUb?pQ8`b zVOd12D)E{eS1zG$NSda8`a97d{1$ph3=Zw=LerHku?LCorD%a98q?+2RF1S9(|8}k zQ}E`GaP8)c3ejx!GB^G4W5Rsb_xnuFN~k4HuUOMOQw>MZeJ#r$?vurDM$7Og858Bw zi$#{pA~PM7=6YvUoo>sR&6*v5$i2)h4sCH^%jq5vDH25(jVQMtlc>>!2(7TR9{lDZ zT39EMBDB^j&`X=~37SA|R+G?LoWaOFm{yFniX+>7A&BW3fwZz2*eZ_0wGh zW6dn9o|VM66q3)RwiFtnpt?=hN21TP05UiE=kMB_$+;5`r4v;jr&aEVX0{8B%gq?w^JVud%L>S(F;hjJ~G+jaj5H9DtgT!D`vX|ogyeviQZRa0&gK# z?I!&d%ehziS}|%e@!N6=Hb=3<>*qP&f<;#>jt?7BfI(xS6pF5+Q3; z#t}l)x1~1pNP@z2aD^yVeiTHNgSlwlij}6Cqe%G$XP8Cu$Q+$!CsBh&5Ew7PP=0|- z9cQdl^$RTd1CM}L9>ZN!C$bGI!c?WWAs(31P*3#8iLZ@*u8QL?UVvB*nyyquVgcUd z-UxMaE(5@`IP98%y7Oug*?+VN9WvKtzTq$`{EntPbciBPuuVznx$Yj}g)aN8DaZ|` zj4BhFV89YuY+EuMoq%ZbqnvygDj^@Hk2t%K3YcEyqMy$jqQVVHgS59OT#qy#v9k9L zHAkG?LG2d`K`)9wFedzlh_q)B;*F2C`h$v2l&d-)MLyaw##p6@9A?C^y9p=2;bw3O z-JB#loTG0o0ra|^)!yPxGla{IG2SiWfZ&gX z+{KX|bHIIHAXiOVI7OeQ1IQ-c+a(>hg z{>D&YO~zPWm6~Xw#FwHeifUN%J5738X9mDQyctBF|zaCQ;qi7a}mX=wKm>@~mN`(qQ*Q!!->i5RQcbl;!C>CKnO)5PG#x`V(|a7yN}<#CXybiEa0=**(^YHi5doc-Xpf(o(AcUfh+?*S z3pBL6M;u}H)2>!=A7C&O7X?UN8i@g`$N$L9 z1>JunX(sJ~o?7I|-akrnQE(sIZAhT5kB0pz5Hb}EQ}dAzr~vbG|Ko!%!QrdMN2YrkDd^E6?Fr^^Bk0<#+mF#As|B_QSM zhC=#1aCnBR?woxy8-=s1Z(PyA8Q2h)%wT?fU{h8PFo2_3U1FiA7Rj$4*^sjctnyC$ z@(!*_8)*2~9WPwRCT(C*aq9nA-Z`r~NWeI5^|AN*S-Ypv^1I?}BrCI)ffKaJM?0a= za^4%L$p;RW&@%0OfQ{b>Wc~kryT>ngKywgXTr8iZ-YxXm2&n9ZwQhgKTTHF&#R4kc z{HaCTf5rf~Lh6kWCWxuk$`!;dg!dOlHpHQ!n97MG!h|mvp%~ku**!6{XJ1C+eKWjf__yh}wy#D^cRf`oD z?MmIeAK>+B)e7ow;3jHxM2T5ezpkAuXslJQ9cR0be17McF(je2FHDL(LUv0w=9M)) zQAUFTnN?nnJOrBx?@U$_qC(X8Q6^2dr;=D>`uj{g)SAmrom zNtCq3!$-chC6oi9DH$5+ykfE-cO3tJpi2f1RF($)ix6 z?0}>+@0ofx%77^Xcd(cEPl|$R2g)Qgvu}_B6WOzX($?Bd7Po1Q3ADVbdW``n5$)xt zJe&btUcamxfCReQng@=iVLQUV-w%x7@Rw{7YLN!o=xtC65RCE_cZgTDKGCuOvo3oL zLp9dzR@yo%x|RtuBV7Bx;HPXhx9-E{>?`&Egl4nw(8*!AWtN#!pnx^>8zB|Ri0+pjTZRz`B|uI*~FYUO1%WdylBOs3G0Y6Q8YdEcWYt09{=oawi) zUHYy?-0&KfbVysY`F~!#hih)`PAUhJdPSTumr_c$$}~n?AC9=TPDtga$gX&T)`uqy zt0!pLKxX{^K#YKRgBgrY{opq^CT$10p3ms(`qkgRdsZ)ka`_jl3`0SU?E?tAWtJL0 zXM4>&oqa#{{ZQEHI2Ioh^ zesZPx0&BY^QV&Yri>AY8N9U{X)q|dUu{T^Lfs`9Vqie>R>A6?AYOiL;N5&-tX|NeS zHrs)?Ev?;R%f4$YrGw!#1h_K=b~89BIrRJSAHus zHxpkFvME{KGNWkj>B^*$wxJt@@zy#rHW@b1ZKVlOzw1uWt{C67-x(Rsk(S)kkKvMf zviET*g*J4G6#%-vA)Rse`$pkYWlqR-D6gL4g*^8e3Etmhwv@W1ou5A`tF<)oGEqLRC` z#W;rSMJGcHY#I6w;-W>hc`0;Fq$99kT-chpvtPcWxcBAt@9z&?Lx&^8WUE{u5P0DU zhBMi+Ih$VVh`I+{)IZU7sSDMKU=IZE!wK`#mqsU)tyU@T&gaPVPrgNZAFXiz*w@!k z6HWOm4((A!>VH@`_W_nEJ|>WeragQFp?Ozb!!{*GgF2IxwYue2O)~jIuLJV{T2IkU z&rF=7o5~RlHeLT$TO@=mBOsN#P1l;T0T*H z^b%fkiRul*c$mdw6}@)1L$vzNO5svxt3{5CcGEODz4l2GX7?;%exY3WBPN)-zN;Bn zO^rg|k`i1Sk2z?tIz=zCV!kDFcr(>(Q#ziGD(+v9%^bGOj(Y~j=KJ|?!AOZ!qJSk_ zozR2geJ5SDO`vOJJKF1t{=bmd+#hoLnfi9Ps+;BXtrO++XyUe&GJ03fzh3JI-q(y_ z#yw(65UG;$6-#-P8C>yJaz|vg&3dgcCMTIuInhiqjG62xc1e&%tg)oJ+!BYf7?gip z6^aNwdk)vYpN)h_!*T?w1<7Hj8rDUTVpmbXx{*2ZAVZi#tRw(zO&aYO;G{C0ZaajV z_kRXk4Xg8}K>j-VJ`y{Xaa24c_o^ned%O}r(BJolc8LyVh8a}kcc(?E*?2*o8dz!^!bT{? zV4FoJrBJz^Cz_SUS;%@bb3t26`B@u&=YdeUE|Zz6ts>^C9VpXfI{GY4KL;0S_0L7w z?4?(m`xQ=0l-4<6vHKKJdZE|Mg71d=2OjItRXcX9p6iT_8GL8pHdG29LLECKjbUMYz&V5U{ z1}P+5T&&>G$&)zwBZ|=n5_O1)i^U|nR_W>w>+GqO;?~@FZq-PuGs?}@?d!CumED%@ zR*#lqi2^c>Ma;W$c%s4xd?beODUe%{b1$M!KsJGo)x~r0=;tVO^4+f2Fq+=%-$rBBbt8S22 z$l01Lh6a+?%qxSjLx#j2l9MnyVlwGP*8#+YWvOx33!gqP3WzehLgvWWp?)Moh{mgz z8W~$q!-w=U$<2c-GLWgz1`b@kBS>zlDNWVkv{dcSqt3JwqPAnZS#_JIRO9Z=4TE5}?<|hG=Ok?+ z250RL_029+Eyw%uQ2GG>>rNa!sr(IS?rT=<>TR@QKwwC2^5c! zE|2ucJ@lB|3%tBwTHNdU(U|S>_mPwNu22BNa zid`?<-C5SQmSg#3sC>^Q-059;TQ?=~0+r2-)Hl4^Z8JR=VH1#~$;0LwP_0L`phC0j z@Fn2J9^r@LNXGe3wp&l)jH!vRepla&xx(N)Fc}U^DE0xa3z4)DbcsR1w8K}tMux6^ zBctzG&w3<|A@R(|>V)8g|5DFydU-^3DD|!AqQ9lrsga2$@^tND9)IwVhMi48i_S0jhj8%3>9!aPljMfw?4ByZ{{3t9O- z0$;`DAV5~;m^DUOa2PV7Q747pz--Mt!^a4wAlVE?+^(*GJF7V&Ovz%WtDiIyD2GL{ zYB?{i;0G@oUsh%=fhz%<%uqgAINipyuI0`hdsWru${$m!)4TlGR7DyzClwS6g%&e{ z>P@zqWLg2H)394dZhG)m;$w_a@1Ae0zGH)LiR!)l8Ls~A0!h*t5+=bd*HWt;ujIFv z8d`!AN`+**QIX_jmjxTVNg^n&SW#PKe@SxPpCE`*${XqdAo{-uK*n2y-1WQ8lf*77w96ShCT9{IoK@DiPR)e~pa zSz%+jlYxNad4Bwi1wx(1E4p=y^hX!sv+P3)YQy>p!*&RlG8qiF5kh+u0E?@UZPg#5 zRd4c>&Aiy2VGIV$r~sak?(%GM0G2oT@m!H~JV1!5DN-ysK1WDs(wWnDVzi=C)5`9`mh+qJIW7me^N*KPE&q3qWkQp@GZo!I9srKw%q#f*!yx4qtM6Yq0c$@+gY(px*Wlat3b#AD zg?owR;YQh+yd2CcCEQuUr)7hJ+{e*<^AFpwEq#)CoM_a4;U7>Fe#$;5u_%Ro{(LVERN{1-w>>a`R}N_K-WLa_2=_FoSAI}`QS*TO7_!{DrVa0STPXEYZ?%LH7f+DvxoKwl;Ku-1@>@K zHJsjLE95r=FhBEkXu~;lJ{>ARPMw`dqN!Em-E#u`g{6K;LP*0(@YK?jq^~aFPV86gOG+YUIcPq^%Qzg{EKuZv>PZKBH2M~) z4Nq>hq@Zn!@k&fzY`Ow)uqi@0;vaMz3KX%r)n{_Ro(Ou%Qf$L-mTn%U(Tqd8%-b_+ zbDTbWIt~<)q8>b{JW^|b?PJA@{@br26UG&)@<)QRb|{OpcCYP+ zDvV7u#TUuf<4QUq^}b+}txe6;QaXb-uCY;Jfv7IN>ZW)SMvYB@OiNkN_il^T#qHI* zSLOQ-M)sT7Sn(z6VBmz=KEW$&iWLEE&Tt>8Y{PSMrUjSQ>D;*PJMC__$%{Kc%6_cG z%$KG9bN#U^p|zjQTY`Tmt8C3y!7;yY>%CZZ-^D=^kQkm->6Y4k^ki4X0I8@;EfNH- zee=)>ljIq#8th*iYR4bs6sz;M$bB>s2oBIo?W|u%edwG6W7?qU zTBDdyq<-o^*p9abEpqABVZIPDpTBsN;yTzet66u^S^2bgf4rBQgvh3wT6VAyzQX(H1Bev#{rujX z)pe4xHW7}@Ng4kgBoy(Q&YFSCtm!1ofHYX5GwmpefI@Xsa1zTE=?--y)XtK8FO{-@ zeHBI!*{5@WH&JF&gxUd!PR>*idrwGqkVo#0Uhmh#hR^fFJDL*5taAjFq~LHF}AP4<0i)d-JFCemDz$cVD^tNkrDfV{7-Cr`J& zzQGP3fA@FBJjOKryfFDp;M{VY64?*3gU&M%%7W32(d_eR1;K8=uCF?_dV}?rfAh{8 z1VzKm*{-r{RHIRg)G z;1P_ZP$ zd8|t6c#1`{N{(_B7&$O1Yzs(B7$1mBMOV5nRxfIdYk6BVTD|;6QO$22Wq##_8OI}g zn_->16%^%aozCW4s^QGfNtWf4%wGfMfICS0G zAufj9*K!^srv;Z}?xo2%bDm(VD6wvKPEzyKfeb;lwRS0*3zt~n z56X}Pl#Gu_-B5V9AIkHqr2VD{&v|R2VEDO4PdvD=pD^ltf!thHTmq8L$jt{S4~g_SQ@W3cKc~DC zo!|<|X`EGBVQ?|QhVMoc%{|*9BkS$u2k>tkMYfW=o0n}?eyB=YKB@Q?EGvX|UgFpB z5q8pDjGIzVp2GQY>A)uvp(ndk@`%QIf#Z|SZJZK~`M70G+kc4lYIk2DCB>MpV99y; zcn}x!whw9&>C+K`XfRd1twP zCa4j((Wnwuue(l!{KB+*+ND7HX_LnPBpp>&agX?Fqro4xHLL@PLV&B?CD$L0E6UR} z$OS=Y10zqvC7|2m=fTH+&&~MawP{uE$^YF2H+;jK#+ygblq=Z*#?MUZU`=VDJdI#I z?>ucgbH!S1>H?8}>i&k8?fvTUD13_6*OFthRHFBoQuh*SP~Pf_#D$z-YmN#2MV@q2 z{$r%xqNk|1e!<?XAU^PXViY%LcDIg?QJFW@gD!;M}1IB_`{>$OL9+jH5(Th>a} z#l=cl?e`kHJgmGf$Crb%yHB(8QtVFZFgv(vB6{;$g7pBlT13TrUs%^$9hI(LzC=(c zn{16*#rT!hvaGVKlVNLpe?Mo0`*TIr2GQSkB+GOiRpL~)-#93fd|o_w?7U%9f4f?t z&E}0X-pf6g|Ed5kO*x&Fsf$5#j2pKyCMXINe_Z3%ftnibCi_6~o|AJtEnRh2x$K~J z0V&S;{_zW$&QNK2UOHU&)8CngKR4HoP@uch@r|C+8BI6O32cUykqT1Vh}7l1!h(S0 z+>=yUc!k2%p%U(<++Z{>YWc3L@R6aYkoUe|?O^f!8@2e&vsCq!sQS&C6vxB9*_&v? zdbAA3!@}Zbz!f!ZQ=#aOYf>ky#Epn-QWpFt(ukj7E2H3BuMJFRQ@iL_*Z4j+yX8Iu zj7;)-+5J-ALsVWtIVY(74)Z!1$L7F{17EP5=r7TO_J=@g@u0Lhg;p(R#9gnJ7TC8c z^nahEEg;&_(eo2spUz)6<3fJR1C^I^unF-28R~wBlS6!`4Zw7dBFs5fhJki0Ye$zA z*;D~f3U^@Sr^&lbWawbydj5ELbs03kUKx5HM`75SZ&y3mEmoR3rjUdUG&Yf25Yt;cBs+mMhOrQ-H^&X!mXN?djkz8bIUy>_&|pyO5wlT_wi}yzl4nheR;Uy76xj#e47VH9MC@mywnm(^C<*-U(R2z zkCVKFF0pCk1bNo5GT$LEZcHilCtP!uu^$ZbM}ZHL+3yFxk6|Q=avj)6U{(NATu93E zCq?u=x9gBs@#CX&NWSB^{qj~#o=trg_ZES3$8%ssQ>01X1}%IPiC>o(KD78@v`jdo zJcq;v3#8j9;cx*VMFrAaPEjE@v!{iSjigi$!6CdHuw@DZIZ*NP!FzmCZ3~9$@QsK? zu4Go&?FOpMd*Cz?9Hw1CiqWtVnWXr!egkt1gnQ+*uo9g<;IU@eoBc-6-{N>;9Lm~f ziD29p4JqbhNL!g^h0>fAJXN~=`V@~=$v90*59OH1oss6AX+K>i2=|zW=$*=otr0GK z$JOLpT@L?1T@pcG%0plJK)YQlgFoCG_!t?GE3~C;VuSCUxziBYy|a8Q;}|eCk1cFb zL=^p`=)eFsDyoCPdqkb=4Y4%(MB#!cYLF1uH6Nc1(7DPT|bmroK zH&E{KYRaR^vsYL9G968y>tC`$QMNN3Jbb@}p@^XO7Ql2KhvNQt`hfa%7=aS%H-_G9 zQF!<*cPW>O0L=zJs+xF@fF$hMC>MR#uyMLSJSbUN9&rFuRr1a8#=ruo zVZ@;H83=obQVFX;9Y^RwSz1B~s@=QOK;lOFUB0Yfi#5DE6#1TFx{Kr1{<=Z@}oj zZefq`5UPYrT%M~cMPVs;|E$%E2qAQ@5~kGQ6~)LzBV-WeWK#7ElX580voue(g=q^0 zKg8b0@BP*;A6wtW()$PR2Uo0&J45nwZQ(_uMAR~6+Aj+f{voWtz1#L4F?R~D2;rL6 zg0Gz!0={!-^z-X=@y=hz`k_eVJHtuNiUe~7hX&6pWh7$@M_zem&vDMeC2^}87D)w zs2?I*rL#Z<&R2f3barLj7S5UJJK0<-icJ!^@;Sv>Wvo2QL(+p>=(IWzyfgH3ACn$z zlk{btk{+66$_%}vppHJqU55{fxEVkj+*M8ozj1{23>&LFNDNm!b8X0^A-Q(>-md#~ zwDSR2WkPinWMID}RKmJWsJH#T$;BTN(74`vQjf*wWGEZZJ*%8=O#4auJ}xL!Fn+yJ zKl&O8lIuQlPZ;|etkJv9V;O(^GEb8-{?qmAIGkucE+E}?|LQ%Hka4u*Vm7B%&p+QJ7&Ig%*fT3GKE2<^f-{EQ+SZ2 zzPOP3eVH6oXP6WOit{*uiti|ab(4ryej%rmow%^R-TL_}(CJ8=me6zjKO7Z=tK;e* zU2I_U>-0|ppLRqlzs#o2auNC6;=V_S%`axCeSSqUnvSK>+{g<6mdCm@q!F}kmNwb`#tk9~Ph{4Z$gKcA8cMCT z7Md5gnr-Hrt4?3Vqg-jBz^FSsafcSDaw+cEqRXm1)+5WZ#Isd>@5_Jv*g3QmkNFlJ zlkb^C9m*v^JgpSrjE?BX)2skcD4%^&0%Szl5}N93F|8Tili`XiZ}3HiCDd~$6cU>N zVowX9SQd|^7KO~#`66+Di{CFSX%KQOIZ;XHuaR&j=HmIz8W$mZZBC2^`*bOaT>zjS z`2Z(oLJlBBr`2BD6(`+Z0_Eo9-=`$^wIU@N6_@eEx<#Uu%VK-d%1RkeRYvzKsGU8N zOs~a!wL8SQ->I!$R)0Nw{wYYb^HlynT)hKuWlhvBJh5$SqKR$Wwr!u-ww;MR6DKFO z?M!TEVmtGn_xtayy7yM?r*XPh@7=Y}ZmjP0bl&ozdGpxlh}8VS_p&T?k}27froX8= z6J7*EL8n@e+d?(`K6{fsou$Vbj#+;jI*5?E^w9~;-&Kga;5p{{2Ane z2odtP$<4H>;^=TF$pHbeao3=z%DtZXruz5$9*L1hnISEwO|~TtFBo9tX}aUnVT~{l^?$ zvGO)pOBSKx@`}N{mWs6u7ugMXFbrgdgfzkxYMaDvTpwC61h|V5R%dBOg><7}>mIod z`aSKw+2F)>=zTz|;MNLiT4fdImb*oUxx#O=`&OFvh?$QeR?Uo%tBG>yCYxYsf2N5J zB?}E3S=5<$4BBQYKzj}5vrNo-&iaIJS?qp1Pqx=@6)d6j_K<=J{eB1Rw@McRx8cG2 zRGOv{@Q?44X=Tzp68;>Fd(FM7u4cK z&d%iKVJ&PZB~L2wOYY4!usn+u9F%92iUT(^fg(IYa6fI19L=n1m4L0y*}f)9&jZU> z;Y8aA1P1wG%erW@fwt8-5Wk9sq@(KD$^(^I#c7YsWqhKbth5!Hj{e}^A?Bs^ltby@CufOK~ z3Bc}Cm;4u39EPSj*dLpd+1zAtFrV4&)kg1@mgYyMKbF#R_HU16e)SG5Rr!=cVscpd zAT4i5{b}YXH!RTDS<3S9*Ywvj`}?SKRx+}5-t@coKlkrDzFOU^Y|sC=`Tx1Pdb0oH z|6i_POwjPXw&~TP-qbWrnr9FL)#wAZTkr6u!$fOVr+12L%-gofZ5i*;TwQ&Xa5Ymdzedm3;~gZxoh+Wc~B1#WZ4W2 zqhKMtd+ITl(8G1&%(m4CXk+kSm^=DleU<$^=pg1ekaJtL4n@Ae^vjDdFy_ymM{tzi z{rcWhF#UU>U{BZV&FSg`&yV$D{YTr~}`A#*>qS z&LdBH1Nbyodfi%e6b9I;yekZ#)$UUAn^Zl*Jf_yKByAXSrtJb-6!jLOLu(jZ5 zo6zEI=}v?;cutn7Y9@?^PD1`({PUi>ImGs_c5lDzvOGJF(=~Np{U_w8w-LE|1RV%% z2~-U&I?Gt=(1yI@S2du*x>l0%m@a2BGt>`cnvB zE>9-wUY$Z(t$C+kbQAhAjrLEv+_>s{@i213089ROnlfg!Mh~XXqY&_AFPlKN|4|z3 zpKCiydaf4au}QouH8229dM|Jy<5!K^Ng_{iuAZtYM!Fz!#H&QQ+?A^QWme2*S0ox4 zPnG;V=r!R`j<(7oXBe1~<=Z5#Sbs|u!jnT81vtv_6Qh`Eine5peENB8r+vX|(3zW= zsJ^P!ORZ=dE#=K28KcL6TxQcjcPLQeaV@W!M!559Jl(AqoI@A*F4?qNVf8L zQg>Zvc$RAMK>;8)o17mhR#HUckuuk=&@`1Zgv{L}$pD$*F5u|0NbyxVjy%|nXWhG} z1~9Em({KUO*ug5^jnqNMXJ9<4ztI{O5DstK`G^&PCeC)qkPA;_-$b&3RtUn%RM$DW zsA)33E#Dw5?h=*tK;cd^{9NAhT>`#;;w6)>BQruXAf`i&xWhv`r1965+GlFYlb=o7 z9vOtEuvQrgDW6Cti54Ce+4t~A@ong5%3&9i=ks)zcQ~L6P>2XCIocTkxK#o)DL&# zy6u;50W5OIJxN@n7N*bRGH>n@6KLOMHlSNHL)SbSJ_!(k2-*LFpeKSNmcC7j4+3_7 z&1wvy9|aQ@+JClqLj`v|fWrnA%KIEafa=>_4Ms|Xp->EwV*t&Lg7nci`v-gaxprP0 zn$n=XaVRMEZ>n0t;h{Pu56`fSqGEhXYy|%Fupk~@A$%$p9BoP^-mkn>3V44SRZ_w-++07cF25cfpi|THav1V)Hhi+0slrv-2BlZ;yUAtRF!Jo<)MeFIe z_wKS}&bkZ&8#ac})kn0(W9?&2zD2S|V=p{H|3}^fHji#EjP}^4k_#o0P4k=3_yf+$ z2Xhgb=8Z@>m%lJ7r&q7&9chgb#UgY~FcJbUTz~@J_XXx`1H-%G=aJBL1j{FRn{aC4 z{-7Me+v6h5aFS{i5m|QePva}fRrhdQUkSnviSM+1KaD zWVK4fS`&hgJ86cqCSZTGpK7p{%Z8Ab8=}c=ZX~-&TR^7kKa`&SH9h5YmrfU_ZLumn z{nIE!AyJXhu#wSFRUc3R(BSp>$y#0xX$s)rr4kt=_fZ9?A#U|^dO+oMgw|BW^$4y6 zv-Xx$Sm65z2;NYwpPxMi&+=G0K6k)uCh+LCyz`*c;RNZqEo6M2Pr^)>=i=1C^wsd3 z`I;4Orn&v=aC1`)i*u#Qc+&Te&X~h+wws|;zHP3D>Tcs^mPm!u+p~l3jR9W0)P1>zfI_p)7>PUg+ z1Y+L0HM1-J0&;Ey@Lp7GUF1)ahdf7V9y|WR3<8o3jK0|V?Q+tx4TCvz6QjpM_D<#- z@8a{S+#CZL9NKqoK-mR+!#Nhq6Wv+nyi#%+S}AUaYuv8}k(i>58Z+7X+RaQ1Ta1NCkW3+?7-`r`mdK&`J2PQ+(& zky3>83`yCWdDJ0i0%2*b%GOmR-L z!A--VnZb3JMTmZsNaoIUgWkn=5<+SHozW-TRS0ztMTpy5!q%)uj0#rA2U)?I}|xgu`x_aX!5I~lFxT}~XA+9@F*LTEmUDnIx?N0A*sGaO4Zkw#P(2M@ye$|`pW_OCY z{p<}hTsPZmxzw$C;<_g=oD?UE^sfB1u=ShM85%WDEzC1)*Maz( z5^qj8_XoyL0>PV%mp%y8b}tEbD2klmqgoTpNZ?O#<|44;CZV;X^8N`DP9ox|2W#i$ zMGOYz>k5yUf-2~c`nq=N)Ex*C ztiZ;{b%b4Av6FIhp}ymclS7bX63hE|K!du*{6LP)49}}{0HEfB z>mJ@46?d#)wHy&5=L*JZp;;_0VHpZbkqmEy`R=Qd{AgNDVx1t{=o#BYIlGJCw=^Cy z9V`9vgoq&j80wko*06?o>7>Z^KMnIGk7i8eQhj(DR@(|)klV=FjG1&e+zDj4|2jT? zaZE+|>+j+7k%D|RFVcOP^G*~)N8tCH8^ci(i23|MZ z6zW8@Ne5$V-Cq=eO=ugn4#goJcJ6Z>*UK2^r0iKMvegdleuBT07o7`lR$MdCc0Z7p z{nIwokKqLoSTsznU(OT@d0AN#^cas&8#||Wf?v^4~@t!Ty!fcK@1RtlXZZOFwid?cWGZWRU--#1Cr>|d(Qs6hJW zYA9yMqT_`Ke7t}(8WJeM6BwbuytoR<77HV3d0RL^&zUx5N-;^#IX7i`!1xBM!(Yj| zG5=8NIl;}Un*}6x;Z7j2_^x>mwX~~7rCEdN+Dlzti5JvmH&%J;HYePB+l7B`p65Sd zKdL@qzYoGE2)G&(7uA0<+$Ak9W=B?S;u;p62NFa_i+N0>iu@0Xg?B)7I6X4!;nHP( z2!)i1Qm@#;v2=;NQ5{4pczhh$DCNg z=qtXCm*^C6!(&vQ)P=x+Mw32W>-r|Q&e*KUz_iK0ne9_6$_RHOXFqx`%{u)df*JN* zI19q-KvuY)iDf1WL034Qfn<*3WLpGm3l#j(@6oFu%n^;;Eil@+D(+4QRa`9>c3h$n z4Z?VDCgC=J+|b?qr~WmI8GF>@X}cjV;hsM3tv3 zLH&IJ4lYo1n!lg1TbT4OHNF=tN7EZ?t>Ocs5d^bYX!1Z7Pcvv6Ne`r>fX5Z%lw{YB zT{dR+2)c{drFdn~*LQ-I#2`eoTfMIGeQj zA^1^ze}DCcl{rg&{&lb(K8k$`F*4Tf8DgqmT)s;+M`si=rrNu6vy#2VC1%l|L1B}( z0{l!pf-2#w#QwRha0#?kOmHz?S7^MXUdx_%b&c<+( z-}(;P4YH~rx>$U6)-y1|mg6i*`>AO7q0S}Kdq3hjSqyA!Uz;il4UVU?-M^D4W=9f)sPh~I&|bS}zkz9qLnG9h-|##IC1L+ewKXya1yb5;M$ zzP<l$chE zma}`r@Bw{H5e6<}`x0|rq5hIG%NMTPFvPJAr5n-}G8hsQ3ZVLsPAf$wEu!X2EwMsh z9uO12;4jlzQ}(MhRrC!kt(Xs&Hs!SR6j@SNDcB&zof^`4+5xJ)Sz(XG4XA!cbIUny7Fof1EbIs6TqjGfqHe`%Ac_oRWWXR>gXYccqPbCWv)zCHi74WLzYtEd)e zW{86p&84E{8YkW5(wX8l=}CFZ7pYIZ-D{+ClB8gH+nF%6-zu4nROY&kZ-LzlH%=db zk)rcK^}XpuLE7?m9@3|KxKTOWMoa1Kvd#+*GIw#ipVvvpK zEl|~_FM@d6dnIpeZZMS>g7e{Krt@lQ-*byj?l+=#^|(H(W$bMPsDVBk_&;0f$6TH2 zlc@S}*Y(Q%yJnT)BfFAvQ<#4}*H-JQ`L8iQ7kRFxkaxnVMymhW0{2-7N^ed8 zT*w%PdWG(S?<ar5QLjybk(HzzLPeH;VRROX0qQXi z=dPhfr8KDI_2o1@1`ziEIQ`__HyTZe#mv+)Xas+#zH1`SEPA zRtHo6{outpL!Uq@7wZhEbLy4LU6n3+(AGJ}eZ|P0&?zT0lERnlR^+m2U`^PJgFpHa zoiA&;FPHrso6O7;m zLXZ%xA(&*p2?>qhZ%iDUv6(}b*HsS>8`DYT)W@!;2;s=ZKIX_!Q8-JdL^unde7MVo ztXkt>)@n279}D>*Wx!v%gaf+Xf{O(I@l)GbM_%laKii4-(4m7_-$D<<+>emjniQ#| z(8PJ4w}~?gC8Yf%w|WyeyoWB(9Eln;@qaB*IZd`PwBJO9N{w+iiCqwe@M58}-!bpm z#EuYAZSt0$*p%HhR!Ufkc`0ax*3=9!oQsZj5B!s~JnI6C+vj>>Xb?;QqQ?BibC3!$ z*f)3?c%%+7lN%BSs!xsiyE4Be&K(Rs-DnF6ULhwwmbks%dI^tezKBL<&5mb5O+Odg z1WHSs9*VbKq>G~Wza+(^Rz5?hazWwoqY)hj3+Ef85!$qnwoTOPm+k%|Nt5CKUqg`S${1=n*3CPz?|xgEMx)v3Q5?po8qgkWdTh6Omw2V*euz(dJIp<@QFmBP5JAg zbFEJ*f!~eUof`Uv$tpX~*DtN2$s}?8opRXgSiXxz*}oM!UF=|1yRysrThqAOU*>VV z^k+K!%W)q754ZtCF#-m@*y!S{YHBzCP{lgm+Uc$XQ*>8HXv*CDh9SE57A#dV8dhtr zfj0FH>Gfpa_s?}0JY?6)tFk0RlLI{7bGv|xjT^5BdVe}xi`5R>fPl>7^7oUfXNpU| zYPu|Wdybl8)0=^{~yrk4-WYH*jB-aauM(cb?{~W z%#Iu9o}+Y7_Uz2o#&yAY&@K2`9Lxq5iCIC5(~Hyhon*~aB3vk}v$vXHlSb{Rwjkx? zu$85?t>Bef3P*wNIBuW?bM^qZiZ~?47zuZ-l4gWIQA9f$*4myZue zX*NUwedK)`Or=z>oZ&@Heus7Hh3X}OKp82~X{35Yjt0r`)ggH(JJa$wh3P3}+rli` znpbS=QUX!sz*>%Xu}~rLG*0?or`2xZzzIT`%d!-6|7i-^9)H5al7@0~cY^}}k_!=> z{@WtXtW$@LyBcJte;2ue2vky4C}aVLsQ5kJkh+IveE&#!Ag)~mn}F6zGk7Tm94?ac zrH?v(RG5<3@#`@_i3ChH&E?<6+t<_Q!TDC_mgOM9BNW;+ftM1p3q&Bs64~U691-hp zRwC=ZO|sngp2hsv(sR3G!5E!cwuO48ThLhw8JvsOQxInp03Z^l5{*+#-tJEUXP{zH)z;|B5*YOq0Lq>Fs8;CVZoj*-+yky7Si`!IE= z>DhT07~V2oWk8&|kmPR?h*_NVV~IJ?=1Nb5c8OlSC?fFakMRhF?ISO|6X#SqBzH^o z5+Q{KF8B66xbUkgv&I0$uOSEFH_dUQhNrQmbVar`*xWcV^E5H@88LR$m()TqJkCWe z9!fW@355D@zC}!86fdZj@EVC zsgyI)?d<*wS?Vp@ALZ2OH>KOPy!|iV)xrK2BFH`-ATKV~G6J?_&C$@1v#PCth`p}e z&Z$`&pKh1R`HD}oc5P_P^-PlAyH7EQlr<%w(y=-o!@b&qqzO^-XVJQh*kMDmDtP{B z$NH$aH6FjwRY9|Me1b!#or-QHjZ@|N^=cqyUV0uk-ai!S8-%yb3juvMe#R=cv2@Qv zkEp4zper~&MQb?=eg`zf(flq4t~JxIHRD45zwDvGcVoGT&=Y)!UB26 z7&ei_sE#VaJqV;bP)XO|z-RC@&u>RW)g0GBIz+-QzRH`#QLQlhmm=PkhB>K7&{KHw zKo~xNOc6e4yzFi}<`WV6NCIIi3mOY}m)o z`C&Vqv2A4`p|Jvf3s7C$4-E}XsE2knK{_139h;Bfmm4w~@>c3f--AHJcSa2uo3|7&m%%zwQi2A3zAlXinP0d5eUX=|= zNup#Ej3b0Xq<3M-BPgOk;{|Y@5a}aW@*IjNzf~W0Ntfn+VedYvdJE9X2hK}5MGYw; z^g7?SR~qhR+^8=vc|6217*J-3F=&W8l{kB5P>H1pr2D+8=H;7*K8G?DkPQ=PL+nzh z?}Q?807$m!X1E~GsJi4y7 z!0^oYn2^QclK0VrX^m}Vw5hY>JFhPPj)_jwkPnclx8Gp(@^B_VZSZt|5@P{s7y}<- zGLJ%=mykA}4fj+Om4sM?&>Qmh7;UIb3bmKm0TptTSVA2dVqe9toCVd8gf@T!w-QJE zQ7VQ73Hp#P?Q&?7-Grb6w9?Z@R8|zt#LKSCX^@I8Opx1N4b&A$^*$%JZl@x%SajVn z<2WnLfv=^8-Uzw$Ajln3E)*e)srGpM@tdDOwn@fz48)Ap=1pilHspPw&H+jPTXM7+ zOjv?lQiCsbw$)!&5UtNo1HE69&3Y;5X0Eo@Yh*r&fn>TIsA0v-2YsQ5KAVi+*wLyd zLnv%JmSMpq!2=pgZdD&?D~Ywx$2_o`|5R`>%wc(XO+dMspA2f2A^@y+msgeZ-hvgM zL1##wg$w)QGiS=P5X%}`{()9x(sa0sHVhEPEk9n=D|b$TqN-@6#y<8eK-~uOqTLKu zAw$S@+>`M-rV!(Noq{Pv<2Un)HTk|LU-y?Z*KrAt+klRp`66H-c>->fnLd10cI_}0 z+eGY49Xre<_VfWK=nM!Qbg%T6R;HysDf&uU7#F)SR726P6{VjIH%~u8~Izb6m z1oxL)apn~EF`G21WKYN}ua4J#XTI=-0Wz)TL(Ua?af`fP=u)2_In2OVO{p5UCbD2Z zin2YcmoWE2f3OU78EM=)>uo>VVg@adW+->c&kDRCa*J6%pPfF8ogt1J`IAf1H~{PY zrzIps-V|VNIi@@ED^eUjaN2y5vl=S6nJ%16$xuWDN+>J3u1X>DR$igTU|o zW>sd5b(H8;o{>8DweiAd|eu_?|_xuHXBkK9IIU! z0IjGj8{^^)L>WAexqSC&5(Gc6}8#oQ(3@a-1Q$z1ph67j=4#2o%o#aw07!8$JMrWZ5^2|TxWn{MHui~TBGKlxlW$#S%^Q+=uR&gwJJ4syzggJgrN=h zFBw;4q1XNYr;y3LV((8-a^LGQsCZD3nPJuXPhNc+2DQ2+e}+Kb{NHinZ=gF;vLxZ; z`UP}OO5oC<*c|`AzFv@s#+almNP5{EyYajo zR4oScNsyR^N!A;v;t|PVptPKSb{BO7u z$$y>c^V3Jl=t36LKR#u~)xRBh{&%aZT7IQSSJ>61j>J*%dh(_fqz@Dc9;Qu3O+@hN z`Yh%t94Ss0vy}UyyE6mRQJe%Rdov`=c~~OHTn{%>{3K^7es&5)(VJ1W2E1&aL)BT? z&YG7+UH!-j`HKK)yRVsC2B`)<+^r@m9h_nC3!4ayo6K3#c+SM{dvAl0ObbjhnE|7f zum|#*s{&%<5h}g;Gnp^!S|6-;@TDbEda{StM@T5zAN@*UbLQfl*uad#&^6+5>N0=U zv2nMg<_1z2iP+e{-rn9GtH{SM!2Q-rRnw4vWqSeccsuuQ*dp{OIH@xwhw&Gu?GT|u=8&F|%+ z>ilGJ>wHlQWyKtpiA1@?!~3M;x1Cv0zo>;-muPDE#E4gTi)6e<*1961pFrR%VOCof zee70dS-tSExsEbR12$$R-SJBZv|)XFL*$roicA5*v*jN$2Qxi?$W+?E0e;iaarRF? z;l7Mj!g@cQNedee?1tn7FKAcyG)HB~>~Mzrmy&=w$?>Ec*tn?nP&AfaX7XcF$)O}; zbIvGS^tdRrEb&cU>9{^fiHJVmF5XbwFSvDFm!YsBSl~co@=^$S+Du^-1grK_wXQN` zGae!$?^ed+YVh?NqMUa1j3`JjdXz7o3g_*QtT;Fr zRN%ky{7>n{qq($n%iDe1cp3lF&b9A*V6*X)u%&z4gb%vs-{&8-r_VN2#ilgZBg(O6 zfW{v;hK<@EKFB^BZgXZ#{Vgj70%#uHSL=@*b}MfCoxdm!dIm{&Kf<}F@~@40T5k-W z?0*owRu~G+3aI7y{>br_&EGPnqCUlBHh4gP;2&IS8vbQv=hhnINavH2|G%%RXyzw& zfu|+rXLbQkjxCNg>wKO4^PjFlTK8w)Q1gisGe-}8(HoQLVfQ96AXtqpQ(~EJDW|P< zl!{i9OmFdf&4hPLHNgVejdT#Sg(^E8uty`R>W&bx4)4s$HagZh(@6Zb-uiEANOZQ} zSoZ((By-lGb4k9op-t``P#t$vvl5U(BCvNR1|aC>OFFCdUmFIWCp=-ECOVT}Ca!t? zxOJHRLzh#x(e{vz*=*NMUVgUOI?@!zWyE9j_9$SDXcT}u%phB(rycX}X6Oo+;5?H0 z&aiD4MX42KW%Q@rtcd^19pK}x|Ga8((QUI(LEaNuq)Vjvru!pLMBGg1kS{dKPjSo> z8qHxU%+CsSzD2%t8y&K&R$dA+LnlzCx#wzjD*@whUGM41glvW3k9~a7Y$ljDf(Kk5 zj39??JwqQ=&@}!*fu^I8m@2Q&a3xuiY!_{JdFuH?x%!{+lSrh91-!L|chgWjnU~Yv z2;m>wQN|2LE1x?ni_@UfxXvE;WVAR!|5^zK+cGcaW-F=D#UXF&Ol3$#UeH@kmhAKb zvuZ*Ed>2hykOdPQ)=efM3^+m=^F&r={WgR>5I@x`LS7i-!d~JU!(liT5_x=9o0yjj7>2 z#|*sWL=U`3_YS=DL{?|$6_J&g5li8xoY3K>jB?_xAFXw}v}D$eUJDv@^QRM;jSFCC zdE|gmA9j|XGly34h%_A>FY2&aCD6dkci~axIS&rFl+^F8RP743dUKT(i1l&YsW>

j?MLeA#yM?&9m%2O(?Sg= z86yuHMnc2Of-i7=821;4Rxl1cPZd$~qNvFE#vw>~f}4|1NNr5##d%^a)@VaU7L>JJu4*OoS*wd%CuG^D&Epsdndtz&bBXn`prB`TVApappq~rLxbPwF;x(>cdzdUD~UilZ7m6tk`hP!rN_LtWru6 zQ)09F6P-r5MLf8^=Acu?E2DY+d^9uCYMr8oKfuMi_5VNDYQqhE`s91gj0`~YJi-k< zeN62^Z`lRzkhIDm$-EgMrTU4r%6Tnb%AhJ-ckS)mxO_L90g9p#QBmE|CDzLN{@Vx* zb&A>2n6S;A{eCLM4H^QiUl`$@#z{hGQl9F7;#fvFmieP|n*ADdQU}8&>u-zMf$h8 zYmOlbA5K!>5vEnH&jO-HDIGde$T+7To+3~at{bMezN3S_yj4)Sn*^3I_1O3=Ci&WfVv zKdl)Lm{kO3i*Lz*8($c@qjFmk0XbEITSVLe8`m!$6Gm@uh;h-v8!`yuHPI_H+MbZM zI4JSNT?>2|e+fs@#dzZs$*o*O`WVPg?qVTug&!-4B9OX77aW`%p=qmIgN5;%w;jPR z_!$^xj&1fT?*Tg@K%z89af8&YQl1S79C^Sf1XafY7{=CZQ1q2opSUM~e^;^2v;2y` z88hxI_$2Dc|GZZ|ihi#x3WRq4I^I|V&f zrnIW9baqe;{vBy&n3`)T#J{|0gx@kCInwJ>mXBab{C!L&I~5e79zK{YF)9ZuF zbk?yD(n?wnQx#n4zRYe*BoMDltx~ewzeMA#DB;=PpDMhV$LMf^&K_9j&?LF|$mi6E z)g*bF%Xk_p;C)F-O9E7fbS$_Ddfg^OUMg)VBt>XpkSJ8`3UjAt9Xp34HG1@R*Vszr zhI-QQ;J9m4nIH%~OI;;%Ol26lLciebcSOHPGnNY>!k|x=f7jG)2KO?qCv^gumlF8R0E1fFX9{HbTNxN?7d&k!CaAO z&HX;G_4t?MtF$ew_G*ZtcNof0&98)}t6KKZ--i;mjXHoBkqWQDuV;+*h#^ntxUY3| z883C+8p;2DZ^VCUjY53;!V~3`zXk71qKyG!# zh;Su}C%1V+Z71(S#@$HFIDH>{Nh=EV3P=-mo^V#N5-)6BqlM_7rxhD6R*OKG*27=C zNh@;cQ~ad{O_|)W3LG!-(eHOG#8~?A7U4*RAMBtIEpmvWUtK1)onR*Vzfmz%(|^jX zr5lSYZq+^DVK86+u(fQVxf>Q>?)j*9t)+!_DkX7mRkKo~a>&y$LKZm7q7$gpdjiSd z5UX>wD|b;0SEUj_2DBMy0Caxa!g4L!eDyAfvv61X3+sulXzGEqf<7tSDX)e4R*qzr zxlCe%ahw3mgN?4LbE;42=qu7}#bN|+UsJ3+@zD&9C`p@hRlysw4arYbilhtGcT#RT zC=mNmdH<%7%cu@5bRTu?yYjqFTc>hvZ2NFBc(8G;^kf`t*c}2$cS|5U|0>R@c)87s z5D@I{`gB1;L6LnqW0?)Y-0}T_?I1<@NR{$`fksZ;wHEY)fUo%`8bBx#l|WI^!06L9 z&)r(%yCfC$O@;5+SBcLRSyJr4KKn}NIROvSKqQ!vyP>qm#Ti@5Z62RHEy6Ck%JKN3 zu9kPj6qhShXwyx><66MgoIvXS(Zw468CeO!pO5_3CB;k%qPXMn`wp*Si2Yhp;hbDv zAvUTREOZ>0ZHseC<#WTjTZq8?it#NHrN^hnGHf z!?vb`~1ZJfd;_)L9)E+DFvPAg-t?`CQ1&xl>5!5nL`GN&Q&XNl~{+PX`*H5T+S znt=zLf+KE1ZhpeicVH02xmF4T*`#8CvJ75>^C#BippTuY(Qk(veSNjN0u^orW8vIK-cPN(Ej?Gw zvZhW#r-XYc_z6Y7`$ZsYfSZFOd2iVLGW~{s28=SOa3z!|%`6bw-qA^UeohtSDk(|0 zBl%29GPd&nT4E6_80*udD==PiY!1!AwPS!iP1yA9+n$y8rmkPXDV*u!%4G?jO`>N@q6 z6CuS}fw4d5^IZX$%>^`edaNXCaE#z-b>&#-$|lwWfois{i_sXSeB}FaQ4@7LQIVH0 zk0=5o8>0I$G$T zEaIeTE~-SGDlAzK*FsoVtYC`)RHhqn6`2pIO4A(;WWj0}IO`)V0@d3C5v#ezT?{D9 z=~%&fg{?Hal;_DYqY^P~gxSHH+EK>m4tRkI~=MXQd&Pbm4me&=CpplZKi==z& z$|{01*t?u>MQ}M$*@2}KbK+AUKW(~sdWf33Q=cbSs!}S@!ITJ1g%%{=+`T6D%)Pj& ztRD8w-cDKc_PB8!5UI{FVOHY%%?Bz>jGiI#B~`Q3Xs5COiPQ zW~4nP_03)-GW|IDVG`h3oitRs4iE^=+GsFgE;G&cGK6igXkmPm0J9m}2JwoiD zxMYl-66AShN&6`t{k;bhs|l&u&;SE$P5Xfhg_5>oU7JkXA21$a^nc%{bjh__QYh?0 zk{epa=7>@h?ws{g&juN^A&YP1K&li$d~>iP6Bj823*HtPRM|%@Nj`I<5UEkM1+HmD z?blT+=(joO58pnYKVgVOaTI8oS_qWRhJS?>AjDorzuf=!Cw{+#-j@*yP6!?0$$v<_ zm@w-dw*zM|c@Xu^laLxBJ4j@@7aL@p<#>b*4&%R>yZ_Xum-sv~O%$^({}b#aWN4^N z2mf{hqQbh5^%i9SXVgmfDB!Sd8>%C{X&{a825Uxp?zWW+^S8@$GA~>_8RCbc(bhQn zt|(l;;K{6`Nf}obPf9tjq19!Mg@Q ztB-4Mz{1JM)k`Y%m@vZ8I1+_VK&oJ`)5WG&4UUQx&E$6wc>y?;+gOZ&Kulk3)aZq< zt;cHd(|7QVRGR9LR7t*7jz5v!a5!FnB_Q=f{x+}sZmA74fIV0C>3VjVVmpj=*)_n$#(hfF_ z;cDfVDB4z}i7X;BDPV3e~) z<(h`#87q)vZDHcJYgisEQe}U9$@yYv2y)a8y!oUGt7cETy4BTXuQKSn1psYh2R^c> zUeGVs!=XYG%A0qzRyNqpB`<_Al1!oXMYm89Z3IewPE}Y%7hbV*2|bY>y1}N>*czW# zFxV(ndqW^EgSmJk_*bkMdpTBwnQRuW8eM-Ae3;q03#SX9I;pa|2$B!>`>F`NNO-K? zF!)Y3j6}wC@we>omS)qr7EQ#pWq7ET^tq)L^g%6qJ-VqDj2xWhov%MWZHYN8_-l2#B4d z6ywP4sqL)CKwG$%+Za?YhN;F6k>0}5LR?9dVu<*rXAdCx5(ad&AH4V|i|YT!+FQUz z4s`9B6J}?3hDpR~#YoUzI8n-#Lo9>l4+KSfBTM0CL{lfQ541?M3 z3UuO~h$u}!zg6Y~bO+*BI;t=n0&~bHKIsT@#1uv+esh0X8rEAme7>CCZX*K51gzi? z7o^}2DV$<{B_SWI9t7pbLu0{}aMn)Q-j9+1URV#WqqCl>qcW=4s-1hOixM71H+R)j zng(_DAZdy5+0<<#RMknHKmF@)2pIR6c}82H=x1^wDqSQ|$TKJC=mL+P$Tf0!lvNc_ zA!?6?73K34py;vkBD*K7i*GpNc1NJ0K?}1Vt1ik4r$sr+N>k-!N2;pM)D<`p(tt2% zn-Ti)E@Wcaam{*4s-J!IfounniOjH2c+CJhE+&gESqN>QYCr(?`Ys0OIxKpSk-itQ zCl7+?mONNO>q5w%&g@uH`_jVE^;60_H*Q>u^_Jzp>HPJ`YZeH*lJ)3omi+B0e6+XC zNv8h@>Dirh8$rgYCR^a#LG%;QEB->?3y%yRL9Y<)t4lLG{t*5tyqbB`*u6;ja)W>$ z-cL5+MIQQ1xdLAD;j1m-j|}i}=AYNAy)<|gPg>4ZytX~f844zh}gLIbS>mUm(l zt`WyqU8cX|Jn{-MUBZWxcmpa|3C^XLh0XX(qed5WwNUJ7MS{3>ys_|qOwk;6IZx(q zQ$;^#?j|JQmn2+@yT#bxH5)~lw$H!3O-KDc2ja;iBvt4lMwzE3fL3M;!1@l8F(2>F)pK$SN$Q#>_SjEGF5tf|6MaLqK?S8%3 zGw6pS3xBI>>J$MsadiT*K^%@I57#P^Ppv>J^kNYT@ChWOXpI;aH*9x&>*pOcILTFI8HDDj(ZI~QUTw}zyE&yq>_B6O3gqw=g*ybM zz%v?Bl@4>B$`ji|OX~zVspVZD{gUp<5151(TJ&GGu*$V|8QUx@MlxfOlmk7&8`V|2 z`=Q0YT>G;YGIffI+qG{Z{m?RHTLFK=n}b(~{5wfo`U(qU5B~NrE7Adx&YzT$$89Z3 zX}~TXn7VxOXMss`U5q1hdY@BJqBgA|ib1bY-2C=bFBfh3m8?J4aN=)Ksd;H^3)j)+ zD-@Tv;6`7{(a>Y?Rz*Sbb!|g=s)Hm`FiX0EXvfrpBg9d}{(xv+gwBP=dNA{YDWN{z z!gDO?4aml^A4v40^84?Q$uPeXXfm7@8-(j0Xou+eY(C(JmJ1;>u&R8;I#w|Ilii4^ zmO($f(~n{U!?mgYR7tb&2o2Y)wAJCwQGsa@Q(RcHTKU|Y;FE^W80~})m93KvltywrfzO1>#8XQS0v-4Lu9iI~Tqu?{uI`Qr} z{n;%S4UnwxV^AR2wgT4UM1Sz#EYvsM30VmcgFNsrPXO2QMqw(jf}Eq^x3XPg^SxZq zF9lFcLCLx}8BcdAGV#SaW}4N!Olt-yYeUN&glxQ6bR*(bYWq_-2a<`?xrL}NO7ur) z=C&xT0(AW=PFfzq7hX&%<}zGLZoM-Wn0b6BE5DviGxm#Ylp0Suf7+{)*K9l#ah<&= zRi-}2I_XKX$#8u>lOg;NWi!=2XHr{*EwIv=dqKWME;i(fMA_^V0-OO^?`IxnYVY5k zn`hWDTDAlSpDq*Hiwan4yhsGIY@)0lo6E3}X^Lf=gftMPb7FF9b3ba358Xp3d9+Eq z@@ma`ZNrQObHU!wXa5QJ^QkRFP_0F3YQ5qvE*eF0|F>xqWE7+dmz?~ok6%2~l8t=O_0El)hghbmZoZow283@Fb?l zN&F*Us37P1|A8 zIbp}?#VV(EYd^7+^6*RUk9I7?;?(F~#h2(Rp7c|1PFMb7h2ZX9ruHi58I-}}8GB@M3r8X!;rlK8{L9P!>}& zG!o7N28P^nxaH$TjiHsh4O+=7bxEcEvXL~I>@xg#J%mE2?6yq$*{A!aLEnNM_Rk!a zk$L;yT*xxjN4O+gO>Ow$mMn?ymzK*xC=-XaP$A8iMW-4zM*Q z8pCRpdZcW}=@t&l9v8eLbJYC9fN z1&z83H(A!{sn+6$nIWehxz?j>Ee9SkLi}4t49Y!>9xs`$7VT!NaT+m4Y6TtQ1F_4| zM@+58M9IO08^;nJ2!7qx73&-dOcM<=PBAa3)@07kF|Y70ee0qhKrIl+g zG3oNl7OSVYS~)dy4GU}w`z}*ux(n@xnMztUI4S?-?4Hz~aY^Vj9_b8Rfga-})(BKd zO{rVLL|o)xjwK#!xe9dWuzS1LQ>cx1vQf4h(-Q-ScXI`%VfgQ^lO6b%D&_IUtA`f9 zgM?$VRB?~Llo$u!TYZuJrujCHH~IyVO0)RpaodVL{g@+zH7~_ z(OTZ+oi5YXdl)A^z&Q8VtW~3Y>BbRSOmy3K4ujh<#->Iw@-)^D=(8-aOyJ+`2YMGh zhDJ`5zA+R0ib?!p;s%ePQrvlVRb>6E$um1E28 zDw>nsnL#iTxqY+FB3BJ;#Wh}l#gWxlDg-cO{*^i^aJ*VNSN!{Hu|9X^e&EOpKH;V0 zuP^3CI6QxP2N>%z=2!V_Hzz58rb6PYt5;RaBGeHiIy|)7n8vB@?ryW=D~au)Dop^G zV;27C?IuE-7R)!NS45{~g=^5##L75%D8v4lcv0yoBDzg|Q!Jt2Ou8SHl5^B_x;9fg z!Dl;iY*{u%+yC{GXBGMZCDL?{W-`>tqDPu-f0jiBtjshbl9&V2#Q^3mt4Lw6)^FtC zur!k%YChA54I5sko#WcVj&cqQ?yy32(T;=K$5z0O9#crJ@^JgYVzjBjB&1Eiuet27+|YkFgwB+Y!{}{+wKD=T;)WEj^A3{75y`%kfLP6dU6JlchGEX(QvYa_A@G2W z0t?lRq4ogFVDTCZaf&sbUr@T&sd9lQ7Ov|8MdZU?2Oo`0$})>Dag$54Uoh8x3t1IOml#SAyeR0XU#y$<^{`39yU7>^O?e>c7*q-=JC-RHD5Fj~; z?z);zb@onQk|z_{$1O3{6SBa_VZ37XMo+*F)z_1{g>2*;C*hr+_8s}g{!J+=@)a~L}>GLp>obs~VGjk)_Ra-td$lnl0 z6f`Eyd0Pkria{V(wR=kst+`@?SrI0J%tCR86 zm!D>TF6l>FgHuRRjSCeU)^6fs9hP4IF6Q3(&N zMoRVHq2xa&9kE$h&rx#?w24@($G5`HBe*a97;|GLx5D05M{oLMIhhYl$bHh|%urnl z7%)dwyq&jI+f8lrXUaWFNpN087$yJD=1jW-{-&)_gy)KB~Mqe78k@6pfOLwISha+#HO0q2~8DAyE z-lVa-e!5D!VG$HHkK{5WkL~?aQ5KZ&dyZCRuOzsX*;eF9YY}+XcdbDZ+tE z!hub~fzOug7WhLe-&I4e3uF;nowNL+{uYQQ#dS{aGTTr; zYpabYCIb;e@Jix${>C$ScV-HS93zsYQ(@+qW)x@6_h2_X>E_k@Oc@%7GOrA{M!N<`0)=WQGt_c{Hv-Z6illijwG4mv5uJQWh}?S4L!NkzOXhnm)g znzn{^)#uG*I)&;L+h&PO)aj8UVJdagX7gqg+BU+?IXqTlU9vP?K9hKb!z18<0DMr_ z!rw?hYyRHW{f-{yC(-kc%R4AvNh-=ZK|%LUbOGZFG*U>k%aKsLkeYV~&o?q%Nj7v2 z974@!AnYG8g1VP=XRL3SUEJfjqRO$~ejT=tEzOeXF3Q?JJxZ`YF5!G7ry}J3#E5%* zjw{WUa2J)B5Q)4FkHsuQ-$o&zOTdx0w)tMJDIINo@g6e{bA9?uav{+8_gLV< ziKZ@a3@aYp)7Kx`+{D?`(JaEnd+cz*$J}|~&_+Px(3U}SV~eburo zR%hjwJI`P{75yv97h(-@fREm}PqPKlKLZvVDU!t#IX~=X2Lno<3LRo^uuELuElON9SKFwi^pK~a9bXmFMIzG2B zpbJ;oQvK0nT%R30g=Y9J5a0wA0kOy z$w2k>ccD_gbFl54p00fBKfv3lUQ;!8TR$(kOrH)qn=_I|Di=+?!U^Up#o1V>e~1h^ z{UJ8KS%dx8YegTB%Oz*dD{v(lTbG;S(+{!6b?)|)c|6jd;Y5eMH%uNb1e3Bq{c$Z{ zfU8;EsRjIerm&rx=W4g~S48H>=L)K7vuI{Nh2`0Pv+sfUKc|gmG=zohOqa%tBihJG zR$B9Of}*KO36!n9qSKs$uCHUu0{Wr2^dQRaL$dkIs1vqJ_y({KUNHh_8=+O#@$Eu@ z;iLW*2Lj^Khj|aVtG>s4ahX45m7Gl$uwJ+*K5o$bNHei(vd@RT4b;dXou93z2g$Ge z#4z0gdXHdhGV=vm>nxTZh%}p2|nZ%e6N1rLBwZ3=b6S0jBr? z7aYTe^INRe==K~&b)OfzLQ4zSvXeR$#fR3BFLR=>Rpuy?RMcpUp2zaT0Vb0tqck8o z)|E(VK7g z!ex#7K*g9mM|uo=zO}7zB076D1Ult)6ZJ)DA(L1o8;{S%$dvJ)GNyNhH*GAi!BI+# zwx*@yLdmO8Wa^| zAUW_Ni3MhmYVBRZrfoq^`yPQ43lu=R^l(mmTH4?Civ074Qn1N0pstqgpvv#^^>XAKD;q^X*U#U>^46B61k;t%*s9O^ zzlBm5OG%~Iasi^b?9{#Le#=-JmlJX`xn$uIxaFdD##-A`hZJ*DixNh$aki1P?hwry zwYXu{-?A+;%-VP}I4i4+2YgpsVnTv&*Z?}wBFL1OS(eTTUPfdu#mx2Z(ct>Pvg}w~ z+mRpIE!76{O*X4fO{+}#p1bL@@XoRpHQXgh27MZY^fvXo&ou3~;_ioI(e!-@bJZ(s z4Be08PW3d?esrg!YbvvMu=)bc)L4d(Jxe3o-M+k?UslJC`!6lsUq(k-AeTEA_f0de zs5zMQY`d2EVrV&-lG9#S!IIjdE9_Y3LBn7247#uVbQ$H zB8Y;eL2L9X9?<5fFmWXP(`*=5#Qkv+0&$9j#+Ti#|KGv2XMz7u;2H#p%Fn&;Z@?DAuxU9jdHL+JQ!98aKGvYj>7l`GIm^6q(ft{YHMD*%*Lb6kqc zcu)VEWor^;L{XT%A0et-+{)Ewn5pflDF_qSz7xFFKq{mNs4im`dtQNDKBTUj#b}=X z9!n@JRXQiLTI5d0g_tfsq385KwE!#>U+M-PT{qhyrY!%c8}MH>$6LH8Z-K$ z@(kKGgskWVZj!Ia1!kk#NYxn!7AKAo@_uIuaUlZvPC;LnDXz%-Klruub5Mvk21V<2 zR;$YbaUJoL$i!^U^1%4xs{@TdD61Ts!K4XC8n47we_3fJ^2; zFGH-%n)YVn^;-mxYV}A37}A{)D~2M1npzNR7UMyxpN0l}zbQ0KvBUl%A>9NwUM z&BQ4~%j3|WMMFQZ^OzEIa+{WEx{N#Id&(H&<}kA&u28v-=aDI6WwCpz#?h=GxCCm8 zZO=}L{;aE}VhaBVh-!8jU3ZlIzw9KrjSZXNSlSvT=%h+xrG^GKwR;^f3Hx_8?xM!; z{PT<&^s~(3tLhQJ7Rf8I%z1FlMSgyL`rH%@0KhhXcOO>7(9{b*ge?|=jZfV`zN5rS zx8L9M<4m08ETUYI{T;l(t@)K2;FeKC{2+%nOkXW9Pd-Ut$%BHbVX$rZ%QZlx+KxsS z+^I2JaptiK6hVS2|FD2L{2w?UQ7)neWcya0*@!@xs)LF|F|R;sbjnAv;hk!Q z7*q({br9sAN9`V-``~%O6PLLE%##H(TUn{ooWGE=>lA7+WaZ_xWy6au-P6YbS8ybI zkY-?Gyfm!K??HArg`OM%ExGN_c4gg8?Ln=A8H1=Ifz$L?{6T>zVrYpj-?zX^G%Jc2 zv156q^`$&}2V(7Lo6P)0KX<1z3q5mu4yOL6C-1>0JoL=@`jC+{=g6dY+f|!C$Ir{_ zPdQ`IKWoU!4R}z|DZ`YkK2qMGI?G<<8?pv6j`P07LujU_Y{N5o!<5`!*6csE4f7w~ zr~eyb?R5KpL#(ObV=Mfx#M+gwfLRg9{|jKvjP<>DO&~yT82n=v0=q3yZEJ4Bn}Qwt zP%*&5Ja*V3B{`)xaRYxR!bZyOu1;Aqu`(wn`d9neDsTC4q03EU;R=1D6bE|BM@8rV z0M;71{=WfhahVlgw`uS1Qn^`kN)oSeev*=Pjr{&+*x3r{+wH6?HPp8-;+N_?WfApD z%73O@xbMCno{=QB&Y*f>x}SM-yU9Fw*nZw-Qi6Kt&o)4V`_n0Ezk5OzXgP%QZp`VQ zv_3TkON^P0650xA3$psNpU*b@jCPZNF8I!R`lJ$Q29{n5bC@1bPux64QT_3J6dZ$> zS*pA-!JLk8*2D0!sqfJ-;O2)ko5lOQD_3ESUq&U=NPl>H$+={_a$;ILmr>xPnpSs~ zO`H99k41>Z^ClrfY3M^QBlu~a#hh9w$0T%(EhpWIcg3#)csz5ze!e`iE~E7|6NXGW zLmaqQItmp*?AWCzIG=)y1ptlpOcU|pB5>$k60j9;5}ob)*m~UmJO(`Y0XYRec^4D} zn4q^c2JO2ToK&rr%3VLCY4smPaGCyc{aXt?CyIe?Yl=hm56wr42vg;P_w|73XaD9W zd^HtkYwj^FNpqU?j*IjlqSn+D(ZL)XSvFK+V+)?JOsi#%D0qfUDGw`w5K_G$x0opQ z$V#>mZDgC+PqEU42(hSk?ew;{RgOgj4ZD@#A!LCNjp#;Wl7#-%Z$1q}PLKHQHzf!f)5p+D zxstOv;WfA%fEy_Bld;1?8DG9aYXJ7|dZw~*1SS<#6I7zwGTw~oM37NDrsN`ZYsKP@ z`*#a+_j!N5fBOEuoLgh2Uv%Dhbq-sz;jiFqcbn^%dRcoR?QiLu|9KIZL(f2mq;s3C z<@1ziZ9NSE(Mo4DyQ|2+r;O5+rt)7SLv!jq5a>Y%V~M}XmSbpJ%m6ZrI`4y+9J7cM zLx@o+yiCD~rSkp6wTr2j>)x>!2ZTKLQb-?lr7@aX0<7Yj_HL zT0#XM4o1ofG=@fPWQatscMhmQg0cf<^GR7On^N!#+Q}Baqd$2xmHgPNJ9FjfjAMKi zY8ps9KEVn_mdPnhYD8`{FwXN^o1sGf#>{kos_+l?+7=t|xqWA13wS)!I` z^>*8&-_eQNXnh8qlXd*@wm*U2banTmQChrUD&rHk#xf!uGW$5dpTkq3`U_R06dMqc zuVZ{T#K7q=y7wb@oOqa6Q#i$Q&L1rjNe3Cdy(@AsumP|v4_ zXGDjJk6|7agrCRj*VF5eTGNEKlND~rx-p8QI%%qBF2vw>U`Obz?g2H`>#8mspUK~q zT=oxzny<6*&1~M7n&XX;s6li!`|Tx!hK>YC(AK*if*$ZA&f^`nzB%khLl-48IRLyr zJ30J(y~hL}Z9xn?9_xRtU2o9P@))>9 zvcG$0!QG#3vYv~1HDm9xC*Ce2$s?i_vXonYjD-{6@KtyySY?;NTf+>aRPck^w^mcc zV?MWB8vC%$2fRkork=m~Hn8Y)d9(j zzs}a%c@)gJc24>tbksSvd146Y9buQn=JkvDP{x}!#LNgaJr?>+gd`oiR&CN%7A-s_ zmAKK-QO3e%<4hz$$LZu2fQjx^LbL2z1*xQym6+}L+{O!;H%q*TO4qZqAy|B6XmorB zXx427EpN@a9(<=UZobEqUy{kbb-0E^QxeT7M(r!R)hGXUiv!IPjEZYprlZ9})@c=S zDna{*_z=aFTW{PuKtA{PUn?&FmvBu9dOScEa(n8a-PG?W)XVD%0?2Z7&jJ`IDxyU7 z+NxsH^&&r7LPQ*S$|ith$`}^&ONP7ja82lnok@WUC<+l57(xB_H#TNkzt_% z=~4SOF(APuD~6t77+VI^Q)oi>Hq^`3e66Kn)Jo7Ej@`q*yem4!pp#tXSMbuG3oO69|IxTsg!Iip!aqj2 zAdE~@V#hX>3i6gG<4E1YSb4IoSfn>MyOVaX`m?|+934b8Wq8Xf& z=DW}+3)Ogh1db*!$tC-Nd{(CtxJkBBJwi_!+&zDJd5l36rota0jO&iPl7O3#p-Ps0LdRYY1<5|qyEZz-EAcT_CIr9tAF7d*s&lRBvHQ&BVEMxO zDZJRsyuH+aCjo3J>TV*GUDR*M%7m8d%YttLRP^qgR9dRdZ*;OO1zIg?E5>NURm}ZL zs&f^rIL}M9==w$ck8dGNW`}+GzY#RJH_#n6s&tSrlJ7EcP zy(WDRmXEo%e4|s)GEKk_>Qwqak;QO%d!t}^!cu%*=+dx?F9=#<>$P9E_beX}8Th!a zss}WhVP_2pP!&7(Fkg_0>=~+5tV-;;(h`x{$p#W=s*_rO`gy<*LMj$!Rb3AU#B-G9;9aOro&bHW|dkry-sF{tpa~L`d;@5# zHO!-p7T*Bc3L!u3^ksssoA7v=vZ-K!c?3Xx?#q$|QQid0lQJn!GLHZOyaGF3kOBDI z09rVF2->xn>!(Z=|92M7vWr`Qzh<|lo@_a=(KA3I?2hUwW z0_E*1$k_7ykC5oWbqn2X?;tZ{40PZTWPaB*2m;?ZD5wJQFKGYl3(K!AVr4#9x9C9Z z8REPz%%y}T5L zvH2#CqeTI+UCz4e%)O!-yg4NS>`(jR4|L!aI7MSl72SWRP>L3ujG-0+cOQOt^XG*K ztub#SLl%1XZ+uqK7evtZ?AFs|ax%BD|7v#H?e$I_O>o-OGmOhf+`uy=sRdM7}4giI}D%_+87_$YW~&k)<;Gr*;|9bI2K`z%|MlUfB`DULTtT+ zC*!0HzV>4cX<@p3SeYB5avq-y5m~R*5Fi(yP+}tP!pZ^vtGT4G-P0KyFZSokOFwHa zW!BG|slcZE?kPjWfsgE7a{PdQ+@Nr{CdDp4C2*KGM^WbD@Vy^~!8*WnyL)=E_&^O< zM<-9S!lsSGPuAgMRTXm-lVuqtCy(`IVIWE7n$33gf(l=k{!}RotqD;q6UXFV!(1oI z7S7c%@Lt3o;l?O8Kzic&76vebzmjGvGwf8AKpW`LrFQ+|;u%A2CNrn_T_bBw(YN(r zkt&oUvZ&5h-6j#r%rm}6Ng<)+=+x@+ALy*(QZefX8b=~QC$@gIR|mn5U9#x?haR>u zsgAiHb5C#}g7!|1vu{L&-cs_+jt*s4by}sJTK;s)l1sFA-7HO;Ngx(WKVeb-MuYWT zO2Y*wAp*?@NW=8F9pa2F4+m!b(7{f8sb#nyMw5?w*z6i~ucm)lRoq!{j-SO8QZ|mG zn|ft@&m1zX%V6dj2QmAXPU0G<+N|i1-CCCA&-WQhK_3ns!uzw}iJ!XuGhJGgCD8M( zz9+H4g5kHeQOb!AN0yW+Y?v$XaKm{n>U4SRV<@9-Ue~r=t-*Yx>SayGDDf#Z{lIl~ zMn3mcu$p3Oh~)YBGlbtVs&BxJuKW+p?fU*&%E*x1!`XiCpB>OZE?}Uu93!h5ZyF3eJ@w}D4c2>|6d*7Fg{xavqVfqOB&vzUx!1ncca^(C4Eni zz?YBT;dvyp3gjoL0jlYT&!>qkg3{6wvS}-^DAI!^d>gz2{E4$*F6i5C+E3hxt@q>Q zjET%o!8f?Fj{KzU#(3qX%v+_0ldE{`dk z)d6L+#>M11l7fH_kY!3<)v9@NGWXdAWJ+&a0s5@?Z$$@B$Z0_i)O;-uKPk+b+<2s2 zA5)Q0hgtvpzgs1axhQWiOo#MLs|xTazx)8A?0C%KU_H{Q23hSddE-m#;l1<`ST1Z? zN1T|XwlD(e>eB8&dZogFpW`lta_>hsSn}k+RMutS}bXa_S77qGZ2| zznGW`+-fRQ^ED@PiQ6-qv6Z_4%m0JuuuiW@c-h$N{btgdviNs4%(?B{-AERmQMtT& zangocR znwPYERqTU=-BT{dlVie*AdRN&oy~^y&^13@T78=XJ=9T%+-{!6WdF1pGC|kcwwcM7 z7CHQ#Z*TmkJ!Eb!%779N?I`}3+;Qrfr|UHHDVW82Hwt6OmZk+iyX4-R~c}s1*bDRVoxSox2 z-E*e9R*qFi=x1KPc3%BT&D-7II`^~r7nGzTiQI4W6dbcnJM^ibyhoQ)?BixMWG$HK zI(RuWZZ`D$8avuGJ+GcUpEwR^6wa0~a;z&>A3rs6ti>N-nUZK)CY(c{$AUKY^EdnG zXRf{?z!EPaUn3JF2`<($eN$b;(Nn)EHf~sR*v+Tchs0ICqxJ%cQ?stRrt4PY)c4I2 zU6yE#GO%o_tGh{;iq9JVTb?hUPw)erBnnx6#`avpdk9fLaTflhzIK_?=H3+N(seSy zXzuT@^llZU8q6oL{HCCpxa|{}x69ymU-lRW{x^H5<#1!2J8(%7vQ{D}o1~p(BnkfG zXJ!{HX^qSdJ$U=!z=<+A178=`=a7j6{|zuv_bGJdyG?-s&Hr#|@sL*}PJ#A(Ue<}v;jdCZP-~LDI#>6u86+!rnCF8sm zAroR-7jfSSZ+MWJ1ar`u9>rfa3yW`$O()lx%d zbJc&#YVFTNZljGpJbIR zm;Q0lMe=0{IBomJ=Ri-zo7dXve8{Vjk_gkvV=RT%iBk*8#~C>uDKnQs%h7czrRxzK zsn{VX#R{9M6N<}p{$bR9ul0ab&?Fhn{K>st8RAlf;lqVKzY2@``Ehf5d;Geaq>XlS zH-sj0Z2y7s8a~ECu8{7d*jNJ7HhQ5RV9N+=;eoIQ*?_*zm}aCBsB9CnW0W(sWdcuf^AS<^S` zJC{FZ%2Y3#rix7M#nnNiT>*=vJ@{uO62qqrbYaF?0Gh@H<~R6z*ZjnK%M~)!47!1A z3u3&INM>{+hW##-+gx>|53h29Bi9IID%~X@4~D9vT?i*HT|Ofy^S9nX&Edw%Bwlq( zDeJ-Uh;oGf10~&V}@!{|WIG&InuK0QP%QEqZ#iI$b zj0CZ$5+Z#MWqunu>pNZOh+qr1-mfJjMf=anoj+v5f-tyj9+c2a_LsMy9u>$&NFHVkbX}a$c@=b!c@MZ|iNtmpFA~FPy*0~$`?z=VrOOk0l zL5ZbQQQvPUv*1WsvH~L86OpAo>07=^AVIkH5jufGD8#z($E)PA;3I=4?1noAQ;LSt z9i$V6!=E3;bA-dw>Vw*tI&aY{?X$&UYN0RXX%`yqp$^ z#n@uDPOk_6Eq9A&dIc@G%BQLxX5gmJ#(aWE^|b}GOJ_bz)UP&)Oc(Zk6BwxXHE)@= z#$X*82f0?dV!dj=YVX08WVfkILmlqb)cG1+G#eR9>q3~8;-;>-;C%)$hGnPg;S#WC zGvsU8jG6X{1p)Pt8tSrhi_bOamgA&_TlQ(ZKDMey>z_?I_QB*?F^21QArd20nTEwu zBZ2=_N(5yZj%3F|vGv9B5TIBE5WreUFoGUZLP={Kg5!puO&;m2imI|juu1FSHWc;v$ z|3iX{Vh||7BoHgC7i;8)KlH&F`Ra&%zfXA-)`V!nt(pbi67J5hw;AQ}OuE(e-_pG` ze|=?RS<8dCw&aE&ST7&g>UHWr*4P~MwY??*v|soXG^_dS!07>#dw#k$14rCs#UK55 z)(jIn)lBLHZ1vQFIyNO}N^7PIxiIaz#cwKFO(IuV6F*vBhWkuzW)t(YuZEJ#Ei+zE zg35P(0G3338`uMo-R+?VJE_F{KV{l?iFRsci`l}V*y)q%a(icXaq54X7>?Bf5&VTk zU<-%%$*}cnvyj~wL&(cCgjoGpxGqkzwhDRhOc(m9xj&8)d{+WJ@a8A1&N>2-gl$OqVN3s_lg@9e)$ZDAMjbdgPrUl=8FS?`gmrb6YJOpp zkR8t8QCOkyD&+rmnGm($B+T@1365If(GyETMI4lnBrNoB8ID?`RZXMG)lXxaG9;Rp zNgZjzb!!yv3?YVH=T}4O!rSJy;3L1!PdeIT4EbE%>UGctx$Ss&tAD#O%ypf{>}a2H z+Q@Wh^q_oS;A%AFfUCT14-?vQoI81|qwb`&0>%hB6+RZu6_y~giG%)e+q-qK{7G5l z@k!JCNnOlu#{_JrJ@QWoq=M6CMdrfA0L7$z%{j>G@ok2Kvusc_I@(CESBx{uQ z;^*i0YoBuBV7c5WYq6QOB}JG0ajqe6+-QB=w7&YVVdcq5i(swF)RnloWw|MC2~3Us zvM@z1)iRN0qbV*GRIU5+{(ArZJfG-H`Sh^Za<1e-_N$MZgvdv=*m+r*I$=%fW&ZIY z=*qWat-EsGdmX@weL9QIAYixH@ZE%aEDnib$*FMwJSwBy0T#jZ9P_&DL7W*vP15VN zHpvau)6x079eWLPOui>$M7<{smW0&`6*jJulOWoERad`{fL!fLC$mx0ecFh@4b!`+ zait@BDCdgy$;-SRiZ`ljSL*_2#)!ew&KmRkx~ExcYt($7HnR7?Jm1eanrU&h>sak3 zRwYG2$MT185*&m#VIwzYDUQcxx3i}Y(sT6zTfSvXvUA(;0OAFNz3 zelnb+IsFaBAMzaaMBQJ;w0`SZC9x~6lIqm5Nt`jd_rc6MYh1C(&4LmJMsY;NylL6& z%qX)AQ4MCO#~A&12N~lo!Q0wIdFv67;FksVw6=N+KKR=+c*@E-Mo%=LWP;V943;=J zC&A~#1^5(0;%b=w49CL$Pia#VNfM5r}JCe4Uty}0ca19P^!5-iE6v4_p}gxo?_jCUD@LxSNgF4<5@lk(JIvmm`x2vakG?7Mr zl+i~S<#1f2tu4(^%SCE|B06=8X)F4AgHEI#H(+=LO!sHv+a z+46=qW|ka%-QL2-Hv-N6|f@W}7`T3NHMpamiCQ@L&LfC(Ky?R1`5ueMD7ji)o zEHV0dU!*K{1ysH+l5jg=u#`VCMF~>BAU`zND>3{*5Mw-&GX7mxf1ZF#YtVFRGO0kM z8}GcLt+t4du;(k29{RY9SbnGK|K#rVzn3qRJ(9{}3ij^E-809$^j- z8#MRc?U9aatiM0zzXt&|eA=6g3lgyiX;TlU^uOt{PDLQi8)yDSadD0xP&O7mED1d2 zNIP!=ebGA$N`2M&p0plUe!Z-i|0~2-DUZ=>Br2_0jqBGHn8&v3xLV3mzFpkreSDJb zN>Q2sK$e1BXIWa?VCfnw*}1hx=XYo8Sw;@6u!eC@ut0 zs<_1Lkfx8)qe#2F7wH)VemE&TuQ`T z36|N2ij~_cw=X`mH4odyokzqs+G^n{HK|LQp>(13oIbNay%|WVZ6KVOgFf^qh5$C~ z8Ue45gG<26lIH3nG`eO0Zho&LoETb5i~aklzww)inh`7c?U_`kcKVt#NAu;1QNchobvVEi-4FJfE`(^n zbXUu9^$xBlbfUj@x53ju;Huj65igbZ+wHzOt~S*YJukh{_E`RBgX1J=oVUyv!Lxb; zmssLRm#)=FS20KQun!dVsV;vCKoTV9UBHhBMPHsH#J^>oOthi^HGD+ zk5g^uRcK90Zd(^tvvDVdQPYK%Zlk3J%Pbc_yUiMTwjDj*VX{0&n%w&!tZ)`Dm(hB{ z$0A1xTK06S|9c#lZKYK6C~n9#BTTOFB=?-&V9G(&)#l3Km{&VE3Bb-ixU39m)lZa{nD&GRc*DEYM!ETr;HT3<|U>3Uxw zLRG6L&RPEH3j1X=_b!szf4X2iGq;CB$@JexBD-petMA`rRoBvLwGG{Y>0I>6hMKRP z7dh98`;Yu=S}UcyFoA-}?dkG{p-08c?x~XN#)K&w)8q36Qx?odoXU)zPNm1yC#&D9 zU$@VSu&p0n7n*>Is(0f99p&uE=Ua0v*yYcCqykl~*0i37%YiY2*s+3C!pczU^R*bO zTdY<<)w%JM+M!g>7W-1F>*EJqJaXvJD-W4ki(B6s290ct&hPcU`lJDPdjD{m32`oI zb~`2ZONNY5H7x=AwGQmpWZmhhTSf!B$+vEh$^ZV|#!#Y5QrmTF-PFPi z9dy7{^7roT2&ylcoTK(iGrkXs9^cIlN2Og;=eNz6kEDWO-ON+r&iK$V2qQDCB zJl!XOiv37gRSgCUkXJE9E2pJ8Nh_meV@p3Y(89C}Z6=|X*)qSMd(CSueY!qS3B6LE zsySFtRR0v(JeWx(ed(*4*j$Gg`79-m8E26(eBexrrOunAkIY-FMhJVRmunKhFP z*$8x!sqr3He;EBt3Ga8kZMP}lugj*n`VRZz5gLH== zC@l;O-62RzcSuM}DWH-A0xCIlhlC(VD5Z2tiFbkD^E>Cc_ndp~eV%*&*w5^}*WPcf z_rv>n*B7Us?%dJTi*+dlb71~yb(ak%2@LJeOYn*IR4Eq34f5whSsyEjC3Q)K(^IFMdhu-$9xV>+_rp~D_&O9 zRqTRJAK08o>M}HIDZnn@$J-qZLfo4q6W|1cpvwm~Q`SF}& zFb!Rvhtn@@o0$lu%LYHne8+eW^9;hp7Aqu6{wTir?Nxj<4d>zaOcG9gO7C)&LM`Q9 zkEG)Fn)k&kn0#lj)4AeR986g??>9Q45AfbBLb;X}L{6idSx*O_!D|RqPzb8!=j1Z1 zXxH?dHFV=DNz9yYWotfpJ89BYLi^BBR?Oo~rIp#IwdTsm|La8snoyx4ZTe6lTKYCI zBU6>aArj8CAMfw7>e`lk-$|0o&cQb&Mh~G26&jYq@Wg#=i$0*V;wNR9H2?*J&tLoS zRxVFzPTGtkhC3hFFlYTN`&mo8wf5chGn!_Qsv=dWkcee1-*e_{Tx3IfgD7ZnHRfow zH!KPgmQ;C)qO3WDJb+qR;8w zbdVZRF1NUDbv^U+Lm#*lM75y-UwKTs?ZT=UDkKZs-hR5@(NIIz6{h$nSuUIQzipxf zansMn5iTMVKUtLwu#*)3y?+92RFUzX1~JQb@RH!n$iDZ^^h*BWR>VTzYoCGRA&q#g zS;s}}bO&s!`~2vi^?EH$zqFhug2*;)<)|XYlqISJt0O{JgWLc9X%|7Y0kJEYTgE5eo)OLAZ&wtr=x4BiO#rinN(9O5KrGNxW)fKu=yVP zZ@N}$>O|uKYZ7|h{=8ZmbxYD$uyEoGBJNSLF?)aYJMQDJlJG3zywijXr0 zzGY-RGEnq@H6tSyp?TJY&3xXea4%xRam zc<{JWQQSPB#PLk8`gi)t7 z(Tq>?miMt-rrKbJ+MsWwyqq(W8vjLUa$esX+ye!O>jknZiIQ6qtzmfXF2aaqhgTc|k** zACDOkP!+2CtmCb{Tm>pk%x$AnAImLa;(I|3E(D}G1?s#Vm?ZHp*O3YT`F){Sn;rb10~@UE!jeh0g`?jh`wu;P~;DTJxWivxCd4-!A0i zw0uDgnT&sYFU$;?cw2F+J{}`9eq_EZ&?5ii8^Eh4{UwSgqmt!_MZ`&-C-;juLD4-9 z8U^=l*(_<)$Z~T<@Nc1|8?{nG?uh}v&#v5OgB~xeitl*x+N&K+?hgm7uSq=ot+qB9 zou?m6XDqwW8YJ_Z{#=hfO2+KYGm>;^j8Ztl>OEt*{o8tqi*$nKz-EgDd*#FeRDK0N zTyahe>;iG!BX!6;)=^VPaBlsttWXD?&_55p1mS-1xHZOl#a_sWc1|}|7D9+0csOV` zc6hknyS|(G34D(T)n(~hVt=*8L8fT@iK_ZG>CuW^`-R)n46bJ~*ju@Bp)O>cHRY&x ztyABRwo5^(ILbp_f58k(R2)Yco3vej)*RY&&o5F*rewM^U`>4{zTwwSq{jP&n5Y8!|O-2b?6;zsNr{PfQip{+&aLhzsW(k-Zp23kx{ z_Zc3>=Q|}D%iBQ=_iA789vEKSLp?g3X4{)yoE{eT?ulzw*gEg1BK_!^!nwlm%z1X{ zd|H?}s`=S17^T+SQvp)f-0S`Jo=2s!6_d8K83Bk54;IXuO(fbL%4066-#-dB! zDl6S4`f$(d^6a>AT{S16aHOnkcekx*I#ce9@sAvNRGYdpvHSOPvZ#(?7bk?p5;9Zv zX+_cwV|AZK3c0^Y@A5H;jL9NSps_~+RS06r47s>q&cDEFhfTXr?t6Lod-?EIQsFZq=9_Nfl-aX{*gIw~C|O_lEjhNI*4FiwT~fE${Esy1~SE9z>xE zm=t31(!XvhzkB|?xG_@qfNVy&&krZi5U0SCil3i8Dqb^s`P--E{^|s8!{g)q%gt&X zUmb5h3Nd=JN9MF+?PMrk=#UbDc2bnvvI{&lBWg-lXj!>mep$s=SUs#6VdkW$nXGK` z@DIr}oBK&_S_UCDMhWS|g(w+7m}*fWDddnmA94uYEeg5$wK2#kq6PwcV_I_T{$XsW zrtfU7Iuu?r@`Jkyf-=auP2YOA+Lb{xaBdp)98sUR6hw~F6c;zd?1`VkuGCJH^HBa9 z_-)lH@Jm?F*&!R5>x$Q3IiVX1|319VUWnCOHj4DvJgh7TSnaLtt2+%mzeq0oe0WRz zWQ)n@gCzEJGhx_NZ8+@3Hj`1yoFh(y9Dx)Eco75a-{-x_I?fS4m9R=nS=uKb zpl9X8LG<-W+~-?aR>h#`Qw4cA5Zt%;gcEfBz}Ie!fPb#cQ8i-_6Cr$t_D zytPrGT$8fV8{>OJ6>NT*9KAHX{gbX#RzyV|r#nXZkRbuOhD8ujbsxmNn)$-tM9W)~;yFZaH@6jKOTWH_1|B9d_lv4*Y4bdeDFMm**GVzNPf`{MIe)_uI6{C3UsqgEG&6 zXwD{^iVJ_+Pv)-+&@VqCsSFCkkh7);)^rlMfKD5hivH4={!EptzT2m=bt((H9!h#Q z6nUYmvx7aU=7WdTnV%jBFE&Un%WP=T4Br=jw>UL~SsUp0$NUJ#elw2CVx-M$)%T3T zco&!QdBo^dhU|rD(6oc3NLD`jnCuQiS?BlGe8v;1_J-G2&7Mlh1B)7EccZ>$DpDF= zv82cnJ}O=@#KCMVg4k02Icje|nNVCPv`Jom*X#bhjMn0q0{o~0hIz~S6Ul~%$4jx$ z7q9kW7P|c(`s3lpca+i7Wf4X)wwN2{MWOg$8E|!><+AO~4*rRE6CWerlCu#()Ab*& zxxj^uN_FlM33nNYwQ;_IypVtt?UfRIazm38Z?%>@RW5E8cOJj~3$f)bQ$dnfO9{E& z=nDiP_h#`RRim}j%4z)XUewel6sib@&~!t@&#MI_BGl%gzQrBb;+t>#NJR)PPjne$ zN!;I>lk8>dDl3o-6=ARGqTe~HKi{X^aTG5sa!(>5Zbkbcg9hvEvN#}d6J%2(c0Eb_x{Z16#LZo zyobuyK8_)$I9kSDEVOF(xqyC6F&RF707`oe<-$>Uy9B}DznB~am18Wo{f`EUG2{OBWeB7#DFPh<9kV~*2X)+p;ixR2o(#(GozLQtj)dbXr=V$Z)<+I zW3>eJ|ASt?m|44+7C#l+I^Fuws5%09deKtwW{j?t4IQhxy+LmJI(^8@} z>LkHR^RE4O_0w4h8^YrRCtR%ZX>=z_&b-1BBatT=71qN-(xu7LLHO~{#jz5mi6jo4 zX{4oCM>n&qxn`xvy0yQi@~PeTO~>yR_VxH=!WmYrr#f(K`MB~Gkzn#H#{rE4r%E4t zVrzd(4z}!jyJKni}L&2&;awwA|JBTqNG8vLZtJv3|9+k{}rmq1atbb23H5 zt;Jzd+-_^^1|nBxGfs-M5+m)U!Uqj3ItXna(b89`LS#G)jTC&YmFDv%E${0;zUmet zyYN{LO(lXK6|GqSou~f;*bnbG629Ia0?x$1LNAlGXXA z2|Lrqdl-yLXsA0`b50*gK9oKX*PNBYkUW6$G`i-0;yUZOU~A_hWG_OPkv+du2Q>j1b({aFk$@Rr{t~lP5-U(na^Tmb8;tlyhY+& z@5RC;>u=Kxi8d`C7RlSJ*nrE(!IgD9cG$%uK8(?3j|^uv+MHph$1 zSkpKGnlK~rpuAN4Y5A+n`($Drbi<2!6~1{BCZx-pmcDl+Bt93e^a_GEuzRsd?1l$t zFZOsT;AkQa-1Bj;tQx@UO>$7)>TXaCxAwc$XDiTAwHB3FTy@g{flX0O1fJM)d>65so9Yj3t7> zPlP{cPpycWr_JR(S5k}nHZ7+?Yw41`{YJmIe;dZp7|yl4rTC&oPgmo9Dt-=o|BHz9 z2V*(i_WT$`hNJ0T#8ajdx9$yEa^?3nc4HTGZkfhy=>2$U=V4XjcEIQJRyS3MHja9V zZ@>Oo#C-yd7cNKcK7(JjGU{hPMAeyY*fx$mm!9h=Jyq9DmCB7y*lbVA;-E6@{a~3l z*s14S;7dO_HS?ovWZ`Trnm(fc4eM=pA);CJEt=-ll5(Py*JkV;4!jYjcedkIOZ>L- z)(S9%<6YVgPI2Su8~Cl6fHmGMG9oSmL-Z%HAGU{r1lxoj$vUhlKxVk<#(O8|5P|0#XT180I<4hIp z+d(oW%USPt=~P6bwKI8aQ~(?N`N&L@3Wujza*k|p+Wr}+P%0u)Z7d`u zL7SyxfmR87nn{72fONpYMffzt_vR8@$y9_oQ$B2YE)p>zCw^Q~FQR52%z?nBRpRx* zB&iCQSMUmLt*ubA&loezAjh!@0SA2%t@8muzA(5sQnO#J$3W4;a{r+_8~h>+g%!hJ z>pt$e~rKWZ3qpaR^ z`GZ-MlHj7K$w|gG3*1GvH>mlJSi)%70pcNOytNV7 zr}A~&YV)E?JrB_t%WBafL2PhMxtcXFOULps4&b(LFVLrs{m~Lwh)WG7SOh`I+9t zPssuo8Rm2fq^;QCceFSJyVZIc5aPxkh%fl*r@?;xaO3pb4|Ue8)VYQ8PTq9=Gj!dp z3)(GUg7lpm&8$+vj$R;Yc}l`?Efp5<{1!To?xIzu2OP*F<567S20BY7rv1T3oYlMJ z@3&0jQed$&Z?fm}mb0odtV$(RQ|i-g*x;Me1_!~kqd4rm-2aAk^7YTi!w)xLPLvokBLsdDi$!|crahNbM3#7ec>6lR=}?=@3cBKo1< zc+FU8=lXgK9OG9gI522tXOZ=37e?D>;1U&4 z(F8EcOezeshNs2U{K6y&6N%AP4v+9Op(SW#0=iU08^V&Dok{bY zWd-W%1EC_FUkgBfBDi^3PpB3#Wn^X)NKQ99(+cZS3uVwJQ~D^ziuU<^N+Mra5s_Fe zLVZc5a1rBZuA-$EUn>Cjvh352{#a6O2*bVr%5SQ%^$;11$FQYb3z_izO!2~!b-@_) zFYVp5X(@@r;ZGny@RuP)0!Jq7N+1g*3WN^{0)kWir?01n_Mm&yf38j5-WonPMv8}_ z?qdztht}|s=6g|PVd5|<+z*TWBT|bmddk=DC z?;BneImTJ=dhZH9FpELNS+T(Fg=>AJVXiZMJyBMeV1fzI)?y?jYZ1o8H?1Dkt4CZ+ zUw# zazZcL!hnEHuGbDfME?XeWV5cqd;Ari%WY>q*4Ov(0r!U_U2SR-GLwqo=f8%cj(p^< zRnkUlyF00Bg`W4;5IvCNL5eQA7iX9R84^FJG9__)ekUW6>e+l>4`v9+!Z6^_Eys7h zs+UWv7JpNa>xl|JQ&?-f+Rw0K;8tqy$%I`ph#h`fzm{Mv!iD#q0e?^HU^Ixhi_2kU zz2gq=Y+nxt=Pwg_;Dain`iZ`qHGG0>jxRIY8YCuJ0n*VLUdP)5e$9CX9f>>HI8!oz zEw6iE%r5}w|M9NgoRv1Wm}>j`X;+37n-PnTBZruscxib_mcz(>Yd#z5*%`^?=gt2M zAp!2#TElOVm3O!jfFNq=fXPEz9=lt^H$l+`nM6(@bqzdrs<|V9!5#zb;jVkESOABF ziFD52Mv^hRUN90U9VGYqt-xp{X1GZSz$?Cc>M=$y1xAq+OqOWvEsM!~ua?R174kML zZ=>Y~>EoGF_xaykt$`PIl|bi=Lfn^?%(VQf6hn$?$eJ36S#nY#6valro7eHca9Pyq z=35SxS);Br6A+G#q)~cZEUzF@nTWYakbHfKsk|zoC{EWc z-oPfP4-Ix%>$?U_oZ(S63d``A?h&RAZ>X9*|5)#Ha-3n(^Y^tZMfleT*<)A%Zmd|j zDyoDH?p)LoGmhx|U==ut&e;Nb@0DCK7w)&Y+>}tK`935Cax5Ac%I3~Ewu^f1GQ9xD zEWL|yrSI^I%f44zF8MSwB>3h6M`bM>UT||FsYs+lRy)dhj%Tl3!jY7!!m5 zpMoBuT~her(E(Uyr8(+Y7{u4A$JQRiT!7RJOHUSJhK2Y8$6-_wGi1`9H7{z;ft$_D z7R^Dx$a7O!VOa6S}dj+kf76jo?9ufr#4(K;Fv?now$g9P{az777EsydxRf z(neeB3NedE=Ta;#>rzEIi{_;8-kf3(n@al|f8};N1#%o^Xf#WfBFWI$XBvr_k!@Gw z7?gfsH3WFUE$&$(@^7uPh-VEr{oK>83-WEPN&FSR(jVZWpR;VxNK8ujFf==m3`Du- zoAoNoW)rUw*q;_%G$~&jNd>osc~(z(tiZg;F8sxgL0W4gzIvXifgSaIzjE*~Xhm0( z60S{LAr}ZdGRM=@kY4XcCvbMyEWj zmyr@)d1%ys;UaxIy@rg3Xg%AV$yO7Q${;c03Pj5zxVNU;fy5*071%9010s;u=7}$Y zK@cqwouZyK55o}Je`@KRSIfq2t;z}(3j|~>@>_#IT_%r}6i!9N4$9IHXFe4s?w4g) zPq}7G{8tICc^0P zZEF+2b$cSu4o}r_G$Wl;1c)h{UFpFkP2%~U$SeFZ$tC{ki2e&3< z3|NSc?gXg30VBFC;EwT6Ky#BDQAi6obI~&-h*M{XL~#-zpA_hl(?~^ZOk+dbn3EQA zU^QUIWKm6EeUuU0(tTqFND0eaI_GtIB>Y2R4##v58(m z%6^ig6yQ8$BSX@~!3dS1iWW|6aH+;78)i&4u~Y;K45a0fTF-O@4c`KZtnb<1hU4MD z3lcQ!!d=wVk4C?Ny(@^{NByk8g-&ZyeUJ&X@oCTT5WAl&!9X?GMTF4fh3kMyx>N$y zrJVou0s;ux2%LSlaX(MDL$5+Q&#oghi?3V5pAFu0&5yg^)|jG!)?*?Kq^!HqT|nCX zMSRYIfsx&WpuIG}=@I?CnTilB%AQG0*#3U@d9hVRv>{H39&p*NZAOBaIa!*R6~^Sl z8~*bUeD45|BqzLlQ2kXzMQMt}pPFQ~I9tQvY^mOPX&mpEL1l-a3$1mkiNA3`5@Oyl z?g7`G<^bY{Do<1f{qgX9;tl6(P`l{F*JHgV*ik8fx+#TW0wPBGKRwn)Qg+>3VlW1H z8R|Yd-Xed(3(Sr;0+p5W3Ws-Mm1mf&5O-qS>G4T(@NTGbU4%?sg&HT?^#RAxj|CvV zbR5Vx(*Se_M{v?zX>)nwwW{Mo` zdtW#p<9>UDA;9-szt{2v=tajsRy(v7!R2cWm$EztMAcWZ)%TIxg%~zqsI(?lMNIvG zSmI(fD0g^)|J`C*R^-%x?zaIO0Rj9h0h)~WW%xY?hd?q4bb&ELH%|~dIe9Vl9pOzp zFhkQAcL)1=RIVRDwL2K1v04#DH5;{HB1Ch!Ez$hJAgQL zO96e_3S$I-2I>wi0o|7EboXy~FDm`!5;J=EJ01+Biv?U@H7hM`T<2H$vB_l6A6VU( z0U93?8PI2Foq1lp0B24%fLi=`P?r>V48|dIgH6SEJ7~i(TQB)_8s@Gx?N@LIWuM_4dvo_Tw`wv&9HL1)Fd&O(KS1r|}sR;f9!b zTEP&3jV6KAkIBc4_RfAZraFvwi8 zr}!p`k0w`f>-(e6K$J$8!JsmS!}yG6mud}A>`ZzG1)LUY$DPcaiJS+@@xcnDZ2%vQ z>1#l?!AB_7_QdWj(=Ih=AfirdmXij!G4= zd0DSm{E|m-28z@q$lxB#PTcd}c=v^b19t>4;HahJUrTWVRR2(Rph z;W>lBTg~C)Wp+JPZ1$f=D=RHupZ%znpMlQ8?6RDH!l)ei#|EFL6xrgmm{C)6qaAtL zSW5ziTha~VnWYF%BxL8qG`&OxWtk1QN_%4lAtgh!u$y_6vO%n-Kp}NMKifxbw5D;;=+4`p0K4#W;-d zMPV_YDvN!{eRczYAEnk~D7p{M>|Ilv2ferm{9$ix5-V)uKdve;Kt3EvKPZeuXPg9) zl?wyj6#{$i8vLOM*QQ)~`T5x9H|86Pa2MN7O2D+iP#9(dd)b8~ftKRE-PfG*y=@?@ zz?FfzZdka5)WcNMVR$?eQ>|47pK)o0ZCsXq7B43Mk&tMDk(Ib)a~$k-G@a@Zvn?O_9<_h4F+cZ7!(Y7)E=3?!zK~zZ&RW zy-5Zzd$(GpN!oCRm7h_qC1=Kmp&ju(2<7O-(ERBp~r;~LwL zU&d3C(?4EsN0dCoB-g-sz{%b|{WP#INvIj-uBuF3^O-z5g`6PoZkMSzn$Hv~n)$6b zd~$gm#3yX?MW>ffd1%HS0U)qN(`ATW9~JbrBnpF>C~z>@x*Tfd3xlq+6= zOi%>*$!rk$m1@SSCOZerj4^I`^mQuq__P7gu_1A9;e zL5ObmLp6*DeHUkegpTUe#(W_>Cwep_D#wcy=P|RYn-=g(X8{fPYU+f)-CIEssXD^<NO%}xN~>fb&ulL!Mq{eM}Ak^d^hFi?m=YJzb6 zdm%>709$VgG0{d7QR+vx<3`xuLM&a3ab1XEalp;%LM(&W?XU!~_*Wqg`==07{ZojM zH-#931LU`77gmiU@^2wV{!@sR=YjHn3Nh8+LQM6)FT}9_q7YNf0rdP+h>_QY82Yym zC)^ZbyuXDQIC@=(DHF6qySt)b&Yuq(cN;-j7OGGH1YqsAK56zZ9d+p0p^xhg8R@H8 z13SWEo;vY8CuXscfM)>K5o&o{gs?IZ78Qa68bKv*V$^8U&u0eJIs@mlh)bNW?-k9V z4A6-0QOTl6OnhFh3|DM?XYU+4JXvU6mcKbo{+S|?z%%@*#r;KJm+_f&prr%PKC91` zpFKr;R5J`$sE(UGB@vY!DMc0JZ@Z-t=JR|03r$o`0Y7a7K^lQiRDUU9RM8r0i!C*^ zW5$?MMoN*8T(z-EsbclcBNO3QAcrnyFZe&DvO;QV_~;O^1q= z-$LeS8b)0Mox@s3ibE8LRFEWuAX-sI~ZPtOA)w5GCi_LLiwwtpKb zz+83sggCaSq8C>8fp4*HMGs~II0K*~%wim3G`K)axY)xe1VuQ344}o~=x;1l6(5b; z09pcyyWn=2$IBa5MQ{fYJR3C>aFEx()nX}xq~Xw4?$njgj>Oz0XuP3_Fu)n|mgo~? z?%wfXmv0Jobsa^C!>eTfLHLps*7t!2U9q7}gF3jX%ohYI_;RnX| z1D5uf(*dwR%J(&=EP%LuU3$WD$LDqJ(#1)s%@P%0K7uqO%hv|@GM(NZv;-}Y5?hb?9L`4KrXv|dp=j;OPZMbfnh_FL7U=%=}o*O%7> zETRDh&K^z}QaqsQmA3LIU(;a>O`p%n`eD3oqgfvsQ)YfN?z?A8;r_sDH4t#}$MML9 z-k*zBH9x`vk3P0mEHZ&84m+Qs;--RD!CAt2!7qzQf?Zp|cFv7p_k1}#oQUf4tpn8+Zm z_ketg!;)SVgJfV2O=9;{RVQ_3e`f!c7EpaakQFT!@3C?ItnY@^fhOcHJ?#K8B@BmF zFYkb9Jevk&Jry|rJ@m&-`_$mL>W~D>yi0t&*aPP^iEa z3VPw0^JJpg`%#qmJFhv>PCl~SsVxqzi}uB{Yp?RRZg)~hDZ1%`xx*Mn>Vd`U-Hi#J za-KjD6F5=>;fmLtT^jxr9=!;T0m)?frGmfIbhab)YUkE(;BJ1;^hEDw z9G?IT(Etlxnfo-l9V`Y6uC~RDRt+)FL6Z0Y(}cR-*3hB>#;%_RJkYc}uIYwp&d%(B z431=Lm<4LF!DFXP&}FK=jE|+4u^8B=h#ln)LIE^Uhau1g1M1iN z4)(VV9=dLWuL;d{8yo_tBN(+T#eiS8!FWCYYa5L5jUu5E1CHkm2LIbO*c6mq&<5Mw zw80tIZSdMc2?iXr!3o!GFqP@$_3AX6DHOE9u)l5a#@{v=dea79>-axzgYD%0y$uE= z|Mo7*HEFv`L~hTF%sd7TJ}U&OHvltBo@xVq2FBTjcaU+L{96oo?(7~H_$~+VtT5E2 z|3~XRw25aEQrsG2`))TRG~)m;&m}vZ=PL$>GhqmnQ~{BWOmPXE#$VD@PYtH=k79Z^ zTBBq-U#RI$I^p%$ez=(zz_lZQOwX#U!81F+k`F6EDA>W>J!li-Vb`*!1}5l`V6EzU zy=v?voAesC?Lh|hN#2rlHQ}SAn;wJFhaRPdVWYrw#%7>=Lbc? z_86e*F%K=pFhLYcIe-Tl9X~BWLe?U_R)hRkcdi3!NuUisszK}3Ze^tiZP+}U`*mHC zud$lQcX*A}i+`|c?+l9hHCF9!u&N9P>;Pc(QkbTtLiq-(z1LV(zQL-i%D-c^_!_JB zf3Z4tjny0S46qvZ;D*&$mMY(1HU2-rD#16v?UVsl!Qg+3)oY3junPDxShC8w#_G?7 z66I^GCSGHe#`Kcn2CKpVt5$!p`r|KFh5s&D{oi2q$$!Ku%?(z;O6Om!mK}rc;8`J1 zy#Y8Jz^d|pz$##7vwM8kSWWy-uzJld0anLnmY)2@YVlvJmi>d(nrliG-}4u%@&7ee z0oDD_usZ%1tHOV=N^^}>&>mc4m4^1*);<2;uqym7tZw~-)oZO$t$(o!$lQmUc>yU9 z;RY69|6zXs6Ie_<6Y9^YU!@gJ<(-(Zy`4d{^g#%csYb%Ry= zf3T|H3I_hcYT{q4CjRfSD*RtyHE|pCKmTCW{u-+^H&_L!aE(=v|JPWxzrm^iz|4>3 z>9SK=^MzLYfV;Ue@$uumc51Uht-)!E_3?pt6YfoUxuzwj(xS=86V(nqheEu*%U>^* z_r)Je+h_I^vEm0L@p4gjYV4_^_Bxp1bwzN>4>qfcs2<6EgkQzkGOZcY^)ziQi7ZVp zJstlX>?uH^INrNXh>sz^+gBEck)pGW8`fV=As(qF6gXJ`t?2e;iZd}I%BSV>jyV;M zXR?b6xn1Hcv|fKnxFEv)HvLS8eD&M$`gp!C8!63;8f=nmGWYwYS-CwO3LhRSv_2Ev zbeJzCHpH+g4b^3+h|M41sd~@2?pDB9<|aPcYBoqlkaBkVoCq$dthrF$uv})nkh7}q zxrjf3pWT$l1$i!NsMPVGxPQFQ?&EtVj6J@|Sqcp|tjP0$!L4pF**iwkOw2OG^QPZI zo}40xs9JmX=%a|J7T~^Jd(R|rl}|jT1G4JGkRvia-o$hl|QPc}z%y}17{&TFhksjAw2>_mldv`A*< zf_#h@>#ZlHZq`}i^uQzcY?9Z`g!lD=MBmeLa|2~@K;HIFLgH0dm$;xo;LMLLK0CHLWSXBCtyqVIio@Vk(dxQIMqCFx9jO2`DBs8(O@pg1i{0z)TS)IQm9!tHl<(!cL^;`UR1XWDW> zPBiW<6#2iNlNaYbmW-CguyTuihkhnRO{s|~;42jnGWyIIBT%K4*n4zlcyx~(3+>lL z<7eofl&Y_MyI+P@nwoJP{f{Ta1icS(UNfTJT90n;I%9@<1Y>K>Z}jYUF;DP(_pQla z#^BfKT~+d3Em3*mO+#qVbXtpOyKnco_m-(B7vJuC+HLZ;r{4%(b5y7n|Nim-mpl9X z;K>OZ1s&#f;Sj~W?c0noKbH6oNjk>V!?fJ6>qcAE$+FxUC%*UcC6yL1HZoRZ zo+9op`Fg)z{1kDjw%4+0-@C`GoqXm0)&NdfE^qDbK2Wc9I}j}>_wbb#*1*ALe|kxV zb;d_S-LC{o^a>6sV_HAS{U{rmU)+4Cpb zjCnkQdq+=JadvsByVkZMzgsWUXZdH=?YMqvs(6X&nNdsXW%pz+aFn4y%Hil9P0OJ) zZrK?oLPE-+57DIPJTg+xmsdawZZ&s-?!Wo8k_>z~z9Efx89JD>gct_pjD5XlfC1;}c`IvbRHQY;s z`i1_~Ml)xJCTDzQQuNZauYkwfOO3}xf48HYNDHecevTgoDd9zdf)oSi+}WjGGJ26> ziV)1**CuABGEV19>9Cvy0vAV_I$mLU50|rplxcRImO$KK#`UB+U-Q4s$Xw=fFqvw~ zE(`bS(=+L|ZJXWNh|&Z93M?Zm=&>@Fpm#cVQ2^1KL2Vp*X>>=Z@9ST>>&%ZRvz4iT zU2--nM5R9;$__qD?_cne?&y6W3SI)cd=xQae-z-eqvJZj0seESDa_}S{mAiYI92Cw z5l0z@iWz^H%&7X;GiHw3=P|PJ@8*I%9254PciBeO%VT1lbIaIj6N^&!@aDQ*wQc3K z>=RG$=EBVT^C;qk`JYH&M|YaHb#w-@Q^1oqjmBD&Ft)*mQe6j*w58GUnV*a-%4b&J zj%TC~0?S_u=r*ik90li4GIp1%J9|DbGUqW1aT?Chkp-1;Ph+URM zbTEB*(y~m<8-KqqDV$GxyEfR1-V=oRL4fkt!S{U2pJ=gjspRKGQmWs2w^DNWMo6w8 zLQft;#4s_uOS$fw5{eGnCe$p$p62(Dc6ny}{Pp-d*S)2{sPUA}MKbWl(qF&w)BO1} zN_xCslV`w}e$L)`pWJBf!KLtA=|3-kO8QqDJx{nNpcc)R zm7cP@FT09>SUOMs;eLvt=b6f2pa!3xEzNDB7uE4ECGv2Nx;iY&8jo!^w%Z&l$q?&|LLF;-Tok?V2X`HPjxvc^h(o>L zDa{A#10B{(t%iFd3BNq!v~)HXC(eJpySiKXXHs}FD^$>}v^`5qA2yWx2AOEKTXLBE zOVizzte&lIGt4h|M+eC%Fv(X%(I7=pMe(pfv3TYu%yKJT*NH)O&+mgGY2SmdS%HGK zy|>dX%~5nHtBgcwGDM%C-MOt(EgLKa!7uSDGq|;OuaRTuMVR&sc1;U!$krW9^b_nY z;+TQokeYyy>`@%KXo`TL?Rn|2iNX1!u-A<8I9cBx{5rE(I)}%98$I~?;n(82I$HD7 zJhzBnvJe?Te!C^@Z#l?|jZ1kQn%jxm`N9iQ180TTN9$r}fkBt+>Mz_V_B1@|Pm}#W ziMycW3*S5Vqpp*)@Z#>E`(Z+I39C*1mbCtrtxgUpw7w@vSh;HCaDDgWm0+cdNXK#a zQf1>1V{vD%P^n4*iB#VjKOcvfev~_5m#bK}{%yIO_fL{Ih2IExtl>QnHeP;Q7a0Ch z%kl`)w3;qwY~T@AgmEx6^X-$cuWG@!F9$Dw-Q|vOs2)6ei}o?N0FT97>iS{AxJ&QZ zEIwotWtzE-5-apUOEe}?BEy#eZ1Drg6UHU7l{M*~mP(1nk)NjC&vMOdY~5+p_vkoP zy>x!-DmD9Q7&<$^W*7E3NkBmt=Be*Bhg52?ctjff;?ulO&K#lNJ+C>gAtn)&ikM-S zXs8x!*hQ6X=VUg*(N#)vmD$W<+0id4Cf??ahkGfwgMZlNHgedd?87#%ua0{g1@>vu zQh?deS_4|FbGkrm3dy_X1$8#kFpzvxuo08mdQ#DAqia- z=QlDqF?yP_Y3BQm%|C7%9rMroysZ!zTU@XBemDB6b5U8)N8>e+3{9^>%aYp5fj)tX zTJAu$>pyH;he)`(xJq?D;#gm6fD@2~X$NPRJ5nGS@4MS!_Gqg^5oDFTfWrmH6vmVS`a0eLLY?jbC zR`9lRZ?z5>u+L5vm_GLse`HyaKL|Z&Rrx88&U(xgmNJFvEmB*Z;y>T1c6SkGO_5Qk z#q!lyPfM~c<6BKmv4u9mJjsKv`&AJSSLp&GgGEZTo$GvyIR4d!fqumR+$i(MPkp6} z^%B;5`!yL$!FsNS+~*r`5~;ydA-*Q0||Q=+>D-fm{w|AVZzfQn;@x`mTK za2qVRyK8U=GPt`F+}%C61!r(~ch_LSA$V{d2<~oga_{}V_tyWf)m?jc^>oiv)j9jr zRCiZP>{w;QR&<%@o>1<1Noh1W>&|yMsu}fLDd4MnAJx*$`l%81m&=CT@T;5hR*t%8 zf)4)4EPg4MeR55!K0M%9N4lawDCEx8C-P58){>jGmz=egY|v34Pxj}WcnRfj$Dbki zT)0Fcii@E!i-bR86W8#a%i7WeT3?1eT6zqdLFTG9d)H53WX&s~-z(wx3Cu9knr)(~ zkkExUmSBRv$}j>Wm(n(j0TW^Ll~#!1!x0m!Qr{%%#N&;B_~ErLI?8(lb?SK~2l=r{gxIGSFc zW}ac*5X7SpY6~-ZX!g#u0ZRDCVM11YT2GdFMJ%%S`=lytx??*<^-p`>Cm=6@E93k4 z9WvpqKw2AvSN(FW8US(-c?BC@dHcc<6N>54o%)l6!3nW%(>}5qCsBEo;9QZ?d~@Ei zby#_2XgSBf%g=I-|KG9~uGU?!qLHHz##=??ow>ev5XRf3+~}Uu6`bcvY{lR7Klc9yW6P4XV$;JjMTGv(SalL3G#uRMOXdP0haEPsCXVd`Fr5mt?Up z#)#8qiuR2J3mts>*%Y~U>I?yVW!poH`u9zw^M*2XOE~HKh3I7i6d*@^Ll1! z1Y8F(jxE@~iHw7g*mx#v0_GUis~g(Z@az54Lu_3@Rxzw zHbYI=a90y-`tycDUsf1P1a#z`mJe2?(~-Rwb>#O=p!H-Lz%JEUo$(Ya^6Lu&9pEqC zv#bL!^UE#_^}wdjE?aU#0{HFc$vY)-Lz?*Q{mJB$hC!L8fAk?%JJ}DzW6ztuO0v%= zQN*SQv1bzVwD(oTBaf`Ot73x-2_`?IC;(tBnS>>-1hL8!?S%2KwG?SfhEi-hg9$ch zYot6oaTG^b2}+KPQf9SU2{$vNajnTFdQNP=t0lw}w}D zRy5et{%{joO{wUAs2!*#T&m#C7~mDMh+b-0MG3dpmM&7I#2K>OX@$^~F^_5GT|qnN zr=hZ1Ron^@Ji5B`Wns?2@_{~U*m=w^Z}rZKQgzAit^?Qtx1CPP*aU_{h1;!KB+zSI3XLGqe{!}uep9r<^+W$ye-oMHw zhcfZjbGS^$7cD89wbP7U=0&A2AnQ?>S$=N(xUoMnV`IVG9hNxrOCU2T_;jm~!UdI@ zGS8cv7JjW?O6m`hytK%jLp_XvLV}#8W`gW&4OP4>8HHT;ZjmJ$W3grZ`j44iYtm#h z(FEDg3{q71$rQ8v$rRSM5XAkL&l)6CuuC#TxD?NGq}AnFeum?205C||Nm!(h6HRmA zb8xgYa7 z1yMVNZcpi1n_vAt>?sg)>i2<4{|7`f|AC z6zzdzLHwcfY}*>%IEuqltRnV{}MO+mU}BUeihe{@{KN-Lewbe+V!J7MCmDevqY z?1E?$;}C|{Lv9Z=0Zw7cNH_x(W}VlQ5m!3riezq#sbt?q6OAyr0cX) z-qL8K>OA-tELFBNy!1R~!>+dE@(_B+a?XpDLk!|`I#+eTcli3Be%*yQl-IWPJnjw7 z7K`;Ki$SDtEm@4dCb#Mr{aMS6&MsY*#+XTIcvd$Fp+Wb~ZVVU?MTth@3{iqQiO!Xr$QXF614Yjn)%G{$rIK3;Cy+=2rAnJ2B&Z&;A zF*r@08Xg7jKOHH&Fbn&L%ikpM3_leuOv>)RW& z%ab3EFJ2Pb)*F^O;#v=h>0VO3*zDRo8BqJb-ti^R9ehqlh1-Z`U-Ce%wL|ootyn?nAIjv zDO`s8x)p}^XTd`&R}tuhAf3UjWB8Cj zMl^g$7mnMCI@tq3*8!V%&^_cHk%Rz8WYl%jEbTh)hfKG??5PAHze zG=rZFaxp)Wff}JSQCVIFJe4$GG(7`c&~HtI%~YtUuJaUYGvnu(+REle18H5`a-{`Q zX(QhCoze0+x}i>dUsuvj`Hq9DWaT1Gfa53u{Fw%2dYgnx+mByWG9JTLI;59VXNyK~ zW6>Lb0_bcM;Kk&(P8t*;4@4G}8R+0Y3@DIpVkpg4DblyVwM#^5MC%5b?jgG>ggdG;zI<`38!8+ zYYOGdANj9?#QZL2q+h+?f-S=sub#Q&kQx` z8KK1caSapr7LsTlP7F6$+-dP6c?^~+yvGD1=s1QX^|&Z5>r-d^#hFbA!KyBf{OOv{ z5?PZaWg5R)@d60fo+pB~)CpZh(cwp|v$gDxHqp#4i3rmXY?M~)7MF3}qt8t$7VCDp z?+8sxQ> z>N|iVm zgORLCmUawt4`c%Rcs+0Y@>XAltHn>PcF~55>ZqOSq0MRK@Lrra2q||h@PwQ>2%#Yu z;LIWCP1df-nZ!%zylaszxBI7`4L~!RY=-eMGdW6Faq7akmdW%`Ri>};R3~>`)RKxs zvC>!_lQRvATh0c7TP_H}qa`?6?`u}JN3F!yupb;7T3^EMwTm5TBB!GjD6@Z-pfiv0 z1SEboxOScU$X_9oLvX85F#(xlh?p9eM>29}B_aP0IqjgBDZR}XB5B0JDp<@IcnN2;fY+5BnaCBwbIJa36_$nRF(S3+qvzf?yv zb`=dX8v*?QGB0h4nD3T5z~*i=?O*RUX@T%1Yzh_g^>YCFLcBVW^8X8Hbs~WP8CP$B z!1J@?lcR6q1M)nah$3OWw!}@6)n{eILNiIi_+>k_q5NLD!_=SA`|Y=ya`8Fp1^G-* zNKw>_SgVGTH*wmzE|NuYhghZ&ILbPO>+TJC-Q{^Pdp)W_9M>rxc;eFhay-%*8O6|) zlQaF#{f7kGmWRsQmN?8~BMzFA8OLti$ekZ1dOk#V2d+B+9|DNTFmS^H)=3gmgMnbi z(NA^x3;_C3^uN&XQ{OLtf4Q4PC?GreCK^W?_EA=ABRoJ*Wt7J#m)XSDg|j%V6zXT% zBa3U$q3(&k)-=6U&>UhJy|P?k-wzs5&c4<2)DO91kc`H&1bgeUnsY$QOL>DO?IU+t zO=kg;qq2b~HSTt0ccCvB9|L>phOM(pRbjejkJWNfJMyOFkDxCju;uY!#O~z^bd>W$ z_wD=MV+r@rJZ1$OmZ*)2MO1Z){eHAq+O{EM?~8U|M-y~d6ZBIP^p19*A>(_NWN~F{ zcui(iE{fQr&-Ug=0`drI|f@mWo$fD()-KRWr-BUovlfhMgeW z_5s1U;U_##4RTaYCj;^!ynp*EoC!<5GhNoRt{rqN#L8bLJ?iy!yvJ0# zMr)248#gC!y5i+}vkW_`Ko#BQ_1GO zUR{9QxLzFA_z#Wtq<~e>S2m7ghW)DQl-3g-iJkd{MQ%~3Ipge_^n*^fv^}Whvq)@}kZrjEFTEdCl!#rcpjA$5GBiXbaT>CtlPHx>! z0b+vhmQg;KvDHhEPAQzBEOU{f(?fSm$zCXO5@6^#Eivfnc2Ov??+Z0ho<5hMd%QRz zH6kt%G_rq`Ifd&9M(#nU&wtQ#dgYd4iacPR(DDz-1Zxb}JYzX!pTYHrFoD^XA7+>* zOlgJhiyj8s1qKXq>=ULk7T8~A_8DDKdpL4h9!9n_`mRTaWloZx``h{FFx?8}DJYYZ2CHo!B3MQ8v)Hv9eOFVoxOjsCFE4s;ZKeF%A z@jqC5lsQvxD_)pxXFl-Ln+Y+1Pvn!2A__4`V0ub0FsQ*zgNzSk6vw|`_IBV%# zMu!umQAYwI323W6NU3EO%4vKf?qeR2e~B+K2xE4#%k*=Z-Mo?8=iBPxrz$+@{%)Y( zJ$AQH?4R%oE=e!ydPV!fuolG+^hdfvy?~x4-g!0_dgC4!I^A{A-pG%SKA4&<@Q^e>7nXMPmhu`R{-cD}Y>Qvx3eoEN>S z{5p#D@#t!le*l_i`)CFXf4ataw}~zAD+gn>P3Lr*u}#xgY3TB@x|4snXxPHj$ZrXh z;_Jzil=7JC(#FhuBYe;n3c5JmhfLpEvwvjy_;7N9<5!N$+eJ8En7L>0KFW;k)k~)G zRr&L4IzLYkZFAKbCggkSK0Hl@=afgb zF`WL|`syiuUv!73{`8|y9!l$i9wqQ<7MtODCcwJ4uO`?sdYqZD*Gb|Y3wGmS(P@Z- zLfj@DZ95g+h8#Tlod+_{VstL8R|^PjNugJxCgB*_bx! z6=6z`#n-MD-dR9o(uyGHgKzhVpy>2oF)}JbC@H4<{oBFd1jPm zzj0u%7E#r_imZQ`MRGYbfUda^F&df3?Hs5KMQkD{7a({OphU%Hh-M5RqGCr(V;y!P zZw!o~-!gAiV`?H45^DD-qkQl=+9f<>x(y=XZ9|GE2}JI$L;#u2=h3OgNH*MY9pGS< z*Cw%DuM`pFiTe9p$0J98d^JP2d~dzS{q`+I-p`v1d~mDt4<1WWhTZxVA!3RFqV7oK znwSlyGH%osmNF8k57tXQCw=lIw!$|dmBV!7uWsLQ{H12X?9B4OI(#h~ANDZx@+|5z zbrUB0^LogsL-zM|nCuouj*b6r%@SvX(7p(g^TW?Jv>n|_M|t+iLA-sVXlDV5G~dJ6 zqCR%EaV(-_^9df*tAYc-d-v^lN+=+7|>5G`6(ZQ&HIcEq14l)Jr zvZO^FY?q)U3@$gJ?#$2Pr1TvuGY7j8$fYPZAC${*oybvL#fY`vrlo_g1&dB6)}B1> zd<0UDh<3Zj5}#fINL7VP(BTQGUC^twmaK(m!K4)E7gj-kga9Mg3iU5^<{FRo#6;*d zvY-7A`~n2cCDmdw*brodOZ-`t7A_sxB@CB4D_MpZf;dCEFGs4O@}}?fpqH=&!whv zNjG2f>a&R^+MHuz%*A4#dRQf02@HH4n{}Sl6?POlXX7HQi~2n|`lrTIg$j>Wm9Mph z66Ne>#H5z>uhI$yN3Yj1V=aX;-Y{;&!ZJ;(7iVV(g&>b*;#%uV#TL`Fj>>`AQ(^6; zg0-MV^SCo|3b(eMjdi7AH^$}aZ`ebp2*Wt9Jx&U_-SBZ|IIC069|6Yqw8)r#BYD>M zH)!PrcR&HS;L2$u6jJ+cQIeE2=skiNX4^EMH6e_89b9U{G04d2{ifoeBDHP!6Z3wQ z#}^ZQv=hp{TXaKEHQzu}3fziJFgA6dmEQ=!z+cPu<)(X{e_1A&3g{hy);Xe1Wx)(+ zXL#imGRuJEuxt;kezxCR^xw$9oj9*K5fE{<_l|X-TJa7B*q;G-J0}*&^$oIH?%BkiCmpZjs3)xoREDftlT;B)YRPC)5K&) zQ?nVtd@YL7uGeMQG^v%E-_K2d{`g~pvHADr5QRDq&$=KS5qM^2CD8$khnum3Yrlj| zS7%|g&cs-3Ly`s_izRtz&O8^Ece!KR~TXVb1q!_}bGRWPKqFQ~!-aCC9tv>*~N5vWIJlFWA? zS}-?J>ZMcgY~0nndft`1gUILoSGl}rUYaBM*=3~DuQ0Xnd|23!GXaVT4Ye7T1!TRy zS)%4RH8bi-eAjw*O}^SWuSlF)3(e`urU{$E-H@nkRDCyZ8J55B!H6GZMxE2Tn6vOf z60;{xoWB04)7Lls@cQ}QD3!^0&uW)Ea7fuT>SEAPhV@3^;@leGMf419jbaf&bW7O8 zP6SOmf9s)0Z-P*S#fCG?s#3u36qRc<=zI+>*Bj_xCKUNe zT1|e>(qPK-JLJMydA5}N?U3?EE}2z$`_z8R%G6K_F?vxsD)~gF*PUk>1rbGDoI}H- z<#Vz<^Zv@!-Kedx=Sp>Jm4^?Pz#e&BXeKNK zsQ$Pzd&Y%)Zt9Fnz{YE0AZVE)WT~+w3y(P2Xy1#BHJNf+TWW0fm99P_agu>2X@bdq zaBLN3(AA2(D_Nbf+MQ{LTVWhcFF(XB1}Gr7PNZacEfZ`i@MdbaUX&p>GuD%t#FUtH z%r5S{BcE-EL75S^OH^JtJZ49U=1k1L03*VjZIJdK8V>p6T6jdL&U&+GuOkv}nG@-89o|HVl^h z+lP)W{mP0O05!!ObpLclVfZOR8qpM#B*Q4zR9FCc^yGYnbJcX4mut0tR>k5fvTc_c ze|1trej7pmq+a&!^R0@y@m1phN_72dvFcD+w#wM}h{}#p0XgSgltu2I-SwUoReB=V ze6VDHlFI|l279QCDm?7mZ|D4z370sJk= zusN#DEviA*vc*SnxhP-?(;$=?vFPE;T;8Xqs^aA+(_xk>sfSHZ4hHdqEZm}~Edg@S z*giCSiKw?0Mb;Ng_ULbE0RrgbAOT`I z;zU#4nR08!{auU3n1}7w*E5Zc%r$%+=dm{ArrRT;pu(VrwII*PnZ z$SRJWokk4SpD@@uMtRmYMys*qrA@0{=GldeeoX{#<1KCzSOdhsR(V-mrn5(a!j5ZY zu_$d^^WL9@C3TfU$uv}O*LqA94*Z^cejoI5nilzO+IiY2i3{+_p{J|>BYD!Iblpu; zeoiw^9@Fic?4U_;CzJS#`MjA|x7$fiB4YHE%rzRENp6{>}`xZkkPyA6m*+O)DRm12q zjn{o1^j`2=i+T%Mo45SdDr3TP`JGIjvj{dhjB?|ls00S1#oay_~;^zgl z8y2HN>5tLcZ@F@p*=_W`Db_WD{5=eszAk=RwP{LlDIcwE0zIogFDnn*P^4Gav&uXR zjvgX|v(%6BrJ^bi4hlxB$7QRFCu{026bDm<)H3)x=zum@ROy$suz;ADv%9Mo$K2Tb zczOY(CW&`+a)|ZpY{6zFKDd#wt>=d7;KF%qB*E_lIej}a9*^)UR$3=ZANb9KA)(I4 zy*6Hct#M#1E|oB%CMRvWpzgu*roa06>zJD2u5nAvp5E8)=xQt6Q(;)l7d92ByVr{< z@5z&6x@Uv2M|a_0du_l#^VvZLDIoUeA|6S&r)8Lf3+&rR`Igh<^l@JIg5wPhl(b{5 zKmqSz)Vy6J20@2O`V*3IDHC(@&4@KFy}eocB~fdRx?)Vc(LF? zBwJDQGq$$#Dxj268PE7`CNXnrRwUKIST979PJAhU+ibD(C(h8$0bgO+)#k!WC(yJ6 z#f~4BP;y1J2xT}%uUj?Z>4$0Z)qBK>Ij7gtveE8qWzsYqEPOV&Qx;h^T$WA?HF##I z_`U}sjGrmw&_S@oUa_bTnA-d7!n^4<>pJzn>XDOkmwzV6@$EWmt>p`6AY1U2te*UG zW%DRs%Yo03+60XGiU0Cu+?q*C88Xo!7>kiU_8-rzFuk12tQ5yarLlAdXJy;887;QB`C2X(xV=~{cDVU=*3%$nNG&yK zZG;IVxezux{~l$tc4)DqUrYnz%}K5%vD)xZE}uDo7TsXS%u2urS5c&Yu7_?O#vHho z)r{Pl7)nw&js2D$icnmvXA`_@p%6JceS+3A{Bv?De9>zJ8wHPf)DK#l?@I~}rK+gA8`(DHM`#bO{U?Y z9uHq)Cp&GxW_?ocHT+HD*D`jdN%$){L9UUjLuK0Deoa_)&BEDrLeI2|zI-Egxt4bC zZneG0bn9ozpNKU$`s$NrWcSh=JOAI_*r(^D2I?D-dwG9;OcStMXG9V(8AvR+`c)r3 zc9~iAwOMb=?VU^F$F^*k4$b6W3u4VEVkzY=YyZx~U4$l*{B5V{S zW2&3O7<6*M|gnp+y)XEH$JR7(jg;jO#|QC9xeBki&g{sTH3MbI5%TkOGc^u>>iSLuoG=q)uV8< zureR&*X+x>4*b~d+70kS zWmDzLJm}_gY@5a5N`LY;B_jBB_c-$9q%bIFE1-c#<D!zk9CuM4lipBF#N2ad! zE;Fgpb9}EX1)W*G_5rNiHF(C+GyXBL&Hg~`HEG6pf!k;KDxMQ|Mre~HU1)+XS)fYG z(H=P_ZRtQRP3NGrSf^o0Z4!iHxA3uMc>eKA^@GJSMp@60IXk^=+Hxk`i}_l7P?#wd zqVr46#T&z@N^`X1xEN8cmX-4|_Ubq11|?lQW(9W(EA$ktl$Z*zmfk^zrLE(^jU{N> zi+2SI$Y^@2J7ImGU*sUS-W$Zx(Hxes@M(@HRC_~74SfGGt9CNc_kp>8jSViPKVP3w z8B}2_5x|G~8Wj7%w)lPy_4N2HLn7c*>MctC<$MXxrq_SG%z#%;hFT|WgPt4lN;4TH zs|rj^R6y-kQ4)Kw%j|LWN5NY^+z~Wvq%dPiArf`=@*4*G=y6}+UZnahD)yQm&Lt-6 ziZShV+PT}a3|~zWgs zT@aZnWw?h`c$H2oR$yu+Z?xNCCFUg+qqf@WjpFUlyX@KKuJv*FQ$aQBg4uc!KINw1 zgmSkZ`#KCTSiNFeStg2lfsMHzCtX=CCvg|H)^H_Poc{O(M3|4Km^; zY7UhUn->LqJR{l$1?qUa7n6mIwjB#y-%pjMa6+vKoqb_}E8?C#rs@}Eqx zZ4Oar`)1zXFW|yLWjb93$8*HH+|TF1faC2<(CxZ0$nUEpuQ9GF6z^Q#p^p_iqo=7F z@!(>AgT};($IUg)bi<7$xw|2RKi+LjUfHHiG&=b>L&;06o1I|$XNvl}7X0Wfo4JJl z)l!ZCSA)IXQprj~PbK^F(rg!`?l`!YN8?2B;W24Cns&b92#k>lq z#!Qv$CbL}6Lrc7Uk2UM8=9wivPV~wpOV*Bb#v{+3&V5yvJWy7c zg(H*iN8R{8-0RhL9g%hR4c8u=&vn9NuEeFu`QmYgiah&^;(d|Ha`>1u^gcPnj6MHw zb=+=68LG(?k?+|NZiT85EqTRE+Ie)-U^G7w)OSxy&tLHQRQ2QT8Wd1jgZz!RO^9KY zOuO?`=k$`N~qM+ zp=8ZzC8K)4*J=dfExlOuLk1Txo%#LFb%C{NC7G zn}DBp7r~UlR7nAW>251`1bq73mtQ5s#E&CAxZba?_qT3dwC(s(4T%%qa5ENOmk48- zYha!Aes`ye=1l?Ulemn4``MRt-v?c6ANsgu7lF3LM}JYiOfZ`mylWjd;T5HDDWLQL zncqB(MA+j83*OwdZVJDPM5MvB6pQ_M0x$h#@6m7e{9kLHcJC^-y|fs_r%6RnLa^W! zm417!ALZ(;Lt)WXekTJmtBEP>ApTv0RS!vq4uM78IX&x_cCCesX6*nq-=i6_Gp|SP zHQk2Ht~>#6QSaLm+ShjM<=(!w_tL1}g!`bx?$8KHdA~GiBtia2oHlxVi@MZP~ zO^}M0kL1l}q$C6Mo*)jNK&X}vm(l#NlqR#GO=M*07 zr2NjE&H@)hg%ilv^nBsx(|Hg^ZKkSGH%Sm7f?qflUod{6b+9&f;>Mp?Xt77;VR&$D z2n1l!MwW&%Z*QVeY3KN}N^uL0k$Nn+ZO6oOfvY=@N5`Dt$;DZF2FM-G#6KDHe%KZN zL|DKGed$DAi{x8NQlJL&;6xy)`B?|&fFO_>&3D=@z1D&c7@ipy4VP{HE_uYB>dC;@ z{0BzPD=D#X4zs-wwKdM_lQh~!w0b(m_n*t~;)uuaW`w8Nn|AV~G+U! zkr;-@>}JaKz>A0AJk{IILEZ7@1}8ac%sviEJ+|!<*(ukG^@iUeHHFw>?0qAc1pkQ0 zdFlk5JN&gE6JgnV{)!k!|LgcCRDlnv>%zAk0()m5cE;F;`ckN{3T@VC zOILKTrILVIb(DF8N3YavQp~s$GG-4qYj;^TKIa<5mKu<=)0_YPoYfx8GcMpB$IcU* z_uR=ex|G2jHCDrAZtTVU)92xfA5=MWJ<*Tr+tTseZh-_uyvgmAS@v6(HebJ&3!&Lj zI|BFdx4dnWEC2PeiajNbo6F_i5gl-0*PiyZfB#d|-h+fde|a_`Cu(ryexJ}NVZ~m< zgMs4^neGI;@jNl2^Pv@N2b#W4*F`3sLfNB*8pU&q$LxY{UuiN&4|CuAv%}mO;))#> zwXW1oG)fvgInL36H@GdS+%i-;b4?t-=Wtckk*=IqWj?FLBKs)Dq?YsCFKjZ^QD2R^ zE}dL+g0x|qM8g$bMS?}s@3k^gbTP*XdPmnBRd|4Y$bMG8&t1S~M(DVT-OHj@5O)w9 z)|2-;8%|NfCLM~J-n+kAwwGTilCTX)f^Rvn!RHrG!lvwQNY%MYqnjEHukBCNin zZD#Yt06th$EFGNbpt>sVvW;dw4VWHR+R5+k4}&_=^~^g)LIv6g6Q-%X8+QYUiq97sFoO5=SLY^-Esr-3QW3oiQ(Ix) z5T;52bcViKwsz!@eID-md2np~R9wuHU8|x35~Al3^s3iD%DBkm$HET?OyZxp4AggD zcVyJt(L>T?4Y~H)3WOt(je)E1<`31vHo=?IM(Eh<40%XE-{gbpkjO`93x~EKywfVg zp@Qrak;Ymowm=h&#~V0z*qM>dqmWIae)-v5miw~{KBa+?lN$a7CXhV8hHT<9btcPq zZEjZK76hT~aMX8J4VyZFUrk8oFW&VHKf;v2?9+zNkgC+gV7F2YT2b}KzZZxSg_n;h z_6RJX&D*py5n@0HUDi_Gc)?+%`z3ZSxXOmV=!&`)XL}WIzjtF;#Aq9B&$9*vTwq&@~7& z%(iKz8K0kQDtxrnL~3Ju|A}tf3alO8YWIK*dulikGP;4t5p-XDZ~iSC*y;Gp{)IV^ zNp+a{UFH&oKlGcfOHAww$+L3+pT@bV)4{WIopoBzr?zs#l+SNSn`EDSgzIU^uD^;* z2KzS^5IgxG3hEcT$jj^aO1^$)hIN_}v&-x)8A@t(4^L^UDWRvo20;mG58O>Qsh@*o zoRLpO{t!paJ`4r+BDQ0F2vKZdi&a{zmhb)+7XEq#rr?u2jW5L+e5^We4r=R3T#Y}= zd@S;;Ow~!wb`Y%V58ug7q;mXzxGP$|xn*36MRiv@`j(u5g;~$Np9|YPFMahng$n-S z#wUrKlUsSmG3(IeWO(5ja&fg6Xn6WO$j(lRy@J-}0Iy#;csVJ4 zF4CPw7r#GBDli~r4#?ZWkEGO#X}~ACEIKTdnii-bccQY5GW_g2usmc|uc{^Gv_R#b ziyTi=M$O>u&^DqOP9*q+KAEwY`D_L+jrCW#pDdSH+nUDkU7h&CsSo}Y>5qij%hZNL z`d;>RwP7bvB76vvY69+n)}uFr%hja(?bpk9@r0w2Qw;SOS!3SEN@`k*lCvPGfJ|{~_Naf;D+4@i(y;If?1LZyVM34#p~@@A1~vgtL#S?s<& z5A32B45|TXE9s;S)szq_B9%c#Xe%SGkQ{nt<4StoXGNH!NES_v;NEsJq`DMsXno#F z#=ft%02u4BXA<$P^)k%a2$rF*f7AYd(w=|Pj(1Mzvm)ZNdPTu~0Ng{{Ofoji$YDhq zGSNIbN{avG!6r=Gx5l$xZ^-(Xx&cb#7n^RcgD%^$xY!su(rxXD& z0wOIU6vbqM$+XEZr_uAmli`4cq>Mo_zkx4?nAl0mnu^kf!nA6%F#pR#J{GA06*cjM zJ9t8h@-Ppi%fmonhK@8LgL#5%g=z8;v`zC7Mj;ohVoC#@#ITYo!VA?g z^WjGUa*EZ(@B?3mpF^(=vmPBH>EN@X;eeDP=Hwzulz9z+ywZeU4^^q^Gr;*}0HIRM zpKvEJi6rtpkv46u@B|u(Br%?Ricz%zkt8u#a(MtHAd)6RQB%rASbHQ6!o;M4$)w3J z>nOBMXM8~g+UTPSJmr*z%ff%t?SIlkVV+7#_xKO=lzHdn>NuTHWJv4p0WdX?D;w6@ zhIE5JN2@T^?TTud=t4j`w3VyUhKQyAWH`|eh6J<_pZ5O{!|9wLMOxoO3l)N;4`$IM z4emuCMN)?|tVM#bJkCLcJWO@CqFND}5U|6iQCK@(hkwmTQ!S~lJYTg4r&5Jo5AdH2 zZL#%1tY`ttu(ld5s3>=0fH)WgtcV0btc^k1&{Qc>D^~G~xFJXpp(0pW6Gs}tBFmsx zDAlaRa4XSKIa*+s&-*q*Kf&O`8`j37!L)R+6t02#PO35O+>0DK=tEZYXjf0Ax7;)k zS~`?l|L$VKJmr}QZCwl5I*E}BV0q?|CI8JYf>R8zAtFVZ4X0oHzY7cZw-4*HAIg3E z+w(COu!?G{X+r*WgfFWVA%E@v-(TJj40Z+LRMPi-g%k`?cu0Qro3`4&1wf#WQU;kD zUgcq`M9^#e&!Pg)N~}pax2FhG0jWZCV+O)jDVj=&zOMr4Fh}t$nz6yXUKB{o_@^`4 zq?O{*hMdX>74gbgTmKQw=%np>h+kVzXInu&9TnWGP4Q0?h`_8S~-7p3%jRqNK|YEhx9TsLngiJ z`$|uW#QfgQgYmPSM-d%p5V-~uLLB<(L-6%!S0PW4YpF^Htmv6pwywFpisRZK1osN4 zLL};MTd2T&jztTlh0c($kV_NMZ4=R5s;xr}dB;_T-2a%1xd2;KD@YT98~_ohuOV^u z#vy1h5QEf3|9?#KZ+(zuo4gZ0{eMjpQX6-2MXBPymI9S!$^Y00(-s3=a zMz7D2iYh!ZXv0kNFq1=+HL;})wICk;Q?%&117T6EHC-$eflIyBH-t~MHB!|I*h^R( zHTms(AE7wys$tS!$fpqb&pE{i{$uKCG!QwLN+SOdVMqL@c@*AqGdxCBUJ}Y(W6R2v zR2uz75C@nfRvIZAo&X0SH2Dv$A_Bldk1UN-ga|kvIp#D;70xsXI2?vn0|WbCBEccq z7O7|jBthTUAj(iq?s$<39YyU z>C=EDuKs5;=HJO4a|p|_ntbL}UolT98su3J+!LCNHs$j%?evOjR1fTT`Ur6Zv7h~Mb>R90r(z+h!Z8$?KK+lB{XD$wM6h^R#h|4RUf6qu~=kl5xv z3g}X)rZR#MH8Ev+1SLLj_%f};oIFpy+w@tSl9*KfK50RCg4icZ@&ECIe?wEexX%>~ zyrUl=5)#<maA{GNR?n2E+}QO?;L#-+{EJH$%v${ zkLawB7U>awivaYBS1kMl4^%C#ugY%$=+I*x07c^UDgMINysIuTAhZlxM{j5lV3;ah zOSs(1MuwyU&r2V{ytnCwz^L#~71|O%l7b=byC?E@6B4L-^25lmXNXmOd4P{WiYBUn z$Z#>(ZfPD#f3(PJ*gU%OFXC8L?6_)>dheqq9#!JpN<{tZ2qR&C9bva%d!(4a(jiYW z2oboKE&_O>hJ?$)t`M>lModflDt1eIu%tJ=#Ig?0%=&2jt$Ho{^<|=W&dbOh3o*pAVaw200!wl_kHYgD%_y|$9=)!WRf>@ zoYavd{~r$yu;@^Wl;nW8GrYFB71KR~2+zN1KIHYo5FL^PLMr_qRt~==Q|1F8amXa2 zqrg-m%?n3>hgg0cq>H8l>6nq^g~M2atn@1>pC!YsX8-EW^%ou^h?pje{p}f%(MVtlw@J@OkPR&6 z;s7y8yWs{Q;&Y)!BObpHQ^U_1nHv01L_G>fWa+tuXbpnTX&!;vN5MPAnDP%f-ZXb4 z?{$;Bg?e)AdXP&}X0IOZowOJ30scMDUhPE8J<{P*I5U(iFBccLG+?R&zN_Giho_yJ z=ZmqJ&8!js@CS02U<*{G%rkkTOHEZ#1FdtS0CzZk5{K9yfB>iuoNjR+`Jjshir@qd z^fwG9W9_G?R~DiMSnZq-uRc?Lx1IN!E~zhl(tOF660b_CdP9K+o`vOtk^)q~7OgzMxtxFGg9YLnW=>e*d##Utu5TE3cNX{J z4yCx$0xcAGDaGBF#i3|%cPsAhER-T;ad#+gi@WvP-p}(sul(~}*GbO#a1Y?rE6d=UqX23KJo43rY}UkD(kqh~%VFA+ zLQTOM)mz!y_t((|2)61II;5>^=ooJi8jI{-tSH&DeXyJ6vviV3=7oyGzc}h8aL7n};oZnJU z?5FhJy*A&;8+m2j`kHRwz3;THsMfpz%IUgJedL)d&IZPvLQq>(uvlWmF zcOSpMjskzVjtP^NS>3vNXt+_6tkRuv=9j5BoUMXDm|`4>(SdJTq*crWPHj2_>`jbu zc6W7+m%n`=2m}rr0f8pQA5$WhLJ6S%EHOcDFmvcB!?UX)qc||3pykmTp)b!Kv@U(5 zp&55_^Np<{DKhk+FrUhZsHrGA*wbBoGL{Qtc}iYdv?FwyVG;)&^xjst4E zIF&xZm+(|^a{Zsd12~-U&9cf;&`AO{J9Y%yV?wo+>8RyY?a7v=;FePU7t4qR@*DT6WQ_O4u$TJTFn!w2m6UOGWrloExp z-q|v8v?~?Wl%R5Tex9W|EV*wqpNlL_KhIQUmMMr=sK?m~{1j7<@zE@zo-0!nJc5bW z*En-!vVuoyG4Ey-3cpax362OA5VR?>;vO8LUSA{nJi_lq=K4!riqQh8EL;TFYvPvJb_7O71cdQk#z;Ev&13@l%`oxoz?XICGCy?gr5 zjVOm!4tB;$uCzJj`YmOf+S0qUp$#WeqYSbZZs*?n zmK6R7Tg{Jb|5CFx$7w6nMar|KHH%z7i-eRZ?EY{?neqP!#~*{4bWz71C)F9TIJG+* z%b{r5WvFlXm303ry`xPY<0fkG8M?&(+T5TM88uPf)%0e<@%mbQ?EaHq@LXYc=4zr*8UstsoivZ7NRJ?3zKF>?ML&LDKyHf&p9t zz4qcV8*FS?&{w{J%lzNd6QT^3a@+ek`22+zSK|@nQ};ltU*D~tyZFtOuptA6NRXHy za=Eo)!^?5n(V2?EU~OXJ(B1z|IO3wJP;cMK>C-G4eOL6;02_n0B4Lge*s#00xJ(CMK~r2U-ClrF~|u! ziqpsaaHiaGT;=CeaX4qZBCblM9YJ*F*LT=d^5g#15Z#kKtG8 zwg}S*%=!4ehfB{+V`G1%L>nz&`#Q`dy#&8}HZc9@w&vZ!)y1vfVsgbsas|vo51UQ{0&B{1hY`($Q_|wq zYy4+Q6JzQ;r~SOr8=;J34)U?tt~g2m88=j$d5iVWB%k7(3cmm0)A^Nra4`hkB1jdC zitEg6k_qOp10-!dM6R++#_h+MhK)1~5^V&eBwDkUglaRF^JlNemTnK7IZf=mpSe7` zxx9UL1->$+>F3I{dDUyQF-8mg3L}|kQ`U^&WDb@0fA$WDk?g!-Op^Zao`iy0VOVqV zXIp@ukv3NA@yf#f-FqXfbJL)v+?d`R*{?VR?fGMOWU+21g2gq1i`gDE4(owrd1Por?=>IT@M1z=$P^eYdXmwVM%WL^EsnqtSy zCW#y$(~j=T?)q$5al*y^74%{M>UbOEGiNDNTJQ>$-D62>t!qwTRTy0J5BQXe4Ya(+ zr;-D~+IC!v^X0%C2aZ*ni>CRE^+bW;%W6nWEa*e zi}Efmy%X(Ssf6OpJr6UX)SK2jor|~PFUJ=TX@y``|3vuHVj2uk25$}vwPeX?=$npZ zi!*t}J@uR7yr>7i&)}xgq`Ia%SWrx^Yf5V}Z8$usv!6BjPuPhhsaqIIv+43Cz9Kp% zJ3n^XLVmv4y|nZ1e|oa>#Bw-x*|`7ivJ2_>Q>RsFzHP+X6E?q(6=KnW@fbRh15wM&`r(FciR4|VfTbBiAdD!eLZ1n zNh7-KLrO53E^9;}T_3%4@&vZ7UQb}k4H_9<`bn55mYB}%Pbw5Z6C(CTiYq!=Kpk7qIte|G0?t;-2LPpF7QC;q*<4LeCE zU~YHTxAgJR&eOc7aWdO8dNZivpY6z}W=mx}Ub}B#+|9A}bga4Qd&1T>1HIJc%Hzm! zLL^z;9$MMveh3x&(ioI3=0hF$ey+c_v+2b_kMDGW;t?qRd&Vbm$Tv?=Iq_ISyzfds zxU?B5?!lf_xh@+ESr@APE_kHZ9VsQ~P>mCD2454!6OE%<363T;_=9rfQ}XgK2rb$G zJ@}4{npe!UZOA$Nj{p_tNK7Sz1RorUA|Y&{dQ>BNFw7g?AEdsv)Gha5Q$ytoL>Zo% zu6t5CGRe=+sd(Qj8D7=U1`87(ID=jIhdD@L8Tl4qQ})H9-tZjVT+m{pxSUbfE!xCV zW%l_k$A}VQyV(B@>R%$LX`>(@Ty+kmdIGe;V4~v?(<-hlIYiU?K(xUldO`rw7mq_{ z&aVpJU}w%Kr6bc(v@As%Y)<|y2)nGWQ8AmEkd1L}$@LQA)2y!7RO*a=y>`sEa}9w> zDj09y*LgFtzGw4-FV!b;PJDkc%(zG|805mqAHxYN?hw2Fc;_5ZPD2=?ZaAPqfssC& zZ4q4jp^1({6@zR+jG`QaOj(cu8Ix>*kHYL z;u!=F*vm!pgbHam#&JNX&moiONO?(p9--XtAzpUCP^}fN_|W1fMvwvrCk|mMNkXuy zjJPrPnev7I@a>x79$a#(=xKt@Ij0YT+{|WHkm z`{|fRxrAZ{lw<6o$@!*6_2E~;&VqSh)TQpj;$`MF-&f9> zu`7#2eVBfvsi{rqCMD9^#bJa+V`6JL`4e;2Kr^dJiz5Ak*-O@~A%SOW*I>;ZbOZu3 zNP$2Kx+ozKKOpc8_n6xmL|Mat95LF?z+eWz*Use)i`iLgRPBa;=@$kx?)g zr%YR0d;4JPo9hHOGD?7TxcF_YV9{sMU)*Vn zSSs*ms{bdw-jxLYBZdEvcAmq8&@PB)7o^0-hrYS}u$x&DD@}ijsMjE1VzxX;b#EI8MT}g zo{dn$PpDw4!JH%53(`eGPB`cXr)dwf%FnpDX)CSJBi??Sxwj#lPj~OB?8e_-+EhLPp-?N;;sP? z)@5%grgK$&bS{? zx669GAOsgis(r0927jOLdnh%LuU{^FvQ7yvw}(2tLx0<-8QO(2O0JhOwXJ6CdOh8z zD+f+)EnzOpx(6PhP0c!=ai47j=(JAntnr~U=UVxJS4+NiKtq>~8#1suv7X;{s{q5! z+Bo^pOq+V~#v9v;Ti|I`mbj0z?-ufA`21~V+uxa#62C$wNY_B=MuIG3c(um|JH-ibG0=_+{tL~*zf zUBqX`CpeUguby7*bZ5V@fu9_QL9VjvNfej4tMPX`VO=c3JL3OaMF7&IZ>UuJPI1{j zNKmIC{Gj^_q~y)f=ag^fW>1efOq)s#Cq1Lb_>N} zaBZRRIpFSGgOxQ7nVm^*85j#&2XAUf_Dx1di&RX&5$t#MME_RKd9lH$=F)-4zO*^m z(6G;x$I?~jEkoEgE%A5+qKMKre)IP@pZ|Qn&kFI*&IoJ4t11oAbkgGg`g2zLStTRb z!{m*s}ka)4Jn#=cLhnu*( zVy=1zCus*~i)E~-^P8q?c%(0?%_2x%+AKIIja@f{evy>8ikI4Zsz0i5V72xF`@Afi zcp#?T1X5z}ODa0hUxz7<1*!@b?1_FkGLtX(8Z2F+RfjSjZ%14eL}QT^F5RJ3Hz-CM zE>`tMYV6ATy8rZ!XV~4t1fjG$;4i|J*h;JG09qvi2}tfQCjy1hKC=Ktnb(`}fUvc@ z`)64$?Xm5e7^k2Wc3KH7M1DVho*ChOK0khrE8VcK#-nvAS6J-N`C@1D;a6Kky27R! z`q@$qG}5(&zeI!f00PZCB29rA707kpwSMv7iRbKx=e1}u>NWjoW9patT2*Sl`+h~i zxg3l3h9awoO`u@Zx+_R4~Gvgm$%MO zs;7(o0S~WX>@lu%R*My9l3<8!9tgYCxW9hEw5dF-1ORGx4#KpC`Gd56J=mWF~K?Ke3?>FZ?fT)pDHQ-tsb=D zjI+F^#`tr8748xA8>y`|P>+D9H`Vv%t`xRsjz<7X7M#J>(#92YZ|d-!*ARN0AOk4F z8mYx{hdVlvyt(I;JDx1~Is`gmTT?q%Hy9nuM$pank|5I4t0NjfzTGQe+jHvRFL4A`llK{G6(k7>f0JG# z?)i)yYGeriLgj;Culjof!qPh*{%jQRA3*b_cb@)16didnGXcD>20M`0izSLk^4a_8 z+WJ(o!_S-j)b{?*!;`R6AXTIi=7Ay$&$c>TWi)&iDY~V%*)Ze%)iy}#HQWjmpb_); zNMM!Drj2CrSQa_UF2@*b)B_d=`@TE|&txcI(ln5?@Y`%uyrw#7)keBs{yM;-vslkk zbJ9R_caZ7cpi`^8M?P{elbIN67kn5p7)J6j$n0gdX}L)Jw7myh?fUVykE)g}kl%C( z7yM4WE>GS$P5kSUwG)3%u=ot?BJUb#l2S~4H^Y%zMKZj7!+L-_vMu+fY5^lrRujKL zC!X>s3hxUk*#Bu4I)khib(!73<=}O-WAecBW1a@n1};my+t>D4GZI03g5W?TL29BA z$>)@r2Xck5xX!mOiC4A}dALsmn6$6#@_$n2Y8e(fGtw>rC8%uMJUYdtrK?netQv@V zReBL%Hn+Er*DqRKT!yWe=Oth#&3v!FWa&r}38=gB917z|+<{95xZL2YNig{*sTku7 zrl={`vhA1CTM_k7O8_2kxry>Y<}SvsKX24B2r*3eLJ_>j-%G!LZdS<~C1aZ97xio8 zc!1RCU8YTXZj4f(vke~4+VO;!Lc)p{>TUrT+L*D=+iSJ{B!w1Bm&}*d5dm~rR6BQR zsG1B-z2QRMP9d#AZ&wIWvgJGBCx}Pf^bb0n_)6zN#uZcs`n!wsY#6ut@_oQBn;{$i z9Rp*WIa)khIg1p6(h=%}p%#KJef59qW*Ryo<5kko$`xzCj>(vG#!osWKrDG~dr+}&Ni%Nl9k!P3`>gIZ`FTE%cD)bT}%7;k-~#`oXQTKOWu36CR) zQMXhO1q|_Q4J{faB4O6G;!{14H)U^9u`_xZ4X;8n36erJ*?qu3O$p4GBQi zX|Dfn{CK4cx8`t@wv;ySy-il>LTe|DUs_i%Mw!CcXSTn1<5!SR?~~v@IK_Hdl`*TE zcCE8s)CF1D6q)XFc5tRA4&3G;7-;@$X=f&c6clfCmD*3}4M{l1l!2n8%EV&|WAs5p zBlhagpO3b$3bJu4LO0GCx-&SOCTiR6*$pz`)u_1yL%!DVZjOFI$rhOo6pa{1kA!=@ zygG(HJ&jc%VG_O`7Wg7eMSaC6ImMkIRgUWdKBO;=`U)x=-Hrq&$LWn;PN|DUTT65l zGra0*o(`7%{}!XMHGUOdtI!B-BF#wVv})&v|_>g zWdtG6IA^}s_OhgK@}Kn>#4h*kOPpt%!{_)8RHa2uNip%^ajaT#OX+V^HNR}+KS~Sl|3i5mUjX#HU*d;l z8H8m4o2*`JMT;8yx(r2gpL)a2%-z$rJwWQ!b-xu$`cH`&N1A07o+s`b;1YfXySUeH z;ZNOxo_Te5h=!{#@T6WFeFJNf&MtR^Fo;a*1%|-@7-WD!bQt_Ou;vAW*oNL4QmG?d zUg!9bBeTYYOAP+c#%2m5?DkH*IM|Ez@OGQ1LRF>;{D)%tupUNK<45xObVc=m46_(u zpejEF`eQbV}QVew`ZC zwSnNH{1vLphJVUQ+^eiC4@GuRQTGSS#27fxP_!;fknD2uF?xk6`W(|z{h_w$?NqqGU9Ef{g^>wayA+5DD{Ib<3D)Hyy zGqkz42X1fRP2iry^|e;}@Qfk0v8jce4!m$-W#Ik~`)B8i8Gde)MocG@WBeai>|f$? zOvpoM`F7T`rUTWuvC+g*bh^W&xj)smsb@;gwd@kabnvDFLIxdX+u{JYMT6UAE&?g0 z&DRvs`nAD)MNY^?mXmJ_M}_{IR9tdZ0ATR0g%=$Q+xX`E1gj-KL-|`5NG4!<0_^GG3*k<+*%d4f&~N zGTE16{`JnPRLiqc>!h%-{-*yY{^<>+S2#=0a1O~NyDx%x0opYq(*23Uv4K%FM2 zntUnB2YLD37v%A3-u?M>=P+B-=nu-#AI`)72`x(R#>*ZGNK!V5=3xCJdp{sji-d*U z4~9u6@{#TN^zbi0(RZ(ZPj8zm`ns0Cwy%HfULSzCPtmXQyW(xVFh|pLDer- zxS4XM(chrbgvc^ZI#M8KmKrrXh1>l1|9-ZZO3tl3&IGs@m z^JF(BxSLqwn2u?inS((XK8916?q@es|I0j)n;Us5unvqZS^6Pl((~^t)|f546>8w; z1~^HS;utH{mkiX#DZWmEoEgzl~md&1*}Sr1c^3zq(ilg$|$cJ2-gV&Z0I zR0e^i4A1zOy5go+foq63)Xvv|z9nFVmrc2Icf2f`^axxGH3l-LBqGy4EM5wiD`+G;{ATx~J_W5d6=wo#ld zaEJ)r0P{SZUd;}kdZr%Z;tkP0kVs9n|C9Uek92X2lppc}a|q9JePbk>yaP3LaB(*3 zs%(LcpmBdapo?u6e_4q(kf(f=fO#{*>)B~ha&>>i@X+<{(z2luu3KWwzfYuRPk4_{ zm!+FKZiCvm2XR}>!RF!h`8;bOypugiBpLlL>a+jTN)FBU*Sjld(xzPrUeCrjQDIWf-j%WxCV29@sZ0P@ogPZ{Oq~aH3s?WV$6{vfzHRf~ak+kV zxY_X(@5C`=`w7B-DC~VKX8R4F-ETM#@F>2nrho`}Tx@PtvM@ z59XqP56~9xGA!Tb`hN#$>;GyCDF#*mMWTw;cZ9A0bu`DEi)hFo2dEf&v-=_wcGkm- zy{uqz`3E~>D}O9pctnV1{mn|4f!{ma^=p^V28Sk$6bjh!zWVJhB`(9I{*9?KV%}kV zG1LY#2laygi^trZ^D@O*`xHEumv)+{I2X6}}UE~U24R8qmTPyDe1=!{730i@lK#HGSsGnSqpWMA)lf{a4J-+yfaO@k+Ih~9_{BLSAg7NA_k-3W3 zqH%8msLkA|$9BaEe*)!T;|hgLg=kM|fb>k8hc&(Gmm^&4-!@f7vHkP-#UG32L}E!c z-vVymn!n6Myq4mV<|G005o*ENhT!8(Yv(UmAvM6>T^MY=EV5i>8Nd@#HN-6Q>sYok^`|;oq?J zKsV&0;DKR*pcbAovlK@L-0$DkIDYf>WBa+!^e1o+^5QDko;OhQX-3vw$4 zd@D$v%eUHCoU;wqDIZkc3QOg3<{dEwRHQwZ8()_C{Qht7n_DEl6=|r#oB2Eo3$HaD zX-S08VqYabhhN%r{Qm|(p*!=?Y+Y%NBzW@-EIf!spe{4wFFtbG)ZcLB7xhFeVIRYw zG|B9i4L?yV8>r!>jwDFnrJfUY(C~KBy3E~z0oIR9wTs#LfExuC@D_2}mjS!n+u$)s zfK=D&Z37iv=T@M1Rk`9wu_(rX@}%_oRlY)PBXJ9y*;ls3^}yX;n=mR09+s}4+9YK- zk#;1tFBmb#ju>zctay7RQt4R;W{w!4S{j?nK(%j%%@}a)T5!YI0eBe15Pt+J2bL4v za6~B_)Idrw+>SHcZ~{KezUo%3J;XBOs(c|IIYHtd2(2x4dWmE^^2=# z--OX$Y6I{scDbbI+31Ep@)FF4oDebS!8+IP2$n;}=X^iTWmn@DD*vGsEWX7skHIq{ zM=b}R9vZv{1&jG@i1}^5vMT7z9npHwL~B&rQUD@oqY0(4vrjS!Ef&N+py6KHty4p4 zfXQTzV|FzyC2weRF}tqdBlZ!_eBrj*dskkY$x1d`PbhCfP?yTh-iQj06l0i|mb@E~ zKAF`ldK;0WUu|Ca(JO~T7+)TuilpaAKkP~WAA9EfsHs6nsLDXF(c73%NzoTSaeRWErM65p~2G)}=(Vy>57mw{xiTM=IXrmIZtw z1>q7+D-6C@N^L!TyX|A$`6F<#8#Q7L6gZpQ2>D0^Z6LHr%c1Q&K>{Y~Ovmo;4frG-S~O0R}DFtK!Q^K z6xypQ@ucQX{y_9gnUgVYZfSpD_c|?Z`hw*T>=Ueg`Lca!669bG45XZxW5xLBdo+8; z-c%HOhu#*H|25VS6*Nu7M{8u49}$eOA&1=)G-Xbj#Y)~T1LVLHHs`8A(ls_btxgPx zp@xpBCo$5VcJ#IuyRP4ty-r7BG`C8rbOYp{6fyu~%rNYis4bA-X+~b{&6hVJN0Lk^iM>?R_av9a+yU5%KZ~W9vs|7chH6z5 zE~_9^4!>ygrHsRwILCK=qW{GUa*J69*gfoxRRDi>hLK;ucj~~`V_`-RC*ynIHdL-j zNklQ=HjK)}8z}{!RNz~%D8=t;1!t$DlJouf!aYQIu%k;%8!aa7$O5<1hL=Bh9y8}s z0Y^KOZYVpH9x5`(ILgax7R!t7miV0q40nlMD%Guaoy$r)?`4Az-uplUh5zM`iTA`Q zb>u|F7P0SjhK#uN&@JmWks#xWdx^!3beE6PP0a?3JWFKHJ0g6?+mDc!9Nty0BLFCIfX ze*!!Ox6{6*$dgIn}18n8VnDQaFNv9AgUy zoSHn!%0VLfgZa+Lb}?3m>^x_=38^?+-i!)$?CIOmp>u2cywi?Sm8s;9#*R+2dZazp zApGQ;yR}_tdHt1u@b*R{C@Nop8xkAiy|i4HxiseRJ^i}O)G^_$q4AHmEJIqEq1PMk zg^1A>pafJ(zqVw-;d&t{y;j90dzM&ZPW)zV5vZ<;S|6ZDt8!Ks@?l%pR>)j)=2N+J z{hDv|QL}i0+pPLinvnll8REag6I-r2YWMXF6d&;=#r2Zwh zD^JW0TlL1)uB~XPu;QHR7pEKtMun+kG{N3|E{`bnfMs=T1u~)TZF8ZL4gQZC%i`70 z1IHT2PY5PMb8sR|6iGY8qvR}^=-0HR=e_ifqIQ{6XLzU;!iaZ{^@G!6L-NIGDS92J zIMes}S%nS0A~Z2y9*sYe6r`fzbUFx06cG6?uJ^52r$ajZwsTvO-x{-YXFV#NnwG&^SOaoao>DFn@9FovPNkwmM zcppYK_)ud6AVlU~F?&>}6?YOA?T516_HH@czQUpj$dOjMX-Qsmv9XeTj*u zwI@`>@1w4r*pQ4|Tc3Uc zgCF#UUd9FNGUTd9^*9D#`z=KiDZ5lovDGB{8Jlg9q}TcW-q^lE{tNPc@&VnQUl47s zjJbBWY^KprpGg?^n5;|YI@3+p`Snao#H=8q#;knOS&q|LuKm**yMo9&1bs@mWxvj; z_4|wQf@sA(B>j}~Z!+L})`H8GkmLH$Sh&lT%c?IxIL~Q*FSa)qU6kGZogp-Vd*ti} zZPd#le&$BHq%y=XZ_K}W$g_FKr+LV=dB`JJMF+jXxOC|Q>TM=V}5d!w^!a`T_}ov;kQLV10f3BNDHGRUeddM}9jh53;_ z0@pq|Zz_(tBR-9}OFxb2^r+%CuY6zZ%_lF2RKEf)e(xPF%g6Fr2i}f zKHJDqqU4Q}dbQ|ZAzxI_`(j#F3U1^t*^ae3!IH*uU`fYTl^!Zby!Fn4kNM;azhX0o zM&l~Y6=5e3-*bF^J5>f0b9#=L$R`Jf@!C?KaXiP*lmS%)pQGkstl0x59nAepSRIkD z9*j9H+T)!|{SVO&OmO87(nJR`Tv1!RkcO4phx2XSULa4;A3Jx<2Ng7^ zqoTKBgLonYPx_=5>xO)=)fG+@s7$Yo5BtdW>V__C<7S$v&&g`9+dlBz*XsCG-8a8q z3Gtv6q~bI%hSnJ#*KLvF8iwbY;HnKzfvVd{yFbU%;|H8Y$s1~JK;`#IZ6d|=% z52g2LKctW2$LrAU9FOY~yuRJR_M7mo{VyTMuQq|S1eW3eOIZl}Z$WPyC0wJuhjvB4 zv2=@~NjcMPGAPPrGFFfh$Jqg8Gz4ck1C^?YANQTL6}9`Me+*o*TZrdkc0UeJ?I6{- z&b!_&3a|VsDv$pOGGre41;R&C><+Vk0F6Hhs5}EfJST8>=Bs}IOn=tDiy9U&u2#B) z+a-sBgioDg)FuP^am=k^-x+2hCy%uCH|t4J#floP=&23%<4o>u<1F060~{COqk#Vk z82AS8AhTRy#PIHhybX9`6b9rwz8($}4_neK7-H%0HTSD^`~5bH%?OqNE2ZQ^nwgMRhv5cb+pw8u z#KUk<#P`sZD$PYa$o&Bc_NNrBiI3-6(D5;>?&I3d#65J);T^hRncjVOIJnFAA66{- zkIf(dFsMm#G@NEMs!2K}WJ9rKBiYwB%7;9~JP4-&V%!&fV)~t?vyKHwz`yl`f7Trc z@8!8xP(+*HIS5SKK?S)EhiH!nr^@Q29%G6={q#EazZA0;#a@mLY3a{*plNalY4Mm8 zqCbq_;rZPWGw|tJ5TICP_$+5qfhWVgCRr+pL$Rm%0P0AM)=Pg$KyQcjCttKM)LH57f zUNC?t83do26aUl*aBuJZy`=mdj}%}N_G_Yw(heXjJZwqwW!3jvQY%FasaQaOPe+EM zKravo>=yaMVjyTjkt=DOm-;l+8r})%f0=pOy}iUc*OrYNi>t+T0xz99aks98wJ+3N z1LlqU=j|3j*x|;qsde)G$J_yfj9_r<`s2mz;l-=v)KA7v4@qar>XoMJtUZfhB9ji- zyza{OQ|&PQOA2lDb=GhC7t01EFz~oYtT}6^Bhqmu)79mE{b>z))qe#|D-GYj?mWYP zh3-m7Ed+C5uuQ~R$CG3AB-0^K>) z-o=4Bh4)r6k_~rf95SC&sSt7%HjXYyGdrx~!9J=~0y}_9M-5c$_WAskS?T_Dn|Kli zMX{@r^q(c({=T@N^YH!fr@McA4Tdu#MJ zLQP1s0t9MF7-doJ@hnV-Q7_tmbDd*5T34b&jXPy06TX@DIkABy&q_(^=B}I_yhW#9 z(r9S8n9Hs%i)lj8yu0%BY0?dPVSUkyguqXqAiIY*(9r~Qyf@F6 zNqX;_kj{@=4bWQuc-7!X?XBnMz=F8wII=lz9gA*n#Y(#^M6}zw!w>iJtw74PZyVS* z0Q>u^>AjfL8O&l{;`RImdV6_q-Yjd4<+%=<*CU>F6O&r8LFx7j=w6i+`lTwNlq-de zoxn|`L<=#2cLxRhO-=ep-roDxtM*f{NrKFYd);VR|DJ`;e)Ww$D!Ud1l`D1mOaT*x zO86IpQQ5<4OVnh$%j_f5tFj61J~H6L#UH2@1b*@3eWd5|Bk}cYXl}PNEs^UeJ&^?F z#P*-p_7?=dFhEyRU-d4}N+bX3JW4AxX<$7hPI@~UY<8r#Ttn`Pni9PEOa$lgZl_XdQaahOCyKFu zdDO+@zEXx4X0r=UsTKcS=iB@tY?s}bugc6eJ87ELtKdUC^PjueogqP8Bf6<8lBHQ< z0wX;p9mi(g(z2r_i<~oqR7c3F!=ahfJ9mAblde0HL%K=Ag)aTlZUTGT+E8PIIR_Y9 z*PF9B;zi2pu)Zb>Ry7jnm<_C6AiN!B5u|vef1HImvj@Z{*a%-Yk;{GUEEp1x_kf}t z?~!89k8uNh_!UvA*W%eNr)XWPnm9mjdoQeouhHQ03fCqX;Cv%IW#iz^$(OOk5$wB* zH$ckZJIfvzwaTZRrYb~-4Zh=auql#m`>1SyW7AeUGd(DU7(JGnt2>8hSy~(}9f!h3 z1mI+Q56gxnFu!Q6F1=uLXf|0CH1g-8GHwVpHj1r`qadtS7&&W9kFnk?xO-;3L&)>$ z5Of)mZ-MHu`sbwHTDu0A*B1ZHxqbZoZMQp>8m0Rti5&uh6}f}+WUNeq*o2i@AZdvE z?NwBqUWxg~!ZdR*GZ4U;Nq*GS#5=jFTUs0wWNDGfDGsnkDV&-XPT3ujc~s3&E#I@Q zEq|)!626v2dlV%dEAdAQf;|ibLMIC}vRaOW-1R$>0DfiNU4Zg~rfao>fYPBY*=cOF zb)yn^DwLCjyR$YG*zH#7yyZ>KGd&RU;E~g?GJc+m_t!uLwimU+o+XH|^1Qe0|Fy|9 zfb~(~RMJ;O@tCxCy2CMtYb7`&)<<|DUeH@u-ML57Nsi7tz_NUe@i$FJC<+Nf0*o9$ zcA*chXNB)WIb-~ld(4IV?PuScR$p9db&*>ZDIq}8@T{IM=lcEw8mE|p1o!HN_Uc>q zrU13PxTM&Gx?iY=i8SlFdeLvMLoGHqD~d!_(S~j_9}&4SCMrrsbF?%pODb4VS1Xva z{+ep!#{KyqIJ~U7##!v?SzF#%JUenC=rO4VYFU_-mgU?xhCL;lr&d%Y7GxS)+&C|j z-U#*+-#J_9R+@x77)9uq#*FRAOd;5zeQU4J*c-Hz;VlT~giRgaQRvGP>lVp>b1E%% zxGqa~YPkl_@ONrrdg%L*U5jCACFZ0Gq4+sev31Sx;bFFi_H)bPext^pO+RdEip;mv z_*aJj>wlSSF@y|!zE8$cSl1Q68eA^`ZH~{PPfhSf3p4m`FF_P5gblqdg|Z?r^B?>k-dJDa?N$hhwq2^Pgh` zNQz7RWiuDF9+R0IJzVLR)p{W^FwfbKCrPbun)KoPUVe@d-v)v$X6qIcf8Gn0>3+J) z%R77iR6JRCNjucmP{1C4%rrd|bZ$<=o9uO*cQvN{!@{uj4CbJxb>dD^eBH!95}{!~ zYmUT#B*#y&6Hb0eG}#Y1YNgO^VSjg}HO=bw8bp3|_T>VS7btMi7T`Yt`NT)I4gGWJ`E-w^loh!@&Kxvl`wJN$IjOf4 zZ{4+_T2hSJS~Ay)F_;lM9b&)yja;p%&B0vlBA6FfiP?GK_X#gu*F(;097n*VgaO+d zzmwrajb^;K#vNPnUt1g^rnvPC%8pC2&yJVw^BY<2cr3r{rlYHO=saoX$WgXfxNmd* zm9Zl~@m2)%_q^ys&+h5X;pIJ)F5OIWU4k;_G zOme{}ipQ+a54Y!!m!3f1*S-XmXF1$@EH#R;N5~Y;mRFS(=S7W;;ze!hKCT zE)p`>c*@@wq4J6@qt#;@)IH7M&pdx&y(*ZQL!RS?^Pp2>iP94E@)CM+`X{_K{LU*E zwP#uQr2h<;Zz^jp^V8Var!OaNGKE_)#){na2TYHTg?Z*xookbC923J7M3MyrFv@-u zsJk*@$Ix@y929om0#c3pS8c54_UqwaEjtT@``U$ZDSKe=jLCsDc-VUdx))~n8}lvB zvXTE4^cEVfNQv6YyV?q(d{=22(Nk*xx6KFL))q25qL9Kt% zi$WLsRYAc@T_$1aQAUEPnO}_ZFaL zB8HO~l7{XQ11!SnT+l?Ga?>F8nNWS$G?`1rjnGonDiIXcicDV>NY}yH)mGI?mlQ0p zZ<`6#KQ`9L1C$+}3f`2%OE20TX(0ZrTbL~!blktm8Y(@T=qm*JCmcs$8h6vuT>1k$ z{{jWWZMUrxSu#)^{Eny}+rEU~WInPleJASSR+ji&vpw~pqSuVx3sPO!=SO))%ml8$S+DlpKdEPPU zM{Jo~0O319W_MeagW2@Sa^3=sFEXV|i@oOX{dsRxam1neK~?faEH>CmA3m|igVc}4 zu#^}!shb4r2DHA`GZetO;@lcV49~qNnx`2R`M2Jn(B-0Y{7m+gi1J@Q*wiqAZCb^w zbOYmq>ob$ps3L9+I0%)3hqUvl_y*ImRa6}E^PICUcU{=Em1ng6*RIf+M?opzPxR#9 ziBgTYX@={Lc^gh=&fUo0d$Zrq-_o3Mc}cGOb^5J!a=v)YJ1VDysBpTqe!Wb{N4SGb z)M_yft3sWNyCwVVoV4M!7kUhBz%6g)ZR?@0p7n@jTc@JO&r1}ZCh)UUm*a;?PUNIX3fO8IgcPTWT-E7tj9VT zRWIM@#IyzA;*!qHKBr|lfi2#WPT8z#Jkjql4yyQ*Ri^z#i#8?#q$a5Stpa6Je7Hcr z5m7p3a*V<&heBOh=R^F6CuT*R#_v<K|L#hL9ST1O$47 zg~vH@2T_@~$fcHk z$G|?`-Z0Z?JewdEYoEY+KAOzlYy&B-Zs)n7r|m1;gY7g<-ax)+J@ zTeKEvAo`q2c?6>XfV~J58~P>lW$?>KodN}Ruev>Dnf*RksX}$ULFS_biwEtk=Xvkp zf+Q|mg`CrFhE|?-nXLt6LJVKLJF`}=PI5`_RNPklD@A6QU-p=*t!{4+DYFm-(wv#= z0h8Jr)k|J>w+>1F9{_ehiNAJl_B}NF9zx$Ew+@7v{`EMezh+n$^5^mcc2H2397kYQ zQZ=B|)`WTq*oJ3pm~-c!UIM@Ymp+`x^l|z6CCusW_Q|!(MN6DUsS#>ep03d^6)d57 zNu+}I6!N}-PWO*Le%w7Yx6ZM(5=(RMP|noAMo-F~8psJbq&Oi5Ym8X1QPGiTLW-Br zr#Ehq8qDn=1D9aBzaB{ARu=p&kTV(&6)cTd%CZKqkYy!s7X2C6RC(mr3xXqrP!P=@ zch9Jd`Hl*iMKn$9J$<|kIx{JPw#a^u&?`SY;pXqbYfQ7`*Cz9t`TQI{@3B8Y0Ls35 zf8mdp+UI({N81DOT=QJN!CYAfd+zWO`^%S~FKDPnSu4m^L3aD4sr=G3Xmc2vHn+Z} zjTypDTa6u430}YqXro4F(Y;BVsa(aExCZ7quMwZIs^)pBMpQeofY1e$byif7lxtzb zpn;v|uieX2e%W<>`E^C5mp~=|4Z3zkuLCD`EFPKL_ah!&71<(-1IXn?)+My`oWN zZ*2VXczJ(%eDxm}gzPxL$Acc|-da$S@0M2lhVVrs1W2!{`!V<|;N9X8tXn>25g!NU z01n3Wj7CYM!2Q$Dkt^54mXBWpIGGm?PSIuuvA8CC#l6UAK?$EbA5wTVj>6M8d3fxj z$LDHqMz}?I0>X~qHEkxLjpI<B0*U!tG!|FLw|AJ=|>VAW0-8 z2;(FM!bG0ID5;Iqr-oGXxUbZbuq#LDQIn_;D zlF`}}_k{|mxQ%c+(9R5;Q!Z72U|}qosF5+8N`V)V0_HIbFgz9ljE_n6rXd+}ln!>0 z5}zpRguP{ZCN{0ctT@5%RMAOxzST~J{;7G>?bMk&wUqzDEKp0CyU{0~Cm@X%XCG&f|y@+^O7O~uwa~2*6@ZhZ{xEDNB%-! zrZ`>)70bqw4!eW~s_2`wcS>zy3rhk6TPk z9u2`IDr%l>J2}xj+jerXdA9A0ESYD!;0~Xa#4Y-BA6{8{{L&Lie!`0CD&&{FTELX6FeYWjx6;`(jt6PPYa>caU{%%!P zw<;?)vy#nD62-JdM@RnE?^klIdA99t;)|R3;wHYhi7#$qi(~eU%w9Y@!f%fKHb;of z5o&Ya+Z^FHhu`M#-`xB*&R@9c>+Q4eO=i#B%a50jrj?&AA5Ci^6y(vgI+@_U%SB5_ z7MdtL6*>#Qk7}p4+BZGdsYugSx6?N_)>epI_AZ|aeMmBvU*(b)PnN`NZS38+C$h3OInjh<=Pn+q>X7;n$leqfa zLNm|U%-|6HDzTBXZp1jL#3ChrJ!jTUjm7VclU-$EtC}F_j9&$qsG9Pi^|M4aZm6uZqrkgsOOL3IMm^ zlMgx2es2Z;%CLqH23Y79&maQM>y@xgSY@R8(O(x@cSEuM!M?&!a4TkfC2$W$aHCfd zWFOa@S~1-!?u&ihi}z8)n5Gy}h%6T24~^KST|@@EEU1WzL{KA_lhcWD4rQpu_paj6 z$OU!6Rdrx1F1_QDv=lMO=pvFnnw5=qk8+(LiXVCH#J0MNLzUrPr~*&iIv%~nV~`?s z&A^l6fgGl?Jb;K@>8fZP*bW&JEWJsk{CnLrh)bsl!L~91nHG3%gFAz)ZE)f$3r>oN z;4;S4hNl#QgHvWU;A?3@)1DEMvs;WUc~A<2WzZ|>?TKka^K3?nGDjklWCuQJMB*AEEU7K zkc0EXyzA{?2ryIN~Mt#VS1g{&sh>;$*biEf4_-KRzXQ zI{A#{<6OHs&9XLPUIXWbqtoCj{`fSGl&s1??hk;M@0?{}C!+Ta~&0%_XjW(LwNTp>7=26mM3rDGHIKSLGwF zIJ5>P>!~U^t-%F%XRuVWR!|CpR*7r{YiuKm2G47~>fQwWYin8iVGdU)QidmdwP)$w zqr`L&Dd^Wax{!-$`zs4$M}@g=>ZXknGEdP*oC3E+H$u_*^@xz}?d9(iuksk>;)Dos zF{4iTtcSR`l@ts+NFD8}BUXo2NXd8NIYuL$>L6CLK$K;)CA*fTvUMqH9gq4%P^^x* z&1q$ufiLih4}_U#AGYZi)YfHx?dsWPgs)Z0S})njI@qz|=GB9n6*8#gm}^jS;)*e& z6fD9ORRn-RmR9Mh$VH2xp9w;T_N#*;8ebz@#vEf?RYapzxGGRfSSKjfDm_psEV>JzW4P*QvD!7=$&=d~`#$f`QGbVI6%e<5yBp*Zy)3Bfk@Jp{w5^ zDFkXiSro9vIn@C?UnUtNTfR&(!h3PuHnk^(TF>gRCg6pL^E#RcDZA&S#@kvCpRi)_eI+i$!z!t(1~}U?{$E_n+8Q#}qc+g+X$U#MUxb}~)gYo9tuzJDroqxlEZj>` zsQHq8b_H-%9wp72Plo0UdDvKZ3rANPimnLlwNTD=tgTkEKqFIftzx$9oiI*Cu;pW# zUaC7SA4|d%T4jc)oA*R)ZeFOv%uE&b{V1n+Hz#6uq z?XqoB23(2_^XRHPtE8#xY=^dmt2S3>YcstpwpE{=8GWU*Pbd=0;=3FxZ7)k)H?~xe z7SBGp>1#oKGSt_Ol9lxa9r5!Jm%mwYA+n%o)gqq~Rq^z>RNzzt|AAA1e$aN-uNm3@ z3sZsBm;MjR`n7Xw%@zEI$@=ANTFz`0b+3(FSPd)swQ_6a#be!!-PP=4&iqL=5C8P} z!Zw@~N%ACTBU?~&-;ZBlNY}qT!zZKuADq&wfeoJP<@wT}e{G&Gy1L3jNM>9~ak=rDRQ{9eVte^KtPo5Gr^-d=}B0aEJFB$57mlX#YJ*Uruis4&RO z{)49f9D8{&F#qEv1cfLjt0!|9rT+dW&-b_I`HEnhT;iYMFmN|w)O3IE2dpU*M=LuLJ{Q*gfje@oWSJR9=(BZBTyF?Sr!z5JKo3sZc_ zGO-t@O4;I5;}i_NdEJ(Eoex22?E8M6;g@e~ur}7ya+=PEo5OKlw&i@h*_Qo$ z+NR46rqLUGu!3&!^)egUqMp=6INv89zmv-Ux%7!>4hvW*GG5 zv@Yv}-((F>P;*O|kG>vWJDv^!xk-oLPv_Hu38ul;WuBL#B8~5b-usI0=?m);TI7Z0 zyiPaAC43A&J-bh*!_79Iw{^zw(`mk0x9NB~ZyanrZ=rcTPiOP`c?vN6>TP)8c(aD7 zA2*rpdfvlqx8pod@T=ns(q$3^r!cj;%VFQw1CStOKgC%I8P?-c%qn-`xO483#@$YV zwt}ZpYT1sHj(L(74uPf8m>Z^Grh?`)-o8xB&FOfWPxvt>#d^It&(nFIK%k~9p zx?mMUk0XA2mrwJ&P3v+Hx&{(&nJL1%_!UX*oyK-=gypht%uD$Cjvv(30hZIU6umPO zfU}QN*dXU~;+pXN36sR!=D_f>55R$Vvio2<%y}P>U$=c(rYWu#)^FQS$9*MF1Y;{O z^R!HuJD%pSSPNK4S!A`lito$!;huof{`pa+$n*Vo|N4u6`}4p4>E%B@K7tMWzkc_JKm5NR{|+H|ST~g{OB5)lu#3G= zE4-ejc}mS;+UE^8lNhHk%6<%81Ah##Uw`{gOTZp;bl9IJ{CGWC4Xb;?Pavb6CD}SUh3TbC9K><*?z`tvNBQCU6Z*EXbe3We9e z(CNJE>MwzZ@;=#+&E3w^iZ$ZXysn4yx`EO7c%0TV84j#*#I`=pCoEUkj}H5Q_{abA zyMO(73HCPi@iN!EaR_>p>Vv=H9wdS)8a@O;ewfc;S;A8gT=En|!F&uz-2@pG1SxRZ zPPi|76(WZxm@7dzEYQw;OzRQQJ_V_E6!p774hY)IFJAuqr;o71#e@i(d)vqaju}oO zIk3mWYMxZj@*&v@k=Tc@2rK^DRgE2YsE29asYg$NYTOoBGjhWm_T(0{@x4iCD|e9 zLQ5d3U3LkZG^oC~B|~@RC{dAt|DCL6mtdX10xG&d_!qnAbQ3I;?5PQF(hl zF5tlYxid5JQFtdl^OtUw`a_kG%@HKTnN^ISJxV4=c1-!~}fBQ-#3PG1cBco&-#g_ImFESz$o zP8;Ux78K|)%>rG-rzyIKBG@=4=sST7QB4N_CfGaYHSpa)4J}a(iSOlge6~=ihU1wX}pQnPHKjavIM%bspFGEW$*J8IA$$%d@#F?n6*kxx`W~he*DYFL98`6 zE`s+$Jxcuhh3sr;fmN-xOLNB<$%G9nz~+QTdk zV@wl82#x51GuWCqBiO^@EI^>^2hK`Xbw}W9McYhVvFuM^w#nC!*XQUnL|1Kx%mhAZ z3ZaW^VBs8gN#cgSTl#{&aN=qm&Po=V>Pbw)louKeGsIUHY`>A_4*g zBhIBqN}P@7%rOtgV2Z{S3u98xK{#YSgCbKoEnT7_%U$5*K%&PkheHtE(7$9w5izqa z+zJ;uikQRAzx?|j|Mc-6|M$QA`=1K?W)k@V)h|o8r=<(h@SNi`LBB(#Xq*NJ1-hRC zy9bRMZHyx%`O3{z^f0!|A+QxqrbXrCaaRUNI)`1Tb97vE2|8!n=<>!c#sXrO$S1iA z=%+yv`A{?^@`o_LsOZrp88o>|X-9DswHI?2x|(zGA@M(Gvjm-G%`Dic{A^Uov{9p~ zNL@rjMNsJfETz^m*R!AQ~5%cCDI5s zq!uNG&OsQTlidKjCHVEhVvB1K^&6%$!$+iEO-8{2k4tuR?cF7#U=CKnhPcHkS_Or_ z6qIW6NrH^ulTSide+xTghR-GlhUF6vMVIl6h*$Jbg5r;cl8TfwET!n446K$-@jKS| ztpSznGc9msC^GnP6k(hs418v~b4tJ+!KTcU%Zm6Nq8tasZ(s8E48F6vbHDrZ{}x4c zq@p@79!*~^sj0vNZ?WY|} zCGSc+BL?aY!MI5A3^gt?_Cl~71Y0A)W_aD6t1ty&lr#mpUqAsE$)+QdF$xNULoDCI zqZBKB4R*{{80lf!LH_r#J9z9O&u2vn>{5J^*fEZdW*|rFAx3!45UJ687=AOc&RFA`gxH!!d$XeKstS$iVaDiWAp23#~=Vjo_1e8sa*yBL$E7~Lk7FRdI$Kf!q!W0 z=pdI8YX+;2_ISOq%1?9JYmBs&>mIiM;dD43g)6b4)PR!jaeGDC#qY(y4 zsUnJLycN;#4!c1!gK_$cz+G+JI0aK?MhW zhu(k%xe3B6E+Q!-8HkJeYhgEcaiLUL;s#h1qf#Us3vvXRnaKf~XL5iz+#8^u$bjj9 z5Mg|byhv#fCPSxVfEjmZ!YtA%FVv2tL?LfWS_Q#g& zw9JBFT*6_2C6aJ}I;BQYMqSj9PK*kx0d@p*peBPe4u7yQ=nuLb1wEqvDd`boC!!;u zQG*6wL>}!oVP(!MgZbF9jNXC2h)b}mQ~2)FxI{^HtaPu)izKQcFOsO{$LWzp(TI=# z#e`628Tn052z;Cylgbhw7wLgzz*Dl6hzk8=LkD$$uAnkkbU4*K3JW~b0XdjN2V_(N zPTPqdJ)*+2n8C(GC0M-4Wd1ZNkt)fe&7uXU(29lv|Yh$sTlWE>sW}LGX5XkUp@qi1&mgPZNq}8w*`_R;V0f}v=_19F@XPR z#M0_T*_T!?c%YgFfjP9ps}TE*53^+WAW{SstQA6{85K1rKaEOSy=a+PR1!}Um2Aus z7r2N-lemDBu+=jz8fLwM%UZ8jjA$g4z=&Dmr0~7)Z_eok(w|PjvSzNGDO(6$W<@2R zo3e6vqzsNGIPv_P9LRX4<%7V6oLp6-8m*S|B{_VuU?u%uxRD?^y#X;y`Nb zgc(a%8WK8E>d;}0>5)(4m6j$NS=P>hnRvv^Y3I;rL^D55vr42v_&3-%%cSyP*05r- zxq#W{#AL=SuG_hm*tEbfcT`XjGjV$K69y|!0NJ#r&VEAp=-Sn z7Z0XaTs&A_V(mxQLt@RRq{jBoO6ZJ9T&`5bQDTBayHTUkFVw1#=xe|e#1nC$B%DfI zJ|_t?E@^=(p&VEtrc0^agI3FVca-kX;*utf9vq^@MO0KjjO_DO?ej`isYr=oc!-qf z4svBAoGnuLsOC94Zd#oX4Gmb1$pF2A$_!L0!<+&pqXGewP=S=;$B_*P>H)=E@JuH@ z>2mCN0%8(%*Zm}jsoz}1T%f87Cw;90K#0vJ-4KNBIawLO(81(sWz1~Tga9H468@*w zQXW3dOl!-=4*zW+vMUyq1$cl?Nui%jf&wb}p_(+;HO21+(UX)AKdWJw>a&pikvI2B z<{!=s=f%l`rfKrX2a&9d1tPhGCTt{r6@El=TAN?h$?nx;kBB;Eb&SGFkzesC#t5W3 zjp_yqkv^+|-#WEX)|AXBIFv7E-*7@;HbwhRVY8ZOA7kr`Iz#)jXoM9yDNS(9IVLto zhXB?<3FN4eEe`t$(F(NRt-p}$ghnPl-@Xml14w}dnuU71z<>; zu9wb;A?cWUqIE(HA*F~RBE<xc4V|-Dn2~TvO8ivF%ae~DO1Vo$&!}2V)o#8S}@Hn;znGO<{P%8A8f zY+S0K@8;tX98e3>KUl{mOqE9ufMBjPfz^}!U|2oL4+ckxToi|9lKd)jwg(pTAkT=M zM&3^KU3`qSFT(Pu&ZHhgVpjvVWep+Bccz}gj!c_8A<6oRtOlV-vsa4Dn}q6J;1_FZY4N%N;36Dp(I$0X3GYH$SX=5(axlQ(VA!;;bdqo1O#EtoER1$F*if{V+l+FWeL)-cq&!Du$C^&evvK510ggMKsGrZf+Pxd zCecTtI_1+U^p}W!4BHj;g79p(a)Q+g3k$qZPrBR_KRN=t!yP7@;QJ7*_miL226mDT8^y75~~nviQ{5HWgiYqazX}4 z=?+w~$jvpE??_n#4%#pWM2oUKXhVzBmV4uU7^RG{h^E&U@cyJV@0za%BlDb5aw_n; zXumihLep~KOpGenB4MQ&bcX{jLNm6-3P*V|C!(n3ZDA0`Ci}spPY|QU0*=LTJeoOS z)L<+yaKp#oC>-Io%A*uC!U|zyDIYmjl8<6C!G5Js+O)hu$c^_`1QHFoVOSV)^9?R; zR7}B~jAKCY!3wlvY$$t%`MQfJioOE zg4?1pzLCyg$s1;+@J3oGw#!zEUtAGOrQ_pM6^caIfN`5paGn*R(g<25wkpY8cf|EfkmY~xb z#4zhc_>j(W@lgU|3?EuB9(6`s(@yJo)H5}*@307!PS6!rXy(Th!#34VRg{zX%6(|N)PEqR0ktCe9pkPO0K zca9v*N}(tU5vugKkN6S#vXrg(fQaQ{rXpmM1%+^(*tWV~7aZ;-XA6ljwGs!M8A>>? zU5Z6ua$rGNr_TU6g6Bdc7$CHkC1I?ik-75CVUy} z1qt8-2RUs$YXBdiJeZ$6XKj`rLBD7j&JxRFnW~s{QCRCY!dqH;NwW)vTxL3$gCbkF z7xCmyH7~`a;b4&1TnkuRk=W59+*9w~tp^A_Oet!5EEC_y;*{t{vm~Ap#i>_`I7bU` z;!cfaM>H5Eu~=L%kR(!iLr!*p4D&fw7-ShLzH&Y$0wG!Y%Iw0NH{G-e+(u6)9mdCU zN)b89NwpmedTxCo=UN0C&`$9H-j~Dyb!zCW6od{xKA5X>*TDLOgn_4WZJMj#ddTZ0+A89Nb3-ZEN&!14&VTa zjWF)USX^2F`E-&irG3vO%V)ab{K{$w3t<_H)d(zf%&Wn@E-a*U;cX#QoeZx)FrC6i zn0QkI*^^ZUnLP`(CwnHg7dMyI$RWwJEiH45s+RFT%@k^;^teSOkZxNDdwS0{0c}Pc zqMNVZwyN!?rtEaPkxtVY2%yZ#I;7q>%5kqm@yJ`K1dScwJ1AKAPq9dZq+FR`6)NHk zX3S;gR;k4V;;g@cjd{=);7;XpPcXh^M{rtVvMoXa8SMmja^c|{`QQw}8kdcMHkNwE zWkaBi78l~>8lNe`Uuz5?RVh9OkWvvi14!vi3^zVQUyv|J?TbN0oy5o+{*O8XPu7GA ziagr~HAY*+DCJahM2-o?h*B7PF=<|UBoas$VJvnEoPW`G(M}P`jk@G$6w+Ifs9c&u zjLNBEFi|dSOhO4Lgm6#j3R&sIW<$3eR8REkZSW3 zVy+J%u+|}`mx5Z3wH+*75XTmb4oQvzhUnSWbrtEzD8x9>lW*;b8}!I%3{LRKXz2^D zIAmCyw2u{0G2_ECiseOCe1X+|#W_WPOGTM;&0TPwJtjAJjIg*MCb|q!;qurYgK2ss zQ_cyNClk?y4z@C;bkX9}+(m*ZiW$!bMvI$=2PLW%sew8Zj#=JTV#j0`CiY`;1hFl9 zX$Ej)b&Lv99u`gKfWvTAnp#7u*&Q^K3lRs=3&r2c>az?{V5u zqHgN5;Iw1fcXHY>sIf^y7Hlq(Nbpm`ENG7LAvp)>d!h!@iPPfPI^Vz^KBf#+c_ zGwrP^;45?A84oX)LPA(C9gG6gu<}W<$C@U&Bp=|3l4z9>U!MI`-j;*TB}_R=F{Ok8>fsdWh%- zA6yTD2#x9fr<)acYD5e(L`Lxh2^Vok7B1#M$GFSE(Wt}=m3fAiO*W!zk!U00z?hg# zkT|IPmk0r+jQG+x!YvQ_T3iMkf)y#Kyu(AVYG|a_!)}4cg}Knsi7Hd>D*MCl|2Tbo z9QC5Is4#IXRHji{&nMXijEjo(yhWWEh!zWV)QZ4BAE=fASx!}*w3s$Ife7kqW{;+g zMC*>~0EwPj@U*JNNwE%8-N(fopt1k|b8e$02Ksf1DU21Qss$+>e z%@J6GQPuU)I0Q774jQrWm}qF}kl1;=TuGyQO%;3wE2i2E3<+6Y{R}9LO<1ucL03z2*2@op=`yZwR=zKWdILHsjOaVVE zmD`{-_dLy&NNetSwO0lo=AQ3;STBg>9N0r-rEagtR&8rgO!?`@K9|Su#nHt#?^E5J(_b1X;1i~Wq zRdL06(^*VL_R-)jk7$%d<3$~$qM>z=f(9d4^0Z5+018z|k0_1?7lN#Ie#Wgtq4b0a z-j;7~+0npJvwXBV>@FL~fGsMy5GZ}>TAb@IZ8tsEpZhZ+$L*Mmx`9B%o^+Nx)@b>2xeh6SpjuPQyW9>2C5u5b7Yk zqVpsEq29$r_fbsLJgLc(MlHdDG!qTe;@+C%dZ!K|k&`QNEbdFCI~8mH_yV0repIm( z&oBlEc*%_9s@2{*#?m_$jP=egz{3X9o4qd%c5xWCI5Q#cwptqWNCXLKI%={+)yx^l z#Uykc1br*7n&CaIYs952TrJi72_}Oce-%P9EH&+5WneJdd)W|@PTm7+2t_$b;2>J* zDyrnxRy{Xx1zj2gbA9dz=2*6lHt>J4j*uTsF=k@VYg@Tjl~W*ba)BgoW`#~q!jv@w zNEuM~4>6RpA2C>)F@$ZFF+{dKV~9+ctY_pq#1+l%;8Bo-A&-KXgGoFJ5^b8k54P%2 z5UKk$+{d#LMQJ{@n}~d%#6&LRdzy(ysyBJG2cD*2qDwVDPjAE|OieTBpyCW9BJoiR zWhUgY$8^sL>Z9UO9(r!Le+to6WcSWmv_*ZnYoLl5AD*v>xg>c^B?Ck>d`lgR*1;Zw zC};=$FNkK}8+V}O+G&`-XyNrI+yw#Su<+rHV1MBfnec=RFUr6ui&0)87~_dI?k0@+ zSYj+U?tT(ul=s7asWINOZ^`ohBwY|4kb6dVvS1DLh3rkK zah5^nN#Fw9;vv9|OGMFST~@1KrO zF;K%ZV%UY)o-A$%ymOqgRG3Z^YJjz|ERdiKNo9jJ@KCMVhjoCnBDFA&IK%lc+^_?z>=RM%D076kO3LrSOY@V^5)jeSSG&L=v zyEe7p6zoxwx`l}*wal!DuN~BB1>p}!qO;Hg8Y(Re*p>Na!M;e%%fduMm+f*1GiDL7 zPr1ELr42Sbin#=DxhRB)qj)jfA@X}7<$dwXF^T|84F12IAi$GUg%@=+!jP6Z9bMg){n zeZlWf(4hm%uoNIgHlaW$g_qmTGTU0L0tTHuc~| zI;oNgZV~vSEYX5xkV>?~=SMPts$K?QVek?gO|=$YuE}YnKc|zHM0452l8_ul#aC#z z%YmPkNy{M>L1sBv5)_w%?V0%OZHUhwo=L<9gYZ%$meS3PZLL8*#1eB!gfrJyB(}@N z6Lwyt7>q73Wf-{^VIl^6#7>fwl%kk(<^@07k(`&E3FTyX;MR*2ucD+z+AZZbgA=be z0wiZu?nDruV^>E@gAOPZwpm;ZCMhPBr7Tg3DJB*I*gODAls<7g{H*D+2_g5A!SXx?%b(Uz4i2MUTjH zwt9q_N95B_#_&KB!{Jc9@UG;D{6RwA{n@VZByuz=vlmFmG)AArF^yK4xSjHAJsTgv z>MTW4!Ls3KGIgPz8D%s#<(B186P5?W6TcN&G!_pQN5v2+DJ~Z&kFe>9AM&0Y6^p#b zqhdUq5(&tYa@gJYFb_aV<_>#=hb4Iu2Jgzz%JMWQvhPW+r_m$`9IZ7TqvfFx@UH}0 z$|I8q&DbN8c!MGQlr?36KIK_a!*9->NWH(F0!>{kZj}G=+_iEWGU+&+iP`cuM`%~7 z99?l!x&7TK{z^&G^mrpXXEH!4cw?h6YVdMCXoh05eC!Pyg9??=3vLr0%#9@Al^XG2 zF5k6FfnQNb)0MI5`r=DG8Kl<@=^iOA@#moh#G`n^jUU62+Q7n6eJ0^D9iEj8wo?;28ZbF^`OjzUKuN;wtt&D@)vQUw4P}eFa@MM>OUrH|FFUgdr_~Z?q zLllZ09Bbf>Y_76h%AX@E!u^3vRgJ#k_iR#hnoES71idLdB<7GuDGWNPL<)x4)x$X|SBDv8L;)>oHQ*4q@i>S^xv&qZYqYU6LyBzXO>-?FPRD1$`m=i~= zuHYGrk{eMUq{qYY@pL_&9Os7VF4AjJX&-$k)(4fP{2Wbg;*v=5q$>pp#|=z*2cmKV zn@z?aAu=+BI|UVi@Ir<1dh9o?k~wY07v@+y1((iLy>d9XzO>AMfShWGZ)0BYC&|RJ z0istVyMq^GWC>9^5!fBcVr6?eEhxQ>^T}UjNfsjro6al>Uc8?VB;>7l(Ol78Timq6 zeJHU!J(fi2leekFHkNo|jaxC5?MbklCr@IqoT2Daq#%rBPC2lPhz;-;&U0pIhI35m zwgKT+#1nX4GzWUh-&y2usF_p6ctiA&qJS=igSW(!;b2jOW~7u!6LI)OzMPEUHDn}K z#y(yoqS=5MsjE~Y3b#n^U@SKrcX-Ue;i|=y3L^>Sn(b9BKGZ6v0Sf^Db9PP^&!M>v}DSk4a=1)Zjg9@$ee4hj5TfLNPm}&!vK1sm1GS zQZxs$Lh@faRsJYc%75`#8hKH76u&OP28@n&7id7%x;*~OH{|%UnrtiL<>~nIPDjMX zF^{FnL%TW5EWbL%_l3dWaFp+(6x;qL2i_0`L=M8Scd8qTOPZ3Uxy<}7c)MFT0H8IsKqN^(mfSO zhDb(QZG#g-RZ%zQAzNFo`lg--BGwwmZ;~=* zgi<9o|oiFA~741*$dET8NcS zTFTR+frz*lV7;b&D|)cpcT|LF--*4-STXc7s>8%JmbQ3}Ds;Qv(qXQhI8=_5H`5F;k>QU}T zUh0wdBim&!gF|*Coq09`VUq(A3Jq&C{zMPKArFQl(Z zdbgv!tu3FW#aYK#T+I}X_}`&3{S8`dn7#z_=&48D#0$50;{as2%=lW$P9Woei$oWi4Q zNZIIUEH@)YGiIiY#-k0&AaZ*a7nmC!JHhN57(aI3NY)DIeLq7Q-WiGFA)zd07K?}Ym^~6U zI!~~$C(>v+CC+Q*7~vC-hVK^!5xkAkcY~*<`YVl=N}b4ZDVib-XaqVhw6rmU@Lq~| z(l3?6Zt^vx)Zq+(>JpPLFK0oNJufM7i|U0lF^LcnZ}BoJF*#yNN#ubu1dD8AdOs^W z5w9gnLcAJE^Ns9t(|Rj?ZZRfVB_lEoUoz?k_Ia`<(4MxVD4WRgs%%Pjhq5W;S-_SD zBN*+IZ%=yXn<%STG!&n#-va5H{T6(UMF5Q4Pzlj0#nTE;Fj}Re1d>Cg3Hjnz5cGxw zTyOVrE7!LkqBXbVYJ1$up34R$lNe7a;!YmP#zvEk0cWN-lur<0_9+fI_lZSE98 zqe}|9Fsv)CQVf_d@W>%Fivg3!4#8Ry_@0ZV9T|*F;dTZqdAv@8^=wY@09vLod&^j{ zB-@pr8EKEV{}qmUplV&Pp>*zyb%;5d)JhV5mMF4;kR6P;`;g8lzlE!i}?X3S7=K(7*lpU;p&-A0Hp< zt|zx;pshN#9c*>PfAmZ*2f}ZMXgoqkYa9Z{Hffv>j%U~w{ci+8*z8uIgke1ag{sg=@aqp>mgEDx!+KbuON`Pq1CP(DkQ5$DSzn=yLD z563%12Os7k)y{|GFD3B9@u(C(EWc+4vXjtQaEPJw;ZH0$PpNhmJaU?RSUHU!mf$!D zje1a#MH4JUl;85OiL$%=o`@>h9vWvovRa>P3Q8a!Sq+~d+v{-#)TE_z$vdwzl*k6f zGBTAsw-399Cs=XMweDs;!3s(uSlq>mCs-p^wji=RNQ>_)RvQaeXI!5c1rc`owMzlc zy7S_R&}97_kkn4UT?$K0*iG$m#zW&Tma18L zD6W2i5lW$j04kLuPc28G)&7-{89N1*k6}%N`j9fo06HM^l)@UkXWH3ERn_N_Cz=jSM>L9m?}Be)s49 z%_#-R2MbJRQyi*C6=PL@}spAMCtQks==+dWQ zPipw9>4wtf{6iM}5Iq^S)>m9`WJ+f6wN#^$x`;iRj%*jkS{@}<*2p1l-d7cip%K4{ zbuFA9c0fAU%O5yPrQ*hLXEE&|T{)N2+cezsFO&k=c)Nxg*%+M#ztUmS8gpd?DL~P% zQMO=X^<6e{%d_h263?pBN|nnC)yhV5aj!Gnhxj`oXCT};+7()8wH=Iz1a*>r&su1SuYohYDYTa41Ui#ZGaeolc@{{0*l zV<7bwAF`*IUY9A9bIP?KkqGMLaqv{riF=!c`y)17SLWtsU4eY)C9kTU!_5nyE~CdA z>0FGwpMEq}TqVlrSgAvYQcrm2;aO*IMqzsT58l;`ac7QysL zrJ)o)8lV#!3=Ue9QFhf?yp}J)%9#|bdcH3zUyfa@x<`w6 zh#;3K!63N;$3ySrQF7rQy<^m}=$;XB;jbtoNsPGVQWtO>F7=H)$~)R)@ChFv@mBtJ zLhc)HA|ZQ;OenXkobfLzk1PkU3y+_a`yn1bku5+qwiLTGp0+sM-2LnS_3`-S``4S~ z^v1n;+~CGT!@&1F#K=AUJp}IMM;*W_;VF6O?8hr9An?v2uW-JC$xRmGZZ~KChG}@< zb$`HW$LSNX4#BAjt&i}(9|8dtH)J7{hc*;I$kv2{hOr_gkKiTTN1Y2Gp>+aAOQ219 z-0=f=+|<;$(JtkR9;ckyqgBA-NLJ>{qx|@cY!5jb5#T7MP})K~ z>xe*n?Wf%Jc|Sk@+6TNRzPN06gTE!S^Q{*W$~jK zmZ!AsumqW5c^o?pE61_RVOgMThJ_G!!xChMMI~b%mbRT4mX(gyLW3Z%!^*|6<*@uY z<1{R@17=ue2h6Zc{@Gy(GQ%R@l7^Lxgc+9k7&9y~y>3{7%3+y?$-^>DQw}Q$zm^ zNx&|XU-Kw;8SBZ-#w0h{VNu6zhefS$9u}3vc39SKn_&;gy4YcPV8RTGV-tB;p7}7t zqTLQlCbh#d$6|&>#bX|p6_I9GRz#X%$)t8zmh6~enc_)RB}@UCVR>lD3`-`p!!j9I z4lBvPT&x14rW{u4tjb}9NzJeui?qzJh<4;*S7yM=Vfp)HW>_+*9Tu5tJ1le4X;|i} z%&@G8jKdyK=HP~8TE`5@^iUd-=_4~F%et(lMDDoIlr+!^9Y%xL%JXCX!Do48#uEICDr7v_M~Q5nr18| zwA*3%dwF(Pf}CYgtRJ&U-ZH?syHdi^NA$YZVM{x?(6y8j<*?%VmBVsD?XU!yVNu(k zhh>by8DSnZ%YaUVu?$e#W-WuG$5uejGAOZ?1LD>)aM&^l zUs(noTjcHw%YZp7V;P`y$_&fBY=&nJi!n-phIT6hDbKxm6#&$6!l&JH`)-GW61p@x!9s+c6ap z_#2DPjwy&BwP?=>`7cyJ*Z98wD7Y#5K}ihxPBVfZ66)`sys zi&83Ma3IQ6C~01h;W(`@YWNC&-6X%l-!wI^-~v$l3R4>S60%c`x!TykH(F~!ONjAWnOhOL}Bl)V-k zwsPvwZkOwbcwZSx;x$;#5Cg~>Vz|yYvxT?s*zVW@DS2mzG52o_u^>WAw(wdV?Vc?> zwgNK4GRKnHg6mrji*`FKQeAFXg3PeITr>^K!>?vorpGL|Ro1B9q-+eay(9wfNw$U< ztWn2p6}ALfLkxdpZlm9046$Q@t^AmZGs1Y3+!$e}0#kX#8eynibljGuBjs`ahCJHk zMtH|b*O(pr{}_9-UF(+PO7B(98))^-ttAVPVS{!7b!8Ag2v@-%K&c3cEXZ%~Ild8H z&eiO5amat|HRsC6%*bd*M8+Q|2CLt2tEh!jX%#gctrK^k_bWa`^A)bUT3$Kp$yKJE ziP%k1c~KH8SA*>PDk}B;Iva>zf7bm~=g)%FtDhzPxBM(kck^dGoAoETU2OSDItkZL z0=r&*Qs3{_y#v;s{t~ZHeqle`=Uy?W-`9S&`&h)87HK`(eJq0S=WK8LpY*+z%WP*H zLMes)Y-b$eBIMR?rVG1p5#=K5xQKF{sjQV%*72u>%jjk|X1Y5(d_QI?Yi0e(>)szY zbBAfqbov9%%qU--zq#=z2*p4D+u#4=4}V?YiPe~S;2h6NiLW?EC`@97k4zX4}KXR)^E zTv%Ic+pO*OOOv(lipCdf^Zmlw+Vf)V_k@YB3$C#e)_g6(7QTkC;%gH&`C5cEU;F#P z*U%Mw{e-N4`~!$m!8}b$qkxo0E?m_WSSmm}v77s96u?y7Q;w>b5T`0u5S*kUsj5JD z7+UV}N-~ZkEpwxf^gVm7@FC$oFoN2QW|fg+%a?<6DKzOzV2V;G<`VVK(Bd`?+_>-# zH+~kpvP7O7kl+hwpty`t6}ga0ql+*y>mP+G8yPu_LE^91XfaGHfxAJsF*y`W9FvG? z>myZWbj3O92E^D>hD~%$4l7iMx|P43U8CYPY@y<{!g+-dizt~z)Th?)qNXO|2@f;x zt27VghyzI`ZmB(0*U;KiS~>|lC;bi!xgt)~+!WLmW=RI371{Rpx|+`?Y7+445G1^= zHP`xPVS(AWI_0>a=~$P>=M@=darGn+BZVY~nG1rpUxc_Fcas@!m%R~KTJ}U>#cqS7 z(;=hJps-Asq`B|~W_mLwjQo7LNW%6?;eP;Y1^$OIja#!`xiu(_Pp*B*oyRxKwux^D zfW;s0Pts`Pp00k5Pqj*pzksnlVt}#VjRNW(i+6G`Obl-t5q7GjUZTpQT(2g7=&cZuu@$9K>f$~|HH6ptM>Nh007RpupxFi5o|{mq#{qY@@e_Ae zzLOglbB_ulO!Besm_R|-X0oukne^qOP~us3gb~a>L$rv?J_LNU;m*#3HCkGCp5}cF z`W_G*@k4XQu~2X?g6EQgM9=H>Bi`L= zkuXp1V>pk)l58f{xXr|-axyWCSxg*mS28h=FH8*4t2MVHS|Vv6?RQtIwoKCEmQ31) zUD;Szv0`JuWzEKPSSvQ>%U#2>*&a^cFKql!a_JizAA^lw#p**wU9s^^Hz*|=%ik@eEdyQ6_5|&pcw%-uo%Db21OB0ap32BTE29y$4pu|O@Qa~$O)E_l9gR)$;!u) ztp0L2L9|_8PLO_WX~wHZYUB6`rYy&lZKjQMI*PV6^H$WoD87{|^GIv64LNG0%R8mY zDqB()VFhmocIR$?In8XE(?n-AvWX^iS_ZOyk|2K(oe=c5M8lnrv_9KJhUjuSAvyyG zMYQT-T?=*O+lBri3>h4L?kabtVRT+N3L05Zv$rS56qC&US zyiz~0x$kMP-&SppR~oh>s+CM03kTWjZ8yq>_%+V9wRE&xuOdnj@zEyTh&YAEoSSj! za63jv#SLTtEMYJV06HjHOGg;dR)`e)u$!WZKoqrL4J`Mx>bG3BcStoQiL3&gs5c>~ zmi0INgiwAd0eB?B6ZTND2+uxJwb-fGZA58}d0>fq>epst{0t9O8~j-*CyR!`G%^{2 zbui7(^+;ur?CO#H$Q*%3mJzT>yuQ2@ZE-^JZm)&PRb0L7e@Ih6#r&ZccN$y0YB&B7 zuEchD*JhE-pw6Y=o)K*dCzd-j_&Jn7OoI%>VxI8`H>aeHK#2L~)*2>3KbM^!Q19EFyXY?zMkN6Lqt9IUFC!CM?3`lS3UB z#>*@=Jsl4cJ*_%KPX#s|Vx$%dj2HMRqr#)gq(B~U3k>*YoeWv8ZcmLLCOA5lD`HU#rf4w$ zjO3u|X7Prib7;Rb16H=39(Pylnr&%4Shfe^D%lqC5aR0npbi+Pz*+~LF85vH)?pxy zXZp-Op5fsRVP zDU}8qOCi!qbbcO7ds{bI?8XuYsdOjC%Db^Nmd+L6{2D51k-rQ@nC_K}7^-?AGF07} zkwdx4nF}$=WX(zb)c)mQVP6V&H*)o|*2vY%n)R#_bHJ9=>t14Ddffcb7vGIcs*RMppOt10CalR=jzRG#e`E9_)EQPp%P{JyNs6Od_%Sc`*7U zy{xCC$+&AuajU*-%9Ylto<3u0UM;UmYm}fO*UCXEKyQZzgy-{wKt^wjauC!Nilqlv#++^FWcA-j4FcbVf(vjf*MhHjx{Sx$%_7dcg zW(hze)seIVUoN*fG|0juhiu3QgBDx=tbB$=Tl!^l=)vUk2mIe;91A zy9O(ESM+FUGpNC;&ES{8IM%OA%wU7THDodPO}@4n*tTV*8Tih&bnZ2?{>d~t#UXzU z8$n1ZG!aq?O=CF_&nH~g8HksI@}qch2S0Jf%gEc|8yA4etgeHmDsk>vRpioJttZQ2 z)1(uX_ibp-`0LPS@KkUxcqzCLp8^Ql3DDJV3Otl@Rq5~VU^7nndp0ZEo&~SYv2fW0 zx|+*=l4SvnD}2P<+{bc$TtOAm&l8%Eo=p*gy*0a1n?rwKQyl*fJA zgX$lfy_UTOB7|lwxds{Y{zeGL`okKGlcqshmBiUnG%AMH&P+C|0NkGG@%k9UMQX zh)S^xLMjxIZ`wY?pq%~6Eq@_{v;Tn?tC=xmMA+A?NNlWFkr3q~34Zch0!evz#j9Ep z^li(JDQtBdGwF*p;XcxmhR6k^eiDLQ_(|#qTJrRskhW({NZPY7fszJtb>dKv4dqLg zjOp&pbeomk*SbxdkOUjoIU-u|lW@M#;S^%nq3pvEdhu}a9gQJN`oyhV3$*H`=@qfS1 z6^>`h5so*%sVE91wa0P4H{=JeTZT{%| zyZfUaMH(zFrmch@IR6^bASu~dpF=D?7aQ;}9DIFR9DL5)PkV8V)Bm~#8hOegja+g_ z`j?6pu_pG(Gp_pfW*{e6rzGSjfq0F>pMcK=q6r0c?^Kwn&4Y^v^YI%O{Pw<`A^Bwh~yRPt?S;&c1^#M?dTSOI{Jzn+U=}60dnANbGUi$`ZIkXQ0SJGVrXVRUcM(oTaT|=@R2BVc^`!H@q?T)WO z4Qus`+C>FPMC~Nl?0My>_I0f($~ap;q)j7Ccg~TU@ff)h;2t>>;E2?+sJ65JTif(b zCfo(!t_2}>J{RPtt79Udcl|(CCCeeJlI4(vfzR?lRvP$0)=iBJf~-wKJ>?&^JV+<- zGgZig58G6UbWv4_bP>g+&BZO`$?`lnj~-Fo&*LH;UL%egca(}AXQ`;*h>5Dd(#Tq_ zHdG)FqTTwC2R~?li28lv(#kN4jLI;JjE3MM56Doa>LI6|7^KewBM&|dT+&ek7e3Ad zCx{+-AQ5dVJQn^Z}V8M?m=d`@V* zwZ1U5t@XE6r~qpS1l^J`c|2=3zKo1OcpcdZ#1=(5-6e`n0j& zbL3wK)|7{R!IaCs(3Cez>Jd`^8sUUx+9;L2kvZvB zaC4DjT}*Jxm0bRGT#KPY(+BA5Gaa1l*SI2d^nI;(3MpuJtDLZ6 zR~g0{Sc_ZJs$kdWu{feHV==9f#AjNS#8(=LJAu=|C3pUV?2Wiuu}O)8oANm`fBhIs zsFDl2PFWpwB1K+BBT~}5XT}0&P|B6V5%ivzKDS7Wd@ypDgYOs$DJ{}EvCgRE2KHa)Gk>x5&uww|OJc=#W7Q%0!*j z<05Xqw&<8#QmNt3^dL6gFH0fSy)1!L_wE1+6{+N4Xr+Vd6O*tklSzKEWuo5skmb%h zyQ$1{-;TOIs-5b(!({Ob5aD*X9eXeFf*Bqk?l7 zl4PM+D`I6b5OQR8J!9U_k9SyR3Zjs!UAlX^4{>>u3sWrr$R zsUj}kFyov}?o$O&$vB%rhupK)Nsu)4n>!q{c;_@C$Jbf5Vx&w&(Ub(TyRJW8q7x{Q z5=+*NozHa#sJnn}|EHXqKg}|5_YA#kKZn7Rzvi8{A!EQHuj|86WqUL>@JEV@bXxu8 z>HLr}!EbM@)Qx@Ww|%9esfoH2= z4(YYzvl{56TVZ3*dB0}E{{Qq$mjTVsA&Kt+9Guhios{(rXtO3{rDI&nv8*FLEq zjYMc{f(>6bL5QWUF4yIzb{Oa)T}s~DGDUuFDO$u(cw7Q57sg><>O8u+R^dX}PQ9+5S( zJQ0qcelpA(c{N;tVqW;XeIH$=1|M;7ffp^}y4&=BrOKH{H zK^8=6)tefX+KIYrEJ-oNprp-$xHHRkjk0TBEE2_3{lvC=rxNsP-0QoO#}le&7i`O$ zO{*(WV;d^lH~M2#JQpXV7BlBzeWYi$Ek>~JNm0zX7=QTdrdWUd`PcC%E&H3Uob<}H z_TWgU8=#T9QV)T&;7AzPBAKKfDXh{-?4mW3V=q$=B6K{<(|=FI$wa(5`s_}1Twr$$ zT+e|pCnQ;Oq%tSsF3@$PYs@y4<>~$z*9DTl;2%4syb78OFOQVZh1bgfRv zHTlvqU3wz4BpVZ1dj#kaNyba|RFw-B=~Yj)Vw6RLFXxV*r0;zNHAMrGU6g8V1lJj1 zA(gKF>)-$Kw{2lITX5Hvx$*>a7iG{!f`ZcQv2WqCN-%^NGjEYxa)tQPuZ`P@vR?z= zdvQ)dD;4CaZNAVdhN>{=N^5sY60MojF|FCswQ0>3ctPuIwnf95+$qz#w7?Usl_NaA zrBx?mqX}l){$p{&(!KB6HcQA_A#a-Lw?F^efBfy&U;oFS|Lt%8@Yi3*Uw{5Lxw5K9 zj$azhp_KivLv~Ed1~sD+A{{v)8GKzpw;|HUZbQVEX#)j88yVhQ9h`6GynKoCBb|xv z^hXtYW!Mx`DQU-@ZV~W`57*hLLwEZuy|r|ASNGPE0(7c4sFi8fn1rv?Dm2kzv1Ic@ z2-k}0-jp#rW>goFWMSCBFfVg!&Z=e&he`gBhN%3>SCX{1YVg0`TS&@~tVzm{EaSO+ zu?-$oMs26*X#3Ci^ArOMFoc-N4VKvWeM@!|edpZZ?hgfh8E1sPj59(WCW<8CO{hZv zC320hehk_Sd+(xa6D{uDN#&}##_lwst37YrVHcDu0-{i&f`eZvze9O$_Gp?RSF;>l zQ<;t~zM?r*QiM-{L&B}Y(gPtRYdP*cN`w#wg&R~%gQ6NriDOaaaxguYXG`bLbIJB_ zmsd3_k%N>a+<5v64mZBK^TuYVzS8umQbHb)W+K`hyiE=lx}y|hIFF})_}~8iuYdjZ z&wu&T|GU{+ug2?L9tyGrLrgQR{v7;ObqVLR=-` z9|?$!M%BF$G6qwzN}YSu=v9c)Uq;0)5DNGiegKa|C6w&~XVW8OWy2stXJOl&?Apm* z+Kfo4TJ6J2)eh&TEm^gIDcMfbJzSYQuQc7u3Zb_HonxTXlx;E-0xu5i2Y@t6#H)nvT1&+rV_hV z9UW;#*CgLDw@fDDyyrJ##1K_zH`)6T2N z)JIfkJChkftTQ=$d`NLYN=7Mkh>b?hrnoxi0Y>&AHnJrw0uq>o(|I z6*P$we01p{SMbr@K*u4i9%$BvGy=$mAl`~{yb)1 zec<}AapKc2=8Sts7?u;C*(jZOPBZ`lIfNVC4>LY1gJ4b;WMwit_l~4I|H&53f+DFi zK9H1fMcURfP8!JETcT@442xODxvU&m4JLNnUJ-L_os5`kmGz;(pIYPr!e2(Z#z?W( zj~7D&bv#?X=~Fc&OE7eDvxH|x+xJ5e0zCDkUS$PC)s||g0=#P|EOyse#ZdcjV-9uK zP-&9BX^f?ThT*;q6!DZ82qjlqNg)4Rz8ym_P+CyQ5Z9>@9zy^NEtCS7(NFhGZZTo$ z*J+hYzpmkGy%0zcOSzk+82Mhrwjw3*y6!c3R9qi{A{w%@6)jy6kXS4R}M zcEQ`Prz4wRUuQDE2XsO@JYw!$hH=?eG(d*^{DA7A94QF*Mbk)3R~%Suh%w8Q%P(~kCB|yp~skgUH$~o~s)Rl}GL;zObc2ilr3>$0S;qv(1kk~qFY&c647`T)xjC<{tMxdzATSBV#y7>^XYa)Hvscqcc) z{kW$gdFps5u2+e74V&V`jw%TABxyvGt zpi`LhjFo$%Bj^hjY|CxJ=Z+#+mI6p3ZDLaeyVVw2`{|Ygy`7=kQi8F0>k*P=vi+tE)>_f}&H5D2G({GLO|L~u2e%)g#o1U_WS8B?ZCxoV!h+KXj%U|#lA)ZDp?AkAoWon1 ziq56PaZ;|YBN?4uh~p&6HW7i4>Uk0SOzOL@tmOTmVE0T)q+?1HtL(_z9lNeqEG>&+`HwTBKMuPQ+=n`Q6L1EhnuAyv-3Z< zuN)rRcd}IZ=u7o%Qp()8Y+~#-F1Q(i&+W*&od=63SQ5H5=b~GKDgys)E}Mv`R6)kc^VUqx+hIxhteKRJ{NU0_E>huqP`ul&T3bJg>ut|F;h{g(= zki)33iKK{e_$kAcIPmSWGjWhP7ZL}sj50)?r>wP*@rkxLGtY^(P@{4aHew9d8G_aA zX-fzew8RX-0{bW%MOcV^uzlPm6)S!r5v5K8zm(NGrX_Z6^?a69RPL{e$kHbj87Daz zD1U8)<*M>DLjA}(y2-;N9HQm5K;90J|FXStQx8$2nx6W!F)b)P#l`etLFru-%qb=8 zOliLApH^F$d|j#2<@KwoJLc<=8I>+0Z%PbOX3ch%WhDw&cFIo^^>{t&-u8qGa`X{h z5^E5$JshN=6O@AuvW!|^W_4B{lr}BS8J^L>xtt8svzqE)GHto zK!ZB)+)g&xo zD%XkDsKaSL;CQcuZD)CaY!m=%qpSf~!eMMnlVJ)#7}WsbWgy}_4pb=8@p9TUP{Uy~ zP{Cm|P)f*vh7>vyXb6Wi%rs*`^1z>WWN_k7I`Kyd|2+}PH%|PJeP`o`;^G^Fg(yx< zOgA6vt`>+mtM0rrKW~UQn~2xqD-)3d5h5BT!$>oZ%t+Ou_U%ZZUXN|steaa=DC2Qb zEg6q9Lu)MT$f&&;N!MmbbH2p846n;bF@U;?6dTAVv%DlFkij(FA9JLC`X7J)TfmFb zVA#QnQphNkG`Zj_>4;O! z5*Sl-H1-yLp3W>(rG4nH^_t{=pSrZGU#SwPM5RckYCUd!5sRprKn#ci6)@JOf;#36 z((@@~pdu4zr%A=X=%1oQkPsQoro<*i@kT{B;Eg3jc^7jM@C{D_`*R8M=@Ezgk+xb| zx}Ala2S_pMKh16ym}W((mdr5LubK132RyJ`uB7qnawUI{v6yQi{;B4h@7F?z6Dg}$ zi)I#$*#^4sdy6lAI|Z^VuFoNzmQfecwFV0HxWP+^GYKt8*j`9Qb<(2~jMq_wQc^nb zL=(%P0fLyHHZi=Nh38o1j@#+`Y(W(dr?}*!nI%PwOrr#dd{{Eo;oQqUI!-f9;o3|> z0eMW((u&egcBW?2?~iu_ixp%#xqtnB8nF_FANO`FEl?2C^ju&u&SBm>mp5S1BG116F^0pMQB~1h@2VcMt+YYl_~x< zH@)ng-%VQW->Y(`g?y%gRfbn-UBrsq}XLd$3BvH5{WM{k%y8;6Y<*!w417Y9E!3mh%lF;Kv2Ot zsVSRjs(?|^lh6^tDUBOtlb<%f)W>fc=L^XA)=-4L`#b4JK zCO3&yA1xBK4x*0Q7}`@pz(Y-&@>8F~BA{>#ZwQd9r8VkXg`cxx;}Z1}821v#voJ^{ zXW5z5*1dWM1rlUDg3PGM�HJM+_aXEkm5}tZG?rx&zl~WhptiME~`=`{fTJ%6h0r ze8ZrE8vctM!+~O?8gR)Q$WAbP zUIz&c|L4##t?~8F@w{XXSFFf2iquD5<9o%1So^Y2Th{dxHVgyT$Rn8`>_>27uy7P$ z>Z?;7ZxTn0_sg}d_kF(e7in~bcFzd&Ij|oiARjka%3}>lgOuy)b#KaSLX^CMYSxHNL7WQDsj}l5ecG;+O6bL zZ=PjrUmbJBhv)X`5fo}>kM&4-&lbxNV7@#>s5_*N#75tyBDO`MTg0%S^RDGM`UwtJ zfq0$W5C!7-^e=A0zQjhOR&B8*Z>oK~g#pcdR7#HysGhuFQ zyJy0w%5B%j-1b(HAF2>q{2wL$Q$^l))D zk^P9FT$y;#`Wsss%ulI)#jdmi_9OBr=mi+A{9kQZGF(04E25Td3zq0@r`JSMPh)fS zPz$LdF;s=G^+e{DsnALYRe$SSpB08d=qRQ@^yQd%j5-&aSOa2K0*6b#v+oIi(V?Fd zAr=;jK+u618IN>yMn@k|3TQIDAgSiC>5yC{Z2J*HoI`p|B-^-vIG7-QroJ5y&(xguP4&D5+R6MBM+cm!}!tco64kE0$D(ZZwD6H%eq zPaH9C#v^6kU}QTriPaFBpXl-Cj{;}e?$hsuL?*8&t>p~f(U1vTx*#AA%}{#lp+PU| zUyEKW+z@&ZU{KP?JuCqXsTbPB@(U}^A9gG&&RHVo}RUO9Vzcwh*a_A8oZ5+0+ElAv2fCO~mS)vmU8A z*CX8vDE<^lu$;KyDcv##cnTqd;3)t(X*M%)w;IZYwBz7*%e;VcYJk|LxolX-`?1ro znVFyo0&y7#E=dy?4P+?!lQcO?npDZvtj-SU761zTM=3xEMVg}d`pBL`KBi}89F5!o z&TGY(;_=Xe^8~{g-a-wej&l{XKej%(%DJ@MD(ia>ilqMxUR){w4mdAO8L+gq;YgE8 z8mcl^upcq!SSsA&bT*Sjj<KdG8nv7GxG^^)q_Eid_48POtHgYpU zT2@`NZhEi?B3o_Kgs}Y-i_h;Z@d7=v+GPN7NC?KnK$!-3EVz%Qo1B{>xqh=6oW)CJ{dzo)6krB$4|i6bQeiKS#iCh|E3!dIQR)KwZGw z8jmjJindU1-)g?Cf_uiI+`ypZqIhdIwOZI)qzbL>u|SpUB%CJGg>AbF4j5XGlw9f6`mxpMk&j#9 z2ECBj=g567T<=8j<3`IwKakml{6oV%PG|lZ#Gc~ZfybC(T-GNg-^HzY3Mv4^=oG} z93G2N#)20M;QD1OM)krzl~3YUsy(gHaA*jQxyP;Myt&v zOLRKAfT;nCS86oN4fznY*0HfiOGg0!EiG>Cz}E|)_{~<*7}?O#uWMIJk>_>i`LJs! z;CBf#1^6q9K@%bTVGm9dq17MOBQ*Bh7KAoBV{n=b_26znw0M2Ijr$$a@uIdsn8Ql7 zlSOR;1p+m4!`lu9bO{#mx=s~+eCTykr;3lWOA{T3N>A16wl}Qq{YdT~zu+p2JQccd z7vEm#O2=~D2-zPao0^JVL69{_ulJqFx5&FnD^$QNXo`kw@q{`xmiDV3@vxLo_)mg! zjlMo$r>d_H=~NLCULjwvCcJ*sR_C0nt-|7lVHHM>n~+()Ay_bB#`L&!`e($|)d2z< z)YqjF02-JTYInN|OJ9rGDnqP~#zk5Mxhd1=WaMyyu4bsO%aVjuT?X09zE%@OBcfqA z^N3?*8bR;_;{FOLxSa)kpy6D7hw_W{-u8Fp1dlgLlNfJ_9`J}E(c_WAlh-3v!Q`%6 zTo@3p#|4;`%vTmgWO;vLjv}k~M^IwR9-CI%*E`w6c;^4fu8toRk{skfp}oJ^>U{ppd8#_AVbN-Z5J)3e+s zUY8)X)vfUqf;2WEG=q%LHN&bG>{OR=Yq4ObT6Vh@S|~@nU?HXQK)+R!vNj8>5O3C1+>FbnoCej@VXRmD04Di&Xei4b=mEKYZ_6nCx77zUH&5N<=+jFgM!;h(M*}9pw%Nw z%2baK15A027OJQ`r_hZv;y`fg>Je+$ zJPmrJHLPlV5MGsLI2*bXio)7I`5NQEx$T^rkvQAIX=`G{T9NFYM_Cj1!Qu1@%!=_? zYSnCLt%@6G^FHyHQ`XQI0h2I8t?kl$9}S*vcKw>s=CFx8LfTyp?Kb=L zM%FZVDx`27R#cZXj2^tCF$xaT3*nVl$LLc&a4UHFwO~CKh}i!-bczGqu-9Ki1@kd=!%RBG9ly^ ze+41e_oZC)KH}T(9mtV0U;RXlIaO#-(IVF}&I&~2Tdt2KJ*$~=e|;LkzpSPf$7jK+ zE*s&czQVSaiY=?yGc8TD%oJr-Go_0>uCl4Q3XR@1=hh=-ajR9kaXq|yO{G*yE)yJV z8gBKnY4(zH)AaqKmFyD?0}N5R7)ln#!vOOm!#+9b)ZD2!2wqG~zLBn{-N``u7ft%! zz_W&`q=y``Oig0SqVW|5$|lMABAL#TOc{0STPGsCl(z>F zURvyCMT>mhro@l(6ZVLWR)}~;Vl0?J0uAbQ2Q(3U3fh7C8M3Hz0+b;j%=7pPZ0~%C zmPF|)pPpQV#m}OF$0$+IR?9J*!h>MaDM15*O)kMCJwm8sikI>PBwp;J=kx#|$)0=+ zsKVZsNj!^L^E&V>_Ma2kfOL8FWhconI}!W^4m6wm!psX=fXxw@We@N{0al;8?^`uJoD3XGtW(SN?ohMy=_3Y z^sX9QJ7|52Pu0GIk;MFGeItK&xjaYt5MqU*mG5oo#maHl3xA;lhVIfd-=6q%3W{~K z__Vvv=DxcKh7ch}0r_M_cYW7ekmN(iWp7E-dil=vkH7TPv2p_Cx!#@!S+>!a1xS%Y zlzdRNlIoY@Krk)2<50D(2>mH*Mf5$=M_mY*zoAZ=zpf2te@W@{X{pzKknoM4x_qy> z=$e_TQ^{mdhxR4bXEBc+i=H1SD$h~pgPIv263NlV1s{E9-1{0UigBGu(0^-Lr!BZN zyO#C|WLpTmV4EmgoTkF|DGH_lIzYw0&^Y?ElkS39q;#)eTbeOYGL@cI4@g<2EIY)V zB0(W+s1dE!1Q=$~H@kBPRaJhQE7354gZrKfRur4C7IoVal)|E@dubx0GDDjK4v{!7 zDRZJ`ygG7v!Pa<&HSis0Y(bCE{dPQ2?ngZVx=ibA?OK;Epf8TDKCIUZi`)ZH(~vrY zeV9RADu-KQBfY*_5W8idnrROT7&2HIu>y^qMtaTVaI35`;o*r4x36IQ~^T@(jenc>#;4B83 z(P}3Q<(-0{)%p;1h&^X{7EP_^^TOpGb+MTBh*Rf-_VPc-I%e6o&#Z3qt;SEE&n(5* zr&JENHqSV-b;TcNHYI0mDV88cHyd(%+}zZ?9>9XA?>i}gYY2}fgeJpdT0>?Nl}$C* zb;Xbv3L?zF>5_E!@sux`4ijnRS^Z4vbOl`N1dl+DCFxpXqhA?6u!5)Yr!gBde5A8v zG*-OC_7D7YO9t%{=Pg?T+1U$80Kx+QVB1(Bg|4JVKE?LBm z9ub$!^IlxyGXqLte!YN^te9j1OpyZT?IU}fGb(g~|5MCWC zxr_3428eG~!^p1I1r(``1WQ~wQc%HF&#HQKsow(qhMkm$4}jcM1U!P5mWThmSB>CB zH^H7VMNgDPusosIYCSS=uCgJkz&hTz%-IV$*tH8n4r;@SB;}Oa7?3AHtUA|5@Vh11 zCj8xg4v#QE$0HSysYkHkO4SOcXR~8_#y<5_yz;46^{p42WlU_Ll6Zw+6t2(P5fcOU zS2{&FLB|7fU1A+6Dd?c>D8>Iy$fINnwFzlvF93a;~3ZE)vr&p0_woX>!4~Z zViaOHCrv3ef|QRE<|+wO2F6;aYv7%uSkgEXUPPf54q3`O3bj&PD)|{EV9SJU%7=%{ zE)4>l5P6%*yc+rD*XGDlU8*O5jY~iuXHz>>;2{AfQyUmphj#!4>k*oh4w;~tZdym+ zP+n3Amv)6v6u@4<{e7Cl1PC>S3~3WU$6r4up^#s9BNDOwTBIpBt4~^<6EXVkq#Yb` zlr>pQX~_9tv(-Wc+6pIYT%}~HJ`*Nhhy+?va~5NP9F>`3lxpQd_z0r7&X1fVcNl7N z8;+6^?v3ynV_-mY{ab8=oNK80EJ-5dVzP){la0Ga?A3#Hn?Mq$c z=ffH9?OX7JC=s$U*oY)BHu}mpQ~ti~$?f-?H%oZ}2V$a~p&u~fGrc6^vnQC^x**S# zHptFh2nb2llKu!?=_cuAM1o=vA8v1Ik#Lhp$f(J6Mr(}RcE3Gqz`TwYPf(T34vR=i z^GVzzCAe0fXTvK-B7Q$HRTN2I+tC5r1+OP)!F%>E5LGQA7r zNIFl}tX#C1*1q*V2n&fpFisOdD2IF%40^+@+$CLwPFjpGtwn1un)g zK>1BY2|l5tdrVdyfpt16Cb8sf-NL$988r%f!6O-IUELPU4aAF--+G0v>Gv9 zK`5aus_5k&TBA-MMG&an6jUl}SYjPKf_zTHM31Cn=pm(tYiX;=ORppi7sT#7u=ECp z!s;rf@9~ZwW6>JUy<0Tp9L*H^Z$$z+bN(o?39f<%}z^M zFzh6VjqL>K!ik14i>E6FJ7w3wJ`=WKlNmA?=mSAcS-RXSe4hQuHD6X_Ibjo7qDR(z zsvg1CpFdJw6N3=hy3IvP6}Dfrs}`-Acdp$RyV()!w8TioPL6X(82R2U9QB1&$n~)5 zOnv5hm{+?7T6G|L>DY5bB034@tg$$Yw-MIxsh@#1Ju>Y4|G(?%m=ihWiSc9t^?v@zkMCR}*n7BN}p7Ev&u>{SdG_dqDFQ3y?zeJ+z~wg=Z7{l$@9-eezV?K#VQdwrlB`{2aFOI@q!|9Yq~@) z%*HPGhPf&+#s$c0`!*2#ckoM{Ht7n#HI4m#+2^%Dz%0q1{iy zq`|AlzTTRo)1st6Ce$>$3PWXsu8vw+-YQI3?)N@S$Rk~!!I}uIu%z=qeYlIF2Ny zSzc-SkRMxj@2Env@7_^-4$xL*V{U2`N2Xj{rFU2RR{rlWsDta+4rUfipY7)}h%wR@>$SxfwEXhLA}M zgg|e*A|d~xt#n4#9G)67nPT-|wXuaXuJ>)hml4y*s(yqdOD040LsrHtO;}JPSnZKjsQ$|9rihWV@DWYkPw06)WLJd2mV7~4fk7uo|E<(j%uUo2+jtCdi_KUF{bH9#nySdbD&bfFYlU_RfH1VJC)6yo6zO748M~{?AL@gUXN&+R%okdqNy<|s;F1R`Y zrM!TBf7wQI+zhb5k)}ZP_F~JB!BeZ2fdf#6R%Yq&(N{j@5n1GQHV`_e?Qd<<@Q`Ad zFegs`62s(YD~?b1tq3C2*VDDv*wgCg`#Ro$d`Ry5BqS?O0lWBCz=#&#iVMUm=8E!4 zaT7rgqIWSoR$&F_DUi%6Jo187Bh+UH`%phdXhGbal84Ac1y}Vo=0TOH&J?8LTpT<6 z8@!!tF>8*I)Xeg~keah+mBivqugW;GP;R%P>b7nb$k_hVu~h)$*Z=*XdP}&nIJtRn zZvyR<8-9^BAGcrX!znOBrzj-xnGW9{$Etk)Z;qLY1~Z~uH$wXE&AN%f+B;G>UWK}h zHuzo>t_x{praI~5@PU|hNjk}>O_SYEM<&Os#;vXy{*0WdiT}f2sYv;CjC;ke*?V=@ zm@F}s$>v{4j1_GWzaLy~ikmp$0gyoEpg>?F`Kj?+(8C z7H2yBkxSpUvmE1fmRKq8b~n}WW4fE0-c_1VqD=yrMl4wMVc60;p(4;KsO^gu^l)S= zOzj<)&c5jn)ao+0?K}mw$5A4Ejphglgl8Z}IPY|B#F9ln9inPS{vO;#Z-ARoB`%Zg z5)yr!&Gjjo?G7`1%^v5&qhAvr@nmybi0=kS>DxrzspKe(+V12KI;&D}LT7{h4p9na zVX3N*gK{+$-p9qS}L4O^Cpxf&elH@ZK{v)1BKBF$H zJO@y}FRP?NGIFFT_;!PO5C13?TV+%=+F3#^_wOb&PnPoAI|OL1hh@_kO40KbJSM!s z7f*N&%~Vg->9r4uU{`q&?>0gD}5UzZQ8yocRVN&jk8rH{x{u~HM3^7PA^D72+| z+WnfG)FGkBl1W!&QTL39KdD6Nn$m+w`f$Cs+m zYJ$GwnmS41nmS1$2!D=1yPQ=b+%3tBL4SXc{!5DCYe?Y=EYAzL&Lg6P6H*vQSXMaL z{BT?&6cqlGtE!07Kq(>xY}|;+tTiNXZEb&a&eSRXmPhNp>c!R<(u<`p#2&tLG?co% zesq3$Mf;?N9x=!$9W_GW3MG^t3A{wONqTeOi|9N5(q~y-&@sEbSvNt)biHNpcJK*dz7?w~1Jn z09)K!J#sYCHvL;Ua2%M7+2WK{n;*GscB2XgKVm!VnUikFF#*vj{ZA(1iXxhU_s5H>pR<_`}AYXNNxTaq! z#wrjUBXh5H3>p2@F;F3u3`{_)Bm~fa3Fu?P5&7~|UGw!ZRYZJuJ{=T#XEwSZs}XrX zi`Wb)6r>mz^8s(8xeD<@?YWe$n*p~F;qix|5hOuZJd~2C*&%}OJ+Mz%c3#M3C$p!& z5UZ5;1YASbDs4LFEO5NK3jl#l-DZU{qVWxlD>M+FlB_Up6-5fVz9`0fD8_Q^TQP34 z8JoxARoiZ{tV1JtlqAwD9*ao_90=vf3v$&^jQMaY#(eraxw1TU6^6+j2C>L3{H@6i z{4M1ACa_7ay}glCZTOMM%_A2FK$*r=FNKf*XQu>)sX5L|eJ?{jk)`^9q+FjuNmzSpPnw%`KOI?V*3*00q*OOa7xgy7vvzgk^ zK8^~vxH83|9y}4jPsenkxdAedJF693F$d|Pf}i;o=Y*f}G6B9^Cezzs6k&d~sEsav3b!;7d;b@aB-BA$Q(Mjy4Sy5CS9C3fROH_g>YRH7*7 zyG5tyx|bI8nhR+cSV|K+XE#uPM9=k?OH&=D4Y-k35Yr3DwT~#r{qTCn{QaST9Q>jQ z-sHC^Q-RH>Pm7>AbL-8q?&MJtrc3SxhqTyD4W$Hor2`#}XUm4sTRVn@3~PxZ)qz#7>#+r5Hk|`8 za|#ob%ioPekOcGcs-|9XvN*OZMCSgs7gw~STQ%kUuj4YT2WS+FmW4%r&3Z+3G3?E>kBMwGa`o zkr$bTX1}G(QbX819dP#GsRo_H*gnOKyooHQaq|;6l;mp(u&hFVS&Lx>D^&2h)#G$o zZeJA_3>_y^B<$L%F7(5bZ86AJw)3A&>~l;l1W-1 zFSAU6{EmR@ALEYM-&S2OjoBLEG&RCCaCekma^1W#j?H|F5%1oGkWY;=0 zyO-o&X!A$KTNV;sqOTJoiGT~`gfVmCEmdGendZ{+h9cS749i{kCPqnP~)^@wX5#^zKaG6JB2%Xoy7gY03*Kh+00 zQypJI%?aEoE3YHthfBa3d_N&D=?pbfxRs?yJ^~kGc|brr^i5%h*Oa<}zSe~}Ib{VQ zcL_XKT|pqt93#5|gisW6C+5P-4ipA{b)qnEtZk>sbP_huO$z;;XkJ29Ds8V;(#zzM>;%OzupB+`YidPbNFcIv#VIf%FxnDkuT9^~4h0kW$!*-zc?kGzjXINzk)?`%{;UCD7h){ZyXuVuS zv;HP97;{q^vQjdPplcG0^q180r3te_LZwGVO1Z3Ur$a^jpPY@iOHtms;Oztf(1wH-)o$bgWb04W5Ux=4)XoIkvuTn z)#Wlz8E%}W?9v(ADNAkMvwYT7A=SmnDz$aBe?YL+*0*!|dU;Mi3RXCX<~J)-F0!$! zS+Elvy@H+ayHge^YUt8eQ>W?Jb1(rX3B zkvw&EUQ2*z@sN#LIp5$FBMzK~nI(|8aWVtkSj>QU)UTx%gFy72@jeo6?imuUdGjU& zZoCg1alU(dc~{ry^s&r%;^ZZ#rb+xGv*Vox2Fp%t1~L}W0EQo_ni26(`R@NoqXz+_AB8s0A~qgC4ShGcrZVI4BNmB8}V ztt6N+YGip|1wGY{hVPBc%rmx`-%d2+rzk07I1kc5!X0taKFlgg?t^n=+$h9ikMXtGfdzk(Rd3Q*%fpCz<9+!XVVwnY>%?JtR z4>eo>ThuTPo$+~As)-}v=a)We)-fnmq=1wk=SFAV@@Cq+k~dU}>J;;9uGUG?kO|s0 z&a^Fzfto`mEWNt?2K6kKa9Zx{0#G8%fu>g`NY>#1{Wjuyq;AH$V=Z60QkXSR(`&RE3bzSJ;Pb)xKxV5g= z)-25zsa}dNIs{aV5ix=bDUCn;^_N?eY)9z@*s~q6DFs#SQBqKG4skwuf}lrdcJ$Ff z?{g4vQA#s&0&fVAKd%m8ZwX)>Briok;dmHCIRdSK2`GDCA3fY{5)g$C8;$?s`ymV{iF_7U>^TcXZU>?U|>xz7JGp;y?~Co>XJitQ3TV; z`&pZKt$6qk#i_FJ&y}m}7&n2Qg1VBiiCiUt8{_BvDfLLiMlJ-{gC4OUx5RfjDwoKU zGPuVQk?06~-4Eot#5qIqyOvsAi%MWBYpOxc6V=?d7_7X()cPc13P>H)KS71c2 z3$7R+R|_BAXfM}LC38NGKM?2=zgGg4v~6cqK1>lvWekOLVfVOTO)D67EC@aly z%T>1@RR6InSF`7R6g-L5B|b-V;K@bs;)mHt6>JQ*U+zs3A4cheN?is#T+zj-Yqyf6 zH-QzBb)mxN)wED)JH4%+j2O1Ssm=!eKp$;43ys(80GxAFoAx!UcrU&k<`DF$&nBga zr_lPe(yWUQDJPE0W6TahNM|he2Ldg7UsRdv>g&wg;~6cBEnxXqSu^ zL@ijzc#BZ|OX=Abp?x3sF?uWIj?<4KCh!A=7NMCgZJ~3Mky$xsUD8oFBO%Jy#>$eP z*+#qNXBwTBinE13QZehce<09O@kNm%6b*a?lQWq*RfE>5wA_yNnE}C^JPTyrg0xM}8pCQt<^L z7*a6-Q%J=Lm_jNV>{5rMJ1SW!dIcXtEM_|N2bwGvb5kq!Atj@E>JQXgO1_p%%1!7kS4WLy%W0-Fw%oR4 zZMh_2Z@D|<%q>SQ#+HA{HXk$FoU1#!eQs9PP=r_6XsHNUPF29X^!4 z@*-&j*pYUX>0s;cJ{@1T=oA)8*?%qQ)GNDvJVidrg;F@XF&|8C-lAY?X%HHHw>Uf* zk@D@egmSf1&map@m8HAB8)XKFpkr zgzHgQ?x3N)^T@Bru?QI`JsxQMuf$_EJYE)_>_R(J$}W^MrR;(iJ|*@hETUC-I8&;G zE|4#6eQfM%A>>y&E1TbeXieautoiD2_MLW2ii!y?$6%O^H=tapvTwivmrkB&@a__( zz!Gju$Xw}}ZigUs4oinMpeibHoxanXz74MTp&Ookp9|K%*em24BF zsW`qRJz$=fJ*j465dz!Z>;^W(psar|H1X@@gr{X9z4SX&XSV!1zw_7P9O2^%!(KkF zKq!5)5})X=ly;OZfhLw9G3@gy-II3ISu{s8+@MzW_3x4{Hbg!!N@20Qx{1YNbu9pJ zj+C~_YRHx^o3_%Xhbj&zi-oDN-(?dD?1V&%rz6MJ!lsaapQlpsx>sy4!LYKa3qI{*|#g{*Q1^? z=|d0%!2LUY76h-M1<^+?M`?4@mscD(7S1^nFY1#6ZyEeVEO0qinEZ%^l+P9fqvq6d zAZtv%QfoQzs{5{o>D8T!UHGueVfy4pLe}TJ60&@>MUxUuQem7ViP{Ton}<|5yHW2K z+5=>y;L4s8u7}8)vC?X6x?Co~YW8Yt@!$)AS88pGF9`cD%WAaA7t$Vi%3rmC{Jw5; zC>JCF_7RMcK(#${TE!J!)yS(myj0<3x{%U%CT%y|sN{jdWdG^!|7j$$i#64U$26{; z1(4&m9B#vbP-6j$_*C{Z7RVy<4+sd^SKGt6Ppk?ffut=13nXnBSTskUx)Ip2{Rt;C z6V0Vah)nYA7UxgLC7G#!_kv3_2zqE*gP`l?LjjK5ZYIv)<|Re_BsB%fms*BIAO{>pAkS3qzi@>JxSx7P(yOi&BWBB$aRA z(+VxTJQrA^IO$d!J8|KxT+~8G% zG{E2`fm$46Cu?z*2~uw`ux=5xxU+fN@oNw@r9H<5o=OfoFsKscAjr%8R% zB(sh&5C{|gs8srTmoIOuh#1gPf#`{|G;+@XrW96)G@5bBS-Z)Qb~uu;`MDAF@(a~i z5jYmLptT?4Le|gwfsUvIf_wC`AA)Dhq+t88HD3d=baE&xb)9HH$;zZRWh%TZGAlpd z6GI_{2m?8`L(5XW{hUusu@W_BFeU>;Dbd(W(6jQJy_o}B#%6jdmSKm8e#~_)JdR%9 zCqzS}UQ*|6%+(h%(Co1OQP>?m!tc%&lM_&PbfILwZJ7LmL%U>U;;>;Ie`LdkBf~1B zv(5MsWWIKxs3 zkvgPZW`HB@&l5HJEC7~F;GbSB87+90PRsro5CP59=_W_HLbg=8Ny`Dwq`JRS$|jVI z&H!7}Wrq6b%cvt}=8YWjbnms?%GjIO%&d^qFSur6k$y18fTI?3)FZxncRo_r%W;xt zP>9`3;Kt|Oq<+kTOuO0kwRTf;aECGNW&zH$o4!w#KpVX==s2n`x5MAvooY2o*vCdH zkJTsVJgz6yMpglJy)S7RZ~(9OwE4W=`q!eds?eMDraWMt3>OHAA6dI?GcK;pM5bZy z4{+{kNwHs}e%_lgTLXB%)D7b~m~H~X4{H=VG*HBuKJFJKE8eEnB2f3t&S4u_o;hr} z3APB@O;Bb0(D@|nb0QJTZ|&cbLAFGu4T=W-mi9F-;NcA{F2f_->7mR_kW2hK;xaF!!s zAH5vW)3hE*q2}dq3|}M2?zI&dI)wTANLg@4!|`xDHUHTwR`YMbG_JMc^QfVieg){q z^fwbjl}0iB4x%vq&iaA$j^ugIjpLv>y2t5Fy)5SX*sPxHQaX+|PzVdF1WqQ_CRU2y zqa1^SY@gxOHRnj}s&8Q>t-BHMp zBDa5SGrf@k(sUFsD~HLisI=y)wIE2vMQ3UA#$^=I9{hNq#la?iUPZFvtG=h&HfGej z^Y19&8IYK3+=QrS1_a1|TK63#&Ew$q3BF%706Sq#QLZF-Bw z!1^Iod{?g+Si=2z_4G~k1KE*V``h0!2uVTlFWaM3;nGs#qI_@LJfsqN|3n;6(aw0+ zpYq)d3yxL|yA?nF@t5RrHE^>(W+kYO?A29*j_eaeV^c&h71&%0=T4x6>V)ChmPAGZ zAJ#QjerBw>ObWGnkiW-Ib6+i|Kxc+Lqvq{@%r)nx*;;cs5ahNAfOuUmHYLD;CmSW3%(6BebH#DkrH+;r%fYiU zr7&g9l=|Ln+mT!wJNQthsL(kwn`#9?Dlfmb+2`Ze^gZh>=Hq>(Jjs6;Q6yN-MHr_m z>y;=MEhFz}Px;5(i>nZo%`Zx1`$v36$B$TPXjRa+lJd)@su_F#kiPd1i8gUhRiMHv zC`s-QS~pePR=@ICH}&kc)-v#7R}1W9VOa5cc6D{QLu85gII9pjEeB7@xjuOM-clw{ z4-s|e&`xolB>~MKd7i0$$e&H2sE~($H$8-0`smyew-Cv(jvZJ_h$^pqyAM|_n{hRpRyWPm26W8SU>XU=0M@4zZVYc}k+pK)^(&)qh6+Db!D?QR4 zhR&`dF0rG4P#WmG?C7?g(yym9tj}0SrR6%%f|iq`sii|NRsShTB;*^hyiHQY-Mf=s z7>=(+Z=_@C!0ZE3@MT!-7N?Yz^=E;4*`7mxs1_Kq?nxmVYtvpL-2j-yydg!KieugP2RE$=(;o-8MJW5O7>}c9>a-96wqkDzC++|0 zA#_)oI;-xIQ@w_ytVog-R?MZW`Pv|FJ{G4n$t|{8@A7HcYVM`VwW(h-ZF)n7=1QCH zux#4&J;$^*#{K4`MU{@uMn!y>lAI|dijc7zY zAYJF0sK*i?v*kNmQaFMNnZq8^ETij6o5*b=y)-@0VM2%Hf#O5aDzS9n-r zf7v1LN;gz+8p(XRT?eC-g_RIky+K(_ixk!ij?~l}t?>BRKQv5b{m?jxr8vaoBXC(@Yp2?sy(jo%+1-@ z8KA@HAik}IK-3VKP0G~}OCbRKbRAq@L$1Y?T@RNlccmW#eCBYpg@Jpe6qxIvmW)|J zhAW-2usrGCQ(qLl4OPhD={8hO*ZDSB*(KEpRu;J~6$$LQ2im-3gM-7FFsZ4#BmtAyxE59xtuXADdZ|0b(AD%g8yt~RVQqTq+-hZ(u55UXi^CC;YYBD0WzDWm!K0;&S?(#Z6^&mXUDlYp;5AGAC6;GcqUj zNEMCo7oGa)LvymL&bb~Tx;~z$9GR`oECU4YyhTfht0pQ*yTl0-bpQzxgL-sLQN_*CA-`=hcXV7m{ z)|_6R9sfER!P@kxLc|je2F+hLoZcMk7ZOh2}_JN zOZucis#sIsnY&YRy6>{Ac^gZmU#Np9*xJe(WKj2mYL=G<&kRZU0mK)J8TX9a58=2 z4`KQ_gubpJ(DTNx=dZ)&R6>hrx!`VL!Y3U;+U;aiOGj|QViC4QCNELVv2uQ-Q1YCd zZf?d$f~`aM*H-y!+=dbIT~^E+Q}?b1Kk28%p<%p92v&=03qfqZTm*z5ptB+2x~~x8 zi63vlLNIyYDaoP+e0WnGnck5!(DGwoHf*^SvK^;;UtE3yL zupC-D`P4OU7t9Xf-)GrkdqEP)I5pgo-mKaZ2(ziK{g;>B z8;8TAm6J-U7N21m53rLJShcqhADjSX>S?%Qf-cM(CVB zb<=G{2v#JWv|eSE?Tc1cSs#%&pjEcPj|a9MEki82HAz5yRf8YtVrHl~MS^#l$~ zfj=8|WBOJ|Qb+rV z?6q%!JbUe12~;}-3v8P8=h7-Tz~V?H8b+j4mL|jFRgB=5mmZ}y;Uf&V@7U9q5aGU;!&fk_~Y$Ne29= zMc;K=>l}WaRtvtHA-Pd#WbIImWQYukxR5GHwc&amL&_#=>dZPEF_iD@+;X%B7vUlm zMvmU~oI2M44P$n19A4}U=Q-}qZG3bqn6P7cJ(Kl(y`p`T)wI=?=kPd9GT;KyWGj-I zdFKYN>%bdv;Dd0B)*CtpWV~tdo&JXoX|>^38qWQ|MVXEPTUyPOPS5DKB}sCl=j*@! z_y0Kj`n7TKum9&y|Nig)UtOWRjToFci_OlRcaDJRGjb8iPrv)U__2ba)Q{a1bzOc; zN&Wn>uaSJ3h%{2PvL;mMb~W5p8f119bY2c$U*8jE+>WjTbF@bSvr#f-b`*BPZD~&_ zc`Um*BMEdNNxRwmPRm4I497cd`|lH^X~3bXl{zg%o799-ld3?w>ED7l5P(W~DqqzW z^6*vHnp{27p+$!XFrsroFu9*)a;tsaZ3fvQuxRd51hxuIzRr(Q_(R>hw5DPTx5@lg z+$O0Q+IrfW2?U~TP8K>tH(|VNhGgciiJ4V!TI=QR-(_7$s9;(TTYPHF8%l?emrYcG zH)1`$&({rO+z2u0MH_|I7Llow$`r0R~7`W>Qh{k5Xx52!PIu zGcCayyZ8fvP9fzXYWN%doGXQ-{NaR^62w4-hmGb~To%j8BD69HikveFZSVs{?wOcu z&`B+tI%d}?`7hy{l$;66EFz^Spt8)YfY>vH9?DBWFAOZiPTt7e(^i^*n;HktR%7B!{*yxYsAmmkO!@e7`vIGn6 zyk+G#0?*l-?d7IOWM%hylB~>gi{!J^NF&{7Zky!CfU|}Y!E*KPhoC2KotM#&Tzg*>S|N$2|cpzTJMpWkp|`yTn#7yk!IM?4UCp zz#r(e^nRuDsMtvfNA(b|MF!QCeb_*HI<%2iI_+@jni2MpWO>zz1vu-d5}Fp}$ud7s zYr&Z;(PbXGBC!SMO@33XDnad*iu$yaDB39?n>2iJqZ!iZhyM#3ATgRbx-Z)PmObuX z70>NCnhRdlD}E>Dqf|VdTI|Wx?%0!VnR6(Lx-9YZp_vVJ?`3KE;_}dfv(+(;BNj_b zc&TIct&3_d1%@)mbst@4>kY@}WyPon(M|3`==yT4thK$weUTj?;Bu{{>5boDP+Oop zvJA>2CNtAvzM>`r5!@NGjzeqpWgK6PrIOc6zJU%2%aJ!mgAZAqG!#5C4_yc)ljgvu zY{y}_NHdZOGc##-j>$z)tY?#xC#rV1pr&qs^mR51>AE~!V{|4>u#Pw8#K_nveAOm)?%?yl)R(^b>cQ_rkLi!rxlw;erIU|^|rjKf#u zISv=Qk0uW?&1&09ayr&pf4`yjY+YW`Gf#Wtbjv_gbrsv1>)q98imgSb45pbpJ5S@ z@|hUdQl{tt>&Dy!AZZ=EMIheVB|e^XJ9%-a6^i$Dfb?}%|Mh9;|GD&Q+~L~H=>od$ zRT(xH48#~M_Y79zuxt!$>@xjfMsB@NCPc@ormiU!#zO4ZapHXJZ2w7lQqWN`9Lti+ z&+smi2jbK-dLEWhGZ#-p+w61(X$=^;KMR^XX&^yp&ur90yUD9;Yo}uUCowqVwn%mL zWtSHMIxpK`Yxp~PC;x2_!{5@Zauf5k_&ROasd{Y%T_2~FMLgvS)%azke6+RvlPyzO zg^_I-j3#>r`H1KaCALb~KGqvD)=idZ+*l8Lr;qy8rpx-rVEk`fpW)Y|VgQVlJB^B} zPS&!fh;2%VG^xW+Anszn%L6p$Qer2ikU@W4HWU&HRj8lq zA|~MK(xJ$r$Jr{6<7;H~??SHXiH|mi==6 zi-eVb;>><^UTy~C`hN-&yP~&$e&Y?){-#)?$r8lrOej=`JkpyyS~k4<;Wfp@sHF_- z_;4|pWcKQO2sEg;$()B{x3_ozZT4U$20CkV{U||$5Ho|u$guQ?3jI2$?%^)qcoWI1 z4udjln~1R?)IOj2tBivw5onsFjdPY+1}5S9T&2Hi`S74p&?M%uSjN*V<3yLMz4EUo zC`bs-XfEjw(4PEvlKyjNa|&HhL1~G#Ed`^8`FOxbDY|BE`h}}eb!Vl`gx|rPB}Lk92_D_UesQt1 z*;7;WxqEAB9WQ~O`M-xUESFpW?T{*YfItJo_AUOeaU}wekJb>af3|;3mqM__3V|&rsXoqW z$J`*dFR8#qjnTmR!)zxlXlB_f9EzUsIT-l>rMv5|iLgZ(6-#Gj)0>*vrVxqy9pdmA zmrR^iZ_eM6y}${!DxKEbve^prcNUwhzE~M0@W=uQc7#J{00;mnmrMjXFgC8|4i|lU z?znyCWMgg@p3~i+yxPOyGbJ=9X?%57)z}4#H=kn7eLo{fRO3rS{is&edQ+Wstrm^l zb!G+hROy(`xeL9%Fju^g78!7#PeJhH@V z{YR_ny+;$&fb*FL<(@s{pqZ{Xu=E7`GUeC@L-L^!WG(CH#z{3@Hq$OzDe76M_> zB`I)B81O&|e-mkyey~rW1oF5Qc{c6upDZJJ*1M>(| zzPzML&*(y*NVkWi7s>6EM={JcUrYuJ>o!B~qAc7NR519oICq6-WL!OkaL{0IW;Hm}!1}^IDrd8Gqk>Ds06!`p*?&V@K|^v7-NX=c5RQumV}UTUDKa5z-J)gh{5jZp zoe}JVD+ztRSdOv|iN8J$A3Pz{YUwL^Bf(1GRVVT0vo22<-u2`u;^;oIL&iCH^M23C z$f9@1$%{VZxO$1xU@&t(2P6py4ONnlBfV`hw5nhuy~TV6%Zxh#>!?{KdxG^yb$OBu zCeMSFU9vwZ;F{4=&4HOK;F4jAF`17NcPd1?f3Sn~yye*nDs{+b3>19py&aG?)$1>> zy8N`}n5PR zRUrL3P;5&;zrU;u%fAQMEJW|;sAh8x<8MA3L-(T_|Ni%uO>vWyXs~*$}}qZ73r@hGqb=>adw9*svfx3hlO+rqj6E%YyqETh*aRW_r331#{;QE zZ~8TK59-=~y*En2=0{HcPzZjb=XjJBx+4_piNQy%;3!EFmGP&2Z6)>aJzQS#cA_QIf+Z&N zbkZQ2vxU&@sm8AOy0J-?k3H&wxs|%?LR!ltt1>O~bVo|{b$PM+kF`y1sJ`9zO$6jw(E`TA?u0N%D8Yuay0b7c}aZSXa~D z`rw@ysyh!$7K5l?Tal58Kydud59xdvRSM*=9$laQpVf3kKh=^sYX|SUaij>GG5fVN z_*Fo2y~&n@KWQN)khk}GDHatL0L1Q(u3E$j7W9+}>zy$o zZ~W{`zl`6=+t(&xV|jdy+4Q8ESwk~;EqP9bf>$fa6Gu8>_q9S=p}}wZYoFSSU7gE3 z`t89@LSk9&gmbfID{MX*1g|Y72om7WCF*HEa-yiD>24jIjLBuWB-6 zF7TbPbwTXO@`w8-LFr|UDt}j6B&N-vzdJfbO+s4Zuqswu5NLE7hE9p)r^mbfld8pzL#9O%&jwf zAALM|e@>jNvk$J2FKi!={&bP~qNJR+aD~JE@YqlbZ2I~d?c=?xI-Cq}^fC05Vi`?M z!9p|je*Sy;`geycTf9FsE-5mrNjqQ zpIQz8rOtZ}K(%l{)D3%i=O;+!JHU?9kmW2A6EjOP_6~L{I}f-51q3_Mg`P|*_h{5< zRX@Bh!b8l4UF@!wNG8P3HH(U#g1dtJ@QLQMX)Ts2$7dcOl4~0vV!LMb@H^LzEn11K z23j`84t3SN##dwjy3IQ0nP`Rbf@3xJM7ZLIfF&xct~OGxufZd-|HwTwrgFxPq7r^e z{sNz%K@65+puSXj*)6-_cL*ggKq+wag{mi9aZ$= z>X_?gcKA8mm9UI!brJF%8e5-p9n6d)>m19k3m^i#q6^d)sB&gaPRO`t2uBn8dNc|^voDbC0@H10mG z%~F!yIz?Tjd%$6WxN4U0f8t#31IvPQT`4pw{vA0qv=!eu7Sm=I_;uK2r7ZZ5x&Q(a}yPd3y_LRD(eNMW0s0yD!>Y+~Fypl8lxu?iSY3MC=jWkWNfTMs zyXJ8KH0QY=m-U7Hk(%vC*-Nt}#Bk?XfJJ!I<0Uqn=QzvPAA^|pPQO#+MJyp$atiU9 zsV&c^gLX1WvGyRxZWpsO2tNX|kwS>^iO-I^*>gvYaU5K-pa_3BVOqG91#0TEw4Im* zYZE(nh*DJkkgO>W+QEAn3K?&q2k zm*6^`*>JUtv;x6QwgwSqVg3g(!4XKrp6WXZHPck74{hcFq*Tf6KQIP&6R#&&XOoV~ zSD)$!DXnLhh!Y2W5YbY-AX7sv_P#we#jq-V$dS1+8c0_eiI{o9Tz=vprOEG3q+`;VYmRTR zW$pyYN)1K7i9dE>nP$-|o5e6}MsJLFLJi`ww8Jtv)2*aRpxU7#*%BTj_TwNm*o0r> zJBq2Q-J8zCbgp3O7u#pT5SeK(JcH z@(_odtigV5TuT$fFr>(nHqx_T3$n0m@n%YV+Z9W^0dDev!LeLJwUjC>Ne7SlMt>c- zBu^Z9gRZepGUhFE^P62Wrv3a*{5DiZImXQBDH@jrkn;E(yLvOlTIKVe^ZPd0)WhPR z3A$sCFCBymr)IBZhX@k1X3ed8$KYq(9EFxmmtL{-+Iyb8BCA3E`eFR6jmSo?8`6QZ zqwAS9!c1>&C55OMsFqj+&2@?s!x1rhq-`E-D2D9j0rg2H+Z#radR?S;6`YowIpZ#~ zww;Td#8$CCeOF{IMU?+$TvGTE??$QVCee|$&nUf^25DC?pR8E~sytL3Wt@8XmAuJ{ zb%uTs&yKDMDxNZWWO$BqO?@_tLQ3QfAj-YiUp{0P#@19C!1FDUd#-oUkg*PqrkkA1# zg404$<#2C$5mCyWF`|ifpQ%|Pl8{w)PW=!K-I7Xglt^VpzEv}TF+}=kwC!MYk{zRr zN$*8nh4^4DdiZMgEUYM5PPZ7FwP9A&oO_~}#isRG)U@2+M5y8rsU6Mbuw?2nIa(9O z4pcf~(p7@uN=99wUj}d4)M23v#Z}r2+`3U|q&IToSZdgD{m4GFP*HWQxz|$3CbiG< zvyS>Tv1IXdE>KxF0>D(36cSqcF%RyNRnXi4SKS5M_!PgCg`GO#YyZz`xxoloFar zt_SOuoywfD{%?*h1NyKp+1~t7#vx=nh+$*rUorr1X4!q0e0OTzP14uG|LkCT+ry$y zjXk`Cv)ZBr!@E+|L@@CC}%pgaHu|kWR2VTZT z)?0fFOd2rm-IS-WI7Nb9rz>oM=8i@#mfFkF^SW;xBe3Avc?MtqRkM&%Q?0tVK z4b!^+=}hvtQX83B?;ySo?G7a%+610Y)HB~=GB#$B6Grj<^^LOYFDk2hhu1YkJ#O|! zUY=C7v}$XswoTn~if*_(&X}wTXX@}-@&fO*t8;4fABn{vX)jPY8z%br9;_ntG^y-( z>Q+>75bjEIN|WOVbORQ6)(;~jaF2BM;)=EHS(3{3ny*X5O^C4ePWA_o4R_FpJ;=oSxbl2NLlG+Ok7&Au;uk?Na zzn)$P10X?np-|$}I>L|O4(_!q-y)!|@Nr5y+D%T2IALVjt~~1p$GKRu!Y0XcG3kxO zCx%+X-ZAXu>`!suhWqRrino@TAXNcY`YvrGhzW~hEwbd9aR*i@e_k_R)wKj9?d%p_ z{c@N~0H^mv4#jzVZjsUZ^2`1b#O8|;WvAzAs97EA#TvR0bxWDKHsmof$q8H{tee>5 zR)vs&ypTm6LrRX{JB6G8+tk3!@3xuNz%>cz2fLiY}M0VlzFk>+4>x(XfAH+0E zUecq$Ddd+}r%TrNFb5LnoaP?xEFdWsaa-E1k!hq4ntX_+R&T0SDtOqRatwMCYg;AV zwB)!bM=;X(yEHd|YXovY8a|mx7+VMW!Y<^ieS8xgF-G_{VqwG;qh}ItrMO6?;q-b1q3mim( zmOO7bN6{Bjn%&pi&NkWP+Lz4QrM96H zc>syPQeh6rpnvzCJ5Y*U4v?cvjcTIq_I}BSG9ZlGsUGtq>ft_2*i1pFB#pX!riqxZ z{j#7Ct+~oKkuW2d5|O$m%O!gh9P%o&)M)ar0~ery^Haya4zXsEK&33 z{fUR|22JFT%Ep1?%Zmk!EfW0=D|=Z6kgO;eT8^RF;=LGKhfN@N&z9|?Z*Zi*B#_U5 z@nSWd<#{o6a`rt&PTQSK6_87ot(dV{<@S04-77iel?X6XFXV%zph#HXzLWIxZFh~- z2rq($)S$R3EGz3efF3FG5jD-~-eq;t zUFo|AMg2n+Pnl#5IKk(a{JqZaLO|nv`@JV>I+FXk;jk~H>TJnNTtwH+a+B={+;*g! z*yxNpbI8k%Wfw6W8$Ef{I;QfqM^J$RvE*f@-ShPdio&+;pyj}anex^;)*aip`p4d$ z(#@ZT!{MhqE@wN%FJ|p=_aM*1-|c%euyDCq)RhHKh!D(A3hZ9`S>#Ve}8BQ2U8KeCoWK}IahU$LFJsc4Z*nHkPm z0O2e$TAG`#NF=U>U$ltiXz7KY8+)g~CRl1w+jO3o3^#Hb?2LjDBW|Ky(6UUc`R`nu z>zqTwv>75%!@>|nt3i0oW>Kxsjqj>UmW0RaMNrNH-RX77tugKMwsFZztHJ(Bt1F+( z&olu7bHj`%hBE>76WvI$w{8&&`T&N~i*0errLM-Lbrs{0@>h+}IA70|lN5yXqo{~h zU^VrKuluTTo1j-B)^kZ%bRAQ}J2kBuJt|E$ZUgBL=x#8x0seXC!FclUS3CVE=bch8 zxw99GBd1_@~>boB4=s)fdj*A~3&!}O{L82XRH1J9V;Snrh3J>PXf%rN- z$I^x4W8{79_*11{Y_l~K;IIqM4{|xoQq^7)QA&h;C!wk${ydvhY3WUD5<-E_Lo*xB zha&E?Wgf6Ip+9xTuj4jJX&-$5%O_i@oWhNq`V3=Tbz#u!=;nx`A-$+8i$ba*!(J2( zS4?I`V~HmfoZS$NM*n6BrJVI?3$nFpmRXigcYd25GAtMdwF!lhV4B;cH^6SaKATsywUDgc>L9yb8PRk&Ao{)0H>x zpxm=U7;tMwCN4Dy@7ml^WfR(z!wYx7B*{mm=Rk^seNc)cEhWv)CMnIXvL(&V;hR!e zkY%4S(r(DD{ryxRZP~cC7Yo5j^58SXHK5ztMv-XiM8V!DlPGDLL7y1sP-jcCFS9h$ zU3Ca++WEQB7Ei3J+;pBJgy$WSWUnx8VV3>NoIEWV?5DKN3|>NGr7K=>(xg0j+T=~7 zcfljQ+aHxbmLmQwBLjn0{APN}q_Ho~9+a_YcqJSNg8l7)&dU*tW|pdL>{j2v{@6`5 z;>OoSZ>Ah4YPh680_N%IP6S^5Ou7Ykn^KQOE|xM}c_Ms+jr91O#yoU1sq=K3Hqymt z?lrWBC(%Yu3j)%&CBZ1S`;m77j>H)6JWmT2t|dvU8RtGIj@mazF?c0BC1puCA~JkMCXu+h zckwTWRme)H^ooavATx^YuusAgYS3Ci3x}(OYFH<(D-G#HSL78`+!Q+C?>?VGlyTIZN!RCQS zGsZCkQ(|m>9Pv|z^SFx)*R8hR=LdKQ0CHmKTTukZDtwrmCk_fY3 z#STAYczZ9H48?5iY^K^?XC2%m;~x6fw`p_MR?BWnUEOk^LoBo=^!i_!RoJ<70tv|+ zfy0QI-M~%_R6eDKl0%)O8@nUBrq<+P;$>GoT11`lykf@}1M;dOw_j??9AkeB$o0#o zH$fh^(uG#c^109sx8B#IlcARqB%V^8g*l}oZn_Rb^%_U z#VzYj8ojJxw@|zdHBBy<6>op*^mD;wDIyK_Ld-?qs8Bd7jXNpmWyO~Zq*e@Qlt%%AX}XQwzp*GvnQstjZwn8G;H8{8z_&o z4Z6~c4rmsWw9VBhf~sO^HoW8-0&C)|8hu}Pc6Sh^-1}%eb8*PUu;3Y6#s<1i+ilsu zGdGM2f2S!3;^Y?R4{-ZW#HY&)=Q_w;e`BDLBmIpWmTS}!x0d;MdAYSvvjWMBvoys& zAS3cY6-qN#RHAMxhCXGo0ltvi1_rVDV1`7ugCq;+gxoLyc?E-Z1cvraoSyS8|02gb zoS@&4A_4bVz>>vCl9%``+dAKkXhy#*X#L`6&N})(@r?^9#VdceCC|>SPB-H`gaji$ zs#BcSpgwK_CXG0Xr(r|7l?@)drjfG6p%I*mgW#GcsXWllJhtMP$<_(#k7C?QI5GVP(WoxzFq+FggYOUGo5f6aho0iS(#m@JolccL z4#k(7_|p3NDQ__}R4>VGeOw<)@>}yo5FD%E{h;|xcq=be-@(CJ@d$cqHRp`oqO!2h zGlk~-b%-?Ngava*KJ9qCd%6GW!t66^H<$Hi>~jhyWP6;tBPq;|UJg%AL>zj2b;~HM zIN=5@`??lNegZ)cf=sg6C(vZttOqoweZmtwGw&YNIqQUk5oIkqmJT-wC{uAfwWyl( z-vvcdsL3bLk>#h8LQ6DC|C+SpBFs@?ijmuJa$n(P83(q`NChd|Fe~Qy^b+<@TeNy8?AC~l< zE-C4hKvexK>*|A2oF?$YmNZq0=w7ALk6~)aj5{ zQQR4r_U_)1t-&O)%Dcy-j-TS#=@O}3mNnd&+f=iCP$EAm@M#~a1NgcwcW-i1hSTq{ z%jtx)z*Lg%E}gP7<+7i^D7`pEnc77smLX$i+1fZ;h;N;lQ8cS<5`iC9kjapV%T2g0 zj$m4DGPf&U)>BlL`%b}&D;$|ZB^+ZOb}Y73q_?4MfE6ALhvcadWdqc}?f1AXus1v^ zwMSod*2qy4e{osEyjAzYNB=Tc!+t0Lt}@wbwktg^iIh1Y#WqMZyR%2s-N!R+tdQhB zePcSjI3}fyT1#1{4ftUEgk_Xy!!VuQBf~3zeK6wCX!4zM!`BzH=vJT;>{Aln8c8OL z8Vv-%T*R6#FXdpw)VSuBDz-Tt(_Yb65iha03sjyjXP(-Ub;w!p&#c$*O`IP%=3d`; zKoAY>awwvcm1K3_mbK$H6}O`T8##_uS?en?@kuJ(B2(+23!9`eJ)`iHqh5}!u+kKh8UKo_w5CgOd?h8> zEn-)iHKPw0M_vRTY*f{C$N!+`b>wo&r;p! zhJA?09m3Iw#j2=6m-EikFSOU#q|lTjKTIVS^S2{kWgLja9?8_Xpy$V`MsmNY?*FK| zkwmduH}-NbJNj{WbdN2FtPh^W;|)c{vcTo-DJONYSt+*`s53^JLaq)k&HK!7^S)92 zGrF3VmblreTKi;tZN6NV_`x{xE8^F3(}u2B;ealoyM9uca%nvaWwffUhO`Bms)qD5 zrWbphGX46)N&~gN@CEX1a{2`7WTaEqDWULNUr)5!Y zME$5{=K6Rg_GIN(RArht=Nns#!zp`fZ+=sQze~N{ngHBbR7pH{6dE&bvYEHkdj8A% zI0o`>RIM!JY_m)q(X0OPwv`Z5;v9?>+s_E$hH@muYZEXFl^mMO8i#MYMwQS{`AGoE5pPx!e zlf=IPdzl}oRMjd^FF0A%Gy|q89h#NWV6)W8G?mhkEf{ANFrrqc%g*y!$esbUh z8fs741Tv)095SSysxf%rsm5sj?{-yE*d;6!;n_4al%O%BXtWz-NZvWu|eKqVCRfi?U2&4!H< z4xxUWn_#n{!yfy?1f*-IqK3ppxthe=>O*YoL+uGR_6cQ8>?B%U>>`@>|Ce2OtZ0jK zPzGUx3wChluAhXky<3_%_8jmM6O)e`}2vpTidt*g{xkf2-_@~f&5s+OLFZ6ff*XK zLJB<#E&MP8g-(f_*@Eq*9A`>4KzkD5A<+%pA^1e@ASY=%=df6cL$$%5Rp(`=w+ex7 z2%#;3WqTh>a)H_B2^5K#H=@zX3*(p-u*g$jMMTuI^5jMz&(A z8b=*oq5Z%p*2IfE7lm_OO5#LjL4)Paj*TrT z4nI;+!5_4g{1)V1{LWK)x+G~OdG3*mxPN1AT9&t8bhi@Sxq)TzX4MzgaNt>ApPYf` z;IIVBM9_>o`4|(xa)YXM0VrT$oH-$dX>Q%7(cRjN9>2!HGy1SlK6~VFpW; zk=~>lN6HhBoZ{nDg}=Jk7tWsL>9F>;sHdVsbvaS7s&R=7BYtDJQZ4cO9w}9+=4g66 zBklDazaX_$npQ9k+w%xF$y-M9s{Nq{7Lp)aRv>??!%Z+H!ZpE{XbnwU;S}&yTd)D> z`B~t}inmuP?1(Mds)X?3Cd0dwIZebY;eM}WcWH5Rid8K|Tixj!VPmwrZBj&x;D?9n zEoYiHa&@a>Ea}#yi3+U7nuk9x*}K!TKInIo1|PPwl9~j%XUI=jH$R#5pHT1h9K(|9 zTcze+{h1}zjJj`?0(Qm|JIvj+N^$hXV`6x}Ge6sIyp36FjGkMo;>=GUGYj?U55=`; z`$F+=(e-`I+hgn{WLjegvj8ZhG_wBqi+Na>M*_9IesQtdACi#ea_scxGgu$m=IB`` z+wSXGn?%ymz&Gv!`luO5XiG^-eiNaU^Rt#=ukj39`)#dud35rMNVF< z<4cGqbWGL}GXJ@bJ;%(@v-}5`FS-5 z(6qOzEi{dG+zsn)j~`DF6JHJJ<@Zl)ir;4te}X}M^?VJ49C>Vcc)&_=pC<|u2zbsL zW$*O}1XoM^>;WcVRQxsd?A8gyDcHdTxI$23^x6jQwNeE|k@l&4>M7GFQD&QH@qM_i zD6+^EQ76$qW$k`8>>`CW(21mTo8j6?>;AnQLMDiXrBOYb=gu>GYNkTiK`e{-1$p`hqqdCO(-HS{`Gb!pXV zPU1CJsOE7Y#Qod$YF`wf50B|t*ebW+A?BpV++tslFv8?F ztXZA^Dq1>{Fy<8cng)PbTB_H>9nxJ9si60hhj;WYjGNXqjM;xPsqnkPMX|dR$;MXb z#Tu$Isi^IjWv-zM--PAEA1F4UvWA1DMnCsM>0#|bwxMlc2-c0+%X(lB*6mRem@uVn zAn=*e1r67+pcju*hi?V=@rPeU(;8j9Tfnbr{5nh$!Vi$`F!0>b?a*NGF6luX65kzs zrdkdAg)af9bz+6q!4qkd$2>gF|wa+G3K;)SHxMU(#ac~4Y#*k!nqTY%lVPL z!sxBMy_N%_wL>`2CPMe9({Sa~fNlb05BB2-UklHW^C`(Q+f1>nOE~B)6eP{bllWcItPv9AZ0W;pN~R7Cv9~3ALR=*4LHB~rol4bO{zRFAnisQ>1gjPT894M zBzGGAPiI=z=>0$1Loo1B*e>aLX94jju^f|M=rwvWAmC&M7*BwPqv%%_4G`mM;Kb7( z4SYf|?pH<8Q~fSs8~0B_pQiJtY;Ni(i#y5v*YuN6%l!bN&!5fZ{-g9i}pJi0sD^z^s9tng+C9HQgMOPvkYWi)GxKq znr@{w4+Ja>`P(4+trNyMD69tV;OuZ=b>@P$t3s=2d^c#oY&YmMjG*qP=X=QkMtUz- zAofsK6rO)o9n3yfw_ME)Pq;>btEm=-zuedAHh^(3E>JTZy`g7>aSNLPKglovmttHv060SPG93bA7cZdxS@GBgC0q}^uV1eSmY1|K!wIg=PC{s6Mm%RKJ_#HDf?j-@!)irE;zT( zmPwWnX@A|AdznJ@H{!mPPQ7i_<9nCaC&u#6p+yB0aT1Jb=#>y+IvSlvQ`3ZDe_ZYP zzu7xs%DZXLa-@tGgS=@yBfOhctZ zbw8~%c1`JReSdXnLt9rnSbf^y`!YYeC%>~L=yhrY+Bzz54~Ipt7j+QoH+-Oc4o<(s zgN&`Wg~Hj!9~iv?_$dYY3brj94UpeYKPwt=;QFgkai9VK#oh;(+Tuu_)aujGrVKTCz90pPP-1J`HySy3yP~dY=#coW&FRj##1D z?AntexXc?nqS%9EUlryu6hz_ak|w@ea}1)P13Flbha>QBG|_k~HfYWFlwevy-IZxl zyObdT)IfgG3K^X#!tH;-BCj8Rg=z0bk6TQlfah1q6yz1?P^_z(1bDl^oK>-+DT9d$zEq;4gW?^x0P*{ z;DwVLRanR9-)<1yPI^2Ob9%aq&%fu-kZ`g)n;Soxaw_EM(TS5F{NQEp{o(%43V`*G zn+p+8!&CI5uU8aM@+J`ZYsVZ8`a;GOwPwID+glu{CT0L zgV1>dg;hhDF;7D+Sm|Yg1s`^B2cu6vQgb{OEEEC?oUw%isJJn=X ziwWfSH*RsJL|(VCRy~$B<+5>x>^s?NZl0hWW=f6q%f+2!8R9UEn76m}Row;Wzul!i z0ZqD>9lXb>N@v<5NynThd1d^*0aBV6U$Bo$W$L_PF6I#0&P|f~5<5!Gc&Rb)x0sVE z1A?qCWAI5Q+wlTFG2K<2eE#VIGxPRAp$U+c*2#w<&C5*(@^-e=h4(l7!9P73bA2^e zQqOJC5tqIAeP$$d-M{`23Ema!yWOImW}%LGn5|9RfkVD2o{X$MvLKS4XI>k3dpP|U z;>b0VaG-kX5W*=(YS;H9(6bkm;dfdn5ccu%pmq$w1^8{mfk zX4B1}AMHmlx4}fFdGH5+3ZO%Bych!E;F!m=(!N*2VIoJ32q#5iDBzKR$#ZcRc%#j@C3HB$JtW{};V7^?G84*C_tB$3w^ zTub*`Dkr^Bn#a8DV)fw_~XuSH+dLD8@u26-)1Cn6HOr8f9gKq zT3X-gd?@`pVOYnrDWH7+8N`R)Z~I+4sD&;N{QKd~l?3|}(@w^mL4dHY6<|Ni|EUFX z_MfG%kWTjh8LkB4@$)|xqM->nWZR1?FynI@rT8)&1o3-a_L!C3uis79na+Jg%RH!; zkLhft4}bix^B-Uxb^q!AkgpbjcR8yA(Ln!h<@e}aw8sR4%-br+zw_)rVw8Ir{cgXv zsd(OAKxV0eiEMA7_b*AHT`b%oI5CA2nQ6g1d!U1TJGletzo%%59@O{TJjUt>5)|*! zCyMGQ3{>tpopjPu8KgOeXgvK2yrT#@*ba!<|M2ZA%>6+UzK4f?4XUB>TiVGG_}XKK z!tM(I`MULqvO1sz&pf4c5s98sX++0;b9Jh|7V8| z*l*7blIYh%kbnC=QRh3dV3~*Xl25m#pgB63|5c*b%KzsLJx@c6iT{`&vxcs~qwMSXa!N(xuYhWGJAD#f-2p%1NltJ-A7_&q| zdnn&~N(0*$OEY=D+uTpZ9gV?vwh2NJO(Y3mBUn<>2DpE~p?vCC686j4l zrxL8Ifh4~xTm{W+`L(?}TSlmk(H0cs=k%kI#+(GN?xNVyZ(1cgKSO#}L4-oyf_bC` zI@$T5U|`-nOr7(PhkJ=rVH-V~bdXWHO^F{6b(4mhN?^U!{eDLZc>gR6=_c&?a$Hu1 z;oI;^4i+1CP@#|B-=6KjM?ij2jNJ!A5-Ra?hB)&A@s8mMene|V2ZBO>LgmmsT&RR> ziEy#!Gi+J4hcfZzGnihiA}dUl%c`x4T}S$M<#2V7hIYQWZ4h@*1-m|6G=1LrL$3iA zxM}1z1*wCpQ}lTb4Kp3UFLz;#{nu#SrtudjC7smQXs3;#FUq=Un2M9(8vhdg4s){C zRD5`8#z1CE@uo7Io6?`sl> z9#u`+!9r-F{bmMM-K8~vY`oG8!YR(oXBw=dhdQ=B4mzpYw1BpRxQp2>t5~J!j!xEUo}?#ro^O?%f9Z zise_*7f*0&XA(7_SKiqYGX6Sp6-`JKJg=(%sSa5tbt@bPvO1#B_%stWosxvA{AizW zBhCr2D<`YplpDJ77R#AJ2GpL@5~U5sMgwe$-_0h7hdBtHfJe8~u~7Z8aLFL93z6LG z*s_Yy_1yH|UHMSdUOCyFCjrcc0PYVT0vP(VC8dKnF=1z^qjNAX8}=RT2lfaW{jjy#t(CG>jTiW{Y^c^nx-4 zg|h)yT0l}E0Nsl{6yrTaeG;)c5d8l`1E}dIu-9_rD|Mi%5a6u720Z;4OgjRLMeiKq zUJcDb0|*Zy>RAl#@(!T?cL5Jd17*avQ{87S*aukJO~N^R1+|VbZCgj_F$Cj0TBtonjV}}74-a$j^hC>v!ZK$X6(p(9`fAwVnv8D)^NC`4XMbk}>B1*m2L5fS zh?EGS_jl!JKO!R9)RTnUj2n$kAE_iFJ|T_yrW}`alBH zbyA122gwslN(%@r6xhN?TmKgZi0df}W&a2v%}7^20Fn!VN>KJYpn7l-o<2e7#|Je; z)1U19eWt*(4n>7HjjYVRBzy2QR~6V54~+WI33Tm6g+5ZoVF_U_Fp2A1$ZuxsLTR0V z5wAlo+Yc5Jy~8eHpFlKUj!OPBSr6T8RlYI7|G%FOUfZ?=1LRhne zseNGF`qn@B@7O;Z&deC`$OmJWdpehj%=2%yo_Id%TwNDeut$tq+Nvq6((tvU@gu6) zbP3C*zNd1Rq9Iu*o_2k4Ug7 zkhe){ZmLk-+uZ(txV?l(C&>AnN|qtRL(Z@Q9-Y37Wj@zWm!0(o}59 z>!V!06K}qVm3b;Lj^1mqYtv1fZmq=*qY5?`kD@If;**qxb@LQY?v z(B6rXLhv<$ft{my$07l?V3l_E90M-NdfoolXiW+*dYbjdbhzhQoOpsn0e{&_xm@hN z34yhp?ESS~{=imVf2xGoEW$n?_lO{Mn3lIW)HEOUi2J`k(CCRZi_8*Hw1yoAuT8`d zeJ%}mgHMl|XixYfW6qzIR_FJ~OoiULY#);v;J+ZUy#>{_g2pbVLRZJdfNi^8 z%rTS^=b3Oss-%?;6priCpd<$nF4icvCEwA=pgZiv_x2K~5f>WNf=QF*O*THkh-le2 z$-6E_>WdJ=BtB7VsoAewe>ou@?N1ir;=u1JpylX653;!HgR8;Mn9Ba$(DtmUwqE6; z8m{lD&qaUcgeIc*!M(M0LgY&A|7G>ZBts208Kp-B;a9Pl?Qj0u|6(h`=cHtN=pAPJ2?|=18A|9Zi2xBiGE@9)OQa@f0c{`NJ_(R zgY6X5W@`tlU=&a$%{^dLSV82}&knmqq;K;7g0JFt%h53(XX(&DpA=Dj%87}Xz>L2T z`z|QHpXUl{YlDkYp2KTl2Z{gi#i*q<=@6&d#vkmYptjvE{wbDHQ14eo+V%%B49OQs zi$H=p6IWBpQ3_2qe$yd-x3TNKffvjk+){_H)x}!`EQ6pgM!@1`n>iU)WRmxv60=+*HdjLup4HO`yE+AqI3b6lS%v=EotbQevZ^}%@Yn*H&4YkY}g)p$t!y7oA zbkOdc5Y=A#Q=BJh(;3ebx!wIH5^)~js6d$B=N6wIO-4Q~xioiCHlUf40!Uj!OPQ6U z92e?;t^FB>XUBMsFyo5mDbfYQ&vU-8lwb~vWUz6Lj89L8D3Q(CIL=QHkGtJaNrqjr zj<&oyl=Ru1uel^8d97|q0sTu^i){DBIDaXaB2?XdvCjB_xz1F&`f>!_bJ2p8#GWjf z2;R740V3zuOFKLPM>U@U*tc6n0Np^a2&2>|a;O@@ky^w2V+b4k5xq)Z49Hu-s9iDA zFnveX#zF=~orogo2w@I0C$jQRLUU= zI`xo)Y_8q0DkK!SqT&k}(F%Zfzj(zp{E~T|eq5scct83;DSaYZf!J~O@8_C64|3q` z1$J88_i^PIUUQ5*_O0X^)(?%`_pRg@I?fVqK^VIE_vdj}!u0%m4;Jt=L-F;(j$%v0 zgm}=IietOfn9(!}AKRLRBYA~Ri7#3bXHrs8Bjv98$@?pHOvF(V4mR5jkfRAc;O+;b z$k;^uSAvfs6azl2Ha+a}6;hDi2fxMX2RKtI9Ixg@{%%rZItg8AkvC}6v+jNMcbik6 zKZ&$8pi<~|2nD+!Oo=p<`oooBH_A^|V4)EhLW`wsMbcds(Wp-obz$~@yMjl4JF2@5 zPL^|cSAu!M;o`Q?Q_x2pn82k7T*_a9Fg(p7tRHZ}XrrCftszMcfEmGlfif4kmWTAf z=8!=AP&3UiRA}Z|l27=nG+1d&N%4om)!u#l^f?E|!n=j);uJ^X_!K8Ct-l_)V5+SO zEjFx=H5ko`b9;lQcb(E-KXC}8*M}a=>m?00mq74E+r-n;HY1m_?O%rFOuI)}KI_5R z_IQmbU#;xdQS-y*M4H(7+vtNx6?V<^f_nBli*TT>Q%{-qGmDUzBxm8G_@9ifuN4Y3 zb1Qy<2R>{)XQ*x`*tr}=Yk$;FWlvu#h|(;42v3?FjBsZTx?o~gk1gZczw`5icE?F7 zA^KdzHG4%p7+if9ER#6K^r$U`&EU!o(AOyng(<4aM_bqfjjOipi=FYKKw{3%mtEL2 z3UlQfIU&^kdDU_pZz-vI@)z$11PNu_8M#?)8nNh&Hyn1i90Ipo>AL}37J7GsRUW%L z1c~i5bzq7toT{EGmnLwL^>>QD7?ediNeNF3oukgsd6OHN9n6+ikVlfLo|?W|5X!g{ zLvuAaaoXOxwG|ZQw-u$|{|?~^v|=$5+nT{gu=mVs2iEGD5_6|U}dOpA}0<+&@s2;P_aG>LzQCgiGveY_AQ#^jPC4V zc~;dEx+V5f(O$c=DDDFr;BD!KqX??2`q+lJ6GI-bwAEr4czeNZ2%CR}n65TsaW|+! zE{xRBGS^@(tGmozGleyS;vBgl?}LgLS5(#EB!gKErqd%>t|(<#xEnWNH#CdbDXVbZOGc_?(p%5u%#R= z54qTXFh&96Cmpz746yqzKsMHW7j^gs$i}+&5J7CU@E4Q2Iz+XPnzYh+wAP}VhFPQBLs@(RWR~y$5pcb9<8XZl6om)luR6$+Q}3Y=MQKv#_-%m>4V?N?}H`| zRBPT!?TczJBu4Dx-AwF*^9L;NUP8t{LDVCAP&($I^f?tz%t_xtjQUIY%z)J9YT<=B z7-0P@6c+aaN+0PrO&}u36w(fLJ^-0Oby5)XFu>7;Dq6=hl)l6=CUv7A5Zz7HpfixF zy&r?swv1AcY6*4t1|ab2r~r@o04d;iSZyG7cx`L@K&IGPeFHdx3m7Jiq+1(nu$D_$ znr1I#TM;^kmh+BqzcFN6H#pTnPd@=Zzfdr?%Z_lZ8+eXJ5SgC?Fvvv? zap41Cwm8K?unTeB#*K~iKZo4-NLc^xP>_g(0B`>Y*mHaVMBE8f%o8w16+PA9M=xO7 zV{){PwIF`Gy1_THG>TyS)!)(eJSK1t8fI%H`)b3?JknN%0j`al-`z(ZaYp_!yN zcW9VItGjg0kYfGl>&HnBtzxS#srJIcZWYOoGYtk$Mi&(r=lvkamL%JDG^}Zg0tPqA z+C+KMT>@d<+@P4^3;0u-lRvEyY*LsZj!CaLn_Bw=X^TsIeX54<%qZceWUFa>Iyiv} zf+XRse3z6bRB}hhfbDY@wX%1?`W+xBKZ)m#R?d%z%jGk+tK7jr?;^Kvz8{5+?woNK^DuS;&HaNKnYKzK6ld(~1~(r%_$Y%0y|` zF|brKh>)D+NA7;2V>W)aVDZy zPSA~Fzm1ASyq^U{5V`}wVAmcBMB;dPz?vE=E0b|k=3i9}{jzg3ifxHf>{4_SaD{Sc1-ROk?d7>GZoCWso2j z$#A3Ph+}ucCh$xOM9^(*^d`}!>%lc7VRJ(3bTdQlTaAkkqSnhOx4(s&q$EoFP!PBa zM0Zq4Il8h2~_^+;egJW^SVx1Up}2d`*&3@;+Jf zR}V2gg=VNse5MhA#CK6{iu+Ghz9w36z9M_Nv5I@U#jKm;N(Sd<&ez`4O+j8| zN!Kou%kaf<*5`ps7- z4m=cjx@_s>`~g%;0ZA6>#^I%jGHi(`Maf1*{?g&jYPDx@m+PfT5I*^1g&=E{E}}pG z)`}wRoQW_8 zKZ9{Tsq!P^_=Up1rm2>B9&Q5;Fvg%GcZKev6cj(+S8~S3c@I#Zob-bkD9~ZM#0Ujh0nPiKS zm-$5cVVZl1*Q;@U%|i(vUFEkQ3)VSca$~ziH5UYe3NF;XY2WKx6*UTW|9@n6e(oNN_wwr6x~K z(OGwpOhc5!O1RiL%FDo%L>yN*hZ{`24^0SXeYlX75>lP2WK$t!^7Ck6eV_XLtmr1_ zlGoh#{Hn52@he87n<_l$T`D_;Zx=KM7{+^M-Ac88+C6w~`jtLw5zy#pp^RLA)SfcO zRk_G+`c-R>D!@;?gEC^55Jh(;5FpejtSGq>@_b^Alq}PfyS4i2gw$dX<|^5!cGR)F zG<_SIkDn@Qrioj+)Mt01R1rQ#xwArwo_SUgtdVIAiJK^Erp6c)+Fw|Al^9Cs{Mps1 zQAQ5!v%i4nTKKATYo)-e+-oUVBk`0FH&fPp@+~pnJqpTU=K7}qU3mBH7(anhw6W+) z_Q5m1`JYw3{ADF8%BQh_3qbedIpFa_k8-4@)*)`$2A?`cpDL5s;?{+vI;s(F1Xk4J z3tfCFZkI>L#C^_7e&yIb8mZlt$c&>S(^?JcNHjtb=Kh{Jn|KhTU5Tw*66`VCcK3#$lDqtv`d&=X zTAv|qw}GINU0xrww(zL)#7SE&5Z|k0r-&TQ+0){G`}w__Xb=yjdHBdO|3-OE+?yM z$j05RVz|pvQH6OAJ+e($-KUqRnwW#D()w8aStdAKF8Z)GF~*ydWn$X;hIKv9sX#L}$*2a{y1)$w7_o9hGMnjJG5Q#UtEMY@J4waY*&H$Lg)g;&^Z#t{zc%a<+D9D3># zz{CnVX^CuJl!GVQQi7`GixHL|e=iJ~qi zRY9C?t9j{v7C~-OKAMZLq9tm?IBS-S=QNeu=_s@Vq1{w zVu6B8lDgVb5{`A)#S9f7Z_r3gqKXvE=Vd&?z^hrp?VF=Y2~H^V;uCNH9d%u0Ck%l) zune#Wj9oZN@2Zrcah|3FYo<0uA`GGdZ>9;F`=dN#dGOdM!dn8}JhEQ)g8e2h%B zVC!or-gN8|jdVDmi{lTta)~2;Mg}mFRzZ~Xl)ztF`C&0J!bR^Mp=Z%qR2>2K;45hb zzcjQcn0q0^s=b*)y(pGMHT|G#Y5o`OJEp2W zBoIYnMjh2)Ye)CZA&MpI`5#$la>5L}sej5LL#tKm>p}mo-1O9y?bL5&q-~&D3|-#z z!Md`_ZqqiNE^yIZrEt~MA{;NT$=R~X41)9BU6E)-$3pNEvIb$B-Wl^Hm|e0>?^3|+ zBCV+S04yyd5W%v6u1?6XP2RLxbNbqE&Nk$mKfpyT>|i|pzc(`AW0EXMok2XXg)~Kc zi9QB6hprySWbgAEQsao+3njha*N-D$QV(R zdy}N~;M6id60M95yfc8DNiF!LPZfG?3kSdUAP;^9qEm~2p{*4x>h6crzYelZ&O%_9 zQ$UTbq^{*bmuhARUXrLz7cMlu7M-giH#(k1Z2?I|Ul)B<71YU2*2o2}axDGTmbSt- z2A$EC9;}(>$Kc)n)9t>VWUcvi33=AoUY7Y5ZGITvYkYf%hk=N-N70L!5$E*{|CF!; z&2RL%R7VW^Hwx}f5#I(A0dJ3j){VY;IMq@4?##tc+>{%tp%ogswM?;qfDlGAA|>}6jX64f?8B;|201Y5qN;# z;^Hv#&9-mIOZ6?oQNjlg7upHhm{?67ICIq>ax!0%xk2i1^c$YNQQ%++&-|Z82nm6Z zt8aD^Z@zMPSvTrnUN&i4ajXzcPpxK{<35OnvXYeJB&dU|mDlm)#c-y4Ny{@|1UNi?v?@N3vz^{pB=I3CohJ#+{&~C>e`=*!)~ezAb9?}bgKP(s9Wx0lO}d}ePQzW zMxnePUmyb^66;^Ej+Ju;lm^3G^!{v+x_pb@yHC<`T-i8AXkroR=gM$qlSh^9im^cl zQhM!sJp09}t0u%eL0Z1b@NtcMPP>3M9Wgi-1HBCoU_&H zG7PwOj@)32Aa>tFT03uClD&Mcsw|O}1KkX|&yvDVO|M9fX8KT5{=eb8w4u#~#v*Cb z-Y-!A%J8*QYiIo$WFu9<8j%%+{7faAN)51_M353~Bg##hzpP0d?r0!blfZb|!<@fd zmln<}kk45VkGNY@dx#HrtPvy1-F>D)ILsjs`sbGTAhK&uw5>VD7P2+nzDcO&Yzo~} z7CSjV_ltU_iHXuNI`@LrEZ(0_FjN;5;b+#PrBK_)qEAZs7f+wh&vhkc>gS2Zouk#V zhB+nIG4$>aeGSf_-#@*`ng58LaDuRJbH{bsw($5&3Kb+pw|`>S_SlJY~1 z|8EvATM)>6($CH9BS&c{oR%>vidg+^!z*Zc~B9wmQ#=c5)n7olu|ghCajm0QaPq4 ztk=cM0u?P&vd@Dy*E8X&N6VI$Zr}*=m2Ao}Ffu@sVP3;u>S#g7S`z#TWLUZy0w;ZS z@_9*u-fpcvKv<%u@Dn@lT(9G{+&gq_94wPlYkzPpY*V}xm8VJ*`Q*#a?s=JytRZFd zZxoIaU<`+SA6DGqcnT~CsiK#SvQ%{`!dH!{srFR# zp$1>2R{G^-EVBfftB@`e%1CauurA}u3LPW1{OS3t+2CKQRxu-Uu})3^XhcytIDue6`*hkLs+Z3 zl5b(Z1Uvqj7?b=qREPnd5;#p5^ePv-erlx*oPv-UTqy&1r^Bde9UY|@k`&u${r^nl z^+BkJG!yv{d)l@hoQ2;A7zWhCO~%O!3Xh}uKRBXTmrFK^{A>^3FP&)sPoDRl%O;MHXdSGuEb|}BWcsZ<)ljF z*RlBqT1u4l>q-vgW$4-mWqV2VL8SS4tN0?c=l)B ze{dF5%d~)7Ci2;gMXQ>zC&hBo+aQJ)ILI6@O`#V-IEQPtj^Wrm{UE;gD-wDAT%Dk& zLD%MAL$h*>F~E6n;oRCe|8BsnGPXK!30zoBUp*)t0l?6aanKAdoNfQ&T>=O^dxh^( z2OMi*YXOJA`vCe$E}3qMSqd&Ur6&2aTh&Y+7Zvys)(Uor#;PNqtxj2$mSUb8-YM); zZ9ntifxoAZVk0bMVE;Hk>q5^*_@ZvBJGCi>9`0rbBFG^STXb*U$*J#_z1bF zK(e{~zg|&6WbE21$4p3d)_E^ofbn12CUz{A>fra85}EX_qYuOo{R{M_45a#1@uO(> zGy%BEogS|DK|+wMBvyNg465Naij36$Dh-f2z(}k?fpIhwM0@epfL$;(jbm>*F0s}? zIV@JT(E(%2uCwU;Et!5;d$pd;Vc^BCZnC=AU}mYJV4%US6kuoQ2nx)ZN(e7XJgDyygL)bjoYZv)och)zWm4>eY8H^7jd%-1eUH$ zCGqWT%s%`Vaf08vrk=S;)i$K<0_JBr-ROf0gqXRvy9YRVCk4miD0Rd29U-LI`KKK? znr_PC%1aVp)sw|Q=>%g_n6Q%8(q*XnwQ;%R?38eDOpe%qf{CUk(`<*nKcO&dSgZsW`kkHdkuyR#b2m}6$j4@cTWsvRpchLGyt^u^=6 zzS_0svpe4SJrB%OBjYCHe4W;N%1g>m0KPLi1%@a4ej^6(x_d?djoij_fVGZ`QV0j4z*f=e64zDrO|N3#_k5sm z1DQ+f$I1Ui!~0gml+Q@c66P45lb(ivxrQ7u>WdR%DRJclfJup$B9)GoU_EP6O{I96 zLiMc4ut9Ar2RXRT%X`VuUOy<}otPCd)b_3LTJgSTF>+%Rl^HT~%2J_d#$zf4S^a?s2&AolSw!-+^9L)uA-`7PD-e>O+^|*Am zE^m4*_QPCF8h*90=}5v5Jsv@zJWng`?z~U!#FjtC3Ox7i5POd9TF)3Sd8*qyZ7ngs zCw@MU_4F$5;20=KHb1U<5SJX+aeh3gfX9ED*IA=GPPXBJy?Iy8QTyUlE{#)rJ;I0+ z^o$G*}EcH?6$gqXdCjP8iv+Ure99Wyankb_lZK!drl*wI^X`97;@ zPuky}KSfo?ybuY5{40O_z2fL)*q<+{=7sfljyUvi#>d^XN6}S=Ke5<-Ztj)%7yVLZ zmO&ZsS!t`JW<&y!oL!NFVe5`Rr*~8IFNWfVxcuLo(ipvR0hc#>PClRVOUDdZVga4{ zZa5!PT_+{OcZPd6$%{->cW4kzV zgH^0|nz#)EA@cipW6|CNEA6=~HZMC9@CjO}(x1t^Gi%j_x`P8g&;=WOh}k~&-l^_9 zV18e1D+T!3Mx07n{Z7DbeJbC2#Nm@}CfvB$*fxDq78u38^Yk1NxP+#t(mrDH4aPOrqpfAfv;l|Dyv*o<3c({CyxI*)0f%%qdv_4-E zhmK#(P+aicbw}V)+4TCTn+i1;9`T&ZdTgboF8=ob%*dDRc_`f8aI8kBanNmt(`a^n z!s%YG$8PW2%N5i2e@CV#Cs#%<-oVfM0J~QJcCC!r5OOjH|Z&bMtN1rgM&p4DB%GCFUD8&<12}%*~Ev6qs?LDds8wO08;!|io z{89(WtADGlySRO{4$aP&=`P^@Icq&m=**fufO8SYdx&X~@%~2cfg#E7B<6}#X}I1U zFGOPt>%?@pf@xvJsr%ID{fFA%^Pk%AJ4-P?VqLRPjX1L1eOceIyy3Z6;?AcEUcdV) zNusv0UW$Y~JmWFlEkvcY}aScrf}u zKi{L^)!jn9tY;ulwA3JMhpB71H5m#MI$&+Z9%iM~kct!1@Z$Mb7M8!??3S@(>^}8( zFMbVHUej|%o?D}L)l^bv^5-M5wt7&&21sZ=E?}#hz30K*8_e~;`#E?sGlX}1gPDQN z!j$SngB)*g5yq zz4&<_M2ll1q!TyHRBS_UQG9lq3?h&Z8imP1+`YVSY5KSvlRHN{Nfq~zG@ZHoGw%0cb^gt)> z=TKAE)eTD$(q8d6Rq%22f&|9=QCWBc)f>@@Ir}$G&$RVh_{C#;#8Am3A?}CjFOMMQ z4f*1Uh|79*tzQNO4K!-~2Kv4hg^+K>^J~3pq0C^Zg~X5jyH?T?nls+}dHDHOR>|k8&HLW-CAl}Q&G$ZN z*g=^kbfNvBo{sd}(1#jp)e7#$=M#J9Zm+>KfY&|P>LcGv^A*Jwc|$VxmDu*ovnApA z%&=kQFQ@9)6IxTuaE2un)HAOQCCj4}#j^Tl7G_vqd~AAfhgDhB>6I@Cki%HAZrfvOIMe45_}!4OX_EV)~B zIDdmO+qx9A7|_PLCH6_sfSXz~!_vG#N$KQOa(RYPRJfH=)7Vc)i{4LYfp>)d>pT~X z6D~B@7$387R1kuq9uN}n4k}H&kCFwww4?qdq$~#ki3tDzU;z>dy_#H-g&hLV001*+ zLjavswsJJLwX!#7^t1=S3qSN~+j?rUa7&1O2mLIJnVJ9Jv$Gj9|K#w1k*lu5C8V#x z(W-YI_%e;!G$g;^Ig<6Pd_0@OGH5GrZnt4*C{bsfs%Ou#w*7`d@xX@EeXzjYYdUvB zj=*eUxi}M4uGld6Pjsj%bd515Pe%K|xHqt?qu%6@X)_*DYdO(~a&5q!>al5q@2!L% zR-&QWJ5h#A9WCUhE{zYcC#(J_E<2G&>;9Uo82s38SLuF(4Pi@6|bI0Ce2C!_F<~|fZWIPUy^&rpLDqbm(0u`V10kJ zj#^&~H_PiJaYt!*xwQin%-Azm$ITCPoTaubrNc^?1Llz0CaJWDKO>%%9Z>K%|t+WwmNt`*~gDA+A3 z$j2$F(RPu&;#+(hBsILJb3RC2AKX^Os+T2xx`pYiKIL|kZfU;5cVe!r|E)z>=o5B_ zt64rZ@)y9`G5OVe!;2*VkpzC+5vE$Ib)1+uG-cs`^;*1ZU#ECRo_*&$vdiXtto-m0 zty|0j3hjI`&D0I`7M#XzC=w1#-lc>sFl(h7#T&nVsXtS;hs4IuP}6BUzgc!C&8R}s zi3*KerqC03f%a76;3gnXex~73U>8mN6)sS6E709PnfVjDT%@64pM{*y)eyEkIzP_1 zZNTEH3TtAA$1&JQw~d|krE0;T&3s%PtJciyOsTlzRk_pGCN8`rHVP8m$L)ReHGSHh zeURmkNm>WOmoNKP1i{)&`KD4uQJ`%ov;>4rupm88F!7-RcomHT_lC7h_)v44p7Yb! zWU{!>#q z9atw4C4rA)u=uxAfgJ5U0C`W1{{AlwjQ2r^g>#9fnZUoU(kp`k;h!%k`!sz1hqx8} zg-$i_lrgB8%z{M^&vF=YpB7&B`A>y;!F1n^VEQ?Za3dw3lX<-2dt8^lMRI)Z&#PDz z)#*^C7-nA(xax91+ zfK^)?b;F}Adcu3WU_7ku_?;;3UMEHNn*beHA`nOwRU*~cX*+jlzT8QZ5gvP_Rwv_S zrvirj&(PG2b1$;F^VADsOlp`M^GBGm=rzV?b-*4<;94o)3!LIif7_WVuJ#*EJ~8Ru zg(_B%hTxQ7KpwV`B~pb;M+@CR6xQuQ&qqmHTFDy5WKVMKdKfVEoj2u2oA}um@~%tX ztYhPqxw5mYaAJ5{DoX(6XBx_KmHN4AAEkjcsCHEPxSf_%S+8JV9!)W0*@~O4w{(_Z zrNkvRC7zgeOJwUnaH+Bt-g|#x+N<*V^GDH*a`E+Fe^rSqS1e}811+g8rJ7``$nxuJ z@`5LQ#a5im?TE6zcstRDia`CDRx;^h(mH~A=Sv9~+Oa#K(Xu`an=Sc8jnIwKL6%WSLgt^4hMhyR zT(x?E5vb0;MA4pLM~$YK<@gwq{$R;@AHiD?(mC|Gpnc+HM?<#P%h9u~=s4>CXwg^( z&Q2l4on}uG2q$2fe$7+ONijK2C0G~PwybiTBcQOGeg+u3VzdxOdj+@T1g4|tK3opY zv{IWbLWT?R{dVFs6J01Sb$>WFH0q?Pbbd@6-L=Ur>Cd~g?|>3sHHP~)qG3VkxJS%m z9VKWIo<)E}9yf~V9&G!h(F74LzuG`at67$(OYUZM0H?B!OgCN2DVm;xk(@SBw&a#5 zFnkgZy*JH2`_g7PD7U3W-(63)TvK7p40|8`#D@V-)$FCsiN@lDQc-nesXO~69HOD| zfY&2EFWh|e-PSV*aVp#wuHq}w;c=y88y4kaHe8_Sbi3+1>##IDx5lb4i+C0s?qf|N z5$!|lbXivXya!DSGsJeQ{)e8Y?Z~Y{D(|Fu9Zh!`#Q~>m6EhKvQQVqu(h6ZnzB|+F z&ZZ7h>+J};`-ED$gc^=`uX#R_oMqCuEp|iZ@;Suv8!Lo<|1YJ0q)HEqY^ zJ5}mGN>WIREK#v6U)S+y7kLmo%9B1tfa^O1x@t$dhmUiu00g2P!A|GQ$n^>E!(rXW z9-Rbw4PDhAms*pIeZh715Rwxgo5@eC0K1rkbOTW(mh=2=2Ra57001J6mCx<)?mBH`;)MRy;)uz~UD8>UeIP!+CEEL_Q=>Al zQ(VGK?*_SM@<+oMk;8Y|5rOi6xim^^YCb5|i8s1papt!t1o~@F;W11FFeNidZgnch zVowND`EakA+@OH!O^co5L6+N)>uGgmaV#JBCdFMOxrNSu)^#Av!B33yd@J>cykp|7ax9%Qz^ z=6LHSN;s9noG@%Z&-N42(!3pZ)&!TwEjdufzURw9v{({mHa*+*!66wny1lA({JnMb z1W4R$P~3VHiri`Ca3EVe9h^Zg&V8KUN!l-|co4|JiL}>~4Mjn+`r{NY3d-$#FG&0n zYe?VOn}qUdd39M^74@@iwJlPU&{l!rkpbFT;IbfYY(}flqld8MTO5Wefw?J$Bbq%5 z_jkurOtwD(;R`7?gE{-3ltA%%Q=JkzQcP9|0S)Kpu%!CH(ySOC8snSd^nEt-2??pP zK%%+}cTcHP0(STm8yuGd7Xi2}^GhpysTI>tsqa;tith~4w`AtX3YVlm)ib4uE}xnT z)W@9Vs;8Z5`7O_#5+y~?uSFnW86`BfW|2!7XjEM=o#Xn6915F#=J^=9IW z(UW8~4S_iV^k;t^0hZ3V?%{*X@!P)AoAkhBKxo~+EFa-r#}mo|kGXoZBV z+RM&|nwEYeXiWQ25FI2y?vw1)s@ODd`k}OD@B6@({TRzC_|x-9^G&*>S^Dj?Mj8FZ-`GA=-0*!i*0=NDRer-yrwWA`jJ4?gOgqqjC zKT9U}_3W#xC&x)QBNv8%=2+`RNRD}@mHpXl{y8u$s@CoX6G-P8c;KYF{Sv;xghbMF zDU-`kVe9%k@}=fSl@vnVn_A-$o|u!w%5*BMZ;W0^x;t`xPt-UYvKweg*SX2Z-W5h~}$d5_VESP53&PssCq`dj1r zq0EkAKfs2morxjE9c{qC)c$Z%C4Z$dA^wD8J!HHn7xJGC8aI#pe#*1rU~oX!@znr6 zY|~nKf2R-kc3qScON^lguX33+9ObC^ck0TQvh=OmceQU6$~l>GMN#eM;Sj-1kugd~ zcsL7q^iOPNnC{PS~1y5QigNql0pcq|cJnUF82=KzyvFq?Q@ooSRCvPVcs;}m0| zg);k8rsJ&NlJ%vb76t?7SO-RGXZ%zYmnkl}G#I&>4 z*ih=lr3ph)Tc!+?0d5GXq%fkyd+hEE$4XViwdg8eyQ1Kpw&P+D_|pe*K}V>05j3(1 zTCf^I@1%Dup9^}QuMRD&i=^!vT%qIGA8E2>$oF8-?ZW#C1lgO_y(6M`e zDXd8}6KSi<^oElAOLTek0E<$wsFo!nLEFx4`#rEUC5#ClM-LMmE8wOm-oE-a;0`&t z+j3?{A3K|d00ICSs>y~xD_FkKzGX-WB-Rd`!7qYOE5+PTT9x6W)-K|+@vTqut}I9_ z5zAwdOo6gEH0K`*(@hZ;&Igl--wP?}yBn786;GB~?C&?rskO^GZx8avMa@eqn|&A? zk9h1Kek?7v84n?U;>lQ3({21P$_htO4EzsaK%T#&U4T4cj(1dp1nFI=o~6&k>&~!n zP`p49)Ss}$$lI#5eouGDyj2&UJxQfYmaF{1Y3{JDxyW{qyESYB#bnNJmG0W(r>vqu z^y{z56H$Od*xXC6Zxx_lp5ClDej=q4jLWuF4;v&ExcMIwxqRI~Bmf#~dxNxB&!`;`7Rm~9_J4?5HhAiF}=LtmBQcN~ln?$%7^ zYn;w_v|zMt7uFbf1w$r5yM-Kkfd;+}WlTTU|B6UhQ1TGK^{`2qiflL#d$1YHJ~o0K zxmEwPRPWN8WPl%eMi8oQzvF=?WYEq<*8&1ETc-Z@f#@6!WV-PLh7wn~G89Gak z^*dl0ccMlbThw^b57Sm~(_>(|#fx@RG`jHN!MN-f3Ls@M z608{Qh?K&_=)`Dt3>N24Jx24+UP4VjHMl9dsoVSQqYS0y_pDGYhO%G zCkw8`P(KhsODki|VV=#Pd6@zopgmoIA$T;{(!6~#ZQis%i8~WuM3&EUqCmAOak?I9 z>*0+IGXaCZcOzZAu$*{K6c9Pn-o?%dt%%3(!nnA2d+R>??T@lIbC&~5ZxRk&2%G@f zTH7z)8duS5xKJ`M#9;|J2A32yT#nYtv+p^?rRpe(;N<2L@L%`iJb_u8f;W$T>b4=W z81;=S7F|?EzBo}Chr39P4nJjf|1UTLRH+Ae(FTT<^#H3xJa2F5K{3cz|Lkd7{puJ}-VFU}<2cXl$wVuN}F z^Mc-zF+ra-0|_4?YjG4{oSQD3^nkJ3;V2mmmBp9$Z8DWHrKjp`!;=d86rm;6tyN>q z8$366jf0Vk*@#aayuneG35{5sLypQx8F6 z+IH2u^}&k5)?)c_%=;s88+3dCWm$11Z3~fEb#Jd7!!6I(H&0$yOqK-dH2Mh9r*z%k z!2%iqHFTqRS_P+}hi3WHNP$j0UxuT=O^B6KBi;Kaz#CDy(OGz~JBlp4Kj7ozII8 zAvr9!*6k%3JPrxovk$GmIRn@sC*sPm65f}OhRSbxlX~z9W zP=NY;GL8bD#O+@k>60{m*enXdh%8M>!fl7CUPTk%S&#e; zvuMqP(VV79zf{qvi-@f1o>Z_$*1id-7Y)Q#$ri2xu>;^#GRe1!K-T77$lc6heIO%I zPHuwgSWSzn`L_46WVSiFK|!m2m|N-f9E(3RF=Y)612_d;#~A@uK60%i(Y`8Gb$}fT zv&op6Yg~e?*<#;BDpl+2%u=@{}fIxbR$Z>70pT`0k740&Ov4n|Vhkz!RLtCdx618Ep)E4P)ZaTDycYyP9Rt#oX3 zk#J*)<1p4ilUC~^A0+E+OMRG4bBPmvhXhUkb3y5YCOeT*0dduKc3598pR_?_qKpys zi_b-!@Pm{s3*icZ_zK>K*r$tl_{AFN=2xIZ^U7I9%^6DQetz$>O;%L|<-sg*>BP%3 zNdu*joZP8)Z~7t?F10)%cfpOE{NB@xxE@C@S~d2hy7lhndq_@4@|p1ekpaWZf-JQ8 z>d!5r1!Fnk&tCgBXFCDx0RrBkjq8IpwfGMPg0@%qU2d*Nax}Th|oN3~V!VLkN zgH-~Oe|SN$CIXKtVri9sqFoc>jV<$4y*`If^G}!bTuy>46DzCJTCoFb8+BW{-#%g- z%yI*pXG{ahgC;i#ll*a3NVdKigkT0K&ybDhntSvcZn^i-!cV8Yti;bHakd1HQU>x| zgOQofPzh=#X`9iLqD<1|{-k~7Fr{2D^SCm)*-80a4Sk!RU%WT;_Rk?M>i(h zrCK^biNc!%RzRbv#y~JITqSu@%=93HF4eDvlVH1V^g+9kY9?`-Wg#p=HI?>jtH24h z97arGT31rY*Y z(s*w*zNEeEk}gZ~fc6`;=mu|B`5NWYV%P7Wyb66_9|xzJz_6HK%@<7b$X%X-q?;aV z;*ZyE6!2(UN1sZNg=?eV?D)UnkKGOW{J8yTK1rKs#v3U<|+EV|q%H)0Ma8z9FzWuS_#EiFN9kPM+zt_EJ;2}ueOQwrLLlK5YqCIM7&n)Vw?l} zr*Q*p!(fW$(tMRE_4E>4DG+kc+I{@ZrIn);y#SXcMfbtOiZsJROv6m^h`;sJJ3Z&Z z5yhYq+M#U|32~4hkj`83d1SqsU4>bY6GkvNH~&VZfkdXGK+~1>x+XT2S0rTN>I_ClZwWp6v1UxK<$$eKZMVDE zKzpuxFa5XG2fhyp+{S+{uSPi_`IiA^iER;nbzBU{2(6j>1lADaqAZ*vyS=fV z3Wl8s?ijw^{%LK_;)FbOE;vZ+CUydi%3D#jOZ?*4KUAHS8$3K236UYkr^@YIjNSI3 zT4$auwdB%2vSU(6I}DkO@yRP7tP++7`d6~4$I43#VuU=y4U%lEu_it_G_=QtLT3y| z62m97`~PxoH?=ogOyB$iNvH~uDY-6LMMGZ>dW7~uZ`><9v~+~a-d)L%&AiaE>NEUX zC^eg1p!#pDAh#o{Ip|c$s3wR|!HKC*7Bxw#a|7N4&p<6s92tqUeWk+8irCG-h4IIP zSi35ELaEOh<#?@H;k3wSuT>48r|CGs14}b71;s}DUTDNty@(*;`g}D-w6b zQAyzpt4dUxpK3*nL~=G7a6iEe_LMKU+FA-DL(7;kgpbsNt8@XrSJVK*EL zs;H%jU@JkBJsd08k-J(}@5`L|0&r|(v+Q1l{nqwzLewS`C%i@TdJ@MghEklNjRe9$ zMi=W3et4b(r#9%@9?mE7An0&B+6ki<5Wtyw>tR-VZVxvmF4(nH2I(6;5mYMI-Jy}+ zq=}gG*-S|{0Ph3VIp5a1jx7bWzTs=wb8-T3YC>QU!n4G zuv(8+E5jO7j$sWX#+_RUE{;L(PNDf%KFxG_C^^XE_=NN+PaKVzyT?E1GI8Xwf2(J9 z@N9`hiSG7#bB z!{%j4A=PWFd4p?V??WR85Dr6u!Vr_4>B!#9ncDgXa2h%EW3ipWN4_nGu~W zWY7$k;G+>W6AmF}u2%j$ys@kNtqJ#rjbTB0KG`*-SPb9F&H!C{P^OI)>7tXD!eAJc zW->tBwL8j7qaf@#6hr9kao({gN&X$iP^TyiG&n5SeXw0Iojr1i#2|oj>OG|oK-)dEaUmtQ5#g9A{*DE47OK7H1ODemOiB;9P79c^NWWIqE(|+_C*ryMg<2c5Wfe1I1sBzKG5xpD@~_LmS}{d zBaGwY3wXgL#Q+egTr>EBAo~nCFSQ`$Rzx@jCYFi4ZWNFrH9Y$FN{rHcnj$VD{|3s% z>tUXxH{+;##pHR~Lu9fKK@!*@>AxL&-3yaLEX#+T@Vkf4({ zhb_qh_E}_V;3&#@HsHg8it?sq3tQLXBF2xDR;J(xBkY4=+*Z3@kUh2ics|rx7qMo4 zsg#m-9DGRq+j;9BUnt9v(1A!QZ<7MO7YNg!(ha))wAM)R%cEf=4me~y&PIlMu-V!q z|E|^hl)w71nGb}p58jGa-=~S^OfZiC3PPuKcxtFAlbyixZ;YFh$iHsaJ#3CWT2-?g z7!c&L6$w zunj|GIIi7u!#=B-8hiNXw%E@7K7h5(1e=Z&*6zjXTc1!Wqh@cM7ktY~#OhSW>n4`v z6)N{bYAWuDUQNBu$fUupjLnO&|R{(v>Ay&7s6e!BOEn_ISX`trz1y?zZF5txJ` z|3Dn~denl?GM_~{*zKC7lbVcR_~~eC%w|K8Tldq!zjY2Hpwj`HGjX-Vdj~Cn!d0#* zGjF8&gFv?+02?&d*Haa4V`E|eo|}xmIu=>sWK#G)CkJ_|(cq6yJe4XW-F+P4CdRR} zIK)z&eIxk%lTFv9Ldvath`6h466ho9uC-#T%{H?g5}5zvL#>@WbAP*%QCbSz@H+mT zgB+*2oXK{#oXW&GE=wx$ZDe|HozO1jc=Aq_Q>zemClW%OBga-X`OP&m^Z%v6lX#67 z;_jl@UKX+gD9+l9tg!q2{ma9!ubjEj;`Q=Yz#FJ*nPkn@z%v{64^w7cS={jLy?kU7 zGXGy~H9}>(8_SMDOhT8YiEphCJ!T3VDIiT)3fqRKAAT%;Du=u5D#2{TO%( z>XKZC;F(6Cyl>X{Iy#ZzAn)f`@U2kiMGLy-ej;lBq68hM&Y;O?Vo;@&$?(RRf>-^s z==L*dGcW-*A#}F*F{C2`O!nDTZwbbF7Uf+MVXT7ZWPZcB@+AY;?IEXW`H$+qBvD-d{mCZ8bg3HN5KpHe8|9c&@!CK zT(j$aE zHaSza!pIQ5Vds<~v{?fni6Sylc0&!Vg3hucl85rXP#53fBVZfhI*_YS24d5X5}Pl^ zgC=|NKD1gR)U+93jcd}HXlzJKSg;q0-25(+MjVmB*x4}n*Xobpg?v#gRzK&K==>xw zkSB38JYF(4L2Uaj3o=Z72Z>$@NIh~M?H`h7HGoVavb%4QAdEfG3#bZ_KmLd!)G%7P zs+t3#HZrh=Mdd*310B4SY4z+~vfe+d zvO~ujJTk2Ok2@!%$W8{Aq9GW5$$93pN5z^%+F<hxqoQi1OuBR<&pn&l}no? z;8&YVAF(`Dpw2>}sfjO=bDHgE%MI;kg}Xo(65Y?*5^QZ-WSMMz)eD(B>}3Si1#)5p zR^Cb(fgXz0Uh>8;xf-xFO+u3wxLBC~_J-M!Z&Dp2Q=Hjz79Li|*Kb$t>%`I`CmoXua+fj{qEJuNl!Le>)BEk#J`nFL{%x(;^PycbtQZ{J5jWeV%{Q16xW<%!ANrM zYDl#K+5S*a@o={BcG!6_Pjl}_1?eIo7ndISa-*j&#jV{!F;13iuqQIOM1n}<({wjT zz+0vxr*A%i*xkX6Mb*IU{>=({p5sUh6+=GB)7si0r1*tMNro6l4rK(Sc^pk2nowt4 zn&Z>nX4w6W@|cQvjrK|q;h5HvXA*e;qx<*1)=#ZO&OW9T`Dte-8R1+X;3QzGcQ-muI7ZZyVSfx`6t*EX=PevqfAsg1Vo4Y3i|rJ`SOZrE@G#> z+6@A2K2MknU<({1Q#8@d)>d?mkLI6NmSY=??)mA%2B|=~Rn2pOk!67T(=IoQ1OiD^ zi*`}-G&f~>7DfJgIOO?03nb&44{F%F3MRi?szz_#heuXRwi_hpOZMLpHZ&7B-~sD@ zY)X1kr{p-!7SK9m&E`kT*eEJr9-NS5IqBtggdSX`Hf%`k7LdRNXCUpjj#pL}FmVXf z%)P9gm7m_5h$+d`^vKg_%?9^TpT}7iX&D!-OYn7GE_SZVu)^D*+<7*aB}k36cF+%6 z*@8(dW$CsC1^=PYTze6*^oF(r1?Yk&1td1(%cO6R_JA2=S0Y!1uHj2DvfsdX?k$h( zWy)**+#OEml{RU=&N5Wk^$3)6#_|s<=<|DN7SI^!??1LR+wP1o1!8BrZo3F=W(5Iy z+|VGyT90s59$M8?Z0)?Z2ks^K8V}Jt*~FCSx2`b~_#}A*Ff|nHZ`{yu$&H2(QuOk! z4B-kFY}75VXG>VEe%LGgPB}y>)gh#(e}_6X5P6w=zp&f;@?v1IBNfe z!ww+|tl)C8%N+-~u`C}}?eCHfxUU?OIJ;qiOnI~ozW*f-SluF7=D)=I2TRLsGWf>E zS#+0uq@q^K24#|ucrH@yd#c_jMVvhy%Me{G+HokesD8|8g|wLd@J;6*n6-zq2^emV zpL&aQejRbF_^Sj%z4D9Wgct^_JBM@=_EgC|zlEf@5=ym}lI}hj}N=Xq=+GcJ= z=&BN0z#T&~-`1WsUGCKVEFO6moY9uP)?tTi_OnErcTuK$M18wlFQKAi=Qx@iN_eKX zO{HdFePAs2*D4h;pq|LN{%i0(^_0<*+#FN6yWwx}#CcogpE9)?0)lCtUSk6Ls7K z&-2!rQJTugt6+qK1F6)qA3=N@Rc5Z*8B%;^|3ag}agJgI3xhk})*`(Dk4^INOi--R z;t053RG_+>uhch<6{2e~Q7$QmT{_QoQK8I;Im#W@Qiaor9pWa9N^QT0lorf*MHvwG{{${1lG_cFs>0}}`(rdjZfLS+^R>?Cmw-zz*Lmeq^$ z4%l_|8MCD`4qmM9uC7b|J+Rr-V+9}hLy^W4YS(Z^_8Cm2aBJBMi4%?&h1O%KHZs9G zkt|Ngq?qX?zqxjY6G?NP3(Nu;dx_2}yR4T{zCKPz0_}U2_*Z?xu()UG$WDzn38v14hiGM-!J5@Y)Xav$Gg%scYiu6H;BC9qvMdMoXU6xN2DQgJwa{e2wpx_FP@sY zmv7TIZ~6WdDopNay%~pOQHUMH2^~Z@Fn0_%Mu$CK%Lj}4IHhcC2p%E>0`2h~+@#p7 znx)O9dtHAPD>mr~2jnZlj7WWjs4=YcTqiO zSwTbInMg~g;~8OIR3YwaWkGrnW;M+ccvo10{8y~d9d!emo#_j8I>rklNI~P_VY?g` zh{=EkoTRp&o)uoB_7C3BnW^y8aiQ8t)@w)xuDVIF@`oTbUK(jTvEoNECX=<3HiyXAt8i~K)R5|Rl$V~pEkJ)=5?ISo6I-Yng$fNua^$&a)ifMz z=JO14ejXkmk>`}l(G{CT@3!Zgsmc}&V!}uN%OO;NhMBd5k%`T%6WG%Cmq=xWuzsm#$x_wqLpVRIg-w`kqa7#Vgh#A)ag>Dl-QNl4x%i2{2d``~iwP^$CYys_pp z@BBFq>_{|l(nZ<4>iE7O`?P+jxhy(RaL?-oX2&la3^k;q1GnN^sRyRouZHz9GlywI zVY|s8G(nDWLSs2Cn{HMTFEfdMZUoE_@DyDg2q))*n+<9qEwu-9c*S^L6Ph_ZS{}ID zOqp3pT6-3UopYwT6|#41@Hwz(drGFT$OTG|9DBblBu?P@X6)%Y6&b+|R8cYcwEdrn z1LPN7m&xs*hC|=qceyZ^_!~I54k!r2RqRow>T1=et0?;+F5S1o-?<_lX!F4U&~~N( zq=(QbUTVqv+}3IlzoGEGp;k&O+kUn)O+WT^2hS)>6D&5}CXR*nqC48M2n_ozXa_n( zvp2DUeZlH9SUYL4eY(O@7RXgiJKM2X@{cm(NSg=Hc6aUX2GK^u=Eo*K=~nE{6lNq= ze~mJ)LX(G098pyW&GL6$g80^nsN;>Iw}OP}t?QWRcTxf+E8juHCT^XZOkX-2EYRYqdlc06H^`07>0dht?AG3q(HM4Fm*+TPM_dZWw~sSDxr z*g8wv83IL5G01+U*GAKuDphS!Vv`<_4Al$yAK9G}le}S7 zS>4QbbyQOgMuK#`0$;9i-`FN}OF|Oi#aP{utL^s;ZuvNqP;IIZ#0#Gow?s_;Pf+qc z%n!yLq8Bkd;*t)E2ADJRte9F37eXp7v5_qCTm3=Eh{(A9F+1}7xrA5gC&(iHS;OH| zf2^_VR!NpxX6Wq67D{;rdPVk)#uWcOb)YGYx72)W+Vzy>q=Qu`>2m~e#t~HIc5a`P zlzZ?%iQqy$ZI$N9Z@z1VCTdsp7R|iRD*PN6v+WQ`Fzjb-qR}8S(g)d68QiQx0eQ?w zaKSpBMx)JFnRAOsZ*Wm2q7EesY-b-3-Tk3_#OnsbE*;@GkX8a2Ew8V3^W$}v7*IoRF zJ|-yEmoF*Q3lL8YCszkiQt*9&!@uwc8<|_i8a>0MxUIovOB*&SJrP?Z67ogd~}m z7exiO(49onA2YxIGw3mJKP`E5p||d&JpyEsBy>Dzc~JdA9_sH&Ljse3`VH&mdeGAs zNammu_nuiXc!bG9=M(8Z2bVN+qR*IGXg~>>tP@Ea3LPPo$8P|`ZbWC|8m>@@KF9^I zBhEF*cx4x)5%tq@Ag3Ch^2Zp#U&fYQtX;7aY-}Gw4$5bP=SCM1=J$ajK#vd781kG| zNQO-=^V;#z*`XqK)d0yAh))a2lC9V|jG25jjf3)UN~?o-S0sIarxa4Y2^pq}qbeIU zB2!9HlAcRLt$oc`G#a;IfYzXEcVGTxAk1$tU9gofR85=npmx9AnG|qExg9S~V{J1k z^4oPjAo9OShn#XYI0fLF#lC>nbgkB-Z)C^qj3O}J>R$o5=`J6bJhJHksZgKQ@~_gQ z{#quemhoNM*3ikO^|RqJC5a)YB3>R2MjMRydP#OKWVnf@ygX*-4lUq|`+ZxHuF!h# z()6cPMG>_I+U;puI<0gXD`>he<_^|Ng9+Z-&1vw=qPZ`3ah8X{wK|6=Q{Fk&u8|xK zdDqg-X<63RtA-%-t;@tZ?_zHRECe_CsX0m)r6jiRT)!TV=xhX$4|akI-eCwm2UrwU zZ5tR7O$@p+NoFS`^4$NWQH*C|j$hjkr$fvgmFkL*t6s1G45AL52MnZ3Zd|Mf{?WLLL zt$4n2+1%n%iGS<@#a?R&Ju#m;5|eN$$Pk5g9kaF&OC5668o~sj4f?c_(5N`5DNL*g z%-(HDjLsHF3GW)oCOR)(ceuEmcG^ZqO8l0RyLWG7t&f8;a!taStC}&=D~n@v%CLGr zeR-!N%3W9T4^MJq2Hw+cj%H_WHaKf7&@$+1w(88fgM(&gwpi6(?b3dS-|~-7pNsH9 zV{+L1j#|64lHDxkA){0jhCTpfz7`4?lq#Fgc59i7um-+)2a3eGrgL~4^vJR8mQ&Vm z8B?>H@tP6~5ts%#r|xZl{T|+2k^}FJ1%aH8%g2?{mj(4zh$5tLh zmDT7ud13i!@-m^e7}vwSo1OsUji`~}xDRZ4qTWwnoBvDS_ZdN2j5p)KN29s!gk#yO zON%eT|CPx>;>AX99_v?Y(CM(o}US#vhw3@1Yl zwBeV(q!BqQ=L*mO4w8MkJToI{*r~1*3UkAV&rv>#LJp@6!atpiY4D4= z2%c5k~9(`xMR07vzuBz2kH9Tlrh7{OcqUhT>xj!?3Z*E^C8n{VEzIu9R9C13xUlNxae<{iDzL+wks zTBuyY0?0c%jbUWb$o@tR+&{rVB2NR^yeZ6p&)dvQEu~<(Ku>GN7tBhU4T#B?N}sVF z$ByphlQSfmh-_c;;h4ZbYm=LaO z{ccSOFXzTJQ_^qvH$0SvA65Zly_ZcNM(5ER<-3v0dRf9jl{db1K{5cu{ymm@t5`;H zrjItWW9=*FfE9b4)zwVJ2y4><-V@3YM)l|N&^c&f9CAR3Jq!Sm(yH@a8+Y+qc7LB)YNouR^^;qTpbgdnH+(H~#G9pxOi(TikDH;p1eMV?6&iGUj#CR>>g$PA8 z-KvsE6$f{09v0}5r{9oW`0kNDE)jaSHe?zIu}N%o|GMpD+x~TZHCx%`Z?~hMPhN7d z9DJ#&x6?O%hn&`DXf#OCJgBNEU;O4~?2LNTz;nH%iUU;6HD5asXxW1A!{v%fmzixk zfM!9As$AM+D(a{=$X0q4W2dBLbg)}S`VMti!5?7Ss)ZWAb%8IROXDV7lx)A&R6~(9 zIwpT1C{v||5v+aXj9^`f#GE>PM|)_Knk(tSuzAw3v}eti8-=22_txX@`UMi5@ae$@ zlSsKIssmmS>1MF4f>&~QVnfv%7J6N}gD_9A(L)xW6XG&|I*%3`{qY)4lfZqCF`qF2 zh#R65uQzDtk=IG-782{>ZAb=eqOxIpc0T(*f~rNwQpt8L3p8g-?AM!ZzWT$miF^a~ zg`<;Z{*lO#X|8G@(+Km~-$>=yHsl6hLqvK`Ek0Dp$0$>k%Ux){YHE6;c7)~{T4|>$ z;_y2-O@_|L>21T8P&bM@q*NEZLM?8DKaoSGy-n;R6@#LPk@AJ>IJQ>~92 z0@_yFlBIB2(tdA4AyX0QyP5{!&TLWX!GqR^lE1>-7Fn$_CmwGLVJF!O^Z~&gPWw3% zOY{1LYUVeQm`ufnR*=$Q`4sSTdo({q>1#L;o5O<_vX_57oh5U&WmH4FbAC<0oJ=2X za`RJ1*rGtTE2X(x#@ZV^=3(6Juyx&1r&n_^>$hu6NFRtzhCH#Ct@VSz?+O^Z!rE!a z6lPd#cvdkuMM5ATARuO8Ffbq>ARsh1GcY4HU%~_3&UVcaF0mD=Dvl2Ifj>pZ&J!2| z9lOT8N^)aJK|%Xe`@c;u`d>6eCDx%TQsfqT|1!kr0an&HHS*bZwasO)@DUi$M1N-0F2Yl4I<66W(qVmU z4`>B@rZpOo;f@_Y#vn{M!kszcefcPR^6U|&V`00`WeSoGu(l@0y9jDj1!@WyHcfcllOpAX<`v% z+qPS%gj*4~GIf-_N13bv-l$DSCM`L^Gaq+(#>8u^4fNL40pQJGE`5U4!^WJ>wwvVVR*2}QSX{pWjWOhg>4**{0s0B z+#}Y*CW4D-;HV@zVu5c)ld^g5CG+L3Z)B6?0MSjqOx@;-y>mY(C{1A*l3~vM%6e$J zS_n+i7V$roOxI?%1|ZafFDZr#xc;g>Q+pVkG*aVa4M|LN)?sr@SfX8jzBqIo^>Zs3 zD2bq8R&$}Dx*NwOR-1P6(A$t|h{nVy^E^SS8a#-ig0tZgaqt)KoH-T@ANmZTfYfzh zj)+E$kfuWBFigQv2#Z&#kQ3lc|T?sOMW2~||%)!j;N-VlEPzF9&}vuV=Qg%wLprHMJbfM&Yy>=+f(4?Ix^f~m)2G| zP;LXAc{7wsdu88zuaforB3p=?(#;E)md&2Pbfd}vUk|R+t6IlfHWsJzaiGtSy#_j6 zOy))szds^!7f~SPvPVh4sz4b_IT+>*$Wk`X>Vg`Yw4>-rh+ZwpZz6XB4knQoBh|5( zkIzO4*kmylJY55PmFIf(HJw3zm?XOmgrg&VXY)33lA_%}7vS>fbFpy?qx38A$ANeO zL<-y4&v&Wp?nt%^({1~reG_RwU#K4^@vy@bL;yufv3|3#aaD84b9w^UDHyo+0iHN$ zr=l00Qa)N#Y1yC{F6UJ4nyyrRR_bYL)A%rxxpXe z$uUD3FZ+bhBg6tUGMVT#Tfi13ED2>7gPtJGJyb1l6u3Jlat(cK`{A53cY!PFoNg2h$EZLw9gkjXV@75<5Dn1-XxT z8v4mV_M~4NT|yWP>m%+GSJ?5w+FXDiXbh5$XSe&69+kzNhh5>uyiQQKP3Z;Xl0HU?|IG2p{cqD0}&8E}ZLRWhQFn z3W-RtkGxi4PuWH*w-6=FRm|7kEuJmE95(;!m>}9u;VST-8$St1JZ^cKWwM-M2C!L; zr)P>3sVcD$r=}RNGRWfVL`B@V17|&7dt&YDi#Ic=j6sxU7Ln2ekw_I0J5DHG>ugiD zu^)l)sC+Ru0!+3g69hqHn%i>BAZFy(aR7gvK?+GL;$`JHWhpSd7 z$B;By7tJK01kQ{3r>b_|!S6!Nbvd6|941$Ah%?ap}Q6b;vh5qL0& zLlU*Jb$?$GZX@R!Qu5<1kga+(@0h85PZPxAk|Jx-b5Sc3sXDpU(89*Xo-w7|)$JzW zydy;zNk$0k<%&jsKb2z@Dr_kt-CcKP|MKnR3p92W%f~I_PTCT_c%BR4mBD~>s=79h zk~pwD4aK3^OCEb|WwP6atXYk@Got@2_Rc0|JTPyG+wSQ5gOI3@iNl}LUw0L0AYj9u z>9lE4?zeJwL%vziA5t(qxmT`4)bStp&U zazOor9u-c^X8kyfv_#4+hVw|?n??8ci4e_?qj2cy#Bk5qtBSO?(-phRrc9KB9Ae2{ zyq?ug81s)mTqRTwv&DeXQAwg1L=M<#4kcr^N$Ab`nvt^@VtJt56UQiQ-bLi9Jpy=t ztjZ}g2GM4K+r5|f5MyY1b}mpRqlJ=m48#K#WpJHY){{6<*h#nT4OwQ~6C8 z%PDCA1Ta-CMOzzM+8-ryb(mM@YWEiGpyOb?*OG2DHuY}XCA&jVM`J_vxRh97kBilP zl)1i)Hr|wg3!*{>k5_B4EbCS4jTYA! zZUxvrC{FPG21FQk61bF|kWVNF2_2ff`_9{GJ5?;S3DXY>i{`h&0oS)I!&%-Ntpvz6 zF;3^9$3!5#^d07*c$zjI;;jP~IYKU$Hd51b!yJza(s zXGKZoT`jKsR+<1-37(}ns1)0L%ELr~QXAVDC?)VD>gFUG`iCxk=*bu={cAuIy^(zF zX7Iq-&>HK3K0d6^wu3qQqhf#DN)3k8gn+X5Rsz*pgJESx)swq&mH%Ter1f*s96ilvd9|G z`0ishHq#3Ko+xtc{kB6Vf6`#3^!E6nHS!QisWm=7pG!@&SO;Ka9 z)RT&IjRyvuZJz0^xu2I#=kUPW(k^g&?DQXK^ADzkaq?`2B4! zWg>B^YM-Q$b?Y}BeTsfsfw)iMU-e0wnZZ#=rhHm)r`k6H51L#1gW)|Nu(}dA4qP|f zZnA&FR(56kq0v_P^(yc4|4(szNU6UCi>6?~UGo=C8B?80B8;szNaz|qEfKm;MvNQ! zr)X^!xMb_XV5B{9`f*`=8$@Wq^If>G?_dGipGVx?0H9FZ=Ab@V5Bpjyn(>!ramwwY z?9N_2|A$&1#m?$JTt3%Ww`i;{GoL5D&RvjQ)|IdiLYRLf-K^#ma(N(BHWJ-pbdMTj zB_SrC7mewm$d4{G1m7w1-Euk7`+gMT;${&uL!Ez}(pd?sK@WlrSc<&ZBNGDNYOz3B z@UG0$*MT6&z`tR@xN(T8%Pu}LMt5&v%YIIHHbw+iI-`=kEBxR5rJxcTLbQz8HU>xO zyE3l>{0**sZbG;2c!!T|O#kg`>C79QnI5+h?0 zjb*)w11>5l26|`z`>mlQL(bix8&e)bf8K3b9ZFOZ&qG+zZ#&;`+nnu^9 zvZ%!W;#2+P8CF}RXCii7woI$J#9wItrZ2%H0-HUH7fL`B;+_U};an7eSs1@EYI3_2PSnY7$8;baiX>_jBmeY)wq! z*3zk>qU#JO1Zed-! zFlvB3-J;p-B)HQZl~ShcsMVu5K{qtMAmKzqY!4jAH9(;vJo2fjgU{ea;=JhTnkpoI ziOfXy0Ie~*Qh0jnHt?Aa%9Kz9stgn(hd8RVl$P-#MxPe57e&6k0T zW92VUklZt-Us(CZf`~Y499p}77Ca3z4l#!Tga-b99i~l3E@Ljz)rg2>)zfJ+Dkv2G zYC|)P(wHoKHvd&sERZ&-xxy(f_8odhaPT%?7fI0Drw@|%284R{yLYT2_K9xldsN$O zp+?FzFLFK^a5Jt+>JL;-7nR4CU08>2%UCn|P>0$Du}V=kuDxvLL{ke}U&1*`VZ;cm z4@FeH3Qah)g^>iV+Y-f-QY)9;Y>eFWd7FS6Q$BQg<89WjQUR?7%7%?x4F%_J2d0~s zqQECaLk6;|g}W=-I#Nh3tLNKaZ&|lW6>xP?-9N?G#^)z2`D^*hQ5Yijcp zU`Sn%5#TWP`38+g=Ug|8bHIK2BuK&-E1GeZ*lYySpbx{p;sjK%I@YpLJzKJ^c8TM} zsFs!=yUAzD_148Wpt@Zp|N{x_23mlDLEXr61?o`|B+Rl3l< z%9-Gy#lP9(N+-{*B%&im+Q|BtAkUaJjll6zrf8tqGx7 zNQ1=c()>;y8ywh2)VS8+(5a;4y%xJ^RhqR7^EYPpk+!Tczaj93NF$r8@Y@v0Jphoc zvvdV`P>;Job{#OKRTk+}xV)#P8+&!hWu@`2uZZ?>{+s9je*BDZtSi@IL1?ep7}cCB z{QI^Us94}^3@wNC4?lcTwV!LFlOqQs&)Wy>lZiY;L&UA;Nop5ZERtz-r~{pi_wR5h zwz%LY8-Cr_S(5Xer~O{Yzj0LKU%B91B;RH#4bY=ZN31cN2mo`XHn;okgaH4e0=Htv zgBGlP^{=LZD++wLlueInZB1;ooww%iCgKN*9tjG40L}rBIs1^BFthK84W>-i$Sj1j zks5gC-}svlwfrGMK!0c&-Db;pw+(pt^Ss57!epxsxZhny?7($0OhGx}x}tjVHt=k0 zxh0^wQPd;xEe{F~zFOR+AFoy2lQ+1C%{b>kbkTf8A~)z|&7HUfKGjzTtX8Y)k5zF zg>D<5f2(@^rt6S_Bz6POlU=$4Lbr zfXvq^zr^>De;_LJELBleI>o$JeJ5#a6tZctIfL;1j5zMtytp5mnHrIUcQ^|O1Y4#& z?B4~kDbDLFIBJT7jD=3y*$5nkJ>Ns}(AyKc42DT`7GsC!e)}T6_<7sL4K5It>5tnz zw1y(k{X;OaL{;8&*g5>ACK}P=bo7(+9DHYM)qNRGFlP~lij|wrg19b`tc5B?0-7qI zucIBoXSnjeOob|bGBr_t!gOZ?t!y7+uu1)9oAT%svB>=#jzBS1>#1%ExLk)iT=@Qhaq)uMoly{&7+PA3g30G7*8uQ(PK zQBc6&tC9QvL^*IeT!2#gY^9F}+vknd z+i5An&ttbf7BhA7X2j7}yqEN3XEuQLgSyi>GiBMRSW=gVk@t~4r(trKya5U76IU!h zXE<$Yk(R3P8Th=q9*qhPokPu|fF{huBtdxS*e?1YE)R+huiKY!C0R*d@Msakoz)`e z^IaB|TY-E5us$%eC>i*9%hdvC0JLe#PL7j_?FWG|6w-Cp=1CGJ68T@JoAC;<-^zaK z?Rx4`eyC;{peF(LOt9$-8S|DvM~#3QOyrq^-x#|iC;*g1)Mh!2VrTBNA4py-Oyxbg zT+E-!eYvKs=ydD1Wu_x?B7}*IFWJ)Q~8?w8ZAr$zlyE;`Vb>VY*$tMvhVrk3jk2U~f!pek?m7EHmahJ4S@|pR^EgpoBOmOEVF1 zbF8Q7qR%LZLDCHZ;{HPC@ly8}jm633u6^>& z_PUE<%=UdIQ}Uops{u5och3f>SyG=3lzpHpy5_hRE7>Z<`a@c5-rh)tO_&3e1#dBH z^VaI|oikshjl60gQa@N@WSh#QS%agWa-nJ4#skhAloWkzrQ4V3Tw2Ek%!VodjPe%f zo=-Nos4Ixvhj4M@y2iU#ctG`2pl+t!igy6j&+)5hj)vzi%7+0uI1|0HsxLWQmtk14 zVGP!Rc+am}_Hcdk8CaMJx7!Y{+kNc;%9B9j5ehQ~=`q?rSu?~37Nf2^Wey-<2@~Wn z@ns^G=Jw&2&aX_tqgj*fXFdNa2_(TDRU{9cACv^-a{av;Q~1Dp>^69u*#^Aeivk?V|w3kYqh^2)oEMTno!c7b-Z|rDN3hG_E&_ zoVi1iKOS5GUL+C&WYj|Qkg4`_3pEM6;y;XFW5}|GvnyY`54KHFHE0)O#c%8p)}HYa z&a-=uLys)#YP7$WS9y%s`qQy?gbup3+PCfrYzSz8N!KMTan%!@*?YEfHP^`=hevpY z95SV6Jztd5>95L-LhU>^*x7EfW0&3hcMC+^4CE9J;+2)tuVX~JU z__rjaL>q6Dkk2pC|8EJ%#&kVlg>a(K66D5vIwRV3bIC@bR}8;;g?3nTSoU85hj^%T z*)w-sY7Qp|dJg-~L1-mU-e-ZN)&Zx}P+@Si3IhS;q6VFETMrVkM`~V*^sonsxlHJ) zn!6VUjlXe4h^a&l@AlzIxj7@l$e^#}eM$?lttyDxo(XP|u%H!gNg#R_xd-Fp9xV8Q zT`dG{>RU!UmCd`*529&Q(M}QbxxA>&Wfc<3>kB%MQ(_b`pgE^=aB9Ub;OaRA_k4Kr z5cp3~gYb!&i;9Ziv-_t)xfII0k1&YDxevHO>;s%fTP*B2<03ASOu-#nhIHiL5{^U9MW3=SpQ&%#i;N6g>`$Xm*;+qNkhkEEv zbsf>^yLR*%Pl-3S$rioy{Yy?-SFNQbG%&ulO&I&C=Q z0#P8r?H&P$gH%M` zG|-codt|T1)Z(@V-=%-d8R{NzRj%sBKqL&(}g>f0ldF+(77MWN%QkCxBBJ zMW(isEeR<4M2-hulxDGgLaBo`5kCW++Iy?42Lr0`d{nBW-xEi@RWqcM3b!=n$BYW= z&4rKJI#MhbmH+vV8e_k!vltcB@#&4{P6Mg@PCO}aFL=~mTpd*m(Y$Q}1ApZrprw8= zSLXa~47EYl+WGHLPpr@&8)zoDUnogCv0Y;h;j19wi#bgf;IGbDE2J3!Lj>ni$vKS1 z%)vW-o_ffK+w%;3d(2Yf2>>K`j)m1a;hr&cr-;|Po)6{wruKI#kWHk@0zb4I(H99= zi#eC?tC5!J_6$uU1y198Q-Bw@qj|xwFa;r=lx207z`NWS9opO&sg#hIqEX?vJp4QU zeOJ&ECU>ri03nqQtWSCB-%1mmm3V1A9D>~kW6>3EMU3-&ywMrnO;Z*>$lt08pyHFg z?&b;C=sW`c7xY}AX8*RH%2MXZ{-hWxjNX>#g^&pqJ!WuPq@-?_EAy8%{WX`zF(o7-%>zPZ{@!#DujXKuCY zU%nv*+|hISEmcmCa+4;rpLlmAD4Xq}FuR%EO3v{IJ(d}roso`QJts9A)I~u4o5!m{ zC9^4nTj8L3!?0(5P8iKIw&qdL!E>VJ6UGA`@NQDT9t>Y_)Wm0DP)(?@!KFml-M_LF z-qMD)dUvWstvc2E+qR+%XhD_Cb{#&d=?@O>ebe0;GGCNTVvdcIQPAtW;so!LAH?7)XYRP~HRARuZ z#=@bS%+~+!rkQ(a>f~JsD0`cxP6G9yl>-iCt$JgH8KgyhZ7PbGO7xoXard7Q@9(g; zN}e*5h}$VMN*Q84Y)rVx^oImR()}vHNw@;x6T(td%{q5Kg&w;pa2=u-&r*rYNy=eX z8U16@$Jpn%L~^wEYXm2nizyE#vN$pZ(;k_rMU8#0 z2o%Ma<3KI@BG-1M2?A<2KY6Sx=eRBVQn%Nk5@Mi%fRHimWTmzXj*ZG!h`6=?rk#2( z>XdmZTkv_Tu)~WVa}_&5*op=FQ6}@EVKLw}5b}f}vECui(0GYSy*Z9HTxK zNi@}$`SSZaLK?1JV~y*JDr@|PNj>tdfm}ehfza5`j~+TgCz#=AL7mzWCUxcGG9`2& zsS9V$S0MCTDoU{lbQ2s!`v8I>21E*&nx-y(l^7;%)ngNz)+sh^UL% zwe4pLcykhqG#&^6}lOeF@dy*gcNRk8B0h>XVEOW#uPmsKn&QJJE8h`xfYkW zNoGouf>iWwj*qU4ZqLjaI%W6=4PcE1X^@d~H~py5sjzh9-r|VF{aV9*6InOIfI(*i zgz3e>o$h$5{*a74tK_?ey{}Wc^#K{^3F-h-tk0GtnErlM27~m~NBgl=y^yY{cE7@)1DKS1>$_XY6(hngFcMpax}}Xiq3ykTb_%lN;;M=ON)^sl?=y8P zIvwtO0wHa&;ajQIqdVRY=r=ZbAt8W+9y%!Q^aMgUFQ|2YCVt{w#D8IacU7e0+7kp0 zpVdc7k(&kCbB4{lxg*U|#Foa6)@(G5+V~*O%pgR|{rP7yZmj!q`gg2^XwoPk9wRTKtth_Bk8s zgM(62jJ?$Tvuh=_0@GImX%O)$FJwV4e_h2ue$$hSfLUc>y2oc08<8S78Z9pijv7GL zo~g+iD1rgt%GMwjHpMbKJCyB}kmA)MB^eU&55gk+wUH%QpAplMEU#}&REgWi#(QR@ zcT#pnRtVz8*8#o?2;-+2hw-6`TPw=!=BwIFvL+Sy0>%XV9#h3aAY;&}xyB%ImkKLP z?>GI4x=in8sJs)zBgW^Ef}fhclNY`L+}KT%g=;55GYP1OyiA3V^Mp7l{nq?5nqD$&fMCq{=B`%9y_ebIpt_ny-{V-hiW zPBTfT5~of(-yB`2d9O83EP`mT$cgA7=~!U9>sZukMOi5F&ry>I9H*aQl6uia@2`$j+SCASsFsyx}zs~zuap3cgnsm@FKSIEe;Y2jPR|WO{hj)%@MNrGm0Ws@SHSZj52&b-S{tq=7 zG--$gNn3|TVnTIIunKU>V`#SeZP%2}BL!*e}0N=(VPR^_fZAia?`{&!1FB zbfoQEZ`irw&-c%V!0X|*3kG7SVhgnbK7TJS<%Q86X|BvIL7S(99YMDXe4xHxvrQ=2 zaON{%i^A-fGl|i(!K1&TNMrpWC|~7~$$5X#oQbL~Sr&FaZ!Js-&E}rvPoFB_k(PBwtNFN7EfJ_q@u?it4EZX`O)Q&M zDZ^^_;tNpkTM~Wgrrv8t+E}8T!f9xYbNa?zTti+&Iv(d-+itbr80-X>KQJ$G&)RtC zBbR$UCs9rTv|=RqCZC6P6$m;V?TYVacKw?S9@@Y}VFYXA8C31+8nseiR=CB{o@9Pq zF;zmA!FA@3JoG2Mt&dAvUIP<_+xqS|7$o&Q=5?X0WCr!|11nVOVA-s}qvC9LodwA7 zMU!jNCT!XO&f3f0HmPmxn=uZ*qbCXVG_q_b&x&6j&J>UTVr9g(^x9w+e9B%&!}-mdO19)$`(YXuIZO$$(nCZuVUb0kfBgj?!+2+etRoF z_wz#!#Cm$_U`#Hn0exsCB=5(GA5w?#8cPzyA)|wnWY|;bo`c-|;h)QwRMsscUWg~b zk(IQlQL|t;xbi|-p*&mECQ-iX^uc9V#m=+S>@d9Iilo6WUhFg%MI}z-3J((Hvn@2- zO%r=mKe#q%$KTH#Byg_*et*KjyCkSQvZvq5DcSS(r(doaXC#)NtCak>H#7V4V-i&^ z?Xx9Zx{^pJ-bk!2&wREY|Qy4tPZ1L9&PGg??zo#lT8v`)FKa~+zr z1w?3>Xb*-MVW$v|C8l5)*HB2YP<1g;1Uy~y(x~L*HnU9@k0R|i)TriPvC>(neY7*YN$W8#s?gc^2@(-<}z_DNe= zk9&ezbZs-~U0MZ9T?(_eA?F#Q?8cSZHGRIAMy5$#kD7o|fYNqDr!9Uw*Z!Y>m)5Hc zF&m}Oj?5mP_5NIkmiyrjlV9Yn53=mzefOH7h!9^H!%%ZR8`jg{PmI1cEjkwvaBqf^ z!}uM=IM4buPxaWi$E;2<<3jSQh}qOw1U%R9^dbsapKi7dPjWCQW@VJj>Gfa_s+qDA ziy1;OydC-ZaPh zlB943ETqM;Ldx253lzB_v_vklis%ji5^WK%cP=-_gB*t%=xubQWy2!xMzKoRG*~(} z`Cbd|mlpY4Z%w6^0BBYx>!~Ou#f=w11#X#_el`{6WNBc`Lq&(dq^CZ>q&*k8^sUqxlSnDzJh$f}P6&5`4 z#wMTwH^}=2fzT9Bwfzbx`z`m`*@cf^rZm!<*z*=Bb7AS)kdE)WQ~=-f)3W47FbMk&-aBpcjUM_jSYD$(Pc* zKcunfPAtsYkB*ZQm5YNE&Wt<|e2l;kUOpAi1>HEG$)l8xcf1&`CL%`4Y^%3L$>)mA zI6dBlw+8$gY*SI!Agf_>L!|tSW!a0a_X){dGw5bXZ8VO-=(kHl4WJW5F=(+;B7x_T zqQ0%?z9%=KE@OH)s;V}7%~ zgIG=N1D)@r&aa)|DqTi_E5>y$xTCuHbGm+R!%iUNKe zo6uiQG@)-u)e-x&V7|`gQhP7(?@MV7u4rY9Jb_y>0S7?oM4_w)0cWW}Nq_TGEPJyW4mU5D;ZN zRAnL4b35MJ%&j?VvUE*BN2%8NNF?;B22>Z-pdh3XYKMNK631}Pucr#b!j_&L7^SFB zr1n#`^MFTTSJ-#s^^_dh<$-#K7}GCiD#_J}wf&dezrMx(h!X_`Y#l-4F(7E5P$%5@ zSSe9Z-clJ%!EF1oEQ+0ALiP=rM!sOA6i`Q>PsbpOc?Ot~EMYsJ-=s$BRlFnsMje+Z z^fk*aNR8be;TDEB%7pgr|43e2TEX%5&a#@0J+l zdxmK!nc-$uI2NV#wqPJ-T1fo8fDadM@4ROy5K# z_v8L7ezAt0kQ8d)C6{TgzVgdjEk(ZsYY}ex=I_f0jMHGNu-RRnmTXQeYP7!xibf(K zSE50Gmye~=$#!EKJS-P;VpNI}~z+3o)9@n#0&wYzt?jgLQ7NmEgq<2d@ z4^P&r!Qd6neqatWn7E>_;CB0mMD?IHyguYC3z>%jc}bv1ZPhCRG*O*|!#8ltg#4Fc3srh$}BbS8#|`eWcQQ7e#o z5!FzGd}*DN>((r)!bxq0XB+%Zkusx=5av|auGgA`mn_QA$&Q2Y%Ams=i*%#1)#`d(`IA#(M&HrMT!)cJ4( zyx73@-i6)^Qt;&hlYA6MHOhYwg^5`S?gjb50KK*ayAqyhMQ7?LPqTozR?)JjffD0c z-ilbbE0^ECD66e7h>59^)X73uV?YNqqIVzfQiasOl^&O1j@5+6Gdkj{lFGa*0 zZ+NCo8;?0Uagp5XX&_Vf!Il1?$?kBE+fD%YRJ;hk*L@nnMyDm%?y;MP-6BjuH+_;( zYJJlD^`)v7sakj~HwPCGLjyNCR`?AAms9EmNE;w6MYu-bWqKG`Zl7ZHuZ@EAhKt>z zFr$+@f2o+T<(+Epqcp!>(dEn)qckN4peB&>gCfPw}Hu!2xuU7_RIPH)_TEtPHwD5NH&>x3)>{SVM=WWND)Zl-0N91 zuXs7*@N^8Sg(95vHG31plJ+c&=3BqpsaHk{pv#v_+P_oRZQBgu!rs$vOrmP;3nEk1=J#X1lMQvVi z^4=DGaN~1xQiC!wf&1qla;@<~|4tRBGjI#e5(lzT^nB?q&M|(#Jp&huc_|(Cw-a`5 zX^vh|3aW*mW#)^>Ssdu28*^-)iYd?E;*2LfFr&B?`*W@hV_(f2UOw0!L4vc)hbEmS zz|;PbsAKk+664E0{iiVe_|ypIFxianq%+M=q2!$|AE;hwT+b8#?XtUsU+k+6jMT({ z2ea?iD3ZCqsVSYdTk1p~tv=B0+9s7`Z->&Q=^&ppULf7girN|1t&d=I6~}++EAq`v zcJPfC6fYsDKF}2Gb##A6^8ksU*coi*x`-*7E8e$eF#?M?Tl^i7_ z7AOA~av~SoZ{?f4t|hbF517d3;lHu{N?I2R+-|uVx-xmQHz}(CK6LqPes=$2PWN5$ zt(2!Di@I?atmVasjCM&omXA3{)tH#DdrmF-DG=i+<2c@4kyVuZ9ZfW4=>!1zQnH}x zE#2>h-k`nJA;_cVnEzsK$NZG-_pQzNzL;7bNI^Z0GNc`$bqKyU3wkHol6IldwlRPp zeL>*pERUdn2>|eo(p%AW z(Da(3o{m6nFmyV7b@*T?n;c~J5s4KY!mP3uF0o;xeg~Vjlm!{bs)S{I@2$fE2Qv9& zJwq~T&y0%2?56v^Q9i7>te41^e=}#0X`HRN(n$KD=3L1q=OiX#m@oCMKC9B*zuWqbPrqU5#%~ z>b!i`6-nlEcpkx*A`K*Qf|kHe*jPS<2>8Y)Z~@^s5T=cE3$2qTz4_{0G0xpk zT_0s7C)foR-%|f54|>NYV<_U2$dvw~I(n1xz!VGHZoQJ8E}EH_E%8V?W!l z*bG$tbSmP>taw#W(_lVmu$jtOT$I!#7O|9;b4Y2(i_V{gw4|dEj7eJ*q~!fgciZ#( zEd}oX@?QBJ#68|A1oPb_Z49bg?>^88Ej{e&7!kXAj&z5aAO|RQ(u}4gLHEFAJ^e8c z?{a7nrD%Jw8ey$Wd6P5f=HAE0Ahp{HnXDp&UA-c4=y}l!Gi8n7^=rUdefUw&_a45# zDS#Arq)a)&`L}^)@m?#EF|@*2^9_J2zdK9QdnZK0fF^TE@qgUvEDwx$2(1{|+HxrJ zAD*Cokh@m6t3|v+{e-P4HpVi9 z9R#mZJmOT?en`5n9xaWLY)Bq09rqS_Mz>yRB)>YtH_%odJmaL6p((&777c%FoOdV3 zY_nV*^LRB~NS8ZDnv2jLm`jfvp?ioX)aH0RjJ8cuD1W!MRkgZ~h@8#6Fc$k&WU*6m z^8E5)eAg)$RmrS%GV?WdHR%o7z4PV1zecX9SlrUHdF?Qk8$ua3FM)!3}5z zP~j3H;BTyDX>RK_tXu&4fm*DNc#-Fw zo)|SFlm5u|OSrmuar~xr)tTeg2REISPoHkbkJvK#dBC$C1MnQ6w-DG*B~xdV451vL z)LSn=&q`>0+uu77{cEZy186sxsoNIgTKKKqzn)dDA60t@bxJ$zAUfq|Vyvk1cQ3Ny zNK7br(TP)+*l~OoYTx&2nPGE- zRoT+^nc9j-mC3V(mDe|4tN?h1dld+|ZC(y9slJV-D{8AA(4%!d&+d}(OGVVj_ugFR zDp?fT%~?q^trIm;s8O+{`OPGYB)#1zx~rH|Z+;OK0e^oizS*D%Qm4Xf6_CETviQ{J zh+tbMGR3cRG{EfC-jLA@&@Y9A1b}fVKSL7xUFjC!y<74`a8t=drBwqV$YWrw%aWR= zp$ZCB)|z=J<2K>zaMkd;ofymhS9K6zKO;zWmL7vg?dc(wj0M#O6oo{o1PyGu4=->caUjB)iDwc?lU!$fAzM6f7f>H9l$ zKy;@)qMU6lAc`(_Qmt!T=*c+`l@%UyFhbadpRSIOo_M z&rV{MQYT=i-}^5S%bUiwT(}1r1DXpSG$JnUCDj8pLr4VUQtraqXCqDs+v$ZdAF+!V zZ=l}+CuaD1^@xosXYjcURbGO{tIK3%(z1*gGA3&&qiRzgY7a6L8z_RJ%F;ANMq2?O za2fHwe?%3F0jSqtM<0blp+iTF;1kSaxo`H{MhLazxDi&>iziJ66AdLvXb6sNd{6kZ ztdMq*N9SRo|Bei{&2!RFU4^@x=Qnz|cu9)cCuZ;JEIK@H^-K6)@9CWVF~v1_8Xm5H zxyg&&SB?NR8+TRli7p8N;IhYSJyCUITfdJm-q?iVy8_oK3v0lTcm{c&kP*!xe0SYz z%@O;_0i%0i--cGl>8}haf}*$6!{%J{~5#THaiSuqP@rg5070AguKO`6$PULjuv$p`qD7F-e5UT^sLrhmPyYXxvPucmM^{X2a9^CS zMcbAZ{X>RNN!Sq&50Yenn9L+^dcA{!dT&XcMAqKu@4= zos(pkPPV?`gHk9F9k=2VQ&jnJsp@fHU8dqgsrP{6Jl{%N?zY!3mo{Chf7Gbke_mxP z+=+($`x4Z<0n+ux;(rV9K?+|`RO`n^#=;B)30DWlW;EeAZBE7v%5(Ff9y>jtKM1>c zVNpsZgv4OLdTee=YQs^ZzzTn6bp?UeM)be-I}Kk}G7XU*80h|vyBFBEK4r{rp+htn zRT6}`l*LF{0gD{sAg*}_h4H1W8nUSn*a#Ak$z{BMU968+P}o@Ohk^45!Veu2>_h(@ z0NPaTgfs*zKs@OHx-}5DtAQ*_!jr13zES+KU4VeLrG+#=RENzilYq zaCY1J&Ys@^HLGTG{!C-qme37aW#2dBZJs^FKMRrpam6sl5y0LcSqx}XlTX*ZibNa1 zwS;_wRZn@T5{C|{WAV!H$PvpUyVPyrjsnMa4K4upueUy7sdvjy+#LAhY;bn4s4F2X zSVBPhGZwLX{D^DjBUucW=pKPM>_VF88DsrnP6)Cxfkw$QvfUL9ys-4cm)*0Ml3iy( z^{*8GLc)GRiegsXZdU&{$MY^WMuhXY<$&!{#Tmr0Eb=2oE+zXa5JaV-g>Wy*OP_3; zbO+?m5|>DcO&R1}cfby1Z!8SHx9L__C~!T5~c)9&&~2$_vF0r6NSXV@z0^bRp$;rkJ4gL(}D^2!rB~HNb9(Rd8!2= zZ*c^SkknEh0Rs3W0i|*z%YWU>Q*G3d$hTeY!iVJfI?0N}S5BOvd%xsU7>!{4qD2KT z_g-(5kuXj^)Fe_?x z0_>aiutUv&RDyWI2PO)KS6qFTAJJ5A%7G7lb$tkM!O1;U*o%027Sq0xDPFM9g;i${ zQY#~f8XR??&a@zqM)KJd`H0f>u2PyVcE#mrR3xifP`5kqoXj%@sklP5{*gzEp|g+% zT|VE*25$wDhYf8_oU$>x((mzK?Y0R-IJz4Rm$xrYQPn@AQNdD@Ocb*B=$qzha@W|S zGQujx&_7z^C5HD_YN*D6M_}o_WD0wzaDtH|hvrRqY4~aQ4vDBzl1f?d(NsYiJ8p*Y zsYG!7_4&L|o&0uOW8S$-ONbWa&(cT}DKEXxL&*KIwO32Ne4C?26F3I6+HYjY+@$rH zj>pz7i`32Mk35TK_{ARTAKSGd)^&x-5-$I$<1khWjJqAUJN=gyUhd_g>-=6ebjMf@ z>tt$Z3Hl*Ddj(aMyEKnYl;yP66wm!_3$$C0-vnFt6~d|ndxcSmGEXY9<6hf~>Yk?4 zLJ0V%hg}zeTSaZF<6{{C$-wx|)r2h$B>{o)#b#@ooV#TH4p;emm>i$L&>3>Z@VD^l zNM~N;rH`0QaaQrJuk?@@6?(^w=UuO;H>d6ErT0V(xt`r5u%^gz`+mPyXwtgQ;l|0v``937y&;+ zcHftXW-H!mbSK=CPrm9c9jut|?_|2saZ>Ab`Zs}#4Eakb^>cFdKXWIqszw5y!sPIf zn2+@U<2hcQ{f;$4D8C`H2I_#-SQ?bXp)BHf#RD>%!~eZb=`((d4AqN#Oij)%f*PWX zr$_Y}vQaL6)BD`4l5g6%10G5rUhm|wjg;Usv(0*VgAfAq5kRX1EpP!PWN>E&%BG-l zn&Q&Gdzg1xW4t5AplT0-az!RDqUL2@bl$MMW7#PvQhV7wf~1d&f9T2Tn;xUiN{S#8OXJa~ZzwOI6Ov!=HP4Se z4h`(h6zZ=bX`ZW^y+Tm8gYkqpK-DP%YV=U)0e@1?xe7tjK-u8xJ?_j{qJ;r)ocRBa zXTh0Djeg8&g3G9Ii{AL)m*Wt_IEOAw=I8vk#DBjnZY!GN9Va0M{#~aX4)|;>Y%H=2 z$M02cudq93SZsJ!F*rp+ARr(hW??WeARr(hG&VRe_Lo|#ai&Evi?#jBED;kGvwl5^ zC0mHA&@DO#5z*H8jCV$tbCAj;R$rd0qUq6AlynD&`^|YNF!B$2E_h)%b3yK0vHf*B z7RDLH^=f~c-*g`@v1tL0AT2;?wUhuA-V{~ZGpmzDJ=0O}2Udx7n}nW$KDkZ5h!zmL z9;l)p1RUzvWlR)96`V~#ZoFRaDRWy%QQOfS%<<;sWX=P>wVQ!D4AA7EQsYiy@u(OZ zwDkH+i6$-UxgcgJLTiWYM!eXu&YU!$>i(M+VtAW*l;KY6e^>~k(;ShQ(6o$#8we{` z$~h$65@QTjMBU81^SA+ld9F|#r@rdLd8c*#R*5l$A?_vxv_*!R8;q!I5hRoW(KS;m zDuo(|K4Y~XAuIbWilC_@anDX@*ROin2iJLc2+l534U{PGj^_?N;W(Z}H0olPBbq6k z6#2KV=`*|_#~lbMe9s&pTe)Z5VKR9ZiwqgT=FuAfv@oC^;xZd|?Wu|v6PicCf$50F z(G}>32i2^yoxCoIRp?fK_?CF0qW}f#08wZ$M0e8efGv~oy6{OdmDyF%M6{8s)KPfg z$rKg2O-mGpFf$RX;$fmL&PzbFF$Ty1a+|VGA-nbXnqV2mB%uZS!v1pmvv0VXYRC1C zLhXfei4AwNi2;_1J~o>=TcAY4kK+!G@ z5X!J68{1yDG|z6(6dl?z{0l8bq5)QJ$iF@QluLjRGp6PZR|yU9{QU#&zTkus^>7{o zxAG#adQld^-^@2MEw@JRsiTZ$#<r3+yTRAbtiH+FAG3Kdbq9-?c{XNbrd#*ly z4GX0>O-Pn2;3@n#S+1NiI7W!w*ZTxlqJ`71W*;c!YJO_MIYy{bc2=zi-@kK23wY_* z)@ug6{z2V3jx;jy==9NHO71f_fIVevqf8l14p5sh(h|3>@6-3_NVwl@8wv!G2hYh^z34vcpmWuT(hP(}?{DDZYC zl!5@OOaG#HeT;kFRiFwEh12-|i~K_C{rWj`z(AK!u`$xlUEZhV?3JZCf>{}3-79|v z(eURMtodX?ZLF^+Yccs#S2Q@a29k~@>*Y63sHe#X$tOq7LbP;c6zA?_3y39H z&bbW_`q^UWLZY>v!@Ur;DRR_4VML-j1m3U>gTtb+E&BBR8})Rd%dD%uT$pgSJv~4) zz&tS!Z4c|Jp8uj$hqNoW*0-Qis2hwXy3rdog#v#4XHOa2Psy0Xw2l&4jj$1(|54A< zCBIztO-6l;`6Qsg^VeoBBJ;9FDGT(T`@fLl6WauaANjZS~p3YF9ri_8Lag*1BgUOUnvx5 zs7*~p)3@Dqi1k_mmCd*+3buQO(23~u6O;Ym!PjxlQNH!yHMz&(j`v)y%kW(gMnY)9Xg|bT2NO)NTOUs2y|iq; zBJ*(f_O(Cm1l2tR`WoTIp3>|pcXvKdmW#Jp)<{3%Ru_tQNtQ^j=wT1Q+;G-pDHwdz@ju0h<*Y5 zNbERM$=qB7oXf+~K5*%nUj!rOB102!xz+s=Yc;=WqUgH6B25dS0m^p+mPYjM`+q5i zyu=ADvf&A-vUaY00#$l1UXq2!Qe5i8J*2z3iBG96pDFL2t4jGhA>W1|Molwu zN`&)gUTe<~VA#3ou%k8hp;H$b1pkHZF6}~y?{{qLzGVvlo0&8lzzN=Ttz*>$M<8s3 z0XVK^r!NP4BjtuMary07s>2}?o2?r!-)Qto#SEEraHb0#l|m+BvX;6g{jw5WH$gK2 zvMzYN>7!O~5{Gm+HUO`o;97(^1YGFK8)|4A!Xk5&-8zRxa}J~_-^)1mg}yiwR3V-$ z?=jt>m*x`)T{wRQ;%h0N3;~%8oKTi!^{70`MZ&VdpljXR7{Aq@Yrl?noNVXKow5 zS7qh7y}P;dre=Q>-@sAu2{@g|x$NFZ5m8UN5HHx;5?zsENJ*@e?cZuZ`9SDnJ{w;n z(foyFhTXrnk8vNIFj)N)KRs;?Lt1sL9ex8Y7}x~}le;@oO)@AieWIPLI^%3H9=bA_ z8hSAZ21>k{6sh!%CTlGs$WXtLr7N1g=uqpjG5pbtuSt2o5(I#{eo zznZux(hnLJe{!fOYS}Is1$p{JNeRuUWk2~iaTF7hB&#S+%EtfYh5nW3i+A!62JUtor*Sl(fZo`jx+o`_>XwfV4sou>)8tyLU3b<32% zmef~!mgIB+$Br6OKV^qz_b=~%#H(c!zUpYlht?E%6nwvI{MgCi1qIWbZB+=vF_!HP z+Uj3^hQQnrVe1aoQ=7g7=6Tl0!grEYZW!Ww8KRE%Q?yWtipLv#oO#WmKHIU<@fsjQ ztJKG9zqL=^Tby$gv)S=Cw3>d+JfL@xEC4LI^FGtUo!%?vkonV7GjX1|62^scDv|0J zW#>=QfMUbQF}besG)X6$admY1q24liN|jmaphOpLUa(eUR2@b5TvCD5vwZUU0{D?Y zY&TZp`Js?q_1q**)ki-KXng%k9Q@-SRW{JKE?9fHFfELTy)Vn%%A%^fg8fo7U8uC;f3T@kCZ&&=2I z-4|4={Lqo%iuLI5F8na-NzHE?-dWOrmw`*$V4zzOjvg-Gbjrn;{I8yob1k^4uN;%# z+ZtH@NRIL4W&7%3b@lsjeg9L2*;O+8=m>zp%VguzK{Z|q^%a;L9D2bQ3+ZE3Kg2ae z2yWr=B{!rVSuNWm?W+VGI>$4+3hmo23+&T9&^6Qk-ie|g8fH-ukb~aQ#xdDv zl*CA1C3lq3rNl8J%smHweku(5)aqn~qBuzCnvU>X0&UKW^VCmQ0=}GMBStnOsWW{` zrOcn?P?L-PYX%bqaR7D8j7^<_`25g|2Hp|iQ6X#G7V?%b=u3redMKuA?m9`OVSX-KXwC`}oVZz*X(tUTvT!cSj?!`FfS=5OcjxvTZWS#EMNP$h1wRHw}mG4+SqiQDN_~ELdy4j#)dKIg+4YGLg4LJRM#!f}8C+Wac z3-x4&q-!*UHk0q~ecG%qX<1qsU_kUw{8haA5DSRnTkQel(-p22Tue_H^#{aH@*cW|+V{CiY&>)cB5_(1^lSILm`JAbG_gYjfdX z^ULkCZUyF-MDJh!Wy+w&r9^BDVgu>kAaffM;eTcK&42wYlvzBG({L8)NYEM`ww@Wt z84>!62#j*W_dv-Rcw!aQ_WtHIUi?M(pv_Z9tcn$L2D%40A@LdW58pg&nKjaEcnO2) zxbO~P14)f#h4YiCvg)W&$Y}~Rs^W#|-{z2DQq@Pl1;`+4Pg3?%vK0*}P^@|TI7`w( zlA{o)_m>&$NTOL7U3uL{z@ z{gOEQcl!y6s{^{r#g66&%229kX{yDm8MVflGEq+@?*wI$C{hp1?i!SD-CiZ!FX z>i02VY?#ZQxhpP!yy7lryh)aiDmL9NQr6qKZSBD?|Jvr$yP1W?`u5WF2EgjXz{X~c zNcJzv+?ugYfCcemMCs69)leZu0Ku3d--0guc7d2L;yMeaWIjxS)}(D(m48!Cw{wBIP%gn-)qNT*If zuR=x69D3s4NT#hkUYX+F-!))1o?ek0@FUd*c5zcYS57RltNRq zKDVf3)S8EIRn&Uz>+1E4!)r=lYnn-AwJ#_Ie*=3Wsx=Mj>?@%j`L>~Xj)3U}!W5Kr z;F(ie)87-Lqzy8GL{fiztL05_5Z*{HAg|oYvF{v&0IL$*6nXG8cvsTU>RyVSH(jyHlxBu(7EVMh2OpgERJUqO9euP+h z$<FY9cew~T;&LLrm(&Z{e&_b`FE>Geax zygqW~uMEC8cb@#lq}tItrcW#aOPkci^S%Bfv;*fnU-HPzgp~26ugf_3=)nND5OJi*iEn|bN&l&o< z;^YzLqb)!Nme)Hf)V6%O?=EL74Io2yYIs=uiHsO0t*=1gf=vl}ZG*82FJmI#eS+!9 zYWq>Oa|)cG%$Zk08KFuw<~R?H^Dz^FCGJ8uo&W={nq_szGIoyIZd}W!Ugk%w5Ez8$ z^fE@F*X6=!GR;|kG@)XEO#~PE(hMu%Bcq7KOR-T%@Lt=i>Ou}ic)3D(j<%0W87=PRyGP!J`)83y%|-{Ecq}0&3kyvxynOK&Ye^EU3H13qCi{Q60xka~ zpaVqzqmS^AcT2mx{I}|sN)UasH~=aSYnms_{92^e4rbw6ac~Lrx*SkoC|g#Ry0~Yu zVS4w{cw58GIt5~z3{G;=(sHKsxr_B&JXQg^mJQr}DLjfAw?V!!>(pI4HIrXqkRZ8VJeh~1JXf{q z|9uG^b@Z7XJ<)A|MvuW}r}L1feN1H9Ot6@rxS>+kIpX>jWpb8xocN^6?ZBx;Y`3yi zL7dQ=`^yrQd0wA?f0w)o^vqj68i70+C`)tLcuY4FqEFLeFivlTM#5?7671uz`7&Cl ztO$@AfG3L8&YEbR0zFG(CCvv2`adn^XM?R#Ju1}A9odd;gMV8kU_#3Kvk*wNV4X;B zZb968Pbf}S-=kv6iimhV*w-#QEhr97y`$H&^r1Tc5hztCSt$M{(CA*ojcfL$ZR}W_ z0=avc3O*bq=++-tB0|{p(SJ6Amlo=k5w2~7sl&$=<^6`;c_Pf2a+NeeK$Y4o9K%AI zF>F?ESWt9*PjU>!Mg6Kak>JpWZ`$^H$T^n`(J#7iVOdSQS zpz}b*RkqM_-`_9XK2?{wAb`^!5Zhz%o!z3EEWT;yA}c~saRHC|R_*m?p7EDs#LGLy z7%I5`%;rj!Dki8cH&VVS{Bf<5VUkTVwEQ?g1E%CTLsntRcTcL7oUsMDj!Eo^mh51i z$WisR=^!UmB#ZM7zLfy^INg-)+C{w{&F#z2_w7oG<=MhnA;6jL|3^Qfg6a%fCBl7H zx4&QQw^#t4VkbV_97D8?Fwu^7 zen95NYhVAfgtLt?(*=(T%6C3~+|mZedIfhvm}|M3L<+C~VmI=|mk5-e2n=WV-yKoI zZ3QgkXmD$%2ijPOnh?1Nit+1Z*W%l$uE4C3nr7we-Qt92?S~ZvvJcv0L)|td8 z=M&Pp`ptB%yy?8I@UZoeZ>|V|5x51`9|AGv(|xp!4xO2)5HQ{hu%{{n17W$gvu?xk zsQmsTi2{J$um-D3)Oc1{2 zC@&i7k>C#!lAC|X>(}xnz|~;rFy^^%%M6SmH$RrLkwHJp1HEPQK3$^sA-gVQYi1AY z!W=hHA+6`zBH0U&dZ8-cZ+5^d^1D@(=4#{6HEL>sk0(GVI4!q3WF=CO7Zv}Rrj`6}dau9h3<;2A33;HrBcC_`fw?@gz66dUsk_LqY?QADZ z9m!N0-!a7?J2-m55cMD~B&KoJABJcbsPgr;V)53qw`@aVtYgYw>L@Hd(iiCi9kx;S zW3T#*e+sGF<6^&4R)+3=eR9R5e(O{vgFk46x3tsxEGHK5eqcjs;@A`ZHy{ocW$F!k zO3}we%3ziV>)23O`7>Uu%Yvj7nTql)ILL=}i0_Je4|GMA)C3u|!aY|6gdmE?&keSk z2~MhoyJ(~~DYP4RBbU!#MgfjXfoNR|Y6Op!Ncj(S8b8ns_1VzL5U2Xo*peM>eoBA^ ze(IIsHM+~VaCQZ;bp^dV=c@k%t7&EEV^m~@V;)rUh3pwh4Ar=f|N7x-*`u5=>R_=y zG_e@?nkNIR=1%V(^3k;T?PH{WZ$FA^^~QRN_dF_RMI*n%=bKB-_y3v|UJzRnnDEwG z4O%tdy~Y+(!*<$&&HkAdrHcQ+Pm^8(qP$J%Wv=hwv`B;3x4|qZ9I_p#dGdl2z^t)h zRIbI#Uxc~Na&y*nyltly!NhO4yXiqPh@iA@eyOFF$Zrs#y2F>Al4Nz5^C3* z9}833jW;|l%YO|$UL)^OB|S3b4xa;S1!`>9Vn!;lY@%qZkiF+sa^YYv2HA<>jZD>+ zFFeenl+yEX1wdaE=q+O~#8xX`k+iS9Pm?eu?C8Q;o@we+w}aG=WlVzAiM4&lc*J?X z79Fv|$wbyi7u)+ft6_}9X&P3?Hw`A+{Ef;fqS>~P z7pt9vk}Oh;|LoPKqP@4ZqrRSNULPZJD3)A-qqe@uVu8)qh~YlTh67WuA~EC7@zkBj zV&o4Yk$3NMT}{q4y(zmG_Dyg6CL8v^TQ_j;V&Mfja)Z4B^Gn{=@<|M4e+q0N9MKdE zvc^-pXpesc&X$PGSVN_@%+aT(dpr-)$0x|y!+xoJ|3ec*0#4!n9)ys#f)PhJ{;n37 zSNZVDAwXNmsKVzx0JlWQ2K4y}!#f{F!lCUrx&X`ig*|S)|K1m5&+a!Nz}L%~!!F{w`X9 z$(+l>%SyOKi#_ZAU+|op29Chjm(E?#+%l#`lt5j1g{C&_JTXpTnA7Y+2{cUTWg>!;s5nQbq;t2;DJ;{#NDG4eSYty=8 zQ*;j_CYwt^J-EwtK5S87iodyKqU74^pRUT|)6dk`sC}gmtykGQG^YQ15M-o2tn7Aj z_Ti9vDyl8)fJxi3Ed<^Xj7uB05c_M4NtvLt_j?lcVY&pY!S+>0$KJqy1k0wkc^2y= zb_pkg8^No3eM$AD$sL;qMBtelJp6NwTMO%VxQwKlYD;dLqoFS~g=pJYSq@d2^6E=$ zRHM+ZL@Z+5`Et3hpQC2JqSnyCE{`C<8(9pXELbHrN|t35rg4~zG2}X(od&_i6=Acn zSa(n@ALxuZi~?LI8Jy`@n@pBrHhq0t67r;AC*ipgJr)N)WP-$c6C}M4`lGAnrCW06 zEQo((ik8Xs=f~v3BCL~>^MGTpoVGHDP|g7Sw0Ia*Qdg$LUjzH}xxh|F0jv2whz6>I z!zz7up6SefiRv#j<42t9VUV3F zsxAE=NEVd+MwL7a$t^=37=R^uDxRh<_7m{ig+kW2q$0I>_0EIu8KF2F+r-*cY=&8< z1F$w}10W#<}5duE`wuGMKV$!JhDsf8UINB?T~GJ0T! zqV8~X3$P8fR@!}XO!r_EX4cf8|0XFRqi9Ofy?)nYIJAuBBeN<>%f=_qC^ouY&g5OW z5*5@W`a`tBA|(q40Mm|Njbihf&axNt%ur?&@?B^Z4QSfGg{zM`zwCBl+4GEJR{fK~ zlsu19z#~U{S;a>8{8_nShE|S<8Nm2AV6d95ABXjc)CbJCPv0hP=Mcz(PxK@T16yBV0SDO9ENbvSk*L9`)5dd$&pC%Z+E_nXj^Pv&EmOoTUYh{siC8 z+yTKCoyDJ2UbkkBT^4*&9$}aP(zS-m!8bI_lQb}EyXN#|X@a^YcsK!I5X6Q*lw@ZI zkI^jaz%RUXIJ;J`Xn7%EGCqVU=P7tr0JD3hG*tqdyge}+n)v#F3QvF{&>KwgIjm06 z3dEGMB&ZUPTSiWD)n8}>#`+QNOQjrNbA>WYGxmQ`vZ z9dr*%let4^;c{%Nx2ay*^4D1B7p6;_I6OR=*ObGrX{*U$I$}S@jauEY@t5#r_3+_K zf27T~`x+D4$jpPPEqv`U!MP4FbE?KU~*Mq#<~9|hxdRFfmkdWLq^}) zAW8~H2Kc-pKXdZ5A1m=!j6wg+h%i@~`B#_9TmAAyq1~M)5FBd6G9A6_XZ)M4T`C@+ zt#bv)Ao{-=8gxB81OX5jHb-`LaX}SsM5XXE2eGB0%_d1K7HU1_4)?y~`$+KHz>$wj zKYn=dkHul<$R6N{pE&J^VP-%H71Lg&dD$&DxRC;Cpe49Pop_h=4*hk4H}S#0fXivE z4`IH9@B3kyQXytrHQ^3}!Xehc2D5J&`UhJ_GjN86GrW-b`QX!weY} z)uKMh-T=ogo-eRdz|Ql%+>OTO^@rZxq&ya74kX*?%kOiU&EtcDMkO;@)S&v8kxnz$ zOI#DiOa{|^#229Lb=^38`NG%0CEL0kpfQEtRyof>vkr@bS*Z3O6VDUqCys@r%Mw5j zXKh9`C)u=J-#xzwOfJBP$ME2;4NHd$KMbJtkLAH1*W{EcAJI%r`9xA}>2BIxa*?(J zC5A!|c(7}A8OFx_@Ld!(`X7?EkN;82-R)(cURO!GpFobnk?O#zhvLB~kLT3K5g{!h< zwD6HD_9G3QBlhdly5h_st3NrkLi6d?7U4j$?^t*v6JwUHU}6O`Q4v%jw`{fDeN~y$ zsq#wtqx>Ld0p11^Ahp~uTKdO%S@W;HPz?4OdA&+5aBO1g8QW)0Vv4+W?6u0Zz)$|C z=l-GPCgbkYp*jgLdp%JvZE!YjvTExTa54i9!EqcR?9-nJP`xhjj}!VySVfd8>CH|n z8;$gUkPzS2Hpkv1;Y{|x@Yii%$L9hKj@L{}tw}bTO{hecCO#2Wqi5jE&bu6-C3Hp) zg|nxHOP!4)h-S`Bf8d{=O7ZWV31QGsIE-$odTmj+X~i%>A+yy2NsTU7v)%R!cnouY zHu?4dSQcQwlY#$OF8I9M_o@;)NhL8IB$oUm1&=L(-y0>MW(`SW5o}BX%Rt6fIV(a% zz>41kScloWr09Eg-!|l0)q2RIsclH@I9H zg$t#qv$7h0@{RK-60M#n;m?MEK!@##V_?1rmTC~a1>2t;gEX~>TfQ%OLniwnNT*v9 z(f+-#pbPHo6H?aroc1a_Iv={=mQl8M`pP#g3bMMlV*5Fk+a_mOAEWm=#_kRDH7(hD zP~TEF<`C5EVu_PW$h?WQ?5st@pI~tnCi7Xe$P)7KovZhX^@_aMeH`S^ThWC%^epYp z62@y}CVMzU2moHg4nEqbEz&iRs^m!qY44@AHZ|W)Gxh|e$QsqxH|p>u7H5hOSn84` zO?e1|&k!yMaSBd!)8Qy7{Ii*h$`i?>Xgzm=Nt$kLCC(kk^ptVd@5S-X(dp#yeJQr+ zf{Fwrqds-83zsI0pZ<^qk!GUrW(Fz;3m$>uGYhK4b8!sH;UA?;p^j^O?uMpYTK)EqoMup8dEurNMeW|du^hKu6S66GZU)qG zS)u)AArVyAE!|P}JB{I~Ym?bHC)1Qu_XE{~X~dy;Q)uXkshEs$sA7CZhrGxYA>nj@ ze4h-2RG%Afj!3p%?-|kX)iN_oG?liM#TceLBJ6397&V4Yjd%OxPV;a$DoO1*9=SJ3 z!k89&Y>tDYWkp09Aiu7F+ZR^(WTXG*j;0Et0g;fohKj_|lZ*2mkr#I6y(UeUw_Nbz zEEy`&LH4D*;S8vW8+>b^c|?8 z3tv!u6axVgg}P0KJ*bAiQR)rPYDD8o$Okv*CjhTL%6veG7wH;h$}-FvOqxDH1r)ZnPKD(kP)o&K~$a*lYRtoT{1LuE3mtWWH7u4b;j84IJcL9_>av-RL(KeLW3GeT^a>tXSTX(%OdOnP5H3 z7ELqo;!KS&tIgFVv@zCevbv#Ayc6J-P1)3;OxJoqucy3*kj8*4&bqJb!Pj7&Zy8Kp z-4{VTH~Q|M1X2yb@Q$RNo7Mri82XpnJNRGBK%Tx5h1oh#-D4$gE%7gX3XOIP0;bj}^+H*Ty!{YKvF6cS^*9 zE^rNFrMtg{oLXYe$W(Z53mQ(%$oy==kZNY^2o)6Z04iAoC5Gzwk7m z@IQ>h7X!%0{({4H|JEgF5VB0~d1fI4cjYSxStW-QLql>rNUPCzP@5V}8mBUu!x7{F zW)5vpJU8W%q^D1Eq-qEsC6*>5b1!0>01jgL=1ojPpYl!&%d#nY0Rj=#80Gu!WC1nV zO0mW4xNX!^8<<4AsHXy(eXr7j`47Q)0VGicuaxs_g#Doz8i^Cd)<%^F>V#e=tY5~2 z`tpbc3yYYGS3f%7(@rI-cCj;j8-G#rwIOx7i^(orRv~h^h3}Kc@4#lh?V>b)0763o zJVSwtK+u+d$D_bSoomg#46Z|K`5G#Y_O9>b31n394PTGg}dPnv_5!ji)_FH%^to%q2l1B_9jGlziwGz1nf zb~lPp|3(3;X=`XiZ_za^)w~7;%uEM+QT>JvF%CiQU#>o7MchDtwHZ_6nC-qX5zCHi z@BOHD`kFv*^%XSu(e%1^4rSaovcVvG~AZn8n~0M^W= zqYUIV5C4QRt_JR|_X0c%>O+U3mqAiCOFEkY`i`D<)D8`f^!mPK9k5a=0ES{7pIZD= zmMmSdkFyE_akOk?Sg9o{tls%zq&O(flQXY>qcSNiMINHAqsaPj3eFA*!cUt;{U|g{*qs_WB+>+z#-e)l zzxVFBZk5SP_m;&!JMz#ve{bfate3GO8%L99&L)ST-rTx83X(U+tkOqSRfDO{bL;%7 zHj+v8J1bdSmsyUr2Wu<(5#31~R`PGW{`A-|A^MnyE7TIgg|imkquqBtjkLmmvI_Kj z8!W_9DRN9Qq9vPW;T8Jhy<}jGvgL&f!fkff*(5#=6PZnJ#^yU^P_*Ye)uYLqAZ_oq z6Y9|lZLkdJXMT`3zL+c~5Fz)s6;~am%mf)CBq*4;EYd;v>n!w; zP%U@$88}BZ9UZPdRj-ZT{{pI;5u1^1C+ja-n(`5LEeiveun~TZOi!;4Jy7fd`=bKl z>~^w3h3@dD1XLN$tSJOKs=H2U$gn5A2jeLbt7CTpD<>rB6p_;m*{vjz=TVT~=ecsggUlgfpq~ z7LGN+Q@g@X%35gD!xVjoqN=%+h##-uERTkAZce8;S{N8LzwHrshZ(Q~S1O%8nPl$y z)aZpPoi9TW*`<=NY2Sx%iRv35ksC4RC-fljod^g%`8!2`3T1004NDLzkl)zuq<_z_ z&ACn+e+wu()}L8vH}T^GL{7|84%^Q~2`n7@>Z7>5Pq*;mZ;w>id#)6joaCe&D#e;# zeD7$lB%)J7r9ymn4!yRe8S^j+W^2pI;pHXK(N}{qvVSGv<}oz4RjpN z)77~^3u;66nPcA%@Fb6+<*@eVbKfFf*dOJomtP8c>$s}{O<0=ZW0XNUKrx5_Q_HMB z&X4g}^BZZnihmi6x=U|JwGWC+I0YtMcK(DmC(Kj!LbD+VbthS@ z<5`U&SNcRN*+n?sH0gc9o5^@bR36!n04fWeD;{})s_yo^LqzveOINAU;9N-s8ra?l zjvOhV#6nV%=!34>xGk5*`G$Pe-Zfo}ZCn?qaHQGK{exx7d{hX}L}GyhnYx6wisQ_E zB|`hYTluY+jM9C66ezVZ)JK3>xajT8)w7|f6L-7HDV=mE+_2lg zG22YgBy@v=yrK(>fLq(Pci-B!ZQHhO+qP}n zwr$(y{kiIjt5T#%k<4ULq<|*$)LZ2`d1Hf6y%3n}h)Ch+e>13Ixw{LlG8)W37 z)?SfnA)_nnQ~d1OXsCu9OJRDy7G4PK5&-a_%;XI9GLJEjLyeuqQEl%AiR~fbOB$*Z zWrT@KogGn&<+c?E57nFcy~b39RmJd3ZJhC#SoCCbB0rHlfr{Urc$U0?9GaO0OGL-= z9L#~D3T4Nw$sZcXHoo|@RCAW{VFnW^w%%Vusi}@*u%gIGsKDmW zmhGjL(<4}dhe@tpbjQk^yvuv_s8^Y#3b5~_9X7Afu+I>_f_*erCT-AnX5k`NHvdj$ z64=VX8=2`r0PpyVQD8U9sa&SIS!KNut%T_iDLOWp%4YjxfKsbuX4woKTU!8WUG&(i zws5ckY2723?Zq0M2tlTcTka&9dxHigxlX@2m`CKq6++TFndDZG9;gG4t)I(WUh9=XUPPjr%s}d(L4f2O!kZ& z4rHhk3|pJlEZMXyyOq9W1GVP|prta7fJjU#Vc3RWA|}@C3CEsa4%xEViKJf_+5Nu0 zh71pg(B2Me+^|gcLu-eiSkS%K^(VbJx_YFyR=}Y8@b8`(_^%EWw zDvDO2k6Oyq#;UP#h)?xS88Evh1u@bXs+}&C$G^d~m`-_>-UIZVZzOJOU)@AGbi~rv znz#{oKKLznpSIm3yx2nMANs-6xXaEFQgNVU+j-pRKS>JHML$JLgjRA z2PBioRT`s+)a3ov;Kxs?b{`SPh&9MF`Fg2a8}`&QzpySzzp@pLfV!r6hP=k}c`wI# zEl7U*nZH5_)NJ5vhyse$r)V8ubj7(B&1Y(GslMRjFsf=;K(PDNzKUC;q2)bQ8R!t#M0K_6_QnOn?WDKt{c@ zXlz%o6b-isPAr*=lQBE@diM+60N$wmJ1jdVh5hCd&LFJ?HK--jhcPDEs>rM#L{cps zKKzv@WO_0)eu%V@6PMrAf0h(mcWo;E$JCRl9?(JRc+8APo>)NiT@ZH*m98k~?@e>c zf@zK_4I8btH^qVpDX!EAk+nR69 zNb2}Pu@!k2j?j)A_V}ZwZ@^z2bId-u{$+d}s5xq!UpZ800d;67FGKz5YtE!55EzUX@OIxFk#{v0zz}0I6!5QH3o?Q&b1S7)8J7m5_uS}QJ z>Y0`~Gs|C$!I;VshB%s<&y)oKQlnnvtedIjG=>xcPTbK#H?Qp;D!}n@3Dqqz$hAn3uZXl7xypd@w>kC0<@6*T_ZsB~r0B40e=WbEKl+059qCyoilF)pS^8Eg4z%L&Il-Ne4m{x{vp^zGou`+PN5~m5dRK`E#C# zoRV#pmTSniL}9{Bzs)s4%|g*b{}^%9LzE(OBI>gU8@J^wf7nv$M2-b()>t({Mtyo} zdbu%Q*FQ!ga=!1HAYl&G!NGCrx44|BYkH9nRMS7M0#-resn9!*5SgF0o~geK9yVdb z-3oEa$Ua4?1p}1QsDod!om5kGiQ#=OY1mdg$zRtL(h$o7|;U1uMfG}qiCx)X62II-9PV65hc|=Rlx_D6S9XY}oQU@D9#$SO6Olx?VNxF%K z_RYysq)6GkHW5R&)v(gxNw+F01Pd?;gb_OK^9nu?5S63G-FkdGbn#hQ{*jH-K#8LJ zgW`;Z2pzrIQkTBubdd_sX=rHoR}kO+?Dhv$_aEcj2(A&Rz6|G|7^8uv3$v{^zOtk) z?mM)83PJg0Hky2wQJgflMo2NQj7>h~`3HKT?vScinVg>~oQI^rHz3Hl-qpE_oZ|zA zqN%bgC)7O!0yeex*6zjPcHcGGQFRaNMko!gfyWP;(2A$Cw1Yxkoh}hDRU_J%S}MPd zc!^XMQ8TymT@9rhDZPTGk6{KTVgppOhQYtng z%vf)zH$p$89b@>r_%k%bA|a~bGcJ>F4iPQM50Ol0#_CmA26K?z%@0TQ|H>9>LjG6& z5WS}26Y40fw53E@q(uQ^8ln48*<$M7Uc*+QG&}Dz`UlDH_g6o-RrRAkscCPXl|@j& znIg3ENa-QW6E4|xQ5azYGzT9z9jXz!u}J5sR&C<@hv-Brl$ zF^&S6oR)RBkY&E6#ky^*0f6U%-;}b(Y0g(4VIu2Ll+y zPz|4pwSscHQeOEbh6iq%#2n}(f(n`td(Rwp$jy8G9 z@I|qdy&C`lEDtH^i*bg(dVHqIRB3pCS#h(hP1E=tG^vxPJQGi$m{cGttZeS~Iw=dI zl(5@zms6~M;W40n)tpmQ8G4fgzW;mNwO3_ti_Wbw;!V1nC?vr>!8o*T zDO{PU7x266clCmRf-RVmPg29(jmZ_{%o;ZWSXZunT`Fv$_Otgc24cm4lixR2)#TZx zC0HSi`RW*oO*`r&*qEEmObH4~_4L6kLbZkwDk)eu?zru7QA~sq5piqpw;;&YC(*iy zX^9!tj*16rcH-u9ludk+rOTr^S^#X;gUv1CR8=jU{k>0xecOt3TqY(m0{HECh=`fgR3TI82&x@O=pf$;V2tRM2Ln)l z5ybGcAak2OWpyUsY&|fZb)CkzYWRJ`6~-c&DtH(_+RsbX5LYq8XcR#*_r1>o`h=U3 zWt&-X%tfICN`KxWNAFaNasH_IRiM{ybv!$V9UL9|JL8JPghaWSxczbDNW`JUw>3+!?pIXvrig77Obu2N)pN;mB4bwW!JEyMu>UC zFjylY@%_RZr#6U~Y%6|mnyz@gS9cT6{-Ni?7X6SUMpo`t-GPg7Fd~EO62_{AgK2rv z{srZ=I|wq|ep(XSZeAw|tC)%I=^ccx)@j0_vQc#)ipb^Roac~O-|M_hvkSrGW&k2B z=10E6WinN9cQ!hnqtvQE_t#81WKFNT)d=r2dODSaBSBq&#HA!}pIoNp6W~o?Uu^$KSUF-~XYYYkyE7ub=KH4FKu#>6(SP6Fe8!M1-EBW#eUvn>rC_)T%&>%^+QkKi?t}@fLWPMYRD8aJr*tTukwr$(C zZQI5k+qP}nw)f1ueeTyi_jN>NS5!n-bgang&dlmwi`zd472MXOW5wKh%YHOF7>i)m zjK>4c0|QzFY+Bawo1QuD54p}Kmgd{ZvtVSr?*|X*G?g_P6!S(sNL*`Rl=OJ(ae&sO zjZmxGC6tmVrJ-RP5VrYrorJXwp8nNFrN3l`-cc{YL-%;~3YI|^BX*_H^+23QQY*g4 z6eOX=r3I!(-!@QQ zga}_b>nm~n9axxBncSE-vh-eTjVM};vLxC1$9)NSi8P5K5CDXK=tx>)#G4SN*ovs0 z_ijt9&25**FKGROolA#e1Uanu7_y(C<ggyg_CvWYW?D>Ku|mi; z)vyRwDft%cwt4UbOD0(R`fXu;Vjdl%9?S?CZu(Qu6WUsM@P?8HRTd~vO%Ule#cV7A zzPNBoalBLt^>X}bTZoR49?kjgO(po%cD%Zv5Q3P z)VF57Y#qRJQ_EXiCl);Y>EyqOQp%#2CzJ|7A=9EL1>jyPu0pJ%lu}PQd?49IhQ8v? zkvP*AFl$fWrZN(*DjETPT*YdCwfAjs+--MQQNk{!18B)i_=tgmcxssw+Y?f=&Gu@; zNyY1ymX{*N8xKQwzK@i=B8gmvoWpP^KCoQ*@L^=TKZk9PaEUQt9@#7KtZXDO+c+w9 z_oy9rBzPZCs;_EJo?Ktmd`|^{QpqNipjqb86jL{lWKAS+!ReGx@Wfmz!rx*vGodFX zAXj;5TP8-f2`+nlwG@BgKLgJjDRMQW*JQ@;X>-W~*s3Qai!OzR!kwe5jKBu~*joEm z9)JwfVm7F8sZt3AzTAB+`fp?*4G@WIZ$@Kuli-KWGe0QBDkxYo@;LCV4b_0NlFEXr zB#$AMA)<5%-8PB?C^jWw8fDhaKx8IU?tYeRtMzz9s%VD;GjpC2YjXPKH|f%PpTM#W z878X2?2Db5&%>Z=ow<=pg2NH|*FZi?>=Y11WBbSO1n2D!>khSLn@h^2<~tGOliQuZ zj@>wI!47Ya@>U>LCf~G6B%SLHfsn#UjMTjS{O8sZ1bpEZN0%GGuS}=TgIt(~3quV& zB$9mnqa&3k5j;$k`oCnZ!q=a~f92Gq^xwWxdBBx79HegqBri}SvuMR2536PT@@_^+)SM07*Dd8hHuyx3e zUIXo6LTwT(y364I3d=6p)j@h%i2J6VSF;_CQibf2Y-1M)Q#l{Ifkbs+8cg(J` z&7{J2YVSzE=D*PBq&VzWTDa$Xmr`iuV2FyC0*xR}7lEjN9V*wvr0i+I$YdTSvd}Bf zvpb9Tj|oqDtIeb!<*D*%gMQKgSPA&b=b#8pr)S8$3kR%^oRy_)1f_BCka8mdXy)#z zBjsd|X>wVKz7vUAW9xbAIdGe?{KTxBM^x$( zV?yj|XDMZA)FP>zJH7A69@+x(If}BmFoq@eCuW--Bqx`Cx;UE;K#SqDlNdh`Nt-G6 zJ*U9lVM})XZ!ga3b;Lor8;d@*kGNektAX~_MZ34=O@$kdPHd-j5DKZQ6eYz?``StZ zbC|uus?GEQO+0B%nAkP^tI{@~w6z=15NAalE2loM`!ZP-aj)SgxIi)I_PdZx-mm-$=vGH2iWofQ>L zp^BnZk3ssGLAZT`PG&LNG=}FTzk#^OI-0dDjo7hGtZ<@WIM!J{|jP_$RUze$dQfS z4d96da0X9we7pvo(%9N2N=RdaD$K5VWUbnzp2!Q(eSo=F;b!{RO@MO!hWR#Hj_TRs zc+Dp9h-=}@qJy>^6p;px#HdJ1CSwyDScAE16=u1xBnZ1=Im10U4QARdzihW%I(~L% ze|lJRaq1aN_fH`St7D z!ePksCT2=?CeCJv7PbBoon#ZZs}su&*-|8x6^1|K4R-xz;FO#E5~kACIEGjB&KqKU zI}+0{?5efESd5}6&;048G+2$$A}UcK9PAOmwK6-Qz7Ls=)em#bN0Af5FpI^2&&7e` zFD-D5Ggh0_P7!j=VFQw5Z!EnI?OV(+J0x0huIAwGyE*D0H@ToCS@6-&olV6ArcR~5 zll+jy@>`KlMC4!)_#Hs$u{%Vo7t$9%@5c+o!d+x`N87Z)+2}1D`m=n09EsN^O&Wx% z*hd10vLE_m^ybAf!Nk`*8MLzH`W2K;c-*GOzHue)h-jn?I5Kg6>WHWN`0Ynt1x#-N z_71=n4K5QmyT~|VHv=?loqgzeZcmyAVnjSqtgK_OgDhq$j~>S8dpJjha#B4KAf^M| zgC}oEb4+}}(lx729y^>HdneTjH*n3=GLTtw^rT|kRc+?;&sAy%ERJ=maIb`|fQqz1 z`}a)+Ygb_>*SU=!Y0(Dabj@Yqw6O>}Ju0c7R>P;eB?h8s6fgt{QjVcL49`m`fO^Wna{L3i$A zIx&hj(qwmwUZswihJ)d!vr{X?B#|eS*s6~5(?{o=xJ}h_^XXo_dd-~V48#q8Tj8_4 z_4bGN!0#x=Bf@n%JY6nSD+^YIwTl>k!bn(b}%bnCkhQ>{Cd#eSb zv3GHhTP3R{NRYrFxmOw91PDqtDpma!rySk>Xop0jMGN~B^sr%&Uu*0iX_dlSJru^V zH+99vhh`j|Ds=wgH9Y=h#z_+--2*&XXp!!vkeml4D2g=leK7GS`|I6azj&JwtH zT|~#1>ApqE&*l!ts@*RPPkd+!k|}H5-S~P#Mv;-jwjWsMX7(~N;R;kNNsrQ^Mme-E zm;*<&3ZV1_+aFUdAXjdw*fuCj7vML27h;de@~1H~K!O*Sk4%SyflP7DvXTHt{}1M2x=XG-p%}K|w zT&pOSYhLyguTxyo`eO$LV=>Ak{B3O_w7Uh(((#P#CkZ1FPRN;Ns3rMu;oQuSXwiYX zYHCjq9753ALh~94NB4@TrkJ{1_E2PN9;XY^ZSfOsez*p-#}#gR|X94xxhuL&l0t>wyxBLOI&|B#ou~lx`|m!*9j6KyoWT zVmGHovGda8Hlf5YT58dHJ@~V`Y83S<6mSkYC=nmfp4+gG?Ojj#=$a;mPl2Lu?N5)r zC8w@+iika33toUzA5-;0-8y2!R0gDCEA)Pi@X(P;t~P})Hg z`ye#o4Z0UcctRf{x5l4dHNp61Cj7+wq&v~Ded6K2f`4@OS#nweHj{rJTbD`JucWDFO)B z5Od#?M7>h-4VAGjju`it#aN<4WpJ*9W^!eXkUVMPJO75Nj z&M@X%0sw&rcUd)056Q$=!N)(7IMgyNfI?vRd(8NbNYK>v|4|$uv<|K8j0h;{Uk; zEV2!yf`4(0I;kQH2KwvLeTo%5;fmEXC4pFH3VTdMPZ-5BO+%i@NE7Nf;lGIP6qfWn z2YQ)b1}yiyqpyW>Y0S`5RvV;xlV;n#JCc0i^I|3wUNxu4X=Z+>2poLuR!j|a9;T5aq@pmS7wrIfwP&jQBq z2-h?u^m+ce%9vu$9#*fDV_T&vGxzwCdT8SFcLa5T z1V%W}CMyI6{3TiuLBpDUm?)jiGYibm`=rVl2A$ubaVM8kA?mO9 z2PuvIvjYsT@#kC9M?WIS)z$zFgn-Ej;s6)D&v?QdBCts~WU`?@pL$9?Aab0Cf$d&c zb$jE+7;7gFe+KpExEfWbzT4oR-23RYeez1I*7MnUW-lBrhdBCdUVYu0IJVIEbT`R53TiMvooTXXim|M=ATRn? zu7$1+VQfc%*K%;Dll-`NIj_h5@*^^f0M|J z6V>>Ql+cK+CA$&EN@MJb8Fu;)MSO=+9tW&ziSbbl7zm9EF zICLSr_?6a6jfnyl#7!ixBBn~P!wKy+jF4xQx?y3yHoMKblJV8+iWnESZQm+t_qaFym(!k zPy5G;UUybCxPLpHEqP!6)UVDczYs{+`mMGdFcH7Zkm&m>p~8qp+SCa>_S2ycS`?Bc zxw%d?=S@kNJ7k0MMl(hMbt`m-rzv-mA!n(j3#kPk2rMVu7_dKWOr@905nHkKh_SBX zBPJj7cMli!KqK>;cM^bsKc&B*97nNJ+CqUQ#iOou9x7c`2c4qwU#z+^F*<_?@>wLK zY#PSq)or4fpjh6l+Na7Wu=GxF=rmej17o=0)XdjO`-$)lXXaL-h*fQ>arGP}^6#EL zB|MFvbQo^|wJ<`+%Z1ZfROoI54rbR)t;+$q~I+Yd!gNn5!=$N#1STz7T>|Do{o))}9Nk)2zL!KyC? z@P@>~!JP=*Qq=m!%qPKlYw-Ruj7)QyQo&x`puc*WypH0z3u;EwV&{-GwaXIlDdxZQ zrWr3{=tS{}>V?DDV(^XS^aBV_;wn`wjmJeazs0__G5(TkynFp&a89k;r$sBsjzybk z6KVtw9v<&LtR-v(w3cKp%!WQ0 zMZtdj>JO=pf&V#)6To(6f_cl#K9Ffu#U9f1&U%}8bp6G~XeHfOoT{f%;Ij!Q(uP%p zYohc>SJwDz08;D0;9pJebYcnc2?4oGT5Q;9kUr`FJLqa7ai8cFgQy79Hj8~Q7eCq& z4pk0!9u9f5zVmRfUd+-hxap?F^WS4z@`_EQsGOQlJIXF!w5|iM#J<1Y1S1y4XQ7Jf z3eo*VHzkPEc`Kp&^MHH9&VWRPkZQEQii{T6zHyTxB|s7=C`h0;N(ZGC0T%|comE$*p{6)J%NQAr;4JUjshah)L>H^*s zkW5FZl7)d#LX~N;ncEu&1i~K?!(7MnNhyLHN(uy3byDI4tP73Ji~Xd}LM$beeNrG9 zJSJ?I(jK20LfD@{xlMzop|xlS^x^D_cN7 zPs=uUH=cxU`1ERyhFuE+WhoH5q9t!R-?{_5)AkCoMMyV_!cg5!Pu#W-t}U>w=Iv+; ztoy|p;w=gfH5MU@R6icdqLiMz`krYR?gF7s_6dMt=@n8sOF+f}U`3ufUbn7!RvD9A zJNN;E*dXjon6Q3ZymScym{|4M-sF>UP1xKqn2_l9Qs{af1Lyrk>3m`|#gj9nh zyvfsKPy5>Q0Zh_>MOhFAZ6`4=8Md`AD`=|5sFBf@6p&Or&0{2U-D;(0ayIcfV-DyL zvk}kd<jPgc{921zB)~;17F%` zRhwrF(38nsrUbpMvaA7S_^s+47hP~?Qd|^Yj35D^dy$+3s>Q!MhlU?h>P9yJGn(p> zP2`Tbm6%fw7)$@Ap4^LEdyUbO@>5lqn@;$E?e4%iwv-$EpowCgYL%nDDC6IeN)qCE^w$5c}Cy+QQ z1|U-#|NhLvpx-I&rDBsD%%;#)uB*wm*JDJPci_e0y@f|2H1RsM+*dxNF0dQl!y`j8 zHF7i_p!ur!E%|P4;tYqNyqKbJ;NoXOKB}4Rm3(NHoTUDarUOaLGX@QnWA8bv&B!fz z5c2?ni-S&qWh`k@PtON;g_@KM_06sR5^_-=J z(h&SGW2t66z{VnAzjv6Wg=%1_<}0OB`IcV81uWb?5fz5HFY||u`ikm~YF*w1w4{Sh z<%5+-2L>=h=@RzygkYH#gW(c)kbDGc?E3QF&YBc_!@w3Rpi87}F0I?OC)Eic6=r>h z`)ecCs?!BW;L6|>B4`;>L|$du6kVl4cbvqAI@)uJjz@bGONo1RmmW>3@;PCqd1HN< z!UK4U*~Bq5_q!^H9QE{qySc!vgRa?1K+91QdsJ@!@#ktgDGMdq3mQZPCWVUwD)eJ6 zSl^*)BjHx=C9t6m9=_%I$$U}1gLKI@f58|0=46!j0ivZ+){Fzi*}_hT6An&?z-~ zpy;qA|&?|L6Go=ML3ivT6)QfZ7 z$Sv^5*eX6rl5*q_evNSW?*-H&dDe38M!*&XdX|0B)oG%koYOVNN>=3RwzKT$}nUAZg19!;DAG0|0` z|J3#yhAYFpo&;D~)Ld%22BGirW$arb)8rn~w*cc9ddxo=pYngoeGayYS3(R;xz>)z)tg*&HI-#nbqos&+S^cU91%1Ip>0gv+^av{ONd9Po6%N zg{C+2XV60QVokDWqyE|Pn{he$lI#l_{nM2-s_WO*A;Rx}nj$Ytx?3oU!SVU22ZiiK zfaTK-*818gPj57JAzR(opW(1T3(Gi<0EAZW)m@_jLP*pH2P$;0P0FBICT^i)4Rk^u zxk0JcHy-A2^i+_;*{KY8_2$9IQpWfBP)iHm10}FF4pXs%K;e{ZaK&M463D{zv^`^g zRBP@YpRZm)7K;hT@pzQ<>L4pPvoEUu6+h5|VW4EX17~VPRbh~M$%Zr^W<0KbZ^9H( zxfUaRFQ#}JywGI_l^atz(k|tQ(gi+W?@8Jnqn0Ru^t^56|MJ>?(-|(2ZKS|CSJ0ud z;Ym)H!ptAR=!>7728ip0lZM->p!^~qn~Zgl#|4%pi@CbVe- zxpjBC!r40K0*eob#+D}7C{Y0sz3h@WDk`ALKqA|qiHf?$yCOT)PUClm(^)# z(~oH8n!Z~IAdzMzkl3UB;a08?wuzI!va%dR&jGYrjrS;4 zS94UMAqK!cAaX7z^0`?`Q-?CCxtPCG?HiWyH8=c3n|uNy^3HJiey}G4{;IL6p_o`% z^TBkbNoUQ1hJ{4-lsDadFU6Rv9U!&p_Y6CF{boibnJ~rl8ZD5=gg2QCmdbd^?~|B?(qskpcTUxsT=@LmLD}I*IAaPXBvdRocYl$SBKbQkbq7F zBS~>dUV9ZJ3Z}BLg>3*ibw$xQ{(i!C*nJ>(oN)P%s8*;<3_{R_Ryu9}o(>cn9@K;r zpKy?Kf`tF&6%B)%jKsVxkON9oF>DP4OEi#$2!_0H=;_7``Y@ly z`jzAA39cvZ%oWnp5*TPkMj>yc@sYM6TT;Z?2OvY3cz@-R2PEK2OY zIaFqpSVyb%d#)kFaFHN}L(v*?o1E#UaV2zKLX^nRXVYD2tOrzs`vPsOz$%|-3OEV0X04J)W%?R9ce9A{6Bb3hhkQ< z+HA@3TVJqGSg1@pH5$#pNroceG1?ahH}fD>%NI%5lwiras=dzcF$;C%9ECo(&cORD zOf46OSqt=}8PKTQ1dh^{`wt}>sq{L9SR5vd`y)EbBY>qu2Ts*Cf>VXx*p zmkM`#sz4J_{e8XWnDt}oNu!iw`oM`PV2~C`apQ{?Iklxgf%;`7>Dm1Jd%hG9$ii-n zHieYQ7vpQ7(>4Wmn z`H(Qs>UxUG`Yd$k0s`W1L?C984P5uxG)^|h`x7gqrZM?w1M>3y4S;M*?t8(&OYSkO zVh*lKuz^6XU-P^|>D*!p29Z!pE&XI*>Fq(}A?(}1ix*J|ZAEksY`_2d zPF^;(VTpXqgOPS!JrEy#FBbqPClv=_>oCcGy_x}QX7*lb<3wQVm z5MeH^&V6yOc?QwB82ExNR{Ow@UUZ{C0!vN}Q#o^qlqd^L5EUu@ne>Cb?C9-!uX_V2 zXg&9>G8ZOjKx=T^B6y%R@lkCIJv9%!nh>*NmA(IUUn62LWct=OVJUEDVj{efCNFx~ z`7^|7$O7zX7ZAq=SF{u^^a~4j1qYS;MdpZhM!BR@7$8*9qBe zYg}0q{RhL~N^&=0O)k%!7-5J;g&|am1sEXV8*m}ZOsqPXHAf;9&k!weIondKl{TM- zg_Az5L9Au)Xs^Ho*J#Duz1DSCo<|t8RXYt`ovuZFuOp0C%Mhe1dZdPfqCIIgW=(f| z1oJ(x+tW8mcRe0ZLXPg;1evT`7V#l+8QF-&E_ApQt9kjv|n7hA8xEGEx{Jf&JJn7}%LN2KR8S%124WUc`D(kdVS|7>j8lRc z1|jJnEFHhsusfO|TxqJOxu>MD>pH;O+H&=rtIGAWl5@43CN-DcuzNJb*hOh$@tEdI z30{_q+p+S&KYsJ)TaW+{lal$BQ-PFGxaBMXibMQhh#BH*tQHr01U6%*qcawIF(lBluvO7`(iri_fI-{n}MRzonq^wOP_PxBLq>6B2|@Jvyd zr$|6DAI9%Tr>_2ti_*)ep<3I;-Ud2#@Y_luV|NJ}Ol_GiPy?Moh(njCgl^kryb%$p z`NJc$iQyC@1X6+8L{IzClKkGj3~$GiwSl7_C)H*Abc6--3@4%X@ydX+B^k=am1=3w~KA3#AG7z70X0006& zG`>&G?b{fg85#h9?q7rbH>zOiVERwc+LX@2$ix_s4tnAFKk91C?4m*h{|;6L7RLXa z35*!oJT_-?w3W9uF~evX;tVUK6$3~@KzzW$abjtMgKan)VF^QOWMhPL0LWSi zO`4kECApV|WbU}W!Fw#|z4?AZ^xXa|Rq3^`e}m^*rqc{1jffLRvqF#=GRxGu$d8KH@xOJ~C+55L zQP`dCl*X>;RA{U_&t0cHR&n176du#{(W)O0u09oUt5Wq&tB)>09J4>2Ja7b+&a6ls zyvX%l>t#ek-PR|l{>Y_BcQkUVZ&RsDnQmO|PWCyfKb~`R+~h{~wBV>$M#;&vFW?h@ zLt8KD)i$^3ZffmJ%g2`}gjwi(@}u6hpKQxjr0i9WTYoqi`dWuJ_=bO)^Djwnt*?f2 zXKy*#7`yJA?3XX}u`;JyMBPxItevd1v?Sr=PmHGVQd78g95xo?5zFxJ47cLC2-wnL z9q4l_7&i6JS5e}Kg}TWWs?+{WRa!5if3}1QS11%#K39hJuRzILLjPn9O;;qWp?t0i z?Q>uVcA?&?y5CuW)m#?uo_Jn>nOfe2NBYsEv|dWJ1l|=ey=kvbyOWpF46l{Jwueb| zJ#5tKZDM)d>ngq&fR8$!~Yz)wP^)9UzS4Ue;(G@oBX{RYq z`uIWvKbx^f0P75K^=ym|`_XrM1St>pS%CGtvf|A#2U*CN`(7wTi1`oN1P>=V1 zP75I?Y~L1QH*jAUVmEl-7lJRq03(PQAx3}!N)RuI5n@)z0WQc9f-hvB2Lu#?j36VV z0Ac_I1Yb}g$N(z{6T*zJ9biBd^^@HCo*WJYU)TXMNS>e_W}pl~Cve{!A%*ar6GWZx z9VUqLpTY(M{JhL#DFbCZT4PVCPQc=6OJa$X$V)dk$uQ{VNN1n|t-t3eRdO=MN!Releq{$MyZWAC_$?D*mLR za4UE#r}pIQ@ay#JxAOzwCywX;`FTkcsI>P%MW zF6H#hFeZ1biEH+R4-n_AcxLy3^bTcyl#R^``<2$CLIaIba6G6oI|>X7ic7AIVwt|# zs!x<7W8%b>Jm0+r;sdR)cs~Y&ozLO!H#Jtr>{eWIJM&aL4#ze+NA8sNErG*${UeDr z7o!={Sv=k`t3x9AjA{we)smsc9^UYi5VI8S+(~eO_^NCsxPwe^a|Ab z?vvdMaUl5F2hN{@{JXT`HUA)qY<*>vRq7JvN`cM6cUg41TCG@|5?dM(S4UKrMitsa`F4POgE~1|5XeUBcc<}?Xgu;z?4bG`Wcb3T+Jk#jV8nb8lOdJ( z=&%qI?vYd;E)U~vq0Y-9y+G=`_-+fX`l&p$NDPxJ`-CKQXZ%Inpec#MjL4XoC_4G;@1p1)*TUlRH>H}FL>(3ui;bn4aXB2O6e+Pe1qt1gMd$#guA5q{e~#41k4NOM zL)f>@;`;^PbMiha`vwaneLAdnNBP5I;e(LbEDYwWqvlaD_=iM^IVs=Z82Y;fRp8$Q z2WFekq)O)@a}k$dB14Oi>3afUl5HKv>(i*w82~5Z;pC?l)Ur{((t$bTp;|7b-%JMUn0p%vYxJhr{Z(LsFxY zFhlBmt7;e3nv#zaFGFNUrza3OCQVApQKLg1MDnAs+;$eZjLPJZd!9^F(?c3vo?B*@ z2anm=kt1Ga^!U4J9iE>ihX)XtY=ex`2!e)XVsun4HMe^W&66dLN|XC$l2GA^2{967 zB>$k4imc;hOG&8kB*LMj+{@QiQ^iBliES3H_x&g;->G_+c&Zs1DMZHX=?p(W)jED# ztvzXx-&RXse8g|=KQG@R&v#j?@CXZi=34v`BEP+s-;zY1@G@8Ohzs!9tMG^m`HVIG z(Gh;b^?r4gdv5JN37P=Kr?3f{fFEJ@d`cb3McCTU&`bC<(EE5dAQN?g$+|$eRX^So z6cFTz%TOCHU*aT9V!|v7&&{Bakc_#6=p38Rs;aLMaJ|Fkm+ndMedTizX&rmRkFm6# zz414x^eeW;ZzSm#ZFQf`gdg%1e~U?9?29^$=Wf6Yf77ui^lJKyecL$3`*zkh9$UTD zOdLA4huH)%e|3J-aU%JuKKBhQd)ln_V-Wfkf7>xA|EhkQ$x!f%zDDE0&?l%gTerq< zDJiyJ_3va7IG%?8P!c$whX2xEzxbFyT5GnPb%BK}T6VU2+X-gz>fg;I^IDBQmq~8F zY8}2CT>i9LjmD#~Pwv(0_lCfoFn%tAEN^AqkFYMPk3R>~D|g-aK0f?D)$c7w{Dp)rx>>J_QwtYumWb;*9@KkN>!|z|B8o(W9Qzhx zdXuUAHY`Swse73jE6sYroZtJX%NzAGGx$JE`q&tI$y00%vo7o@keMR`Zres(ElKM( zfNF@o4#Nq<-Wm#K=FeC(Fb2NLn(ptM!Ije6>E(ihL`k#vTY$r`alihvg z4Ut;*%hAraQ_E=A;-n7x`oB+GqgOdhg!==5zo4gtA{vLS%Yfdm4+EM~d(3>Q)(154 z2|HGgDXFaI%ULQb;BgSZkh$R?V^%N!3T1}zLWs-0K#F^d8uPxYCNfjapkTxanUFm0 z8JQxyK}Dbr)IW3v{xzikA0Z19 z8{7B+yTSi}^by60Rv}VSLqSTOB8G~DL?NnbpO)Kh7-}j$8?eW{V41lF2_xJzEw_bd$+39+#DC*h&E#koyeN9RfKalQ@kanmP~eS8q*7E%lc; zDxPP{K3agk$Z0PP*DT{N5xFJM0oM<_Cmvy72F!P*b;o^5FAu_15_jE#Q`vs6-K4ac zeC1KWs~QFIRI$P>jvLikOd1=mW^JQ|32BzY7*mK>(f8PivA(@UzwYwow1W?f~UqPK=9=mscc+xm;$6!x&&0UVAVv=9 zGKDJp=gF)aG+locbVwC|KoXt(1I>KR(&#~@b#~W}*LAfQ=y{I6GY~VxgT!v7tED(r zWniWXeOA}<{YN47IS(YVCt+s-O`smFpANwiGN%dHLIV98M+h*#OLe+~2&sy_Er#c1 zb?_|V^TB1Bgi$&sOhhOYp?w!Dvq>RKMAUYcVg)I89F}|Q6aW5s8Ld*R)tRnpfBM>g zo&BeeaLA7Ka{&I|=%6DzorM7b06;?m0Koh&zoK(8F>F!Ow%cMr_n~9s`bh}ld%MWwp!80wMPW8Vn(&M!&XMJ#lLpdrV-!cfu(nhSAw zCRD|QIrw|c*RWh9nU}kjRFhm32_aYcn6=}IvJqOo@h~a!His^D1~qr^Q04W!M>q}s zyy$$73gV2ay|!s_(Ng;#Z4SX^sV6Qnk?UVz^TQ|~8K4?GFV4CP!&O{(20G5ezGo~J z_-YN*Is$RUj`+VsQR4jOSIDsCR1VsZlK>R+6XqL{A4SmyJczMEdI)F?`j9j13jH#e zPVuJGAY2Ed4!Ve)%6vWdGm>aNpazwXs;1DJ$ShP*n$WbZ8HY6LBA}Rx>B9upEOL#KyjIM@;(Na z1lmEz?d4QZwnQhc?|l7hhHV#c%5N}Lc0>IA-~h}=&Ly&g#+A}*q_fd-nzbG?NI~r} zN8AMA=Tb4*s$t`=>bl~1Dvb)N=N8tWs!?4a@hU_6C-dEuQbpDfV@D+zoYlReY75c(T9v z4LX5;S*dl>g$$xc$l;B~Z|Q>=@GTD{z4Y&|@OGED5zm00A-f8H_4B^Q-}}8O$}A^sZReT~0?d_%@rLBA#EsAS)kcTn+f&);vybA%sS@IAx}BlaG_ zeLedof@@1soQWyvaYLuA?;$$C_4H-5pS!1i>~7E(o3^S)*e?AMT4tUd``CNG#GaeI z2brWMxu5#B@+aQW9ml<9Fbc=OC)NY6@^`z(XOt+@t?ATJtgWQ;H+|PfY@`nc)zQhIBX6Sc5q{ zL5_zDAwOq$To8002Uw(1_DP+15HGouE736ZAW)y~p8*-19Fs!|{#wnDLzZfoKw`^# zgc6D=%4cKRzuHH8Y_lh`@7h_m;~@F-F$!ijsEBCPHQX!gDW?oaTEO?blM-Zn#bymq)N-Hocc=EPe>`%Y0GMYww#({ z1Je-$On3>JqZ*F0jvH%G>X;|KB}&U{?aF4~zC}941J1LJd5nBkap^RbKTEm!Q5TND z#)P(Zgw2IZu*3XfP3n@@e?6;Jf%$M9F_+FVrd#RCOsO?{myG4i^gx9Lx`|fhyl>B1 z8d%BVPAQ7nLWLL5Qcot+lTGgBBf3Wxdcp42pc0vdna9 z{G8zeCsUy-B3#^M$TGt#`PoGVJ<~K-_(Hr(+y~giZqq07@!UDh!^7*b-?)-tv8j%E z@_Ox_W)6D?tY`Z0YyV(aaqcE!-C@`03U5jBVOXMjiE}sYZ)kRlS!)slyX(k`E%C?i zv_1m6x4Q2}Lt>aXkrjK{e0}qFXx3S;xly&U8M^g!FfvBzPJJtX-=wzg9?P5$dBT8^ zx)h$&$yOhZe+#Z%aO6nhpG`0pYZ22_7u%HLuRv=TPoOXMzcwXSB}9zF0HZ#8#Q`x- zOXrm)JF*LnK7FC5=C20DoDO{Y+2j5-&&h3RBxZzr2m>TLxB+L6bW3-`#tcp8-TLvk zeMmVV^2O8iBOYMbjGGu91C|8(wcW=^HL*l8?M^t(S_1n+82u`{mv6$tY#5`{`^UmK z@__zsYw}MaX;99krU^w+HYt{I6z7ALA7F084$);q8mjEQmbG4#la_!vdZqMV`^~$P z`n1k%{cFn79n%J#+K{gG=a;D~!9%&b7?O}uPD)3^&1Q?hl`Pg$w0&f&Etoq_zi z8dUWYq)bp*x<<+<83))??U>h>2$47wj+huz-K`KT{f=r2MMCC3!|Fm?T+c~7Y4vmU zR9*2CK|d%|qTVfpd`FX42rFr~W+G%N?B4-0u zCQ)G0FCAQkp17-l@DR;R^m+Ff3p*JS3)pM5(KCT(C*+!#D_Z+;q8ImvlBy8n>=cdzCe-n3G55GO8@xH3p5X4O3Um?(oLsRPayM@6Cz zqi*Z6)ALPCRjiYn5W1~+q350WE#f217(MQmL*1!qE@P7xQhN?S$7@|)gHzH{J5cn z;%1*pi*1Za84S9#xFRC1GMKD0V;lR(7S&YurfI{Jtb=Aq!gP^n2BGXr$x=p^Y}FVO zBH8Ypxpf=Q={?VRo^zgaKF@oe^PGR)@9&QjU?%T7&fsd8KzCtSHN6K62exi$AS+a= z4rZ3z*vYUA+i0c@EfOlC{!N9bRCGedPEe&sd#*LxTI^H0x~=kaq4HqXht5INN>g-T z2JbJs`{^ljfV1F=0S{jBT+U<|8RQ(yF^$eMHUx4SA9uyG~ZO(EDmQTY1r_f zvRCzJxN58S&>y^?cnW)#V#6rfGfbSL*}yTfqaG_t2Cn)VU7FJJuw%oJJ*^|>vN4H;W@V(Nu92Z66|Zn3=Np3*4Nj5@^&GG zzQ-K8avw^jD0V^W6DY^~hnN47&bU$)M$9jtN-8h#2_kkpT$PHW-xlk(b|m2gEU6k= zHO+NLG#O87J+}XDyyG5*>vjT7|1D=OM+&M0dr7KHRP0gA3=Z>DBfe4ed9Hi+o%5^Y zf;?*vhz=s}F+xFU`v%;}`5}#>LiH=MX4hLRiW})v%}-(-Zj{ZrQ$uobB2?3kSZy-i zV7VzM6QR=Dd0tvD*6b0J&!0U!z8bS2>4LEM2dZBomH8+ zH=MNJ+j#c!mxj4bgT)`WyPS~aR*zG~L!BwNVggxPD$&rT0%3()L$FNGnotco03}{K zea>$`J8FcD;)eK6&p1&sP7frF-w(S}opGke09IJlq@OpWfVJ^BUxy2nCpozqE$I{B zlErlw3U{&U)05t)Mceeyi$8XMBDp&(jd__|lS0!vO%8Hpl!RSNoD0Qoo+mkOVp5Mh z-df^kH$S2c*RC~CMs9cp)0q*SoK6m~wp`x(cC>8*-`RaAJl?2kAPUBb4z6{B4lXD8zLoJ#2VtQ!oURfkdoYHdjv+b$aNqI<|LNV^J zeh-JKa(s5`RIL-aMN#6=z}NO!#&e&A`Agr7=d*Z^I@E$i-PV!h;`J-ZOk2vHQ7myRkDeT5OnkSc6U*Og->Ro=TrPqQ7}0 zJ03l>^wb@y-)g5y+%NHo(S}&K$@~n%7o;N3Nr|7vpa&52lE6?mg^uwGZT|%hp=zbV z%|>0m{gJrK>^WO2pHrJ?-ZaB`A1kx@Sey3e=t&^ zwr@de1Az1$0NBL8?|adR9X$JExtHMM5sU-dqnwM++;~IuVP1B{AENp7t)audH8v89 z0n3MuA=8*n0Pvg?`XTw6MjS+}k%TZ0u#A%!vLKfNKvt!o=QrsuDkOMb9M%gg*~53o z-juBaxGczjfe2smi$;Vp*2qXK?k9>olKsSZ0RZ;$cHR*7qi95UsED9xWFWXFc=`N) zNh6Z|MAtyxVmg?Dvq3)n_Hw6B3+VTx5RAdGnE-Ez_zCkuG_VJUM*?phDe!yvQ4*Mf zlNABQN3Ef}oCPewGp;~FV%A9B#A|CMBFeui$=eorAE0rZD6j`GLpTKJ`kk~u>4(@y lnWIoB`!Iqx(jIFb9$}8bd53ygdE%pVeF@9j1EPtLr!b)|l* z@YFK+kz?29m;dg_Im41hr_p{gXbqD4{qA0}xz}r_dueBw?j)Ub*lrDm^Ot8vaabk( z`^xu^VVU|~ZCH$lkep(5B zQVD)msrYdG-?HPOdOx|c-)iq9wc5(s>hfyR?Id@a`^mTWJ4tmJ`7SQjE-tMlH`ecD z$)hBCZoM%~<5Ta$W;Ys7lBH@=J%4d!?P7HajM`H4?_qT8Y8OuK?8N`aob)F_4Xt}& zyP0l3u&&+eJ&uDjH>2a7ZhgDm-F`6p=eN>b9Idp!aJ@0yZYI4}XOKK<4Vy`)n`D$^ zr{8**c9MHfl76>496br%#pG)H!P&}2cqYao-Ogax=nO6GMsVik=*8ZCdyv*2wRVQh z$3+AL;Jmi>_V<#VwB2|z zUKo|MI=%g2J$n`lSEH}tIh@zYle4p1IQ~z|GOU z2T1;A^nAP9?G0v6z!Udco$SOm+R1hIofrf8-68AOqCddd z-)`2k+#A8-A71?8h3LhsaTug%h3z0ZIeDt6cQiWzN}@;SCfGFPbNP$1MyDn@4rrST zDM}clM|xYoA^Ssl3R7rzh*IRer6@Ev&P1kqf65hH(NN> z9Zc9H=ZqxNZ01t@S@;b0h`$}Zom+6Vx@Lk6n~h0r-g(kRFgBa z8h^kiW&Bq3t-{tz_8yH_EPxkBdjZVZX69S*Urw2}r4y7h)Hu1vlsG{S% zfF{|6$sx*2ykf&UD{5wA_M1_F%il_8aOTL3jlVj3WHGq%Qa0~K7xfsxZr4}-;mGkY zo{65j-R?e$k9;p3%)0+_&%E6j44*zT`}OGbwNB$6ZVzs_tAylgw~q^hdAB>8M6=`7ks}=@3jmQXx!m6#WQ^CMm)Bc^tcthW zt=;AjS&+-XSE8BC-A)%Tikk~Jae&&e$!stK3tc$^$ zxK+&lIX8Y#9Y44*esFpGU~~MS8T@ek@JH^!N$&BdxyN5sg5OqxwcxE_BiIVQ8+;Ia|2LI* z?YN6PHWR+??pBlVY=FD79H)7t=QjS2VesQH_~+bR;p|T@(SJ;u*)6X$~b|{SGeB|9|yuepa|d=j^SjT`CS z0{*Szb>uG=e}MliTqSUo!!-^sad?@-D;!?s@Oi+et?p9?>#mLpXSl1J;jVOsyV@D< zif6d1p5d;1hSU11)_89`a9TfbT0d}FKX6(jzHj2Ttn;PU{Cw>tCKyKX6(fz$ed)B1ta`hnB>fz$edyZU`EY#0yhbq}zsovtW_1H*bpvK~17>vtW_1H*bz>Z`x&gDg0kgURv$_GZx&gDg z0kgURv$`<`SlxhG-GEu$fLYyuS>1qH-GEu$fLYx*{;Y1mtZu-pZosT=z^rb-tZu-p zZosVWRbDq>RySZ)H(*vbU{*I^RySZ)H(*xxd0sbQRySZ)H(*vbU{*I^RySZ)H(*xx z8m}8Ls~a$@8!)RIFsmCds~a$@8!)T;0A6FM{;#0rNqZ@Vtx|+R_u3|6f>OBO!!qzmqzKh|y7kGdWEQMYA3>Y83CsK&aQ2TKa)!IHvxu%xhop04IW&zhdD<|FBv zrLN{9-KjZ5AzRZUpMj+lV`-n7<&d-ndg?ULqpg9SIt}#HX`rW013h&b=;|yu%Av!=)Q`AE8E$q)HR zk3t^b=OasgFwp0R0$TDz0WJBVfR_AFKudloprvY;nIH0CsW*ABWzUOKjcBr znjSyoBk7tYKjb4l3VHmHk1YAYK%XB9Xvq%+wB&~ZTJl2yE%~8H?nRKky{|z?1X?Ptp%ONk8x;{lJs-!@GNue&9*^fhXw)o}?dml78Sx`hh3u2cD!K z-X)au15eTqJV`(BB>ljX^aD@Q4?Iaf@Fe~4Zlk0hc#?kLN&0~&=?9*qA9#{};7R&{ z=k%NQGL13mif*7Ox`C$X2AZN9Xo_y2 zDY}8C=mwgi8^)rd8)%Adpeee6rsxKmq8n(6ZlEc;fu`t&aj579nxY$Mif*7Ox`C$X z2AZN9Xo_y2DY{_{D!PHD=mwgi8)%Adpeee6rsxKmq8n(6Zul@u(G4_3H_#N_KvQ%B zP0`3OH(9M-d+ zGA=asA5T7fBe2tnz)l|mJ6#Cu^dPX)fxxbQ0=v4iFI4~fIroKX-xp^`-z&a0&UEmL z$A+(ya3>K92hPHsge)953wIK;aNsQ5wSa{KXW_0zEF3rscP(V$z*)F!F$)L2{<^*8 z`s%^Hj^Ap%=IiW;KoexGX9-;I*$%SivjndDEJ4{C*!8!M_9G+y_RW3AhiCfcgM9_kj`U4!94HfcgM9_kj`U z4!94HfcgM9_kj`oJHRcwyLRyVzz8$}_W=^nc>tXIzzB2)+y_WN=K*l;10&EKa33H6 zod>|V4~#%}z2<`(T_;&!D`@je^0rvqC(0Kry`@jfv2iylpK<5E)?gJyx z9dI8Y0i6fHxetus-vQoI;XW_|O~8GC1auw%=RPn3-2wLj63}@7ocq8CbO+oANI>TS zaP9*m&>e6eAOW2Rc#DYpzzF^w0Ovk10!_eufCO|N0Ovk10^I@k0TR%80G#{42y_SB z2S`BY0dVdEBY1B&aQTqgz;~Z$I1A5AK*HTD@Lt7^Mga+T4j|!~0Z6!;10+0aKM8l; zC*fK1Nw}Ky*{ zVA^-X+3K|K2xolN?}oE*+INJr^A4Ey-Eg)#?K{HRc?V4UZa7<= z_8sBuyaT3vH=M0b`;KsS-tqEJ`);^*b-=XmhO=TwC{#{SH~yGwC{$qaN2i-v-1v^_T6x{I_*2c*?9*{`))W}o%S8!?7Rb}eK(w~ zPWz59r1$hoE-vAexl|FJ!?*WqUMjJYq|~|vLX8+Dy+X=_#vt( z^z?^j9KG$2b=6Rm#5#ffu|P?z)50GMl*Brz{INhuth1^=7U=Cl6YQt8lRpJe>`)#o zxgrmioR|kot}xK!#60L()8oW^Bwe%Q#C)WCg*ZeZThoc_1>JN%1bk|6FX*Y$B0bs~ z=&92{Pn`yO>NL<(r-81{g2PNc-&s9~7QI_QOK0^UN@7cAwGdl6s|D1l=&uMUS+{gn z3z!?hri9hen)UGI*K~jVLA5 ze29dS55d!XXhdm;nh%js@*#Md4~;18Q1c-YNr5$QML_%pEf~Wb=h|&%r5$QML_%pEf~Wb=h|_n7Z(nFWG@_JH^C1#S z^AJ4EheniksQC~HrFjUR=0hV&JJfuLgwi|&PxGM>r5$QML_#+Yr(X7rY&h+W6DQ|29LlJAVC>`w9>(kb%}G|6|yQ+6l$4(XJ62b$zN z<0-q7e1~+(yu)Ro?~JFUlYEDC%De+j@}2RN-ATSfI%VF0Ci%{I%I+lJ zA)PYsK$CoDys5jxWuW9c<0&UjOI2b$zN<0hAC*cgc6gQ_@MkLpo*NfhPIRc*^c1-yxkc??97$XFO$hlJAgC znRlQ`zB8V(JIQxQr_4Ki$zJlE@uuz$G|6|yQ_@MkLpo*NfhPIRc*^c1-yxkc??97$ zXFO$hlJAgCnRlQ`zBAs`-Qi3AlJAVCq?3GybjrK~P4b=bl-)_bLpo*NfhPIRc*^c1 z-yxkc??97$XFO$hlJAht&AahmeenEY%*h`YJRE1?nZL$=w&9R)=PR;$<|h*F<`)Ui z{6oT>Z%BCN7ZUE~6A91!LBgFcNO?3D5dZ!d>5wy6@K=cYhc31@|b3qkg(u zf7oba%p82CT6(5ddSiFhz^ct{}**64>SZ!f*#Ty7}bP9ndMuGS{us8|Gcz$7XDLM({r844QYiVJ#>Rk() QyvvGkdm%;CyonL`zs&aYM*si- literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.runs/impl_1/Pipeline_utilization_placed.pb b/VHDL/ALU/ALU.runs/impl_1/Pipeline_utilization_placed.pb new file mode 100644 index 0000000000000000000000000000000000000000..3e7476f30bd33d044d2a0db4a01869548fb9f2c5 GIT binary patch literal 276 zcmd;LGcqu=&@-CEtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z8QeYOBctpsvz;XY77h>PEUa(14FM7h`b9Vw>T{|2C>&#FfcUO{{@l^ zPDd?)Djg + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/impl_1/htr.txt b/VHDL/ALU/ALU.runs/impl_1/htr.txt new file mode 100644 index 0000000..4c3500d --- /dev/null +++ b/VHDL/ALU/ALU.runs/impl_1/htr.txt @@ -0,0 +1,9 @@ +# +# Vivado(TM) +# htr.txt: a Vivado-generated description of how-to-repeat the +# the basic steps of a run. Note that runme.bat/sh needs +# to be invoked for Vivado to track run status. +# Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. +# + +vivado -log Pipeline.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source Pipeline.tcl -notrace diff --git a/VHDL/ALU/ALU.runs/impl_1/init_design.pb b/VHDL/ALU/ALU.runs/impl_1/init_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..8ca3bfc9e3632ad6c706d6875bd8094736c24025 GIT binary patch literal 3233 zcmeHJ-)|d55boKrlWYU^3k{eb-BOvh7U%P=&$+V^5~-~J zg?6jHe!@=tkgFgfJ!C~JesL61$p$et6;JRUODGsAHWObcJPusH)mA|=AgwLaCaWRs z`)Q=QXa5|Q9MiU2!z;Ms?NeGIxV-po0P_V%%)kVgm)3~F>>WVF=vq(?}+IE{+cfT9x`kpVO_V)cw4?kcL#Xr>KbKO`)+wIh^&iW`- zERy6G1I5;mkEkV}9t3A&>s|`Prk2BmB_~oHvAEBzJ7Z{SSH*v4&gDNxHRXB2K~!Gn@>1SxPYRC z!U~4)+P7=+b^}$))neN_*C(5(*xP-y?E5WAk!&Kvt`wHz^Ng<`dzcxJ4`g2+muJ28 zYXN<#lf`tLKrKt`D;!Z@D$HT*@gH@80}-n*E!*`nG~9^C1fvZYB}Y*I2^DIxjP|c! zqhz~gyA5Qljn`fo2F&XJTq%(MOJOd#x-l+hW4RRmFwl($3?xlYgUcqJ_f}6jt!#@) zmx2bQ(}Y0BSbPG#OT`^|SMMTVi9mU9!eX#M$Af%!ym}FYf#gf)1?IzY%Qo%SIZ6E) z-OLy8rzvb?V$wx(p|dlp+CeoK%WrNKr&Lg^&ei}LHqi3)(RyXuHl)6gzuye>HKeHZ zM1HQ5{mvILh}Y~(PcX#-KU!EZtcm*8eIdAzn8pE5V<1c8>CF)qi_GQ-{NyY$nx7oi zzr>ukpR72hqcd4N;&W7mz+P`YG7Vrwl>Ib{CbQT&+1PHNtC_7fhbs+0vH*V;kf_OL zY(z0sLKlOe;x9EBU%+nXCldN$`n7={(-9XFeCP2!xRy}=#o4`q5Bu2sH+?}VPKFaX zB{*w5VM3)qy0)RpMz!d8&4t9=MDJwc?&9Uh$wz3d+3f1St;)K1jE4Qe};gLdjvv8{TSoZ()Tq^K>)vJ)p>$X5s{1d2PW9g9oS z9Ih@!&_4G9G(dp@?L&G8`q2N-3)(*SHxzyEd!Kq{xVs{~Lwh%tt)K-gSUMyR56}6| zIWy-QbPLU|1l_LVx0dmuK&NIFrYiQ*=lA%Y(+XnOqhCQN2;;356MV;~b1l|(dS1Ld z_+_(DE)rsQUJ7RpW&IV<+Yy85l6Mgq}ll2X4)= zEAi;X%~?__+T~i>&Yu{_S`XsM>?(j=#5!)f8emu9ppf{_N0&ExzAt^Zy0L;C0lo_( z<_3O?%TJdcm2LsI4MIlgBq5tA7b#79VgtR3ZpTif;h8C zEa+J@4K`{0TQ9=hX{}B4dip!|dE5beaomZR(*md!7N%%7VayR%BE?JF3p8e@Q}GNeI0$y!fR0!L_(*S6w6hTR@_2Yn;vrj zo)K%aNcuSLFcpA^g+UbKi^>06VDBY`;cfr`=03o=s~}nGTTvcbv00Gsk**$oz@H2> zgH)nr9s3Id{lK`xy9{3xmzJ>`_V7E{vXxNr3%mNK+Hf=t(7cl!{62Pm_SYapbPiS3r`EfM^XZt_D8Cg2f7*4^~%joajp7Qn`N0t}M+a z*ES1wy=Yg`j&Gv3)*ykvhCG85?D0o*C1KJZg4iel3cm&rB1GWOLvQnj(1AR+-Bi49XLu*SPHocuX35^4PR4kJn1!nS*RA7PVhFmkob(?f!c}i`L`T={?cD*weQ8BDSjUf{%Lk#e^W?1hYykZ_vXgL7tg}9YQcBCNRcX81IaTyiqO_cY z((>4~^w?4QyJth`*iguUW{y~g*!FmcwRMR7GAi8OXDl4A7B|q>6QtN!J(Y!qU28K! zseQcAsN_JSa&pl4>9av2-{(0&&k^ge5RHe0vJMN2=!;kHUR%e|J)gcH$ds-%WF@#L z;}H!n0wZ#PtZQ;7h>?F7Xf40V1#P_F;jY?4Ik5APUemX}lI(qUqmuN#@j{e?m&wEm zUDfP{=cDn^Rnei#MCWdIcsqvG&kh%`h8u6;nZemDw4C^~f}fx(gE&$qt#XZRSB|$T z$-R~iakZ1HN`H8^sx)bQJ_VW{TWKAPho-6yP2WIgn}LXNGYVWLgxm^#z+w-8HC`us z4^Px=_%N9Zv}Iwfo%ju-N4xcD=ye zg=wA|fXV5(5{P8@8M256E&R5eHuxf$K@w74nhp11HyP8{&Y|nkhC}F06E~wjsDeO3e5KP}|#kzF$aQgmt1Fb`z$SJhAEHmc5 z6G@^a`C}ylenpPP2U0ayg1ZtP0W;qXdOj>eSqt(Em@-;V(=IT+r1of@$(BVY66=x` zIGb{2UHc(g0Ly>}0#-~8!$i-OtD1Jt^9~c^8foL6M&n8S8BXY*Qz%*#cOGLT;Fak` zEc~e-399@0{Db=aaqi(rcr>e)bK&`g>2m#uU&Ck~iddh0T-L4XB+;X25~6XNqJh*^ zN;DyWXGwp;uDJ?)Vujiz_|aU1vMLAenk%qXCw1-6U6GJAMZL`*%qL{ub@b+a2|GZ0 zLS5jN6FYKHy$zKF$Q>tgJA99+Jsqu9hYZIoFdX2iG`d&Oxq+_Gb6jXVQr9*N-Ag3} z*cnolgkHks_G0dd%{ginf$_-{q<7Gnfu0AeYu9iTz`g#CQZY>lOzqPeWTsH$r%i;+NMfq_?9tOfX2(aU`v5ayK{Du-rn&{Z0MIkl{Mi2(LSX>-7<8iZ;tB_P-3)np+5 zmx1sdAORui72yv&5Z-3Yhl2q=i{vaH&;T=wDkZ}L4+RT&Lw7d}fOyu}_C2&~c7iT5 zBY=7o@B{NI94K&lW|>E(I27@gq-IUn>^)?0@iqwH@PH@|3CKr1Cd_-+S8tkEZr+tY zrKsl%lS3r7h}q;J^SIBpp!$?ruC9~ML3aWAM*@G?Uw&?&sYL;wV!#3n^vMGh-$f7C z4w$P_2rmOqA=zZfBI9D4dkha>1tR%6a7GlyxEOBhE`hWdg$KGzAV#B(N}Km<<7uZo z9-(hPR|7}w(;OiACOWslpkauPEQ>dq%4dSdLBtuf2ubzZK(f2QzXO1vsy2!sNPp-$ zI{!g{djd+}V~=B1HQJoDq@o!@Dk)8Kpo!G1jH-_fbp9p~hR|Z~sY5XtjfV=*3cWML zw&4Im-zvI}Y_lgK6V~pIXL4US<^v9pIw;+{aqr6NEi=((Qj63})RdLlI`_ckMfdYN#L(?D7*W{%y?QiK*`xknKTvFujtV9)R+*$!_*gG7a8J_Rl z=FA7*hq*Pc-`8Dpg)D|JKeI5^P?o=VY#-~U7a5LBV9s}RgC3YPv=3eRhDj}b;6y9w zfA(k9nj|T`m;9NNncq}inxBF{Rp7f1qKNkW$aW7&-Pr&A^avLZ!+1*jZVr$?RJ*neV_&Cd;y2JM2+26&ed`weyJI zhA)U@BM7`86YU? zo8%@{MXWU?DR0fkFbAC;v*yJx35e5@C>6iy7EDQG{CniPa5MQ<^4LX-)E)bS384z3 z5&LwuauzjzQ7N(XYcL}T+{N3l$X%SgO(Vw+BeH|8h#DK;H*YiloPuC6+_n3ZEQNPh zh~W>&eWu^H2z7KnL_p%70@m6vZ#rIEVelBeg$Sl zK@>&KBZQ>cYH*^kj4w%ALurq{6lbGW9BSrH=8~wt4;zW$F@Q@!Nj@ZNJ!%|v0*#b(*oDxdDsoo=Ztcqc|76x4uRqyU#L1E(;~~h0<8ACeQX~6|JT;@^SwVwo~tu z$>n!ja79g)HLYuzSL%vQk?)F%^88%!qp}%G;h6Xd{3DXgTZDP*#+ z0y#3FZOIRxw-rNN9O_+b^cwH`rcQU`^{u+E~)A78(cl7wQUT)1*s3q_-sfG7H1K?W|+@bmW!c-47P=Q&8iP#}= zu_=RxhhZY`V?Geb&XMh(9U;O~7n=+r&c%80>%n{#+alP>h<~wDAD&yAHJP;#swdT? z#$Jc|Q1@iiU<%5Y8b()ETb4B;N&Iy}HT}m5{AyA)t?*PYP1Jk4DaaBlzlU|S)Wq|L zioeGTX_a3{%bbHpzK|~IaopUE9=A>--M+NPl`I()zQyATqdb*Dn8vjwg%Z0QCIZs>>9Z9CxIBYjwWCt3Q4QWjtI(OjJZCcYqb}PxU4DoHZ zWSYqiV2aR0-y0Qj{g5ah%33@qu0E8tE)~kIgR^8O7iBCET|ayMWtr5A%>r)X{WdIh zSWVeshfdZiC}ds6MZk6!)!o{jU+zHv<8pCKXMI`U21 zeYmwv8QqR4Vo0b=sGCT7(v+0?QHC$MKZ}$|jnS_%mf+tj@DNEpkCB=e-eYv1clChr z5R)Hk0kWDHfhQe}EfQxXY(b=I8Q#FfJ_a@M#vQ4ovX-acv4Tt*|2js_QuxC%f0)C< z*+=G{TA)+?5EgJ}#*i*#l5k)cGz_hQm*Q(@|n z&RB?D43Wr*(gUMsA5#JOXw!1DnXwP5$UZ=tQ(p1w@OmmMzP~^+EXwL44^0qaUCu~N zMy*R&xP!=c!u=bvSd+ANfj@U2Zl!YGTi@6ufroS5!&t;L-X|0#R8ayB=I!fy@C}{- z;&_KK^e&CUp)|40ktVYHmWa2_nby8D~uvldB+(FI-6d;dn~QO5?1?W2OD00%RBI zyy#0YRU4_1q)Vxblx~*>dK9}l+ILfyve@$mfWdfazK0Z0&bEJE0k_`s`m`S487%PZ zllm%h!Sz91wS#(i8b$|<99-`N-j8Th+ra@msD(8amSV>6`vV%*-+8qDr2gQ^9{Vc< z12?SO2*rU^PrIN8u_u{=Y4ED{8yNf~MJtd$4VYSF?9qz4Tt$hD|Nan^jT36bUPM-q z;>>E#1KT4t%XVnirofSOxxkNL{G;>E{+9~;p^`~jA-f|q%gkm(jdy1#3V1I#iGDYF zZFmm-j$KG{5>RVG`uu%mTst2^kE0zHo`&}eqiV`M%IS;L{O*}5IdlB(1uFfd0w1R; z&Aoq~ZYMBy#P${Fw#iTKsyacpKjrAwgbqiy$ZCrM&pANCTS#ihH0XNBRUR?M{G^5wC literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.runs/synth_1/project.wdf b/VHDL/ALU/ALU.runs/impl_1/project.wdf similarity index 97% rename from VHDL/ALU/ALU.runs/synth_1/project.wdf rename to VHDL/ALU/ALU.runs/impl_1/project.wdf index 44c1bc3..279e5aa 100644 --- a/VHDL/ALU/ALU.runs/synth_1/project.wdf +++ b/VHDL/ALU/ALU.runs/impl_1/project.wdf @@ -13,7 +13,7 @@ version:1 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:5648444c:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:3836:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:313734:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 @@ -28,4 +28,4 @@ version:1 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:6165663336656633613064393464616339653630353862363536393037616664:506172656e742050412070726f6a656374204944:00 -eof:3805747332 +eof:1726599244 diff --git a/VHDL/ALU/ALU.runs/impl_1/route_design.pb b/VHDL/ALU/ALU.runs/impl_1/route_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..fa0cf95f6b26f337267de4410623a56137611a26 GIT binary patch literal 12881 zcmeHNOOG5^6;91ex5$bZcd16aOvSs(J zTj!oS=R4<~7kQhUT=$1V%d^+$azsuoompraD^DIc2bS%}eOIUCWauX`>)9-F_B{QB z%?4KD#%tMsb}D8~(~SKmf~CWypDRzCS|Gnu$Q$S5m<@y2@%Cu!(>)ebi@Hvqc@d)n zKcoX@#YxEMtIN@=6vsEPX0rqA5KEksMMLeZRQq7h_w<*@(^rRq%ZAL0t=Pdz#=w{p z{VX|g*l$}#GcG^escP+-VYc&p{!$_8Wk0?@zAE5LQy(;6UGP;otfcY_$m&+&d0ciI zTkF({AiE%Bec!Vk{^?wzTr3bmO?gQAs0xz&xEu>7WiRIUrYb(f#Guka6=zxb39;gDDYDkY;@Ed z8v@GRf+}v3XUO%~3I&iMk8LZuC9tWf#>!LBNEC;bhN02j@FxNHntX&sk;^vXJIhsWLu|bBX2q%%XyQ~8TRmWW`v$n9?w@?&M&88Z4> zbZ(9IgM_|LRdo%2G?jjZGS>bUJ00W3y*cpQ0tvm8}IBv zyI3*IdaK#O??K2I4fc;Br*FA<&}ub}Z27Gx?oFPY54#M;fgNfKIX8oAib-E3U62J;!xvoq7 zfQ44fjR;m3`AOJkH6Jd1I69pUeVe6b)iPHq;?$P8qF@ysAsS%pwZ}N%LO#* z`n}N@&#M$E9+%1IHvt`iNxBZl>?J|@Jef8w5iQe(PD?Z%J2hM-=13f=FeeSXAP@~0 zFl=VBhvz1n6Toav2c|9urZxkZbKX*h%uV8!8u>>d&3j$V1qZoK>Zult%)e&u7v-<* zs)Kg3qaC;WyHl^J`;mV~>KJCpKbpfaAWAT0@_&Uqv*iS}B%tRJsctcGBh2R)k~xmW zC1J`h2^@Uc^>=Xz>-Jx&g!l(K0`4(AA@!3S+J(bqurx$NN zy|iR{d69f}(~n|$#YaYikZ?Xt%yTorMYZHE3TI}=2`4q*nd6&@Q!Tvz_-Xt+SxqgW zMGM+{{3PMehyAt;`!A8F)36;?8lFe8`G7c1|=nRf{ zDlWn!n|ch@q`6YrakkK*QYw#}F51O3wxvx?WA#rKt%mbiLRY2>B(WQ)9Y!dqKpDa) z=G4PQqNbjxi#vIOLQw~;fo1Ev*24@Kk6j0EkQapTLeyq>#U8aJdOhj)SriQt*FEBO zR|Vy20e4=r6g48Pm9I^Hk_zAbM$YpK!W);kfv=*X9j)?u@HTL$Tm^$;-T70PT?#GKfb z7THm2?)!;{k*@$rgUf%fVvbsPrcOKUoC6yLcBMygq&M z%%X`7L#~GFVfT zBo$uFmK-NKYHiU)I(c|9?Oa_Uue`&N2f&Z&%eEC;ygjpv@B(FaE9~z(2doYsu&B3M zE7f${PSxntAgVmTH^|wHR^VEF6uUXCT{JA}b%EI>t;L%#X=4(d7dP5Dp{q5m(=9Yw zUMHtBI`3{=ydKZy8c?wlfz+&ExzeZk`5um6)3lrlP_K2F=l~7Z8M`_#~ zoklGRSRa*qas6k!sS;`$7t)y8e;x^7BaGn^VpIq?bNffg1 z-W%n>t6Eo8310OSrMU9(RHr{NUfAa?aTMrX2YB=zzJ*~0n1k80j))W56uG{^f%*4(K zTr!%`;ou)%Grv~I!ZPnOD&|TRB>7Ch4-_)Ic*y#q+ez2Z4dN|V-fE?_f#WhdJ`PLS zkzo+iTCgj9hUHoq97>;Ios@aav-o>u)(}HXzH{6>mRXbxMjS_z{o@7lvgp*Y2<_yE zH;2X0farFk_YQR|bN2*5YOT)7i9Y(^T#5fxNcd?Wt_Xos%9)37YFRlQWv8OoAdoze z-n+jz1U7vaRplc@oKuKG{wlGhKPu!`io}?PEZ+C+w8uGNRJljo=B66hlzk;*o3d}V z;r)H4;r&)2KUGFT${jQ5CUTFC`HLiKD$g%nB+DDV$7sZ25z4%~v7Uu9xpuj*sRg4s zj$tZ@VT@)TP!EjIH_4Z?>6~jhV92I)+V`H)sbWe;GYXl+zZZx;;X$_2A)5SImc*f$ zP4%ur`DlTB|I=_N)4>Ag@{lYtg;c6&nkNyc$d=c{R@SB zC~-J}kMWO5!xW2C9ZdQZ6FWnM_SvqIdzqjy>o)(>OsDcAgIncgS6I4MSKXdAdJu%{LZKz zR@02?fw_>c-yJHvi2)x!thuPj(DVJ+IdI}53}5(xwU>^@rTNuDQ_C2ufU#yFDcU3k zxs(m|Wxi<^VP0ua#zdDuv_SK?OByX%I)NgEEDgc3EK@kfl12{E610^{8b6bm_HFVl ziDjoXhX`Y{Ni=ET`DgV~h+cRa?9Pb8|0Ut*ZwmRdEWAn6{@%!U&>BV?dw~`2M--EK z6Y)-QZ{}x8%*wZw+>v}6&pv8*P(kbYUhly1-E?SR#uxuYAz!!=pe(_*#WdZf6;BFrU_>Ez_9)z$;Q%V#=r+Zl5Vz(0q zMLJm}n#UX6LbCP&S-;0jY03&s1jF|pDqYl?X*n3md>Q!KIE~w$P@+^kq~RzEeeYEqx$LNQM|hA3IMZ zs-^3Xk+&r_;&}Zqoh^5JA%hE_1Yv1I4u>;Pa!9suE#wFwVdf{GDwbYjt6Wt1=c3-l zC*4KWmRljOsEJbA_$En9XzhI2mS>zzvk-yKF9C5=^>`UA8|R!b!_tQNB>=}>(!(DV z@{!Cl>?Tn!;] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'CLK'. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc:2] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc:2] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +Finished Parsing XDC File [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc] +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +7 Infos, 2 Warnings, 2 Critical Warnings and 0 Errors encountered. +link_design completed successfully +link_design: Time (s): cpu = 00:00:06 ; elapsed = 00:00:15 . Memory (MB): peak = 1452.633 ; gain = 288.816 ; free physical = 7211 ; free virtual = 18994 +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t' +Running DRC as a precondition to command opt_design + +Starting DRC Task +INFO: [DRC 23-27] Running DRC with 6 threads +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1519.656 ; gain = 67.023 ; free physical = 7187 ; free virtual = 18970 + +Starting Cache Timing Information Task +INFO: [Timing 38-35] Done setting XDC timing constraints. +Ending Cache Timing Information Task | Checksum: 1e379f571 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:19 . Memory (MB): peak = 1976.156 ; gain = 456.500 ; free physical = 6811 ; free virtual = 18594 + +Starting Logic Optimization Task + +Phase 1 Retarget +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 1 Retarget | Checksum: 1e379f571 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.03 . Memory (MB): peak = 1976.156 ; gain = 0.000 ; free physical = 6811 ; free virtual = 18594 +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells + +Phase 2 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 2 Constant propagation | Checksum: 224c05fcb + +Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.04 . Memory (MB): peak = 1976.156 ; gain = 0.000 ; free physical = 6811 ; free virtual = 18594 +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 3 Sweep +Phase 3 Sweep | Checksum: 237fe1223 + +Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.05 . Memory (MB): peak = 1976.156 ; gain = 0.000 ; free physical = 6811 ; free virtual = 18594 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells + +Phase 4 BUFG optimization +Phase 4 BUFG optimization | Checksum: 237fe1223 + +Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.05 . Memory (MB): peak = 1976.156 ; gain = 0.000 ; free physical = 6811 ; free virtual = 18594 +INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. + +Phase 5 Shift Register Optimization +Phase 5 Shift Register Optimization | Checksum: 141d47eb5 + +Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.07 . Memory (MB): peak = 1976.156 ; gain = 0.000 ; free physical = 6811 ; free virtual = 18594 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Phase 6 Post Processing Netlist +Phase 6 Post Processing Netlist | Checksum: 141d47eb5 + +Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.07 . Memory (MB): peak = 1976.156 ; gain = 0.000 ; free physical = 6811 ; free virtual = 18594 +INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1976.156 ; gain = 0.000 ; free physical = 6811 ; free virtual = 18594 +Ending Logic Optimization Task | Checksum: 141d47eb5 + +Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.07 . Memory (MB): peak = 1976.156 ; gain = 0.000 ; free physical = 6811 ; free virtual = 18594 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: 141d47eb5 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 1976.156 ; gain = 0.000 ; free physical = 6811 ; free virtual = 18594 + +Starting Final Cleanup Task +Ending Final Cleanup Task | Checksum: 141d47eb5 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1976.156 ; gain = 0.000 ; free physical = 6811 ; free virtual = 18594 +INFO: [Common 17-83] Releasing license: Implementation +23 Infos, 2 Warnings, 2 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +opt_design: Time (s): cpu = 00:00:10 ; elapsed = 00:00:21 . Memory (MB): peak = 1976.156 ; gain = 523.523 ; free physical = 6811 ; free virtual = 18594 +INFO: [Timing 38-480] Writing timing data to binary archive. +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2008.172 ; gain = 0.000 ; free physical = 6810 ; free virtual = 18594 +INFO: [Common 17-1381] The checkpoint '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/impl_1/Pipeline_opt.dcp' has been generated. +INFO: [runtcl-4] Executing : report_drc -file Pipeline_drc_opted.rpt -pb Pipeline_drc_opted.pb -rpx Pipeline_drc_opted.rpx +Command: report_drc -file Pipeline_drc_opted.rpt -pb Pipeline_drc_opted.pb -rpx Pipeline_drc_opted.rpx +INFO: [IP_Flow 19-234] Refreshing IP repositories +INFO: [IP_Flow 19-1704] No user IP repositories specified +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/local/insa/Xilinx.VIVADO/Vivado/2018.2/data/ip'. +INFO: [DRC 23-27] Running DRC with 6 threads +INFO: [Coretcl 2-168] The results of DRC are in file /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/impl_1/Pipeline_drc_opted.rpt. +report_drc completed successfully +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t' +INFO: [DRC 23-27] Running DRC with 6 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +INFO: [DRC 23-27] Running DRC with 6 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + +Starting Placer Task +INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 6 CPUs + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2080.207 ; gain = 0.000 ; free physical = 6756 ; free virtual = 18539 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: cf3c03db + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2080.207 ; gain = 0.000 ; free physical = 6756 ; free virtual = 18539 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2080.207 ; gain = 0.000 ; free physical = 6756 ; free virtual = 18539 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 82288bfd + +Time (s): cpu = 00:00:00.60 ; elapsed = 00:00:00.33 . Memory (MB): peak = 2080.207 ; gain = 0.000 ; free physical = 6756 ; free virtual = 18539 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: e4604ef0 + +Time (s): cpu = 00:00:00.65 ; elapsed = 00:00:00.35 . Memory (MB): peak = 2080.207 ; gain = 0.000 ; free physical = 6756 ; free virtual = 18539 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: e4604ef0 + +Time (s): cpu = 00:00:00.66 ; elapsed = 00:00:00.35 . Memory (MB): peak = 2080.207 ; gain = 0.000 ; free physical = 6756 ; free virtual = 18539 +Phase 1 Placer Initialization | Checksum: e4604ef0 + +Time (s): cpu = 00:00:00.66 ; elapsed = 00:00:00.35 . Memory (MB): peak = 2080.207 ; gain = 0.000 ; free physical = 6756 ; free virtual = 18539 + +Phase 2 Global Placement + +Phase 2.1 Floorplanning +Phase 2.1 Floorplanning | Checksum: e4604ef0 + +Time (s): cpu = 00:00:00.67 ; elapsed = 00:00:00.36 . Memory (MB): peak = 2080.207 ; gain = 0.000 ; free physical = 6756 ; free virtual = 18539 +WARNING: [Place 46-29] place_design is not in timing mode. Skip physical synthesis in placer +Phase 2 Global Placement | Checksum: 15cb247ff + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 15cb247ff + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 17faf0d06 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 1bdff9a1c + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 1bdff9a1c + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 + +Phase 3.5 Small Shape Detail Placement +Phase 3.5 Small Shape Detail Placement | Checksum: 112e53995 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 + +Phase 3.6 Re-assign LUT pins +Phase 3.6 Re-assign LUT pins | Checksum: 112e53995 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 + +Phase 3.7 Pipeline Register Optimization +Phase 3.7 Pipeline Register Optimization | Checksum: 112e53995 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 +Phase 3 Detail Placement | Checksum: 112e53995 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +Phase 4.1 Post Commit Optimization | Checksum: 112e53995 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: 112e53995 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 + +Phase 4.3 Placer Reporting +Phase 4.3 Placer Reporting | Checksum: 112e53995 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 + +Phase 4.4 Final Placement Cleanup +Phase 4.4 Final Placement Cleanup | Checksum: 15dc57f83 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 15dc57f83 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6746 ; free virtual = 18529 +Ending Placer Task | Checksum: 8268151a + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2096.211 ; gain = 16.004 ; free physical = 6751 ; free virtual = 18535 +INFO: [Common 17-83] Releasing license: Implementation +41 Infos, 3 Warnings, 2 Critical Warnings and 0 Errors encountered. +place_design completed successfully +INFO: [Timing 38-480] Writing timing data to binary archive. +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.13 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2096.211 ; gain = 0.000 ; free physical = 6750 ; free virtual = 18535 +INFO: [Common 17-1381] The checkpoint '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/impl_1/Pipeline_placed.dcp' has been generated. +INFO: [runtcl-4] Executing : report_io -file Pipeline_io_placed.rpt +report_io: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.11 . Memory (MB): peak = 2096.211 ; gain = 0.000 ; free physical = 6753 ; free virtual = 18537 +INFO: [runtcl-4] Executing : report_utilization -file Pipeline_utilization_placed.rpt -pb Pipeline_utilization_placed.pb +report_utilization: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.09 . Memory (MB): peak = 2096.211 ; gain = 0.000 ; free physical = 6761 ; free virtual = 18544 +INFO: [runtcl-4] Executing : report_control_sets -verbose -file Pipeline_control_sets_placed.rpt +report_control_sets: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.08 . Memory (MB): peak = 2096.211 ; gain = 0.000 ; free physical = 6751 ; free virtual = 18534 +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t' +Running DRC as a precondition to command route_design +INFO: [DRC 23-27] Running DRC with 6 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 6 CPUs +Checksum: PlaceDB: 1c700adb ConstDB: 0 ShapeSum: 65f80a3f RouteDB: 0 + +Phase 1 Build RT Design +Phase 1 Build RT Design | Checksum: 77742d47 + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 2130.867 ; gain = 34.656 ; free physical = 6653 ; free virtual = 18437 +Post Restoration Checksum: NetGraph: 69321eb NumContArr: 70e10b5c Constraints: 0 Timing: 0 + +Phase 2 Router Initialization +INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode. + +Phase 2.1 Fix Topology Constraints +Phase 2.1 Fix Topology Constraints | Checksum: 77742d47 + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 2145.863 ; gain = 49.652 ; free physical = 6639 ; free virtual = 18422 + +Phase 2.2 Pre Route Cleanup +Phase 2.2 Pre Route Cleanup | Checksum: 77742d47 + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 2145.863 ; gain = 49.652 ; free physical = 6639 ; free virtual = 18422 + Number of Nodes with overlaps = 0 +Phase 2 Router Initialization | Checksum: 16523de4e + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 2155.863 ; gain = 59.652 ; free physical = 6629 ; free virtual = 18412 + +Phase 3 Initial Routing +Phase 3 Initial Routing | Checksum: 11b0f7581 + +Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 2155.863 ; gain = 59.652 ; free physical = 6628 ; free virtual = 18412 + +Phase 4 Rip-up And Reroute + +Phase 4.1 Global Iteration 0 + Number of Nodes with overlaps = 97 + Number of Nodes with overlaps = 0 +Phase 4.1 Global Iteration 0 | Checksum: 14cade65a + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 2155.863 ; gain = 59.652 ; free physical = 6628 ; free virtual = 18412 +Phase 4 Rip-up And Reroute | Checksum: 14cade65a + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 2155.863 ; gain = 59.652 ; free physical = 6628 ; free virtual = 18412 + +Phase 5 Delay and Skew Optimization +Phase 5 Delay and Skew Optimization | Checksum: 14cade65a + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 2155.863 ; gain = 59.652 ; free physical = 6628 ; free virtual = 18412 + +Phase 6 Post Hold Fix + +Phase 6.1 Hold Fix Iter +Phase 6.1 Hold Fix Iter | Checksum: 14cade65a + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 2155.863 ; gain = 59.652 ; free physical = 6628 ; free virtual = 18412 +Phase 6 Post Hold Fix | Checksum: 14cade65a + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 2155.863 ; gain = 59.652 ; free physical = 6628 ; free virtual = 18412 + +Phase 7 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.153313 % + Global Horizontal Routing Utilization = 0.172046 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Congestion Report +North Dir 1x1 Area, Max Cong = 30.6306%, No Congested Regions. +South Dir 1x1 Area, Max Cong = 22.5225%, No Congested Regions. +East Dir 1x1 Area, Max Cong = 32.3529%, No Congested Regions. +West Dir 1x1 Area, Max Cong = 29.4118%, No Congested Regions. + +------------------------------ +Reporting congestion hotspots +------------------------------ +Direction: North +---------------- +Congested clusters found at Level 0 +Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 +Direction: South +---------------- +Congested clusters found at Level 0 +Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 +Direction: East +---------------- +Congested clusters found at Level 0 +Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 +Direction: West +---------------- +Congested clusters found at Level 0 +Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 + +Phase 7 Route finalize | Checksum: 14cade65a + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 2155.863 ; gain = 59.652 ; free physical = 6628 ; free virtual = 18412 + +Phase 8 Verifying routed nets + + Verification completed successfully +Phase 8 Verifying routed nets | Checksum: 14cade65a + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 2157.863 ; gain = 61.652 ; free physical = 6628 ; free virtual = 18412 + +Phase 9 Depositing Routes +Phase 9 Depositing Routes | Checksum: 16fad2baa + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 2157.863 ; gain = 61.652 ; free physical = 6628 ; free virtual = 18412 +INFO: [Route 35-16] Router Completed Successfully + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 2157.863 ; gain = 61.652 ; free physical = 6646 ; free virtual = 18430 + +Routing Is Done. +INFO: [Common 17-83] Releasing license: Implementation +54 Infos, 3 Warnings, 2 Critical Warnings and 0 Errors encountered. +route_design completed successfully +route_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 2157.863 ; gain = 61.652 ; free physical = 6646 ; free virtual = 18430 +INFO: [Timing 38-480] Writing timing data to binary archive. +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00.14 ; elapsed = 00:00:00.06 . Memory (MB): peak = 2157.863 ; gain = 0.000 ; free physical = 6642 ; free virtual = 18427 +INFO: [Common 17-1381] The checkpoint '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/impl_1/Pipeline_routed.dcp' has been generated. +INFO: [runtcl-4] Executing : report_drc -file Pipeline_drc_routed.rpt -pb Pipeline_drc_routed.pb -rpx Pipeline_drc_routed.rpx +Command: report_drc -file Pipeline_drc_routed.rpt -pb Pipeline_drc_routed.pb -rpx Pipeline_drc_routed.rpx +INFO: [DRC 23-27] Running DRC with 6 threads +INFO: [Coretcl 2-168] The results of DRC are in file /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/impl_1/Pipeline_drc_routed.rpt. +report_drc completed successfully +INFO: [runtcl-4] Executing : report_methodology -file Pipeline_methodology_drc_routed.rpt -pb Pipeline_methodology_drc_routed.pb -rpx Pipeline_methodology_drc_routed.rpx +Command: report_methodology -file Pipeline_methodology_drc_routed.rpt -pb Pipeline_methodology_drc_routed.pb -rpx Pipeline_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [DRC 23-133] Running Methodology with 6 threads +INFO: [Coretcl 2-1520] The results of Report Methodology are in file /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/impl_1/Pipeline_methodology_drc_routed.rpt. +report_methodology completed successfully +INFO: [runtcl-4] Executing : report_power -file Pipeline_power_routed.rpt -pb Pipeline_power_summary_routed.pb -rpx Pipeline_power_routed.rpx +Command: report_power -file Pipeline_power_routed.rpt -pb Pipeline_power_summary_routed.pb -rpx Pipeline_power_routed.rpx +WARNING: [Power 33-232] No user defined clocks were found in the design! +Resolution: Please specify clocks using create_clock/create_generated_clock for sequential elements. For pure combinatorial circuits, please specify a virtual clock, otherwise the vectorless estimation might be inaccurate +INFO: [Timing 38-35] Done setting XDC timing constraints. +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +66 Infos, 4 Warnings, 2 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [runtcl-4] Executing : report_route_status -file Pipeline_route_status.rpt -pb Pipeline_route_status.pb +INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -file Pipeline_timing_summary_routed.rpt -pb Pipeline_timing_summary_routed.pb -rpx Pipeline_timing_summary_routed.rpx -warn_on_violation +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max, Timing Stage: Requireds. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 6 CPUs +WARNING: [Timing 38-313] There are no user specified timing constraints. Timing constraints are needed for proper timing analysis. +INFO: [runtcl-4] Executing : report_incremental_reuse -file Pipeline_incremental_reuse_routed.rpt +INFO: [Vivado_Tcl 4-545] No incremental reuse to report, no incremental placement and routing data was found. +INFO: [runtcl-4] Executing : report_clock_utilization -file Pipeline_clock_utilization_routed.rpt +INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file Pipeline_bus_skew_routed.rpt -pb Pipeline_bus_skew_routed.pb -rpx Pipeline_bus_skew_routed.rpx +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max, Timing Stage: Requireds. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 6 CPUs +Command: write_bitstream -force Pipeline.bit +Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t' +Running DRC as a precondition to command write_bitstream +INFO: [DRC 23-27] Running DRC with 6 threads +WARNING: [DRC CFGBVS-1] Missing CFGBVS and CONFIG_VOLTAGE Design Properties: Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +WARNING: [DRC LUTLP-2] Combinatorial Loop Allowed: 1 LUT cells form a combinatorial loop. This can create a race condition. Timing analysis may not be accurate. The preferred resolution is to modify the design to remove combinatorial logic loops. This loop has been identified in the constraints as being known and understood by use of the ALLOW_COMBINATORIAL_LOOPS property on a net in the loop. The cells in the loop are: Stage2/Out_Op[5]_i_2. +WARNING: [DRC LUTLP-2] Combinatorial Loop Allowed: 3 LUT cells form a combinatorial loop. This can create a race condition. Timing analysis may not be accurate. The preferred resolution is to modify the design to remove combinatorial logic loops. This loop has been identified in the constraints as being known and understood by use of the ALLOW_COMBINATORIAL_LOOPS property on a net in the loop. The cells in the loop are: Stage2/Out_Op[5]_i_2, Stage2/Out_Op[5]_i_3, and Stage2/aux[7]_i_7. +WARNING: [DRC NSTD-1] Unspecified I/O Standard: 1 out of 13 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: Clk. +WARNING: [DRC UCIO-1] Unconstrained Logical Port: 1 out of 13 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: Clk. +INFO: [Vivado 12-3199] DRC finished with 0 Errors, 5 Warnings +INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. +INFO: [Designutils 20-2272] Running write_bitstream with 6 threads. +Loading data files... +Loading site data... +Loading route data... +Processing options... +Creating bitmap... +Creating bitstream... +Writing bitstream ./Pipeline.bit... +INFO: [Vivado 12-1842] Bitgen Completed Successfully. +INFO: [Project 1-120] WebTalk data collection is mandatory when using a WebPACK part without a full Vivado license. To see the specific WebTalk data collected for your design, open the usage_statistics_webtalk.html or usage_statistics_webtalk.xml file in the implementation directory. +INFO: [Common 17-83] Releasing license: Implementation +84 Infos, 10 Warnings, 2 Critical Warnings and 0 Errors encountered. +write_bitstream completed successfully +write_bitstream: Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 2490.594 ; gain = 244.660 ; free physical = 6581 ; free virtual = 18368 +INFO: [Common 17-206] Exiting Vivado at Wed May 31 17:58:24 2023... diff --git a/VHDL/ALU/ALU.runs/impl_1/runme.sh b/VHDL/ALU/ALU.runs/impl_1/runme.sh new file mode 100755 index 0000000..877ede8 --- /dev/null +++ b/VHDL/ALU/ALU.runs/impl_1/runme.sh @@ -0,0 +1,43 @@ +#!/bin/sh + +# +# Vivado(TM) +# runme.sh: a Vivado-generated Runs Script for UNIX +# Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. +# + +if [ -z "$PATH" ]; then + PATH=/usr/local/insa/Xilinx.VIVADO/SDK/2018.2/bin:/usr/local/insa/Xilinx.VIVADO/Vivado/2018.2/ids_lite/ISE/bin/lin64:/usr/local/insa/Xilinx.VIVADO/Vivado/2018.2/bin +else + PATH=/usr/local/insa/Xilinx.VIVADO/SDK/2018.2/bin:/usr/local/insa/Xilinx.VIVADO/Vivado/2018.2/ids_lite/ISE/bin/lin64:/usr/local/insa/Xilinx.VIVADO/Vivado/2018.2/bin:$PATH +fi +export PATH + +if [ -z "$LD_LIBRARY_PATH" ]; then + LD_LIBRARY_PATH=/usr/local/insa/Xilinx.VIVADO/Vivado/2018.2/ids_lite/ISE/lib/lin64 +else + LD_LIBRARY_PATH=/usr/local/insa/Xilinx.VIVADO/Vivado/2018.2/ids_lite/ISE/lib/lin64:$LD_LIBRARY_PATH +fi +export LD_LIBRARY_PATH + +HD_PWD='/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/impl_1' +cd "$HD_PWD" + +HD_LOG=runme.log +/bin/touch $HD_LOG + +ISEStep="./ISEWrap.sh" +EAStep() +{ + $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 + if [ $? -ne 0 ] + then + exit + fi +} + +# pre-commands: +/bin/touch .init_design.begin.rst +EAStep vivado -log Pipeline.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source Pipeline.tcl -notrace + + diff --git a/VHDL/ALU/ALU.runs/impl_1/usage_statistics_webtalk.html b/VHDL/ALU/ALU.runs/impl_1/usage_statistics_webtalk.html new file mode 100644 index 0000000..ce67df4 --- /dev/null +++ b/VHDL/ALU/ALU.runs/impl_1/usage_statistics_webtalk.html @@ -0,0 +1,867 @@ +Device Usage Statistics Report +

Device Usage Page (usage_statistics_webtalk.html)

This HTML page displays the device usage statistics that will be sent to Xilinx.
To see the actual file transmitted to Xilinx, please click
here.


+ + + + + + + + + + + + + + + + + +
software_version_and_target_device
betaFALSEbuild_version2258646
date_generatedWed May 31 17:58:23 2023os_platformLIN64
product_versionVivado v2018.2 (64-bit)project_idaef36ef3a0d94dac9e6058b656907afd
project_iteration12random_id6ef722b6-53ec-42dc-bc5c-9d79054a9923
registration_id6ef722b6-53ec-42dc-bc5c-9d79054a9923route_designTRUE
target_devicexc7a35ttarget_familyartix7
target_packagecpg236target_speed-1
tool_flowVivado

+ + + + + + + + +
user_environment
cpu_nameIntel(R) Core(TM) i5-9500 CPU @ 3.00GHzcpu_speed3000.000 MHz
os_nameUbuntuos_releaseUbuntu 20.04.6 LTS
system_ram16.000 GBtotal_processors1

+ + +
vivado_usage
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
gui_handlers
abstractcombinedpanel_add_element=36abstractcombinedpanel_remove_selected_elements=13abstractfileview_close=1abstractfileview_reload=1
addsrcwizard_specify_hdl_netlist_block_design=1addsrcwizard_specify_or_create_constraint_files=4addsrcwizard_specify_simulation_specific_hdl_files=3basedialog_apply=2
basedialog_cancel=31basedialog_no=2basedialog_ok=234basedialog_yes=40
clockcreationpanel_clock_name=1clockcreationpanel_enter_positive_number=5cmdmsgdialog_messages=6cmdmsgdialog_ok=33
cmdmsgdialog_open_messages_view=1combinationalconstraintstablepanel_table=1commandsinput_type_tcl_command_here=4constraintschooserpanel_add_existing_or_create_new_constraints=5
constraintschooserpanel_add_files=4constraintschooserpanel_create_file=4constraintschooserpanel_file_table=2createconstraintsfilepanel_file_name=3
createrunreportdialog_report_name=1createsrcfiledialog_file_name=15createsrcfiledialog_file_type=1definemodulesdialog_define_modules_and_specify_io_ports=148
definemodulesdialog_entity_name=3editcreateclocktablepanel_edit_create_clock_table=13expreporttreepanel_edit_report_options=1expreporttreepanel_exp_report_tree_table=9
expruntreepanel_exp_run_tree_table=2filesetpanel_file_set_panel_tree=606filesetpanel_messages=1flownavigatortreepanel_flow_navigator_tree=374
gettingstartedview_create_new_project=1gettingstartedview_open_project=3graphicalview_zoom_fit=6graphicalview_zoom_in=135
graphicalview_zoom_out=149hcodeeditor_close=2hcodeeditor_search_text_combo_box=40hinputhandler_toggle_line_comments=1
hpopuptitle_close=1inputoutputtablepanel_table=1logmonitor_monitor=1logpanel_copy=1
logpanel_find=1logpanel_pause_output=2logpanel_toggle_column_selection_mode=2mainmenumgr_checkpoint=18
mainmenumgr_edit=16mainmenumgr_export=7mainmenumgr_file=76mainmenumgr_flow=10
mainmenumgr_io_planning=1mainmenumgr_ip=12mainmenumgr_open_recent_project=25mainmenumgr_project=51
mainmenumgr_reports=12mainmenumgr_settings=2mainmenumgr_simulation_waveform=15mainmenumgr_text_editor=10
mainmenumgr_timing=1mainmenumgr_tools=16mainmenumgr_unselect_type=1mainmenumgr_view=8
mainmenumgr_window=16maintoolbarmgr_run=7mainwinmenumgr_layout=12mainwinmenumgr_load=1
messagewithoptiondialog_dont_show_this_dialog_again=1msgtreepanel_message_severity=1msgtreepanel_message_view_tree=172msgview_clear_messages_resulting_from_user_executed=9
msgview_critical_warnings=2msgview_error_messages=2msgview_information_messages=3msgview_warning_messages=1
navigabletimingreporttab_timing_report_navigation_tree=5numjobschooser_number_of_jobs=2openfileaction_cancel=2openfileaction_open_directory=4
opentargetwizard_connect_to=3packagetreepanel_package_tree_panel=5pacommandnames_add_config_memory=3pacommandnames_add_sources=21
pacommandnames_auto_connect_target=2pacommandnames_auto_update_hier=26pacommandnames_fileset_window=3pacommandnames_goto_instantiation=1
pacommandnames_log_window=10pacommandnames_open_project=1pacommandnames_open_recent_target=3pacommandnames_open_target_wizard=4
pacommandnames_program_fpga=4pacommandnames_report_clock_networks=1pacommandnames_reports_window=5pacommandnames_run_bitgen=1
pacommandnames_run_synthesis=3pacommandnames_set_as_top=6pacommandnames_set_target_ucf=3pacommandnames_simulation_relaunch=5
pacommandnames_simulation_reset=1pacommandnames_simulation_run=1pacommandnames_simulation_run_behavioral=174pacommandnames_simulation_run_post_synthesis_functional=1
pacommandnames_simulation_settings=9pacommandnames_src_replace_file=5paviews_code=41paviews_device=3
paviews_project_summary=2planaheadtab_show_flow_navigator=4primaryclockspanel_recommended_constraints_table=6programdebugtab_open_recently_opened_target=13
programdebugtab_open_target=2programdebugtab_refresh_device=1programfpgadialog_check_end_of_startup=1programfpgadialog_program=12
programfpgadialog_specify_bitstream_file=2progressdialog_background=16progressdialog_cancel=1projectsettingsgadget_edit_project_settings=2
projectsettingssimulationpanel_select_testbench_top_module=2projectsettingssimulationpanel_tabbed_pane=7projecttab_close_design=4projecttab_reload=7
rdicommands_custom_commands=4rdicommands_delete=5rdicommands_line_comment=23rdicommands_save_file=10
rdiviews_waveform_viewer=787removesourcesdialog_also_delete=1reportnavigationholder_rerun=2rtloptionspanel_select_top_module_of_your_design=2
rungadget_show_error_and_critical_warning_messages=1saveprojectutils_cancel=1saveprojectutils_save=46selecttopmoduledialog_select_top_module=8
settingsdialog_project_tree=1signaltreepanel_signal_tree_table=13simulationobjectspanel_simulation_objects_tree_table=55simulationscopespanel_simulate_scope_table=80
srcchooserpanel_add_hdl_and_netlist_files_to_your_project=4srcchooserpanel_add_or_create_source_file=21srcchooserpanel_create_file=15srcchoosertable_src_chooser_table=2
srcmenu_ip_hierarchy=26srcmenu_refresh_hierarchy=2stalerundialog_yes=2statemonitor_reset_run=3
syntheticagettingstartedview_recent_projects=8syntheticastatemonitor_cancel=8taskbanner_close=6tclconsoleview_clear_all_output_in_tcl_console=3
tclconsoleview_tcl_console_code_editor=50timingconstraintswizard_create_check_timing_report=6timingconstraintswizard_create_methodology_report=2timingconstraintswizard_create_timing_summary_report=6
timingconstraintswizard_goto_constraints_summary_page=4timingconstraintswizard_view_timing_constraints=6touchpointsurveydialog_no=1waveformnametree_waveform_name_tree=275
waveformoptionsview_reset_to_defaults=1waveformoptionsview_show_signal_indices=4waveformview_add_marker=4waveformview_goto_last_time=4
waveformview_goto_time_0=3waveformview_next_marker=3xdceditorview_apply_all_changes_to_xdc_constraints=2xdcviewertreetablepanel_xdc_viewer_tree_table=4
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
java_command_handlers
addcfgmem=1addsources=24autoconnecttarget=2closeproject=2
editdelete=5editpaste=5editundo=1launchopentarget=4
launchprogramfpga=15newproject=1openhardwaremanager=24openproject=4
openrecenttarget=14programdevice=18reporttimingsummary=1runbitgen=47
runimplementation=6runsynthesis=22savefileproxyhandler=4settargetconstrfile=3
settopnode=1showsource=1showview=53simulationrelaunch=5
simulationrun=172timingconstraintswizard=7toggleviewnavigator=4toolssettings=15
updatesourcefiles=5viewlayoutcmd=1viewtaskimplementation=4viewtasksynthesis=1
waveformsaveconfiguration=13xdccreateclock=1
+ + + +
other_data
guimode=24
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
project_data
constraintsetcount=1core_container=falsecurrentimplrun=impl_1currentsynthesisrun=synth_1
default_library=xil_defaultlibdesignmode=RTLexport_simulation_activehdl=0export_simulation_ies=0
export_simulation_modelsim=0export_simulation_questa=0export_simulation_riviera=0export_simulation_vcs=0
export_simulation_xsim=0implstrategy=Vivado Implementation Defaultslaunch_simulation_activehdl=0launch_simulation_ies=0
launch_simulation_modelsim=0launch_simulation_questa=0launch_simulation_riviera=0launch_simulation_vcs=0
launch_simulation_xsim=174simulator_language=Mixedsrcsetcount=11synthesisstrategy=Vivado Synthesis Defaults
target_language=VHDLtarget_simulator=XSimtotalimplruns=1totalsynthesisruns=1
+
+ + + + +
unisim_transformation
+ + + + + + + + + + + + + + + + +
post_unisim_transformation
bufg=1carry4=33fdre=330fdse=12
gnd=8ibuf=5lut2=51lut3=67
lut4=41lut5=55lut6=209muxf7=19
obuf=8vcc=5
+
+ + + + + + + + + + + + + + + + +
pre_unisim_transformation
bufg=1carry4=33fdre=330fdse=12
gnd=8ibuf=5lut2=51lut3=67
lut4=41lut5=55lut6=209muxf7=19
obuf=8vcc=5
+

+ + + + + +
report_drc
+ + + + + + + + + + + + + + + + +
command_line_options
-append=default::[not_specified]-checks=default::[not_specified]-fail_on=default::[not_specified]-force=default::[not_specified]
-format=default::[not_specified]-internal=default::[not_specified]-internal_only=default::[not_specified]-messages=default::[not_specified]
-name=default::[not_specified]-no_waivers=default::[not_specified]-return_string=default::[not_specified]-ruledecks=default::[not_specified]
-upgrade_cw=default::[not_specified]-waived=default::[not_specified]
+
+ + + + + + +
results
cfgbvs-1=1lutlp-2=2nstd-1=1ucio-1=1
+
+ + + + +
usage
nstd-1=Warningucio-1=Warning
+

+ + + + + +
report_methodology
+ + + + + + + + + + + +
command_line_options
-append=default::[not_specified]-checks=default::[not_specified]-fail_on=default::[not_specified]-force=default::[not_specified]
-format=default::[not_specified]-messages=default::[not_specified]-name=default::[not_specified]-return_string=default::[not_specified]
-waived=default::[not_specified]
+
+ + + + +
results
timing-17=342timing-23=1
+
+ + + + +
usage
nstd-1=Warningucio-1=Warning
+

+ + + + +
report_power
+ + + + + + + + + + + + + + + +
command_line_options
-advisory=default::[not_specified]-append=default::[not_specified]-file=[specified]-format=default::text
-hier=default::power-l=default::[not_specified]-name=default::[not_specified]-no_propagation=default::[not_specified]
-return_string=default::[not_specified]-rpx=[specified]-verbose=default::[not_specified]-vid=default::[not_specified]
-xpe=default::[not_specified]
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
usage
airflow=250 (LFM)ambient_temp=25.0 (C)bi-dir_toggle=12.500000bidir_output_enable=1.000000
board_layers=12to15 (12 to 15 Layers)board_selection=medium (10"x10")confidence_level_clock_activity=Lowconfidence_level_design_state=High
confidence_level_device_models=Highconfidence_level_internal_activity=Mediumconfidence_level_io_activity=Lowconfidence_level_overall=Low
customer=TBDcustomer_class=TBDdevstatic=0.081472die=xc7a35tcpg236-1
dsp_output_toggle=12.500000dynamic=3.252769effective_thetaja=5.0enable_probability=0.990000
family=artix7ff_toggle=12.500000flow_state=routedheatsink=medium (Medium Profile)
i/o=0.804337input_toggle=12.500000junction_temp=41.7 (C)logic=1.293960
mgtavcc_dynamic_current=0.000000mgtavcc_static_current=0.000000mgtavcc_total_current=0.000000mgtavcc_voltage=1.000000
mgtavtt_dynamic_current=0.000000mgtavtt_static_current=0.000000mgtavtt_total_current=0.000000mgtavtt_voltage=1.200000
netlist_net_matched=NAoff-chip_power=0.000000on-chip_power=3.334241output_enable=1.000000
output_load=5.000000output_toggle=12.500000package=cpg236pct_clock_constrained=0.860000
pct_inputs_defined=0platform=lin64process=typicalram_enable=50.000000
ram_write=50.000000read_saif=Falseset/reset_probability=0.000000signal_rate=False
signals=1.154472simulation_file=Nonespeedgrade=-1static_prob=False
temp_grade=commercialthetajb=7.5 (C/W)thetasa=4.6 (C/W)toggle_rate=False
user_board_temp=25.0 (C)user_effective_thetaja=5.0user_junc_temp=41.7 (C)user_thetajb=7.5 (C/W)
user_thetasa=4.6 (C/W)vccadc_dynamic_current=0.000000vccadc_static_current=0.020000vccadc_total_current=0.020000
vccadc_voltage=1.800000vccaux_dynamic_current=0.028741vccaux_io_dynamic_current=0.000000vccaux_io_static_current=0.000000
vccaux_io_total_current=0.000000vccaux_io_voltage=1.800000vccaux_static_current=0.013356vccaux_total_current=0.042097
vccaux_voltage=1.800000vccbram_dynamic_current=0.000000vccbram_static_current=0.000299vccbram_total_current=0.000299
vccbram_voltage=1.000000vccint_dynamic_current=2.468432vccint_static_current=0.017832vccint_total_current=2.486264
vccint_voltage=1.000000vcco12_dynamic_current=0.000000vcco12_static_current=0.000000vcco12_total_current=0.000000
vcco12_voltage=1.200000vcco135_dynamic_current=0.000000vcco135_static_current=0.000000vcco135_total_current=0.000000
vcco135_voltage=1.350000vcco15_dynamic_current=0.000000vcco15_static_current=0.000000vcco15_total_current=0.000000
vcco15_voltage=1.500000vcco18_dynamic_current=0.000000vcco18_static_current=0.000000vcco18_total_current=0.000000
vcco18_voltage=1.800000vcco25_dynamic_current=0.000000vcco25_static_current=0.000000vcco25_total_current=0.000000
vcco25_voltage=2.500000vcco33_dynamic_current=0.222001vcco33_static_current=0.001000vcco33_total_current=0.223001
vcco33_voltage=3.300000version=2018.2
+

+ + + + + + + + + +
report_utilization
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
clocking
bufgctrl_available=32bufgctrl_fixed=0bufgctrl_used=1bufgctrl_util_percentage=3.13
bufhce_available=72bufhce_fixed=0bufhce_used=0bufhce_util_percentage=0.00
bufio_available=20bufio_fixed=0bufio_used=0bufio_util_percentage=0.00
bufmrce_available=10bufmrce_fixed=0bufmrce_used=0bufmrce_util_percentage=0.00
bufr_available=20bufr_fixed=0bufr_used=0bufr_util_percentage=0.00
mmcme2_adv_available=5mmcme2_adv_fixed=0mmcme2_adv_used=0mmcme2_adv_util_percentage=0.00
plle2_adv_available=5plle2_adv_fixed=0plle2_adv_used=0plle2_adv_util_percentage=0.00
+
+ + + + + + +
dsp
dsps_available=90dsps_fixed=0dsps_used=0dsps_util_percentage=0.00
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
io_standard
blvds_25=0diff_hstl_i=0diff_hstl_i_18=0diff_hstl_ii=0
diff_hstl_ii_18=0diff_hsul_12=0diff_mobile_ddr=0diff_sstl135=0
diff_sstl135_r=0diff_sstl15=0diff_sstl15_r=0diff_sstl18_i=0
diff_sstl18_ii=0hstl_i=0hstl_i_18=0hstl_ii=0
hstl_ii_18=0hsul_12=0lvcmos12=0lvcmos15=0
lvcmos18=1lvcmos25=0lvcmos33=1lvds_25=0
lvttl=0mini_lvds_25=0mobile_ddr=0pci33_3=0
ppds_25=0rsds_25=0sstl135=0sstl135_r=0
sstl15=0sstl15_r=0sstl18_i=0sstl18_ii=0
tmds_33=0
+
+ + + + + + + + + + + + + + +
memory
block_ram_tile_available=50block_ram_tile_fixed=0block_ram_tile_used=0block_ram_tile_util_percentage=0.00
ramb18_available=100ramb18_fixed=0ramb18_used=0ramb18_util_percentage=0.00
ramb36_fifo_available=50ramb36_fifo_fixed=0ramb36_fifo_used=0ramb36_fifo_util_percentage=0.00
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
primitives
bufg_functional_category=Clockbufg_used=1carry4_functional_category=CarryLogiccarry4_used=33
fdre_functional_category=Flop & Latchfdre_used=330fdse_functional_category=Flop & Latchfdse_used=12
ibuf_functional_category=IOibuf_used=5lut2_functional_category=LUTlut2_used=51
lut3_functional_category=LUTlut3_used=67lut4_functional_category=LUTlut4_used=41
lut5_functional_category=LUTlut5_used=55lut6_functional_category=LUTlut6_used=209
muxf7_functional_category=MuxFxmuxf7_used=19obuf_functional_category=IOobuf_used=8
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
slice_logic
f7_muxes_available=16300f7_muxes_fixed=0f7_muxes_used=19f7_muxes_util_percentage=0.12
f8_muxes_available=8150f8_muxes_fixed=0f8_muxes_used=0f8_muxes_util_percentage=0.00
lut_as_logic_available=20800lut_as_logic_fixed=0lut_as_logic_used=371lut_as_logic_util_percentage=1.78
lut_as_memory_available=9600lut_as_memory_fixed=0lut_as_memory_used=0lut_as_memory_util_percentage=0.00
register_as_flip_flop_available=41600register_as_flip_flop_fixed=0register_as_flip_flop_used=342register_as_flip_flop_util_percentage=0.82
register_as_latch_available=41600register_as_latch_fixed=0register_as_latch_used=0register_as_latch_util_percentage=0.00
slice_luts_available=20800slice_luts_fixed=0slice_luts_used=371slice_luts_util_percentage=1.78
slice_registers_available=41600slice_registers_fixed=0slice_registers_used=342slice_registers_util_percentage=0.82
fully_used_lut_ff_pairs_fixed=0.82fully_used_lut_ff_pairs_used=5lut_as_distributed_ram_fixed=0lut_as_distributed_ram_used=0
lut_as_logic_available=20800lut_as_logic_fixed=0lut_as_logic_used=371lut_as_logic_util_percentage=1.78
lut_as_memory_available=9600lut_as_memory_fixed=0lut_as_memory_used=0lut_as_memory_util_percentage=0.00
lut_as_shift_register_fixed=0lut_as_shift_register_used=0lut_ff_pairs_with_one_unused_flip_flop_fixed=0lut_ff_pairs_with_one_unused_flip_flop_used=37
lut_ff_pairs_with_one_unused_lut_output_fixed=37lut_ff_pairs_with_one_unused_lut_output_used=42lut_flip_flop_pairs_available=20800lut_flip_flop_pairs_fixed=0
lut_flip_flop_pairs_used=48lut_flip_flop_pairs_util_percentage=0.23slice_available=8150slice_fixed=0
slice_used=158slice_util_percentage=1.94slicel_fixed=0slicel_used=103
slicem_fixed=0slicem_used=55unique_control_sets_used=36using_o5_and_o6_fixed=36
using_o5_and_o6_used=52using_o5_output_only_fixed=52using_o5_output_only_used=0using_o6_output_only_fixed=0
using_o6_output_only_used=319
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
specific_feature
bscane2_available=4bscane2_fixed=0bscane2_used=0bscane2_util_percentage=0.00
capturee2_available=1capturee2_fixed=0capturee2_used=0capturee2_util_percentage=0.00
dna_port_available=1dna_port_fixed=0dna_port_used=0dna_port_util_percentage=0.00
efuse_usr_available=1efuse_usr_fixed=0efuse_usr_used=0efuse_usr_util_percentage=0.00
frame_ecce2_available=1frame_ecce2_fixed=0frame_ecce2_used=0frame_ecce2_util_percentage=0.00
icape2_available=2icape2_fixed=0icape2_used=0icape2_util_percentage=0.00
pcie_2_1_available=1pcie_2_1_fixed=0pcie_2_1_used=0pcie_2_1_util_percentage=0.00
startupe2_available=1startupe2_fixed=0startupe2_used=0startupe2_util_percentage=0.00
xadc_available=1xadc_fixed=0xadc_used=0xadc_util_percentage=0.00
+

+ + + +
router
+ + + + + + + + + + + + + + + + + + + + + + + + + +
usage
actual_expansions=336796bogomips=6000bram18=0bram36=0
bufg=0bufr=0ctrls=36dsp=0
effort=2estimated_expansions=475116ff=342global_clocks=1
high_fanout_nets=0iob=13lut=371movable_instances=844
nets=925pins=4840pll=0router_runtime=0.000000
router_timing_driven=1threads=6timing_constraints_exist=1
+

+ + + + +
synthesis
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
command_line_options
-assert=default::[not_specified]-bufg=default::12-cascade_dsp=default::auto-constrset=default::[not_specified]
-control_set_opt_threshold=default::auto-directive=default::default-fanout_limit=default::10000-flatten_hierarchy=default::rebuilt
-fsm_extraction=default::auto-gated_clock_conversion=default::off-generic=default::[not_specified]-include_dirs=default::[not_specified]
-keep_equivalent_registers=default::[not_specified]-max_bram=default::-1-max_bram_cascade_height=default::-1-max_dsp=default::-1
-max_uram=default::-1-max_uram_cascade_height=default::-1-mode=default::default-name=default::[not_specified]
-no_lc=default::[not_specified]-no_srlextract=default::[not_specified]-no_timing_driven=default::[not_specified]-part=xc7a35tcpg236-1
-resource_sharing=default::auto-retiming=default::[not_specified]-rtl=default::[not_specified]-rtl_skip_constraints=default::[not_specified]
-rtl_skip_ip=default::[not_specified]-seu_protect=default::none-sfcu=default::[not_specified]-shreg_min_size=default::3
-top=Pipeline-verilog_define=default::[not_specified]
+
+ + + + + + +
usage
elapsed=00:00:23shls_ip=0memory_gain=491.398MBmemory_peak=1651.219MB
+

+ + + +
xsim
+ + + + +
command_line_options
-sim_mode=default::behavioral-sim_type=default::
+

+ + diff --git a/VHDL/ALU/ALU.runs/impl_1/usage_statistics_webtalk.xml b/VHDL/ALU/ALU.runs/impl_1/usage_statistics_webtalk.xml new file mode 100644 index 0000000..65eff10 --- /dev/null +++ b/VHDL/ALU/ALU.runs/impl_1/usage_statistics_webtalk.xml @@ -0,0 +1,790 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+ + + + + + + + + + + + + + +
+
+ + + + +
+
+ + +
+
+
+
+ + + + + + + + + +
+
+ + +
+
+ + +
+
+
+
+ + + + + + + + + + + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + + + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+
+ + + + + + + + + + + + + + + + + + + + + + + +
+
+
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + +
+
+
+
+ + + + + + + + + + + + + + +
+
+ + + + + + + + + + + + + + +
+
+
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+
+ + +
+
+
+
diff --git a/VHDL/ALU/ALU.runs/impl_1/vivado.jou b/VHDL/ALU/ALU.runs/impl_1/vivado.jou new file mode 100644 index 0000000..52cab70 --- /dev/null +++ b/VHDL/ALU/ALU.runs/impl_1/vivado.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Vivado v2018.2 (64-bit) +# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 +# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 +# Start of session at: Wed May 31 17:57:08 2023 +# Process ID: 144223 +# Current directory: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/impl_1 +# Command line: vivado -log Pipeline.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source Pipeline.tcl -notrace +# Log file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/impl_1/Pipeline.vdi +# Journal file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/impl_1/vivado.jou +#----------------------------------------------------------- +source Pipeline.tcl -notrace diff --git a/VHDL/ALU/ALU.runs/impl_1/vivado.pb b/VHDL/ALU/ALU.runs/impl_1/vivado.pb new file mode 100644 index 0000000000000000000000000000000000000000..d3f674cc54550daf4e42f1cb55bdaf79679311f2 GIT binary patch literal 149 zcmd;TVB`{Ut;j6N%u82LEmlY@0g`%pdRzjmQp`pMW?F2?`MJ6Ic}7xPY+;#Yi7EL; z>?x^fiKRIuRxpN<4wpoDYKnqyVx@wyp@N~gm8pf5k%@wlfsrw$>L@ltb6udx84Lxi b6|55&83dTP__(-S^HLOoQj_zGQUn+QixMWu literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.runs/impl_1/write_bitstream.pb b/VHDL/ALU/ALU.runs/impl_1/write_bitstream.pb new file mode 100644 index 0000000000000000000000000000000000000000..63ae9a4bff4c6400830574aa6907a8611380c163 GIT binary patch literal 6825 zcmeHM%WfOV6}8@W#h7T2u`y8wlY$LHkBBt8Nr|%Z8k&*?A+#vy)cD$ zVfU*+w<~;ilRwdHetKbYt+;aUT%8Lyh#b!@v&R>qie#gyA{~WNba}27gpTC-04kUPXA%z&io|%^91{%5=FAxiaL<%c? z1qPbf-(z!^PFWP!#;G}Lm2<^XIjiR%Cs<)8h~7`H8R%sdzG}UmL9dz1nFPN}wt5iz zK4G_YP~}1cyIv@rz;_iroe(8h09$IyX{%UzwsNoM3BS^j!nGf>Z{M)yC|;*?mYt)6 zSxolXXW6?iRMf#8JnFzBT=>n*!lYeVnQ}wNe!wQy$4H&Ai#eNc9=zvov+OssFO}Bx zqUwvC=O>3`a$c?Pz1ZDpoYZSam7Q(ACADh%d_N3&GK`een|x2=ei`C=*+1yRQEnVO z54=dUB``84uej1&!{Zc?!a*kvLwHTYHS+VER|CJL+Hq+7h&P3Q#`_|)^rREv{o6?8_>L=A|okM}0y{*-8Pq?w&+#cX|h=lbrm!|ivl8!;>(h~#te8R1YfG6$ZwRm*gZc80Wm!#>QbX4n#WFh8KAY)?#60x`q zX9DIXd&{Tyrn$JuSK>3&kHWd$odLVdb!CM z0oNGVCAIyz@M3B4*TaT+!}#M17+do6dps!BIs^NN$YCEcN9}irwh1f3pl@|3c&^+8 zwqZLZ05f(VTZxV6SemDXcg7kU+A+i!D|G`rMEOW{UE^l?CwhK%WeV|ZFR?rGpD)bg z9I_ zEAAs>A|iwX^WhYUq0l3MLO>Ww9e8o#KtMU*-M~%V5WtxT$6_eE!8u(utW{e%oOIKv za`c&ZkN}%f`W)E$k!mU2k~$$&MXeIbkB}p27ioLu2N%AH&e%s}=_m+X-n@dNN*=U~ z;VZRT{Z*q{fBAfOuX0pB*satWwR(O3@H&Se%?j>cXOTW+P}<;{YG5=Jp`@ysvGEDJ z^XLoq$sy$>`)NIn8ui}mwKombu;=eBj9nkK|Cp7k@tq%#@9fFQQ#yK^rp=l>m)_e^ zuNV~qx0-{0)b?|B)~o(L^A4{ne&bbNvse9d;;V40TO6}^i(?i?J?POU`#5!N5nsM8 zlV6u{d~|X2?3k4g=+wWv%YHw1>?gI55(iaPsP4NWG>I~ZBT5QZ5qSsM2dR__tv1Pm z_Ud#mU)rr79#!_XDhFH3NrmEzTiY)x$F-wHD&r`-!X;6H&W1=wlYO%-R*OC( z{ee80s^dFym6Hy56B49sgWX>x^0~YO!|x)M2`#AvkRq<=5{W``&eS+uLw}J%fe#f; zM!)DNr^CS>sC* z(w9_V0O)l~68oOi$o1GaY_E<$IZ2@(ws!xn)M)5mz_1c^Att!UNH=wmtY9FIZ@A5{ zAkCMk5)v)%qA3A;SY9<=W8O}SaI(!|{&To}vVE|7^b7v0S0bdE|7-pltx*GPy5V%B zbEf&;;n7ykdIL(gS3la`ec0MLLTi3!Zm^CLx;S^41)vq ztQiI|PdVz#2mO%RA12(7tGo68qj3Ma<2`{h8}BXlfMzJj`AT;X?CC&*1{u@Vv%*?- zXtKmUDe&zu3_`ui*La$v^=Mi$lar$LY-nnu*z42g%q|r0tieb{vE}}l=4MX5F|x@gGRtd)m2V|w7R{NbZ=2rC*@c{Km#H%t zT=rA;uoeiH$b~B+VTyy!=OK9-AlhYXNOCe(vewriVlWc?3472NVFHxoWF!>FN*Ef} zWt0e!p^2{yiLl3tSkEc}5vWHF2n0PPz;qIsdZ0ydeVm9jtCSV-BlevtHOnLbtfVV? zgYz~fa9M;?97@!>4{#{6huQPVpfwdSQC_(>U^=JMr9y59fCl^Y6)0SKb8?cJ&cqIr zmX$e8=0tD*z>MZUs^AW_@}i|8giMx zOtRljzLL!&;hiN;<6t;M3>ECk3=+V=F}nb}y(Tz<*#u}Wr0cIDWl~#^!T*zhwo%5Zj z>k(PLb;BjTH!LX~1l(Xh$+!#;G?-0Uc41`>W2RpMg3}o*hra>*Ai_@B!vjnng>lUE zg~^;A^VW^x`%If5y`0;idHg{7UnkfOX1KeUMj^4`Q$MqrKdR(Z)cEvQO_PgVNhahH zWGP1ffZ>jb_(^*s`@6wIHVNC0@-(blg)!@x8r$QS$LvNF - + diff --git a/VHDL/ALU/ALU.runs/synth_1/Pipeline.dcp b/VHDL/ALU/ALU.runs/synth_1/Pipeline.dcp index 2f2fd84b7b26ad4f893f6264681ab9b706dd8fbf..a133e7e8abfc935d792ebe4f6572cd9fb04f4c41 100644 GIT binary patch literal 135402 zcmce-V|-oR_dOchHcpJjZfrJg*v4#Zqp^)Pw%Mk!oyNA+*v8#$zxX|W+|PY=U+j!C z_grI+G3Qz*IXO8|l7oc80s{ks1EYv(e~(L@!;lCL2G#-z1_leu z`cfC49cERX)Ky6yqfYF^Hg6+UsXH#-PGPa+MeYems6IrcRbn=h=#J!lVMpj7vX)U~ zjf^(=p|JCk8bdLrlOfCI?F0T!Mit2z*$$>l0X|a}=8B7jLx=q+8QPSk9H+^7mJxzf zvRjES*(rntw%MqXV13q#drob6kbWrW=y(fu`XH3YlU>_XRcH@IiuXmm>nf@+5Pryz zb63YRDqL)$_FHMrLKA&StXXY)HogoU#olQwj(JAB2wlVBTa$P-ZmNjI#*LIN#o%=K z+Sf%DYLP+ez&IlK&n6((v@VHo@vh5bJwx3LtiX^Xx0tC;!+hOznKxXt6f>bbD8B~H zv@_l`m6vi#NV^<&;p}e>PiK|DL(;>|>#H zTTXH?8q$fa`;6)?!0#8pz}H_)@&r*;bap<5)pRR#TDxZM1)=nhjoWjzxzM2l12YT+ z1498ePRYW~#LB|Pgvrs|z|N%38{SL3_u6-tI&e@9q8oADKBjp#s3j$7aWNPz83CTU z)6zOEw@_BD0@~_Rie3N+A{OW>@fA= zyqAsUxxS|RjxoX3Ju!k?d3FufJCKT6I%aUh^ODK{;1SxrMojiifVbl%?Gyc&e0 z20~>w;%VEFx*qInN?w$qy3je#$&oQqWkl_d>*$~xnd#m^x5wV)q zYF=8g%T$+H?ga>C5(iGVA{ERl(v+6Qm4r&v$5g}0k#CD+IGFmO(pdN9iJc3bhkKHD zWiSs9l86YIFOYQ;yG^`xq5Vp@#G|JWT@Aa>6UBeB@UEVOu5M!ybP}-ZwEQ&XG^W$X(mTz`6D2ooHP%3RRG~)O( zk>wqVpK?CRQ0oXliS59ji+;Fxt3<09iJCb-@6nYA@A3LFAn1KS*oQNauo*zDV2#gR zDg2Tui=2@3B-0WqTQTxR0rQaKm*Q5CbDe?$hcG4~g7GJ>arh_&XBwP=tX^1!OpmUH zuMoUZax>5u#!OKpjEZ4%hU(CK>ZXp!})!S$( zDtTe0m>44x7grtQtyw38GROBpwrb{EDQ(JmQTWD*G-2x+{yFfYXULRyb+%-kU)q$X zh!Wbv_7YedrAIj$cA4V84HTD>Z7%rdgpFN9Idn6y0mM@1EJ zi0PK^xDq8nhay>=qLZ z(2blUb}_s8kf$Jaxx%mQ)X3e<)CjX`X5%rY;;AAc!m!Q=v^Sl^>y1~&&$IX-E8I&N zeSR5)_YoULCS=(iObkP3dabEb0O3n}X z>x{%2M3{ld4BC;w2zOo!-;Lz=zwj3oTZ=5Fbwo3AD={p~`3Q9Q)U9z#6JEUE>nHds zW>B$1-fX)q-(;uyMB!dBBwrWjgO+WwY;M73vVF?i%9zkfwO1(4ZlOh26ckR1DvQ*= zi5DZPF1>N^z^mbNv3PTpn6y2O}6;AcmBhgAPd+%CbU|RK>@_@Wj zX>EL)B+mxTGVwvS_)Am0it@La!})Jgc801=RIsZR`gymfS(1jVzl!oAObHEHp%ql8OHu}J z+&yForYl6>V3dkyRGi@6RecOJE2F-qPjZ3d6x}IZnymEYb{{ME{djM{d#8Rn33|S! zPkd37(t$aNymS_g@}ObDIv~yoF7BSO=c)BzM(QIjNg3%EwZ^g(OrAQ9jLe(&JkL<$D7w~R^h2fKKHB0nJ3w2DA-M->v+Cz&5Ol12*IQ0a&qOTx4qZWvK>F^nWC={ zpj`{r8GGa85=HFCtL0|8R&$|WSFFUn${}S3b?tVjrpxWs;*h@Bp78%e^_iHU4Q{E#cId zH1TL4$!t6#tu0 z9^A(Rk{r_@ZL{M#rt%SSomvdK2=i8n@0?@@EjyW3;@yn7$g@|kxUj)tHbb&l^`Tta z;s3|_D^X&&MnPpZ=lDS^QKFp`t)rH!@)5S8)NJ{#;P@C^Jiy`33k9k^Q zH{U{SYDp-npv6Bhn@}yTffkcxr!QrNr)7XHAQ^MhDzrRZ6j$1ji_)a+~~5eSR!uUe|p#6!Aqq3-KhbP50-}(Rx7|Eq^@xl zCH~ZlLAvd9gzSElO61}gOKz~foq%%fTszi_IVaKUw#!bk#5LVZG1lug9h};?I_W86 zHrR((y6v!l?B0d`!odnP{xn-twr#5sD6to?{Rp?SZQET%BinYnKz1J;q+!c8cw5=& z2Tti5n)LKxcHkEJXU*l5KCg>!k_oSh@UQyv9k+T;S_a6~%qVc%wHdA7gM^Ey_trXK zH`$ZTs`s?%SZXFiWQI;8u{a-<**QMa-MDnI>I2#Stk8^HFYuepV_bDqarYELyf{AA zKDqp1+ieI1ERU{r8&lXh_90t8etO{ZxjXb~@BEWU)@pH7b@vpMfZ2TpZ9lUSGQ%WN zGp=5ipFaa@?`VPbJiqm*->ka7Z##u*`EUx;;(cne0cbfy+&-zTwsDKCazm`H)_*%w z5w-~GeY>XJUKP|*72Gl{js;YEuib)Jetl-xu_Pt2>rMAt;JsE0Vg(?&e(b}v?M?pY zmQD+<=Hq6GU;WlEzxw9|#uh9kZKLy#<`p6R-RIt*^TOkXN292B41Y= zYosl1Mmj;nO|8e8`C|0}yak2)goz%@mJ$-+sZ3 zip0;`&t-p!=9H7Gm|5{B@_KmF2RbF7SLw@;tCq&URc0KUToLIzX5K)%U|jj?>|9k8 z7+r&*o%~e^w?aX1^#!}7%vk^n_#zZ3@saBm7Ty_c2ws`7y-WbDrR;h+K;q*HH;SFJ z@0jc*#v$E}d@41zJEkCU{=&UmtSR#w0oiYdVPqpH;X6eTIZte_nz5#*9tqTKa!{w; z#GlZkyb6x;SS#z2e-+FsU+rG*8C+!A7~ij%PdZ)}jEC*99@^Ag2@Q2Up`!af=VbaA z@8Z4e%&rG!`F_BKjVRsA)mOf*K)_MI&DFO9ewgE^ljd;ndk_IxnCelCgOdO+NY z_Y-oRyX)6^N?Cg9oWFS@jCk@|4&3TZdmKCyJKEK^ddmfCw1gjRJ$A|S*2}4aWPN^C z%cyyXU zd^$fxxkq$~@~!P{pCx!T3%H&lj8?RoyCY`FBAJ-01qK9Fz#YsKc9vzn2%!b zb60LaobCYLQ0OwuK`>LWC7Dq%hJ%n2juQ*UBc2#~>|yS~o__ugYcK)nWlr&)A?*xn zFb0WH@j@dWy?tbksM$j@j95+-E7rO^(=+Sj1fH08)RM5sNT)Ql5z-F(u2}1Er!=$? z(hO^5ghOgT+(0j0xL&#(ACeFPo>)aF3q}Z0q#6iUtZ|@In%jW|BUTrw01K8vX3!e4 zjtZ6{Zm?I$+JPlLLlq)!QE^CdTVOP>;q7mrSa3Fn5QLz>WmHBp%;avH>qVnP8+ z@eI_FcGyxc0*F4cVL-}T;{=w0i-B##WsK?8h}(PQDh=DE@D4@kCLYY zD^`v!QV^(5$!e2TSZ1(O+SGw{I*lkYkOo(*0tmx_8u>(#J#?@XNki6 z$X{8f3mZvkCEwzOjiN~Mu^SZ&IT9fN54μ$C|34h(g1gIHiGI)8Rb51AlQeLR7+ zY(kM-1ol|45AVQqI7IYIKpfewe!f3z@FL!24&JUlr3WwGFWtzTmtHSgH(oq=9TZ7z z9HU};*5KUafH=1u{d^79U_KmR^O6GMgn>|oHCP*aPQreuQ#ugn-PI83n)ZYlK?y}t zGyynlJ$RPymSMu>Q6vrHBXWFt@D5~kaV3ZVQ@|uB43X+6DkBWz0^(@5_46TFgCEfL z;$bK@`a7i$4Ujm=cEu)uhs*Y?(~fvz86l7UUC{w?ep~wa3oOCfD7U!1gupiSbxNlg zAYBvK;6f9?Qji1Tfj$xynhmZq5-i1eH*f^(S>nZX8IeihDQyUg6C13vjW_#pTsALq zm^$zdpov1}9#JG^{R85R*MZyX`jnss&96^4ad2{ zoBEV)EWx61^$|lM0dY{9`uRZ(&dON!EGkk&k;WLX6sl6?6IiiC8|Bmgc&WR7WM|L*CP1;iG;O6Jy03Zze&Sko<@qLC%th>|q4c z3p2>XGVG?b<=0RW0D?>zPE2WFhg=2#h<*NpYDUL0iYf6ehfvV07&` zS;W)r;X^tyfIFkYz2U&mj)(O8!goiH;z`Ig{aK&%CN>-5k&Q6JP-%!HK3QLgYo8b8 zF^$idNWb{J#}9HLn*t1l&G`%|AV2{RLEA1AzIzH1h10<8sndWO>6-N}ne|Ks428J> zJZA}vN0bnQ=2H+?v@z<++YV)`@gT^^O_ruNer%Y*bHuUw0ViZ+gD@XFgd5M-tzp&h zAX+~9%ifH)BB<4f;L%3k3-UzJP9?$DKJe4d3tU@ zMCdeux)tRw3ntG3GX%>rfmck}fjUoC10HP>Fb$WgHlzcygOEB5^9z5x0|uUCd;q3| zjwJ{=-ejFe%x@8q10Mp*FZ&C!icWTKb&eIJDl(xZlU>Vs_B@0T2Z@^hI#hQs8+y9= zypq&s(l3(Ai2(`oWSl5IRt{pn(0mMwmFque5kU4bnRCL>8=tYO!csA(nj(4C2PVi_ zK*syQ7U7|;Zg-XR1P)v+oy2C_%_Pf|YxBR{Nu{<4e$U&j(5aODj1Eecj> zZJm*8e+kX!Cye(|=jnVlv-q6=;guG=SsLHn3cN4NGx=7G)wqtpQ`nQ#8Ri~SzF?EV*Lyt?;(WyG#i9$ zzJq&-%Vs6cP?g$VW{_|`fy-&dCHR06QugF=ca5SmPM|itc5KI~{gHJItbXhMO&>hhBE z&{Q?XW_2BBEAK6T!;GfWPuTkV>$8vOHr(!$)|ATzj7^7uZ=)o*zuKkL?2lreaN(>Z ziq_XixBIu}s4EQ%MM2!&T`S>!KJJr}#X4fa`K4=DWt(FN;bfOpBMlz-_>7|@ z7wC%@F)ioTQ5CXC%fDYALeIdzty6s1)$QYX$B7h^9YhhcHh!jPG@-$Dg%{Cwy`k5a zy9DMot?5(`5p$2@)7!lzh`7q!f!UXv73ohi(PiJ6Rpamb80Y2*tC+L1!46eXq(Ira z)6H(agLB#Zmj6)aZU=TOhbml4BV=!)YsLS7w?&_Ea$rMZilk(J{L>=E3du*dxcr{( zpM}no_Z!&lsKvY8Wz_NC`Q1ihda8`vR>r=Tb3f^xl@YFT;CPpH>i|}hP;3b!+7>p1 z`f>@u-TF11%n(k$;_Sc2US-EwY3_RL%hgfczhv+BRKKfQgKcs5Lw%Y(eveV^4BN$ZN27@hVy}%kibJ_}l2ykp zjlSG8$-Bjvo@U**RbGe`* zyx*w>Wp|6m??TA|3+Ni=HS+~JRfI5--e~UDOU+XGP}1w#m4s0~BPBmpEJt{%FRCJkip!cl|SkQKt6hBBxNqr}GGd!AMH4dnEBRdNrk z6Qr@ss2;t|8G=6q4#rv_vLH=qQT4=ajtxTE0C&2r)@IEY>!P|Bm&M40As-d`;7e>- zIBK~3$8fZAvREbsQ5G4p6{SFZD#u0rMi{9x;`c3Rofbuzd{Z2X+$aX-oOAV^^p%SG znxyQ+o!shlw5FV&Pjgh1hO<*`*ESoS*?q!rR_Z%Ui3p#O3UufihBTe#pmZW7xTWnl zwLX3sNO`-v*7%J@&&J(wslV5W(BbjjhoPjjRskc5C&^!9elx9B89sgG3-qWcjA7V^ zh47|UXo)A-gcJOpix$>9dDQjK2l?U`G#*geMfKEbf-ULIW>#+D-`LKOgHwp~6xI{Y zWKT$HHca>TV^U^X_ihl@t9|aNqL^VGn`h`F%g|7cHAadUKR@3@N9D`YOLEp_a52=O z_Ev*zU*|Ko6`~(zwAf9#GZz-W%Kyk@v)<}BSv08#G|N6u+UyL@ttyVMO&hX^W7 z`kdAZY2;}ZIu4zW53|$~VE8BwO*N!OWHUsNq(o(B^aF-r+OC0|L0u)v?{G>S8nVN{ zl2SK;4aRJg=TmZYEy!i6%sECgEG%`E07+34e0l3WA(3dtZT4uE)#Gyg>~P4^wSn8g z?b9@g-G?h8^)i8tcVl{SKA0_VL(?B0?lEVC8y5+G6mE~!*{^+%e*d@+o0j&LX<@eX z{mM6QgOI(`?Cs*ISf1e$ERy{c%$kGro2fnN#R=Kc=WG82fA$iTaWmCL5A_3K@6ZD0 zq_Ti3ixmG_UE*@ozAFprY4Qg+g`ZkEC^Kf(Frx_0slZ$Rj?^>tSKueiJgo*rV_#Re z9N!<57I&%J^LVAY+F7kRDOWtm=+DORK?n}~6yH@;{lbT_8WUaGQ=GTnIzUZVD;o9L zl|5ehz4&{Wx9pM|-y$&OI+i*ihoV50mPvszxnaeP4sHx=uHofM*k`7aY+j3OT2w9Q z#OnUa>SrFt30Pm$X3n(xm{g?eO8qtRmhx%{kgJSst8~2yKaNVj$B!jOW|owi5cY!o z7-|&{N;qgf^OCGB%^oyb|FTbOdEt5H^ry&LND*87gku%Kpyfu`KCMS+lz%@(TK+(O zX+S@lyfJarOdtW`>kyu3GfmDx4vmlb1h;Q1cak=bp686Mn!Lf9gfJBO7)?x|@W^OZsD zomY=K2Qxbe1zuOOTU|mmEIS8p*@1L-`MO1> zd4z@h=XA{m9#rqB=SW*GrZY67-_~I7^4tfi-msgydTpG^2UIye&vjlXvoDz@{hG@Y z@A4IqQyL#UD@fDekuU1dc)r-YM5*5@Ig=2o^1Y!{BlKDwJ0zuszjnME#+UNll`Nam zFWS0%Oj9fB?#K7qvE=hg^#7*)3?VqYE?2781^fFROv~Y2SI{GaTQ_l&o#Ck8+y}D; zE??wVd(CcaU+R{fyRQ2?6vh{bN!+c|Sc1U(R2MP*ADuXS^xzc@H^S%sZx6bp6iE*Fm_I9yB3V_Bj5 zepmSchuW8=Z-fv<7|7upT(@98H1paKY$cL(z+BCAo4ucnFvFzZO0qPJuU^axF)JRd zR_X}lBpIw$;s{+Hjf7vZi_pNP)5m$h51wKcQL1tYr5pFAR6B!NU;PAp6hl!#);DJu zx0;QQx&#MKGp?d;;*KUk4`)QJk_oMvpRbVM^#gCJ&_Xf8@7mPsT|Vm1 zRoQ_};vEvd%4Un@pc%tQ8N+;CUOw-qI@F1Fcs-~75R$o9ua&RBvJ>GD32Kt>xW|XX zIB)<*e7yD>?U=Z$?-)LwbASCtol}X%!t6am;9TH$`A|2=Tn}HT-NB2WF7rf4w`**d zT*3)4IVCkLPY zjNKIhk0~4pWL}#VgLp|=(5oQ98Q%($k{znEF7OcV5Sn@{oe_fOk6+cu^MK+%I?i}$ zt5k#|WqJE$Zj(S6gbEq#}+z+*!6-J&zf?F++P%kM!zD~O8U(-5yImO4Is)%rx z=33q8j&N)3Zeppc@~k85I$Is+A=|NH(PgzjS(1rk2m3K_Og^dZ>?3?ZnHdz((Zks# zs`nTIk2$l(WtR?@lOWAHn8359jlkvH)L5#5T=uM9@z`Mkr5@`0aNk9(_S;tG{gwE> zpJj@z#oV$4RgL>cGdh$}bGnJG`5L|iRSN~oht64jpW24?Cgk{{=9VX0OS#3{PR^$- z*e9(@39i5T!CqWOx1tHhNeAZ_(*&B6U3*ps+brfiGQ!0dZF&(fchGNA); zqOd1ZTn~LDEyhLgTASToR2Hvxx^I$Eg{pIPrDJgy>8ruK80v>`o%yly_ZjnURic2v zC{^tEfDSHmtq1N}DA%s*O}0+rtKAiXR)y^q?Om+l+a^dddB-p<1z|I`UjCjGvEhwg z7V&=mh~RT%R{co&f=g*3a;Dq?CV2hsA@`?9hm@d`g?HfGW=<(3iqKX+N%09WzIWzl z!8i1d{q$BT8G~L@f?Da!P{f}-O@#WRDapH?uRq|^Q>Wvaz0-=LK*OTq!a>Z}Ly;6Agm)6o znXo!uS(ih3yY6;L?cOTh2VSULdokg}qA`nw>kz9RR$oaSI=m}(J*y4I{k9lpAW&yf z`2|aGJgS*=THIAs1##W%b~=DW5Q#49*IGtH_fazb4n&9ldi6tQ;&!mYSSE1CI`WrjOt&8)`BVf1ApOO_BbNt%L&= zR2;L2{{HN-_T_*e$e1b>27b-hH>)k5(OzXyM}OjwUeL^#kPMFj4>U@C3Z%aMt-sUR zxUf0$NrfR3Tf=Q!@V8C@?AkUmk6_7r&ok%D*HYZROJ!-&xA}E09%t%Kc&!fQ=j%UP z#6Z*U5#DHg61W3>jb62xbw6`=(u5AKxLyBtR6#uhgDN;8Ed^rs-m|(|PmtzAP$*R( z@ruk%;{0LyreuVKX!GHT^nLSC0EKSW>rHcSK=+5NS21G^&$Ei$RI5zE=8Byo_ND3U z7R~6PnE~+!3Y^0P`YJLmbHlCF3x^$x)YokZWYqB9i(gfJndLp>nj4Y7Xy)ohQbmZM z7bSeFEraM|Yxun|HAHcNk3>y4>C$QhG%Dp>G%A(wGpUt`K<|#}X{d;$Rt-Jt{qtj( zP9n*bF`Hmum}ZDzNdNO=m?p-iN3A-Jgi`TbUPA2xvsZOp}0 zL&Cn;nWKM4lG9Yp7mTm%uc>)`J{&p_BW7b@DC?k}_~^j_p)+u@H#nq9+;s5krf6j> z@6=VVKBc+rI7>3G=%A}=DQ#)xdd8fMAf|8ZYgyHgb{poyHQ$Aom!<0wMLnjrA6d+Y zzCJfaMfI+@u4^{093Br#BPS;|o&}UAE_y#~Pnp`hh!y%095^zgh78phCBOBW^M`o) zv^KstIi8zbZQC~MG5a+6uz4)5yRqTp{`Ae@cZXSfbbH?Ud2?WMwcT64va?eBaC^Gy z{lfJyW~-5BsJ-&cyfvsdw6kAz=t@C#yMify(7z_N_I%lYu%&pgmgTds`r*mZ$>HI^ zjlXn#?Wj^PD)Z_7@;c=>%a(~*a8>v8<$e!$&E^O0+6({6%G3SL?Nal|$-&;Ckgu=f z^V(AL>+O%G>gU?lXWyck-ZW-h*XGyLrJI{E-^ZBiG9e+~>yOX(b4zJ4^}cPbFD{q% zp3QVGUUkpMV^N#0*K=b+bS^N_xr#(ZYZP$Qt)Pa|%QZYD?W{F_r&08H}J)^ zGm1+iWhxY%OGJ>Z-@y#ty8Z4SMluO$G}{sM>HI7(eXu|m%TzZ zO{kx>ij4VVTZ-}6W@Y&{E94s8PoBQ5(arM-8j=R01eecSB4%Zd8se5d{^T{xf ze);B;SYLhfNW{p<&6H^izQFy4*yAJWx?39)pLdDQimva<>hiPT!(-W;?^+9=w|9#& zB<4e7)(>vrnUH*0kh61w8I)&dhlLtww7H(jj}|@IsVs;VT@qmQAk1Tm61@Pqk@}Wr z7f5fcYXWJ9Z}=M5AqGWhHBsVH%bu`o_I~mt2+|v1bR-A^^WbbJxS<3bvuLG?tpdxH z)MHYLqrRH^mJayOS9+27-D`q+dN#gKE{}T6Sug#P*X`k>*R~qi=&3gSL z;40%gj!ySKC6V9QUKP6$y*M4?b2;7Aaph8v?jwHmA196N`>I3steI#qs$R|Toma!4 za{qo~YQ!{`RPed_%hl7_f~o33$e5tjP$sG1npNS$#wBW+#Tcrd6&L&SS#QWl`CbS! zcUq&*-9@+Q>Seb^+K8x-*R{bnpp3-Msoe8b?!}eKT1-{#U8#>(Qiq1OP+O^KIG-bq zI+f}b{;#8Kod%Kmu?91-FAYi$(Vr!-VIue-vDC>JPtpBW%%AVV)(`JM#JOp|?X0(<@>~8>0Y78I^S^+w2RnD9B_`$L z!?)LK^FbDcG7=7M?kXc;sgx1qyMtYW3B72S#v9v%hN14Yb{EF1J^Wfc?zMP^nT+ZD zT1c#lIPWqUgHve`X%un9h7&B&17sZ7diYCrxrpMsenZjsB)@7JBHMzQZS`Td~DBi@&K&Y*sRgrg6Z7=p5Yeu3xJVpzw`?0Lp}Y@;;J% zQ1mxpfZ~|G!z-qnvBfxwzqv?cW&u!kc@Q0g8<_gFk^u_uXl^=r<)D4?Hj=$W^fxkq zVxPXlBc>a*#n=a^5Sh^dluaH)```wYeyw1D!ZQj2D4q7n>qz#{(ci!Tif#H1x0tT? z7GoQrLTL7D1WjX=2hldT;l$KtulFA8a&vh!;u3tfw=n~E4{|ud@h$TxY%1=I=@nOR z=g$9fchBWxIw}h@(@{$Up8iMOd3Sr(j+Tb%%S}T+cYA}q-d>#0YrvIBgz2JNg22rKskoD%#|>yxEeq?WESR1z#W19N45U!MJ(6^-0Ow) ztr1h$Dwf%hAPt$CHnjZvgXd;%0;EVP1yVT~slfqV;_yXk+UAPgusqgRX1~TpMYI7c_EY z^AsF2p0ZZhF4Xx^)d-e!R3WP_b^v7nbc{Ij8V{sFYa-~v4Al`p_esr$4jkb_;z4ag zfn2oShZyZf`5@eY{6W}lJ_ix59=K57Iwif|rw<`*@~g&g^1ECY!yUH~!?h!E*@x%? z7+wE^@yRl%^4FOdE}BhqI8fn(1lcmo8#4YQKx7HHLWsZn*%5yCJF5Oqf4{OOJk}k^lx;mq0(smy z27C$Xb!YYJl8ZQVxC@-I)Q|Yq#DcVleUW_LZYB6pLt6%`2%{Hzv03(u0Fg)dD|Lfi{TytSY9T%aAF?2xiDrPyS`9k9=o~_ zXCAw};9wrRxS(VnJHNnU9y_~$VjeraxMCVRx!7hJJHD7^8auk^VH!KU_|7zTaFNe6 z_Uj^%X>9)@kZEksQdpOf+$yHAL11_DCN*Noh{@((fuA*a0Y=x2pTc4OZ+J8F2awzU zz;W>(7>WM_6<&WJ%JdIdEByflzCZAW@(&=Roc%Ta|9t62#KI}mL5T;x!T4$k9}2fb$uWb0hWcPQPAPJ84^E+RbN5Lpa&>n}p>cJ$ zNhxx1H%*~&asQZ7PAI&$1+k&%2qex2=q9}_;3njd%Ld z4gTY9jBha5zzW6#cQqhOo9>H9uS_K`c2SX^CP9+`JpYB4zwkN<%GuRelri_grgFa2 za0mP063^``iX$xz0nbZD4ozw77YG%L_WI?ls+gLionUBYMCdh}y9roiu9=1t$K`9T z{};^vf?XO8JZF1X1~6SBP43stfYlqh)u$X~CvEY?ryTpg5cd~qoU|#YpAf`FFUv%X zSDt>NdSG(6UxvUOTcBH9h6Miwn3KQJiA9{!W!c-`Cj4hd+8?sH;S&H8(SCnSB>#oX zzfkD++r+~jFap=M&#b1`LUoH4~JjIYc z-Y5_0dUPQc7_c;WzzUi>V1-DV3d%Q16yVL@_3Y^VYu^0?j{>4y8KQK%j1G~E&O^s> zsdHIg#;?bu1sv&Epn{w|NC6TF`Aj?`@xGC^?;y~?cVh%hN>y5Ft5V@F!lkL<7RI{> z0`}pP`rn{sA!~!uB~6>g9UEzpxeT&7$P5N7j7^aQS|ca*9bsf49fE=MfmZC-902P<)BS z5HJ~?vCvB(P2%JEo(iz(II5?W#q&`TC1nrJG(7XiJ5;35l9^HDlt75+a*QjoKR_Z-xK&at4#fzlzivHX*XBAtBoG;5eNF)gMvaY3r2&4FK# z7SN3|(2X-Cr?kzD-;N!1P|CcnQt2m@{i3L|v+y94F`lpCrGGmB5(8pirfTq%_8C%2vIrQ%~?+&k3 zQvn$qK!%!*7mCGrs>5M`5s=~dkBruD8OmKWzqk>opXA>F88(@J%b5N{W*GEa=HG39 zk=RB{l>FV7yZjjzMx4m_3zjh&Z_E?a=e?NFEB{HqD{`GVSU3k>{Xq(lYc>&?Ybfvb zE;7HhxG{1fq%ksU$TINBj>u3ie+NG=@?GFxrp~O;;~14tVi|jg92}uD=|ODJL*xb( zOk@K)emh~I9R3c{I%SAtwdV5UjOgrU(Ko4+wNQQo1^&iisql`8lA_6AP>n>fKu#yx zL3#kgk1Pc;p2~1{P#FbY3j%H1LAX|Y7>Rd?oLmmf zj$5=LfsEjQWil<0sUgu$Y%&#?I1chm>#ar4a5yer)OSX3G6##_Sy`fjxL7JM5hhql zxt`&WJR*!*sNX&TD_`sq#r|sr_J3NT?)aZpj=2A)l?O@Qd=q%EI0>V0)38)`TPJKh z$#x@b=cPwU_oSF+5=p)<#(-IvfXP1+o06LzFa`0mWruc=b&>ZM%N0L`K z8O?EE7(bcR5v^dG?F6Z z{;i2)9%J!)S;PsCN2=45n+_`h3?1sM|#-|5)V*a@rj zu>Gz`CIM_!A>2HOXse?TE)g;xjuqG&aL;5TqIsZWKdj&T4ARGRj)Y7D?8JhAtyG0N zI*7K{SA`k^R|!lNGY$8%-epCx0>&jA9(#-j4Oh$45qw1wq7ImZ{W+-;*v{Ys0rXz} zuio#LuKpOH|JzcauZ=JS?BDc%$EYdskI{txV>H!Kon>4Caobbg2rrLY~m%XR{iwXL;OhAOw&)hZ{e+7$fG#0@uJpD1N3{u#U-` zu$F+LO!>!tKFLs5|UmX@l(DWJHZE?57 z-62Sj#oaBqyF<|6?(XjH?he6&yK8U_1ah1ADld`?)r6&uoo7% z9EsE=5heM4fLg>q;Tt{{C1@%Y4j8W934y>;(<=HZE3d%bXY9i*1VE6lv$Otgp~+Q{ zk(Ncko|_7>IMWT2=ShR7!F<0U8Zae`1jEk4gvBbUMw{+wg)oWxzeyS4UjYjGfhk$p z@N&nDG#r33g1NqqD2VD`L5w)V;}R;6Kww5%D*7$_Kf^HPe>b#)t5yaZ^TE|)Bzda; zH;=~czFVy97iRGA(%A{nLzU#X)A+25SK*hs#3He|!7yW~ATVQOZVpq4s*1IPEDed% zK7|3K9fNo@il}vi^+UF_q1!$PS~lV*rzOqun=e}rFL7dEvbPFF|B*7$;oYIA1-%3g^w24%N- zf?1jcn0cs!nWh++@vwmzE-9mn%N#?Jmb*Qvn+Io5v3_~aim8kS_CS!`W@Q6(c zYUlq504ogXv#KQKuUWJUwvc?E1Z56BBe`ozY#A<+AOtv|HJn z>`W3Z3F%ibzKO9LZ=_SNj&crgmm;*X$=AnN1=`7(*qKl?$J{HcD=~hse>iSy4{+!s zA||-BzG@w~s`*f^q65SOrSgXhK#+X#00fYf3~-k>IXsgXJu;l?@bGB!Xz^%jNvc53 zm^}5yBY6*K*nq-(`|#uGN8Co%B<_f8@(ho){#ABP45e^|si;2%Fqu+D9FiDL2B@U1 zLJ`my1VAvQlrg2o#gHAJ_|fsB;z!2p2mq-exQ_`y(@;qDSrUt7U0|o&=+(vDG51pm zI}b!;yizPJHiGLIU4roU7y!cJT{76iB9OT=MniBm7+sj%nf?1KV*|SEi?_EEfI+9w z1$4V`?UL@nYhghMuVm7BAS^{InH&iX+d-SqPYX{4I3-i12=K$CVo!?tuiH8?jtJ2j z+{uqk(wYb4P(~V%@r6YsySTpwf8r|jJ_rtW231HIo~8p3qM5+AqD&(LWW^JSm^!2| zM&R@0^WbyiyYJBYPs3;*0{_Uj=|s$6jMNq641L|>;}?_iC-^D$^?%hO8Vasu73@U8 zS8y$ZlK;P2+7OR`e{v4~)pCh%b?*!r^_M1@%#LORkXlElYAX26Wq#qZ9Any&6 zr40Ro0SL%hsuUa@;aZ{!`ZIb8dNV%EsaoMwf8Oo+5Wc?+X%xB)555N8uDzV{7V6wO z&D7qL3CEmG;E9Uz6{-R2zxe|YrkKHANlSvg!WIAjdBtOQ4E!S~p!?6O62;Mzr-P<1 z_y*0m-Y(B?r`L7*e|_)IA0BUy4>$-G!;#tCeIlegXs8iVKwV59)y~ah?&{$d;9REspy+%q|;35;2ZntyAU^E@#_{_=sEUi z|M+;{!=z9PrScud+^6g|?ys4EmzTFkQ`ak}*WJd+#gEH4a8TUl`RCu&`VUQv&X3E= z!AH>h56mC&(HrlGT?AUlQX-*qEA*qkY9$mOcD~4QwX7MbRPpKgyjj?BT4xx(>k7(} zPFNc}EeIl$TVkuyMbCHaD^L>XDp9f6zxp zf$n*#vVgb7Pd{?@eR==x_ok9!kcOLg^)Brvq*+DT@T^M;DMjp}OXhpp3jvOdpJ@=1 z%YA1Mvt?G-?%^{l^I)wn?T1x;KpwR&^h)vF0M(E8(vWFjo;jLvDc7<6u%^J3o9Do* zijXObm<)qtck`DcMI4`kVE3jabAcQGQ{c}?L>84Bu$ZE_l2Oe-+Q-vjK>L5fep~Jy ze(TX_ar(Xb7xQXd$Ufls_vY62S%8)s#K)ua^TG7U^!54k?3YV!0|$2lcaJWEwzk<^ z{8_Fmt44=O>#lN*?uoG6>K&r6yvVB4hsU$7+9#&oHzzrbmSk?45##R0<3b9AO6OY2 z@*f={7-~j+l;xBk`S6tsMU>@Lu>t7S9<53aY>N#_q=_ZW&{n-(f9+Eho3eeLe~;Xa zMB)B8Qju$8jC8hT`WX%mWV-Hg77r}sfR8s>c%An?o%d%Rd2tqF2T5xaa_{fmuXUvB zC9;IEf@euj6Sw!;k3}COnqS`Mayy&%4x{ka;jA|6X~MjmDhiO^^sA5r=ZSGE?J#w4 zWjJi6-JtcFbQY*CC~H%x#Tz(nX0-z4TZ$2c_O0ns3{{!9a$*NCY%8hcZ!k1vE1;L6 z(1=CeA%}(GNwh^^{k#`N%(=BwDFW5uykVtPIMg^U<0*o$s)E@j%CyvBdJab-DQqj2 zl!INAwIaPIBWP%7SaLPL^r*OXG@3rE9WyB?RQ_H1GDdTuFWsiwvsi3|{u$(@$n;fH zP(07{VtzA}0r@zz{Hns&&9m%`8rus$`C@N9ls$U+N!Zisk3ikW3Z@yyb+asaP_p8( z!*kNN!%%^Y>d6uM5M$NtWvsQ=r%!a;F!u5ZHI8WU#;W^Z6~QxDC2r48$tDna?#2{U z=;v_ZPxoyR5E=$t*5Sn3x$)@h(oYx^y2;2Z~7?pPZhw|=zX_z`||t# z?)0PUJJUpk2q0A>Sn8@myh`FLfnJcl4i;?J1x|>QeXIDhBp7|ho@sxTJE4#7VE^&s z_`q#MuW#q>e@00jWV2cL-3IFFR&ctXyd8dBS9I@Ge?do7+K5VkT;!H2{?wv}L@_so z2oD2Il&Ne};GYiF!Uan)7p`EO-g-XA%sfJ%lWQtJ1f~$xpor zyfeLZ^efXkf)S$uHXy~(UDoYF0J&}{7482;M7pS)k$mPW(B6ND1v;neDHk#&C{eka zNz(ZSZhljV1z>+2DCehz&4nS_s z9Zao{eB)fd3gENMI&whKsii`Xi!Yd7V0t}Q`*evcwo!W>EzBUuF$9p*Qwv)>VwddvPb9K4&=D`o+Sc^HqLd05;`u2c_Oeq1Xu&X$YH70Wu& zg;csd4Q?mgr_+kVZd%Ec`2WAP$TF8bGQt3ad1610%Zfz)F}zc%>W@G-;XE(`8_!}xF*DvIPtm* z*7X!igOUHu>P#y_Pa0AxK|c!hfLDd*vGV!?TFi%ZK6r-dc4wu6{p0Pk?EX%hE7jtC zR{0jxzFSRweTe`49MSk;E|$u;9R9ulC%&`gQ1~ZP;1+x{GTL;&FYAu2P!J-Y&W{@< za?>Lk8N7{bwoh0S)*{!Eg|c&R3Df-x^M_Bi$92t@`ZqzAX6ls9HPQ71t@i1sm&8F`o@>n=OGp-HSN?frR%`$D4fy!EP3Ri{^T|PGBgEri^h4ty(8v~7f=rFQ1ss>GE zN(J@l11YFDeYYJ*7q1(77Ys`l30-PCDeok1!W-hi?V11?qvuz-P7*m`ypS zJpmM1$obX7*wXrdIy&3zE+a1_GbN;~%?&JWN8XT_dp=}cT2GzTL*}yjfE93o10y?v z$!r|%hMgS!C0z{7UN~=b9$X;m>bO59cVB(%DN(iKQw-rJHwHVZd5z50k#Fl(o;dpj z)u}noKT?TLGU53JL**Lo6C#5oqty7UqLN+I0CX)rgOc>9R7@&IjZ7*tcGAU*jVPoQ zy!FjeDNt=vd^FSvDnG%eof#Lv#qe3}MX* zVY;$M|42n?hX5Rnb4Gx(1^+C11Q#XRdIT#u=CW216PF++js`v0Vid!*uJzN!$cSo) zf}9X+y5tfh#L@W72)HxiU%`st670a%aAyE4=*FbzsQxm-KB0e%$L;*fA5zhTODxg* z0SiH^w2P^m)4r7_A+*}z5z_95(AW!61E6Sec~Y;Cd{laHP~HP?%^-VOq+) z2x{)_SX95z&2B%Jbmb4M-{M(eF?op5BB^sIPSEn@XFq#AUT~;!aJs7@owrjQbQfjw zy`K~d);dy#NK?wS*~ADHzQ|%AxAu)f3V+4e(x>xj6!jYI?!`c|c#M%dgncA-gm38W zU@u>8Ylp8SEuhcW+4=fAXv9H(M~brH6?Gi_)kVCWy6|y2#kr+-BxJLrQe`nC_9PMV zh{!t0#YuZ`Mh{vpnSf2)6^G}OGjm+;iIP_KJ(qaD8ZAjJG7pdF-LZ=A!oV~AK%T{s zJHqu`H_}9wY=`tobQQ>Z=0pn!TXKUseb&CW?E}k3D_t4+BbALtguhbd=CLL#T*aUv zc`PjTN(kMgGwWsmmQBdrGoVVHS(KbUCX1TwffAKJR6*?zo9iGfg&NJ&IxG(&4XCgk z0bh(}Ki>2dG(s&#V;e6T$k9~~iEErHXc&nV+S>k`LM&k)&w^G3hF>hfc2SHXadmH> z5rDl9>mP3%=wP8nTaY7GkZo(h%uIO6h&uP^boQ8u{hkG6wLyTNe2lpGDlCCh6C!FO z)(A^a5?KyW39k)xSV#%UigiNdzt5@XY;d`MIoj%fJy1uI;F4?q-3Zm_46;H*zT8;(C7n2X8qDU0Wk@L9e38 zI_#~uh3|G&7L%1UwN*wC<@<5Vr?&ZecwqJC;QH zV>icE(B?u>c9`k;g-(yRAeo+`PpCCi83Y@nPw0pUM{(ir!8OK8=d6hUxG$0Cn-S>h zC13xr&a3?xDn57mT22nyu&=^l3bSZ)8M8l`v`6b=gHo#@UO284fx9SNezL}1Iuov_ z%m9`V@jqB%U)+^p8?AS||I{ng0w z7*RDw|ND*q1$5jVXnN7xH`!PidC9hXN0QGl6e6toZtPoo%l2x;<7k+{g%Qz~8lTXq znlzXBnOf74W7S$mlZS&k{#2Ok()vqVVJDs?XJt7vapjM_*7M1N&d-jOcw>XaOKL%e za01n5PT9Yq+L}aAH)C^pw#F)1+*e@<^R21UZXJ_;3|cLX?6p}>H)u!Xw=SP*P@MK~ zQE0E73Q9pBL%8Xtw%}!&f&1?3G{USm!;;Cfiy=dcWAu`$H zz91J}Wx`_Ab0&wKSD>>itmpOV)DO3UF`w|YJ$A-k>= z1ssM6M($6g8jy4o(9Z30k2v1eFbU>}eHTY!A?Ba?q)JJ|TasH*crvM~O9dAl4=~h_ zScn7bpPWBIZ{!AMv5tmivkC-aKGx>&RI%}C1*$clMbg=o)_4EPFN&E}Yb#$TAF!Rl z??5&DBu9g)YK-4s!1_3)!6fEU|!t@im};DFm(BuIjR*$r<4gQ{6|9|k%j(4E?JMX;I*fPIAinXFOmupE9 zptH8jxkP#{#`EF1H@d6?y;c%MFl?Vx?e4Dq;ko(Qx$5Mr*rXqA;`ghbuS>o2dH-JB zTMOtXaPH*hbZ`8Te5_yjepzf^_q})Ht6$ffS3_4uVqtRVWFhU?@(j;Bfwh-(OXw~* zqi9`0B32I^3YT7-j#yIAoRUc7c2H>M110)50yFsE1TjYyMp=@uWDrrrPeCi6;RevsLc7=obOB{-a0c>6)Rm-J9yJYe_-h zjDX`+0x%^ZJp7o4-^x6i6XOA+_jvxH_h1LjrJ!csLz?w3J1*lOrCYyzp0+6WV)}s_ z&@P`SXFt`-f(TseJ%!*l+4v0|YI|~Dk9V#LyylQQʹc6pB$a74%;AS{yZ1@UaWxn=`DV0^9}DlW;W=-^ z&!R5&yfu^QxG|Z@wlVtCXVThLeEKPgb4~dcfv)pAN{g?E68Z-AW|DMt)Z|On7n3E% z;L{I;tP(Cq5+5s+=8Z#??6u9FK6RG*D{(o_9^a+fU>r^Gqv)!8YVk9_TdR3^vP*M< zIO$Dw%88!->-A{z_|Z3_t+#7=JyBgXnnU~JD&cB@%f`*9-F4%G($VZK?v1k2@AT-a zHIUm&x`61C(?B_DIVVLvTfE3z53D$M$B$%QT_LZSp!5!w91ff&Z^R!OVeWS#%z zwb&i-OrD7!eL(<4!!`SA9Dh}0O>L%065<$k+OXHAzXA7W&x|q-{_m7?(%Q`?$gXn> zV=>DfEi!+dq=>Q|42tvo!^a+MZa9jgp*>GT^XZ_lYts``nKsneXKui7YNnJ5)B}b-Z33sPx z^P=3jKr%UQ@9#)5X6OOII+tIBR z8y7W}YlH8XeiV(}>NH8}9gHfp+$rAj2~1o67G}EIXx@|^Fr{@_!Z@6xf7ubcrxqE|!$ zb%iFb6Yx3NBTJkHd-lZ{j6Vh+CPnwPbrX+h_=`S zGUjY+i>Z2erbG8gZx?XWr3lcJy7xczuq(glZ*Kmnwf?JrDpzLno1zcvbCTa_Hn3V- zu0RzvYQ|N|)x2j8;VP(8@$7oAvwUESeyw5{#bYf*OaO`Ng(|p}j({@VEXG(qj4Jz)C@70l!|Ns=twI`=Iv~266LoBSox} zs&fmWJf80W+L_5E_81Bd^ahs5Sg2!ymXvYh_b%C-uJqnB1ZoBS5MGs=A4eR)t>co?_%Oe7TKoGaovlfQX9=X-4K&0iZ?Qy4DKkJd^^ z5t-~1HRczNIvH^r8+16#J0aq1>@@~A5pjl23ZyCh;uD{p&U_12;*b#$ltD=sP0??T z1#=Y74spf@q<|OooNwmvo$Vq)jrOE>eM7XTQQ-4uoiv1NJRqhCtnX7Z^S9dXi=RPSQLB_9rO0clKVp!0 z*Mqku_DNLq4r4iE6%c#l*OH<%UfbJNaxqloKDKcyihVm&t3AF=54X;XnB5p*YYkP_ zt}a*`c*;9yH>&)t`gjS@6<*b`R#Yg7`)&FC z$+uiOq+4+Rz<`^yj-m0qznN6%Pu9nu>+|5azrEXfcHh}a-X1ONYN{M{xbJ#gvg-G+xj ztmp^PD~%#q3L^1ZLO_I#rUpYAqpDW)%h9u@Au{g6JYZ}Dy3axW7g;Ja;v&MY7w}o> z(F(VSVSd^63RvX)Dij)WXku(ACBzQ>+7=$`zs0mkM!y8fL|O);Bcy|L#l*|>54^2) zB0?;_=}LlFd;h5iD@%%3cMgyo^%IaB2c{$wz+SY7tkkz)7g#3p6A^I+oj^p*W@zj> zO9XmDBf#Di*tkxa&2Nby?H$|%$3$)w=lGFN1-x`xCYoW!Noba0qOeNT0WDCsgcmDY zV^pg5wo!e!y9R%+i#oM;sJl;0kF8>fGlk}^0&NDJwT^BM&BTpb98j8;7X2{bE@Row zv#tEX6686qNt_f)3$egW2eH5oCT3pTP1Kq87`pyM>zW@?5d#Bky|1#@5KWGTXz>w=tNpW@oA?W{{6R^)a+Gyg#u!7JF^J2BABe-*cakoEKxLg-?66d|wsR%kVSL_1i@(A}w~}YmF6j zv2F0dwujXD@Eun8#sG9M%qtk}YZ5b#YyYD;isv7;>n;ay`VmDwfQl+H?NN0t$g7D@*&G zaaS!x(Lad}7QZ693^F0jI|t(|V*b?XZ?4-SXDG;zy+OfJ^cBk%S)s;kl)u3a6&2Me zvJ}OT2aqKjnZA*k{ua8Ce)63j3&AW10`0(QuPFM9X6McM3sj}?$QE7)RjbQE6r>v? zK6-)rF#cdh(Z#n!(tRRTmYY`!N9F7}H|e`FQrGOKF6=B^TWtXhj_OS`@qoWFWF2;3 zrNLY4Fpg0;#MbyrXMEJzXPSEH@`BLW*0OBY!enVMQy#=dWGI%Lz*ZY1SBJEwUf>->LnMjyPv6xSiDKb3$ zE)1l6ZsQs81cgC3G!#asricWnTEi~29jvk%kG_N%ZAuYsAo(T zK>rXoECK#L4-N}z_EQJmO&kdZdX6x^v=R}W{4GtA@ikOSXVa7N|JpjxF2RELc#;?Au zM19FdN4X_$6cF3sH*Mhzd03aLnkqt9W<7WjYtl~dxw}Qd-rpyrA0FXR3y*RrS7Plg z01Ui@$`otM7^|0@n6jBTFtd;DPg##~3DOV$H^Q>@QlnUXC%JborA(!_(Ckt)f1 z&lHE~^uLIWNs&SO3@A1$%h=CD%N=-MA=gr4~Mw%gjw(QDJC__0l~5_>_?~IF-X~TfVOY-RR2P0R54Y zom{~V?ox^SFFNeG{W~ToY`!S$UFX}I5%@z|QLJK641%?77S))D7eE3ALFYb;>Rw-- zzvwY3Y`5=5IVxIZPT*uU-#g*RF@hqR$p-3f&gP}flLO7?5uF=e9WqqJlw)Ik1_Eg@ z_{ATO3tVKB?=8hUw0wKdA}J*HKZ`%&kl-X2;><^aOZ)u97MF65EPVGxi_8=q7v86p zQ{noHURG{MWJj7Du|%YJ;V%(gG4BS6ic)kUfrGn2(ylEo`ooSCdvKdfr$q$Gi8N$j zo9wj|S+j_o-Mt$vT!U&(bW;)^z0!Njzqmv=Fz@Di1fm);e@WKs$>U)^eg?l6V7 z-JqDHLDjFp^wPwjMUwqT2lZh@4iE_`d&w1f#OX0kX9tnqI9q2JsPOIX@~+l;HZoRQ ztCB9m2|m>Z=hP0oJrz6V`w0~8%Eh)QG!dn^gV<3O{QS%GmZQ`AwhS{wp)5e0%xfNn zzILY?Y0m?|;)PpC6gNQHGml1Jla?8>%in?BBMbZIG{mIVHToR?S4BT&vQS2Pv1buY z^k^^2Qb%M0wr&qo?VBDsZ1C0d7+L4WQG`W$tP@(sWjb0KB#Q<6fs>5KA8z&~esp8} zb}{$Y2{4}Zc(?}%L5S6Je;aCE1Tl_cn37dFaEFy}1_+4dXN&}&Dc~cF<>>W0q{B!) zn?O1e4UIVfxcUF~cswwBf?Ri~li-zE+(2ISn%uBs=;W{|{1k#(pLNAX(2b7&zN1jg zbpbKg#2-Rl>Zj7xL0THT?0ml%fY%U{y@R(T`M(&jz^9zBi0mi7wow~ip13zRjiP5C zjcb~u%x;vzBajp@`P-1L1$%HcGbGOg!V+uYL>qq&3BJ+k;&DU-JIM5kIz7^u^gqde zgO#JaDUl|DYBl-6K^Dq*V3Q~S>m|z8-#>j|UCwXK6vr4RsYqws_%?meq4bnK=2GyB zE$&2gO_PM?Vl+H{dhu@$-uZ_LZ_6r|CDlE#^U4eQ;h=G}vH;Rz~bNu7KnAbZ9`rz%GoZf1X?^V?g(#XSX5@YjX@0)mE zx7Q~1frnMEn|bkKdS0@%O2baSXCkUQZ@=iEF;~5iEv7eLj@G`AHqognA6kzSaGF`| zt*#$d3Et?j!<2FQKdgR?=A-t`z@pK>PUB4sf;|K((}hr-!QDxS2frEqgkLYw9yD0O zD5Cii{TGa`bX{iJ_>M!~rhE+~%4uMsY)AU)hVU7+TM*(I=T3`(AS!ZHXV4f0xXcJA zc>xRK#dFM!6kP~q3s?0?OB|8W9PI)%^`#`sZOKZho|>*cU9XW}Yacg#ISXKzLRbIr z1dUN&C<*adOVyuCJ^-ffVz@t2pI~bs6QWuhv3gE_pSbiC)h&D#GT=q zRU8+AxD>`vr`Qm&M`Ft;a^{1nR2BP32Kz`s+~`VetV7i*|E*Xfn5QEIUp6QWgJt^hd=DoD$N9>pDpwa~J@Q^wg4{ZgT1Zw7KSqx5_RF@X55%f0By?g-qY zwsGG1+phgN)P++(U|_afsdzYGH6>ixKq<7`?Q6!C1pV#f-G<#8(N;}3Ch|&b4&Gg- zE$?6l0Jcmjf~BiUOQjb0_ZXipN^P%L1m;b{bpwo>ou7?R<`zJS2;p>z!8yeuk>DiA_C7{oATehiq_tMw%em6>y;LKdS+%waGhwC=_;FBxVPO|}J!Xr^-K z7*@k~!4j~zipW;LVb7147fZ>N>`%U|OChu4D;5iEV>Ac)JRG=IX`7gVYKCQ1T<$Ou zCoCyb4noBy6Y$t3!?GI)nl{N01vH1*6%cbMO@<4l5Yo^}1SO&);RlGYkVO>;oO6UF zlaRG5v5igXzK182B>F@%F7dK8sv*Jr6huk;_O~NSIhafZNW}FPo(>6~Iu&J|&h}Q) z8{5{KaJ%w)m_~8Su|G9xx-=DU_s+W zo=+rEb1JtgsJxO#O1a!47*Gt4RF_PqjK%&(x0M#FwxR=}1P@8pU@2g#QlZ zCnKh9Sjr7R$-a$=3q*W$`mAL9CJ-bvjKA|;7@TKNnV7bNN`vS7wk-vR{d8l;`LBIa zqoU>a<^dfZlNyi9rWKyUQ6ge`XW7<*AnlDgK0=xT7jUq`wWDWaZs5i4G@B-Vu0x>( zO79Ar+tm?+@bd`^(6u(|SXl9nxF^y4*GsIB&ZJNjaK@wat)=(pR`esWMFv?P^r%{h z2-SoK@@dR9-W=afL4k|NY-sKwKkUOOSebo2H`g&`E(Wdy%Ikn1)^*0i@)U#?;-7oM z4|C2x+F&cXL+7(YSKN!)GzFzDk#Hs$axECL=*@UD3_^qun*ENr^Bu9`uhwoy;1X=! ziAcz`NXTN4aVi4l?!AxY!h;mkpHa^$QPRv8Ax>g5fY zbj!4^1Z~@+iXmw^lJw8sv@fy{Rlif3hq ziSiT5ox2opggyY*1l;eX(^sT z->0yfr0g&H_fiAZe=%|-&-_vPY$8VY!(Wz;JE;2;?>*FRG>ShX6f?#u^4;L_6q+sv zw`~J*`;8sPI1;zZVqNsXL5LsM^SgEKg=SW|&KPydiH^%o%W+373=r7LH~UcAajkd% z^%@cYxX-ruyt?U8?^zoJfl$j>FMarX6;l2*%9K?1MX1%#7dg+40M0zC+k*N+n2G1c zO$msWRrSXAS&7HQZLZmVG1MQVexT6V8AyH+nMkEWDU%w`qTC4bKQc{%pedU6mszy1 zmlVyyZlZ~^h~>M2pghR+2F6BLz5Tu#h>QNo_1)uo4z-vh&@`r;^4vE@E)goUGp`84 zt;sTm41!XDO7btSukULthpcG3r`K?5u;*T+a}=Jo@iMtgYPx+yV#&6ynW@Jiz8pp( zh+kZadQ2*knxG;5vQyyu_^l$)SU!<5wKMNJ?=3>BK0nlw4^1Ri!q9y5r_}ybbZ1^& zLU;!LSm6y+AOh|UNnUlVc|t->Y_hn-);p0Kh>1NCahDt^0%>=#l^_7#{w&j{dm~#1 z5orE!Zp>Y&bRk6v#B>>n6=P5N9o7GAnieJ&oQ6&JJ725tOS3V!Wr z(J6zdap~PB?oh1tC!fQ9lGDfM1cvuoKBY-KAOzx*6q*ux>;oAVyaP3@DRROm81HO2 zTKF+fbi`n6Oe}U-smaeS>p}5m&c|xc_b5rT+1)bXpF%556FHy}umpwF$Uf*`4Uz`OH#4n$Ygo50J8dsZ$ZT$( zyVFZPQ@1+{p!3ND&}p#j0u>r6-+s&Nm1z<4M{F=5wF5rh&L-@_kV5vr#W+9^KwK`{LS|*PnLoDg5?{!}7qA>eYXw zN#Zb;r^4!tr^Z|>O}I#{8%&FJ@FQT^w^&bjUVK0?(YNI+4_72}%J)`iHp~eRCb>5* zg4l}1aX!t3V$y=6C>ZNrwG_s^Haii1&bAo%jD{e1JR$+r`7MnVu5&$RG+@R~R!sDy z72hfUgen@wR$yQF#GQ=1z=dCickVuM#JEU7*(u*evNC?|g#FYr!ni@X>4b~wxt~HV_%C%28e-(-8HtVrDJZf9Aq<>Vs0awc02Y(Aq=IQ( zASqn!u+Ss371)6bWeF76VNw7}TJZ1Q=75X9#WKa>c*4G{742@zKJ}OTxnJx?MOK6T zNp!a5*=_^OHiwI=zue$-BF*07yASbAl4IyWR~@7_ih{;9xh@6r3ff_QO!Nc7uS@(1BnUy}I)H*4AqMW;lnkb;>MEXy#i4Rdi6OA*oh!9J3yK0KC{;rf9UP2O$3|63z zK9&;=Ux2`hMNAxp>n*{q;)+`^BD?5BH?!Qa25klnE;YDaXq9^tSqwq6caM%}q!&srUa-Ne*i20|gA?t(i4gRl>rTkQ(k}l_-EAmPI%u zlp9^=S_EHAQI!QuHt`Ev^&mwSagv4YAx^!Kmh?eB2lBz##hd=giXf# z5cGrH$w!FdFc_a5C1u(J_*D?>Z34o8lMAil@Dd;l{X}+I(S=x;MU$LtOm$d3c8rdd zgqug{C5m7}5cUwYs`cq`ObtdvR`@8>q!Ool+x?RpCDvgYb`k=a-#!LFc=Q)B@KYBd zJc95X$|2^br4o-K>nY{4+UOm*u&u>Xf!|+EXsI`fRMC@Qic~S!_}EuFE=vSc z?p!3&-3dhJ?0=1yjWQv*o2W#$%~K?w9)ch^cZO->kVyJaO|Sn0{;Ime1J7@mf`#GQie zlzOo|Xv5SW8NbR;=Bdb+BH-17)u0O*2Bi=-1=vmLeCKQ)E0n9!!^PI5qZ#)aOq(MO zC=ur;L(RcEDMJfWdL*z4vD+jT-3*%83+1wK3VtQWU@R8R;juxvIsufKMc`7T5P;<% zcG2l*XL!z1OFK{`=m^kBQ3y2d&OQxN*83ux+E|5`SAt9>k!tC;uycT`5!AOa2hQ&@ z7T>plR5h^E5wg_Hpkf!@x&L)HGCT<+gk(oZ50|e1h*oIsQJo4m7ML`j*YTMbN-Pw| zg)`O0twg0YC&!-*I*SZU48Ii=O?~Kj;eqOZzDxZ{X7lxA!shGJJNLFTfnQA3#gB4= zh{TZ9!2tl33SQ-0wOMWcCkOLPgJXh{PZXhJwEpml!H{=_9bF69SpCyeAUc1?Vmugh zJMHAtReO8RfX4GBw3ieg}MX0nzaZMr6m?oZ@) z1f=W$p)K7hGL8kZi*xLV;gCm1$>FA#l1F>u;-e%11{Po_(N@NhD|Q0 zou(JAE8ZaB?4(Xf3)NIg|v2yIu)?mc$;1b-q7DIRy9O>NkYrFzO<)+eM`bEfGY! z&ydJ{5kx@-0J0|c1gJmy-}XEbOm1WcO_sTx{dbU<|8P4a`$;=at;^Wgw&D$bwu#W4 zsCfJRG3-D!b}9z2ZsM+l{FD3T_HBagg-UO_daLqN--+U%(tlS;a@hQ+l<|ugeKu

q8H-&sFy8}$~q(;C3D*I)esmt!rr+I3Cr2w#`CHrNL(VSmr%|#L7WlJGqFX5nBb7u zWvtI4keH%74_>&-%U!%^p$liLS{ygKS02QYlt^E$q)cyZ3DBr`4AcfH86*mXM5oC~ z$O84h&LJ`^X7=U-wH-QSAzG#fhKV2Z(?i0NM@Gg~F7YU3TO^|yk5a(%c^CFZQZ_KlXbbC#V(B4SE8 z8R5<5q2&_?ZAiUtXuuT>N4`ZzDG^7^;0Qj|cYqTSoetNKoo-wO z2XLPX8t-K)>ix_9sZ; z8Qhb1#zC)e`fl3%%yxV=Ig7`cdK6(mlUcxks{stcf$}K^h$oy94AaR0cAKM&*{pUJ zdz{45v+_Oz?k1)l4*|cbfo7{F79sd1OK(GA82C0Lsp(1(QY&>X?e|!l|%bxm)FO%mR6GG6)}vQ%qZz)hfOt zbPDfwqf>aae&ffBtq-ypvNtCEINm0;nmuD|gj<0Ej9Hzlab&VE0Ml&S$4fd1xqJR1 zly0co!~!Pft3*0JGsb7~(G+2y@H)ar4C{RltUxsr zhM)gjDk1QOW0T@qARdknSKw-3(5_;z$9#8GnoTNZj7lqp6w_iqEEbX0L6)Z<7!pyA znA6s)4bB6U;|N1lV=mK?MkSQ2$0gJ>;)bT{hF1w>V_s3yU`)3(&G z2W8<(q&3hch{SNW<`&=p+~**RWDFG1zaHF@g2r=fI$(qvd_{ou%-Gt>gTr%7;sMJT zVA&5ww_vp{i6ya3RQdV-o!*lnx%{K!`GLr;zZ5%{8^BYe^nBlv&}}8I2Ds17Ekaqf z?vLt-&_yYK`@N3tz_nY;mjK$-HXqLUjp0EZ)6VQC-JN4Lx{*QZV6pJ+Vm}SEp>5ur z^LyT%I;NG`Z!ix2k7onUTAgu1w?PPa(xbTlP9LvOI9$@$TKx$zS2m)pT9{U<`+sou zl~Hvq%ev^o-Q6{~yF+j%xVyW%6Wm>cLkI+iK+s^pA-IR2L4pT;6SB{J``mr+81K9v zO?8)aeO29%thr`a)7#F&7jAf`dCkLzh4g={=lTE$P2X~V0EB+8Y)_(PiLXAKvUzT; zVFCaCIsWq1Z0&o{Aspa{%5S?Kg(BiO)VcI6m#l$o)mbdM@0>Xfs6lSe`NcLlDurQ+h_4sx3`6FGkB03qDP4aGe6uyzZtikeb;ny%?Lh6IZ{Nj|Erl3= z(+#1hd$mMia$0_8SfT28m!q;BZ@pw3^@D@z6d9Y2 z88Ufm7!3Ps`#Eg{MzvpDd#ewAO_FvY@jf9&)fS@7 zbZWhz;Fvp+qEH8L(8)qPq{H^e!z3!Kq+_6{W30$*T=LQjsZ}Rgi1--B8u|$*f>@5c zrA#v4T%QYuqRhnkcPVf?qDaK68WH!YMSBq!e=4ZO3?QbEqEnth3;01cG!?}Ov6_sU zAmVv_&e@wFGH3-woo&jI%mcqVqFX%42=|ND%~RNr;+~eH1}%`Bn>w2kS*qo1?sE$x z$}gj55#7BJhk*yfK@}Z!0Ohf_@0G?>!MBTv&$8B<54jP?i<;>>OP1QJBCNQtM}NQ3 zN?FUUA)dml$CCOGRLVN=vr)xZ-p9V$M?$UU4Q?BK+5ql3wo5pxwNsOi1beQg@pi;7 zt60|B9u3?SKIU%}*%`R>u`6E@I0iCtnCW9>1XB;t#t!D;DOwHy(*~24UfSb`T<#gT z-DE2##ORZ$W*@NeZDl`k&|RAJV3xTtuW zyEfHNR<$O!R`?5_YTjAAKPLxnW+ly~;8Xu83i*+~Tasumw<{TVmgrg-!#(Lz_^Q^e z(3MG6Ysi82<)Bc5?=~6jtl>1m`QW zTkYu$Z;0T~5W%$T9*_={h+XM&$kxN^-KBD&P_p1mqXW{+~3Y3`KTiZKDf6$jQy@^hcfj4s{%Zukk{$h z1P)0{F*Yr#{Wq<7-;v7!n}O2fl;DYk!{PJ-5ANjz&rgTdQ;T<=>s==fwT?LAKE}lGzt^TRffA#y;Ji~UDLKYZ9GvgJaxa7z@Yf(=ncba6!v z#{kdg-Y(F4ym!J|>f??4Djv@DO%a+WNBaq9#v+6~S>GqqwJvBZQ<)kiyaWuUsZmu3 z7aK*^QTiu`n~%G1OWUIdx6ia+FUG{aE&kWyYp8~&O2M9^poqQFYJBkG0W15K+3!s# zV3J53h1o2m$VZrF zOiWL+LxZABH8p$2Sq9Au6ED~i3d9`C?5Uoay5%8zsuB}HvyfoUn?6!pEhS;Zkl-J` zp1VgvKsW6$^7SN1g-!ZC=XdqfPsFPtySgZ0`%^!db7pXWvXb8lGCtne=dShbmy`J% zfxr+v!RmN^24qe&t*RC^7kQvWvI?EwE&R8$Id9@)pKCanW7~ueeY-k#@$@avu&O{f zthF>pxG7pXx;MLr{S*t)AB=mr~lO`)XM72`)Ffoea0DksfIKuPaon0zn{Rt znw`zvEuU%TMy}`rCEy&)aX{I@lQfo^ff}|XZ}S|Mq+0$$s__|%MB8Y2$Nlkn4AtT)e5%Fylw%?}vKAU}kToVH%+l%obeqK_9p4dPwffAg zoClZ>_|p?$dS&@X_OyMmj!|cn1ukE-)~e`XCu-ckPGKJ6{fHo!e-%l4+@cXvX8k3V zU8gC3z=5_;&m-zAK<6^EWay5Uh~ijO@KV6HIG?IK|3N40QTxgk?Q%On9M9rLJoVZX z&8_+jo0Z1=x`MdrwEKN}^qz}#dTpW0J3AA+c;t?EWhFm_uu5^xJflN2?mOw>T}<&~O>`FeErp0s@O4=hd!b2>??SyrFuK4naKr;7?& zqM~|Csst6f=xI4Ce#w2T#s@n3^-G_9F8BoFkS0kW8rfW^;SSI z%I(wi#U7}HO9>0c(VOj;<8Zse2S-*ws`HRnp4l8w0VP00PBcVnXp-q`%aT(|XH$(7 z6wxLb%5zf@!rJS3}3b4zFKZ zzhjPfy$ez^xPE-b{(gwJSDKG;Sh?*;TWdG+)8t+-?M}p9;PW*Wh*P5>*S;kfS=E11 zqzSk7y?uu%okzK`;B7Qlnu%xTTyd?n8l8uwv*2yjGb44rxYib6&;$$Kj&Mb9t;feh z+-w?(pHBI+d`EKt5BaJ7x0gQatnS{!^9$rvm;2ud4LoFn4Gn7< zy^gNe7S`3Su!NZ7Fbk1ilS5Zfyp;w&ASIP31im+ghvnh;P9_G<3UdHUrW(Z88i`pL z|CJ27Lfi&5xLGw>7FOro*mqSpQQbyuDf-RE2%vaU6z``sUKM`d9-cci39M0b+AqK> z*IU+bvcDRkO1g}q+Lu!iGB840q28_5HTs1*RMh!t+27?M00+t`nfl-wF) zpc;}~z1q)FPF*tgQV{r9jkdDBm`k_uQ;2q8o({ZTloq_67ig@0-~$tM;NUO=U+Dm( z)48;6Rn<%O}f|Y)=kPV|Gakq1UEI)e;r@y!2=`^ZK%3OF#V3i#*aY0hS*nnoY*B zbl6fjb8DjOS-$zJ^svcEi_b+pR0ET_q4B1ONW27D+#Kpr?EiD4U7hUTeaP;0U7y@Q zH?^umC_*Y<{s^)R8fmO0M=)Euc7bL)lBj@6?Sg@l9OXqERz`@oBq^KqpJY52RSsO*pKiaX*}j>uZRu0IM`pQBBl#8TnGfFKe$19CioOa3LaFL;@vu# zWTYE;sZx;00(o_hS9ov>r>@%)d#(3Ng4EE4n+3JB;)7w2S7dY>iJ`*g8<~{LLX^;i zE^K9pgef`8k(5E_DDjx0z`cb#ui(08MfvcxT(c`-an(3Dl%&DRPDf>fKT@-(q;Jo**P)`&({>T86pa}dRiU%NaXgX?Wp#DMowZCwOLuX7J@bvymEK*uBsFs6YK(#b`~K4cd+v zvkrq^>906R`{We5lY>*yiBcE-OXXDL;Z^ez@Sm2$lT3=a^=Qyjj1OMh8HMjlo099X zhK>Yf!JBWB%io!h!*yrIBT@Id@v@+m?F>j{+TiB+{#ZiKlKd`(y5a?5%gr-pi_J%S zvH($h)6^m1>@o(PtWQ7Mr`Pyg%YLwt>_}6Co9=#pz=|gom#N{T7#u|@5bg>uVb&96 zv@^1gm$GSsLY}fAlN&=po*QEt&`;K9#)HspwoM+(3nP$AmtxDz*<}jM3G&lJX}}j6 zM{JJpTggX1kYEY?@e|7#Va@=U`getVNQSFae3X+^{0+42b|#|bc4n+z*bF;)GFYrF zo0V!6JU3>(zO^$>pCx@`-233&i z^`#Apyl(-Dx>uVRT`HNJ4hAV@lL0IxDTcBijmv=IabyQtBEnm~d$9Pd)y<>_S2Xy8 zfc#e97l)auC~Ln#_+qJS=OS{+C_Lvc;hDxtdQo`3{oxi(s8c(wsO<2O2-Y*?;e>}S z`0L3@i;9&IYx>L9Ol-~HZya-v3dR1nHr!mi#M|d_Ao(?76F35g-1D}O)Bv&CY+@-- zpAWQp%!EdmXkm4X*K#u~mDE>cAZMv6ttFQ+DAMsbiuqx_APdT5u7FI1BQMD7L7VaY zbW8rm5tGE|2`Eu{;b<3b>ci_|8mHuk_P)#5jFA1PCO2d;QS+JZmt!{$j`U_*;U$N0 z+4%+yYSXj~)KhMC{RnRJEC`(zmia(M7WzY@w|%Sl)H(A%q1fSLWYUQketlxL%~UgJ zI3EJ^i9G9mj=N(raJqL`l*a%Dy+BEq)?%Ydye&@tSFyb&veC%tt(aPlvkV*AdAH)m ztdepta=AoG)t2@jx>hR-xvN7A&OcFJak8O8XF z5LLaLwCH2>>gO+2Cgw3|2w4t}0&vwl*o|5mVD=wfoH^9-rA)D4qOhx@s~F!fwYJ;d zD7=>-+7iWriNmgnZe&zv+A!$^(WyI=T7#^NqH5@h4q|Tg;bf#g9)p~}v}2LzB~B?> zFfCxI=$OhixXMdG4npHgE+3%JyEg}@vou`xNpVOBOL{?#V@>I0;U~?aT&fCwAaM@1 zK70+WtSfAXDS0uofFkg!=l>9O}Fhd?Jz+ zEM5Ezr-Z#wsI9b~N?s+sjh=>zW%9_r9ptC$Gc5G!a@KLn-mJkgEBU2ZtWs4K zn-5iLSpgm3%5F4zGX>u>q%F6xnkt$bJu9YHf%xW5!r< z$E%~vEjI&^A+l0VZELJzD~iPK2E2AXkN%g;v?&M*#=kGq&O{jv1)OK{2qPQJM~rKV zJ9d~FnRew^T7dHg?colpf}mk!N49bz!{nQ6tKk z-+*FBU5)*~@mfGS={9NTOiuM=M=h!i+U^pfeX==4WNpZbSZq^l=dAL}&l*%VlhqHA z{ZymvUpazH9+MWg#(8ZgxAeMZ?S<47`bP?t&sC(*QH$^)-!A0pPf3YQ@IaN(sp1Qk z@jG()s7_4Vx$SQATDg6AO=9CF+Y*c&+nCb2BeK@H6^mf)F|L{jErl0H%#LaTC>N{% z$_zqQZVn9n_HLo&4PudO1R#2BWu$y;9Nw~xeB7VwZ%;$hGYi{*aHSHhL?KY(& zf?kTRN26RjBfjT9qzt$v|4JA{ov0pFl=MD#Y?T+zWvTJB7qj z%(bLqHA*^&>XJg+_h2`2_-R|e*-p2BR?Wt;vzF@1z`~PsNKzq{lvZgOzc3iy$cp`6n$EEZ#+86gy zn<2ufBn8#8QSqspzLbWz0)=2AodU-}Uh=vtCKo}?usdYtXc}~t3{1arzlN?ZTU^}M zP%do-ui65C@0IMP=!;e-nloA&hIs)~B5`KQoU zm0@`nphC%|(Q*cv>z7~O-dARu%uW={okw>H8Ctf*tvR){or&MwY8z@{#u_p+*%Dql z3Yt!0^M*D^G)j&i(2WP6VLCy@N-57a#B`Etb?*y;6=qEwUjC-a1&5emJ`@-6@ee5S zps>|3(jjr5VGd!5sOqv4`5Ks=oE^ zA1r>o8GKT`G;lm|@X#!fQVO{9^KX0jwR{wK6mUyIF!w|+Hs7VM(VAZo=xwr5?{NLf zErJnLLMpyjHd2Qp{NM(;tCf(i)zu3t5^imSCg|@UB`{ydKL&pOaU4J4OBZuP3ry-y z|B4Ish`FElsGKoQoY<2P8m^m6JNF5B)_0rxgNL$L2gl8ye$SNo^7;JssquBG0?J;x zGkdmpw&PSVb$1yY2XwTx{?>nZ{9V4fb2xVV_1NM1@ak%j9mB~}Nv(QJIeV@$AWq)1 z;J*ra+U`FW@>D$f;wc<26Rs-620R5YwVkF&E`0EKN4!RWDSAgFB}mRq8*-cz4@q7@ zk@L_zM{(5yoIuaR>SKDOQRPoY% z($r{zadAK2fGMxaH~BWIktPIF`&xssK|}KEv4+NnvfDSQw{-QGO{C^0;wob+fyK0$ zPbhRY`Lz|#H?TE{atc3~v2iC|<`16Lm`aTttEo8P9}31;3;v+3qBOs*q~(5lncsKD z7=1kV6Oh3l3Win|bi~UD2YVmW+5~t-AJ9lK5=!=fzWKkRuYHqN{#gDwi_3e8E>V2h?W zWs&Y8Z6tC`RM*0_Ols%~&r}(38>Kg@K}&F0XjYcKrRX8)R=eZ?X|}Q)0dC#0eLk$5 z*-*XseP9t`U@?KT%7{svE1{>8ezZBfTPLeuow`b7U}%()qPiW_g6X3$8lQ_xaGAd>u1G(FXtJc_*~A@ zK=GxVrv#kxfCPLZF`$EdjNtSLJT}k?Id@PMo5ap_OhGrZ%|x~d=mWkdZgjaN_~tKV zNLTu>ve;GF8Gan{9rx2NC3yduFE)f7O{N&wH`in^lN>Bq@Mc#+vY#P%z(VxXh00 zPSNJ%^p|!WC%x5L#pBV3%XzXH@0FbGA7?7=cZKD1Zm;wF5KsEafy-3ibK~~|-2AD- za`tKg>Y_Q9K>T&%nzb|I`k7m&$_$*X$5%CU49?aWV{}c0!#E#byD`5%fkedPbc?Utz?{a z@*+5qb}+Y3c_awjB^E-kds|Q&BA)Agn#;jYpxseI_9QT>3 zb72MQ8h;c}r2qv>Kq2if1v5Y)`B_0f>$Fp!mmC5gNx1Ai=Vr_+2A9e$ih! z5mO!nela$-v8-rcy|eP580 z$-}M0rz+ES#(UU7Nj>hFg3P2!G~wD@D`T>Cn=La4SxNkZclj+Pt8?B^n3~s`9rr{| zu*0P``ts~GSw|&`U09!OpoI-Ij!D^wGnvIBK8}fn1JDt|!jknyWoM5bVL&&_^p@yI z>5(5Q7R%jY!Lz_dJKA)WiX3*@2_1Igb23YfpUJhGO-!6#X#W!L~)oC zZRbrcqY;~4wFz6UI1d}QS9g?f30~eBC-AC`|E?x9Kaa3xL$T0sC1%QIHZst_Q_#3V z2L=RTv1EPFcathmcW*P5M?zKe`@#|AEqaFJ(eSv+0QBFC#q^AN%~~0L46SU8E2$%t zoPULR>iMlPfty=!NLcKgV+$i6VGELvIG2%+aFux-VFe-w6_<@>QvnCEAu+Z_(NoZ} zOF@Hl#8_J#z%g!Cc&x1uOdx>5)Lo|@)D-P7bLriTi9)){y6>s$%Upe@?RtM6cBy)W(56H+TS0Gul zsLlFq{0_xIZLm}@M2(=_oEP{{G#0Mb7wWNIXfaPjYa+6WDwLYF<-tbx!YL1= zq<@uTU+j7Y2$svdah^mIwd{)x?EP>lefr9f-!k12Lqx7}eF<%fTdVyb{?7JyWVf-c z*-D1geaR9i^(}@nb;4onc5KJehj1JGE`!zYJ4vWbZfOJ}+1@oEnEPYA&4=#O>VZC< zL*0dA*_ziMTW6ZItA8m7!Y&-OZQlNR5D>beyq%mOQ7JyU7IA&!Yw>;G4k>Pz=HM={ zBmUE=7naLiz(Z zZPs4jz~n@5qM7I*FKbJ!m>9-Dng1LWlmc%{G^}xz+U@Gt%beI z5cl7U$Rm1J`}aZ;lmUgQuH?8UJ+3*jx|H)i=8c3Y)aqXjTtI)x_q%xHstF7@^Ye3a zaf1MElTG5pF8zM``G{<3iX@1uL)C2h z4NioT@9`gE>>S||P!~oMUB|jr+kSitm=mZ81K%C7_$^tmKrV%%ScohmT8Q|b_PlrV z|8y^Y!!^m}Phf?z))L&jzYOp{BQ+|lgO)Df25S3c?0ktf@qVyaRw)1Hh~S0Ki?jIY2`ZDgn1=F9AR5 ziR5@-$`{ooNnIm3qAuz5`eo9l_gU*o`corM-$ejQ7)f2;*rMHrz|Epvp`fSr^F6%w ziiZ$KJxYgFU+7ti?HP3<>Fl+HCD5M^@45;YR5RC6?x|VaPy2fIe|1OlzLvXVIq{-h zg1Je*0)H&BBH4*3qxQ=r4AC%!0V9d%V!UB_^rt;F4x6a=$Nj;NxeO>CLEejh^v6xE zYeX?ck9_C97oc@o^yEx?TC`EOA^zppQ_FReg3F3j_WHvs>KkJP{{^*qIZaP~F0K$l z02k9S!0?eO6e_}T80*SwF38C|l+^0>fWQy>Rkx9^^gdQXcdkO&IDx>mj%Jh>cha^O0Qi`#ZOYoOuH z_58?^+y~c^zkM1z*DH-oNpf%Kb#Kry zTYc}e`W{=G`;{K||0Y^h8v`HnNphbdVvn$9s&klYb(o8JpTqef=aT%;BJR+lbEsYW zd%HGs{lAOKe90LL7a8gu+Gm7W#-QiMK=Wf3fZrbX25qxdJEv7Uz%PLwcMbsQ-$ZT+ z955Wql5+~zbrfZ7-R+B8?TbwH4$Z9&&46FV4>?DG-K=Sy$haeEU?F7d0PJ8ez+SOl*CfxaHd>4_Lz9MIo#qqO+%WRDVTZTw_2TZ& zvY*O%Khw4TT{PY4;i%}+(9hQ<>i!=RNq3h|fZcyg#NAz50K5N;6!PugJe=JgJ#KA& z9Xy6MKZfbc5!rn@%k%wuy=kbmrDPDWoQ83-B>Z@BGy1R&c_Vz?W%HWW@FL4eBF_!@ z!nOGdDvw&G+<2d`){sOXppMVq?-uv5<*94E(r~$iVu|@H+F=RBoMAdedkIB5a}SyZ z+qGs({+(vzQ*K_~H+TOxPm1x~ZR`A7$Ug#b0epzvfRiX@ za$vZzazgY=a{G}l>+X>G)n5$fNSChiP}i>VbII+16WH9lPKwV_fMT#~muEjt5-@Z+ zzK*{kcL_`xeHhC~`-{;k-nKr|1GL}dE?u5bv#RX;`v{_{qTP6XH4gq;?{407r&RJBy^MUkb@$~dwsF& zS^H>zbAJ-Q6ByX)6ZpIDXZ^KsKG* zZdxmu%4zgT0q9JQT`F~2k!fyRvn9}Mf#wJ_SD<+U%{Mo$J#d4uz=y#7shgknlI!3x zn49vze)a+KK;tpnwsk}wy8l~e--m&=Oh}TFN62xjW2?3%rQicGL8BKzN@QjqfL1;#k zU?4anWiSx9QN>flnPbIc#Fk^lL&UUW#eGDtW5wO+G+5KyX2T5;-xpHn{z6EdUPz+N z3js5KAx?%bWJCLfD67AaZp9bEBJ)BD#a{@j&PjYac~x;n^IpbF zC$6RZ&2%3g0{e9*Il<>;Yb_-n2l6zkhinO~hy_<<1F$~q1$~PZcad3Bn|8AgeWUwM zz=9ZCxbpz(%5u*4yvmtbL@#D5f6P4on05Uz+x%np>yMfDA2WeJW`B7@dGXf#$87zN z*{^3a(>-GG6I{N*N$!XbtQWCGrWX$;iO?VoBUEURf>9zANYV%u3M6Qh2npgeLWKk| z8YM!2sEkk{Ktx7~;2X!psE&&6tnF~3)Nk4w?340`4{k(lb~Adjat)XC zEl@Tfo2JO~$11R$uy76c0}OT)(-c|3^L$RIFXGaeefk@B4+q=CesCw7nV+{Ip>~ss zzCj*T`=8EkBXXH@h{D+c*KB`=W5??nWvQf9_Mkqlf|lPK69fGg1Q%AM8&-otjNWV zzwIY#(OaRJtdAImDh+}=#xPP{0%pGwa`t?qg6BL~h@F9ErJdqOLklhM_&Ch zKhRICZxmmQkO^BHbAq7&pAUe88-bmqrKjLR9$?#Y=w3xdXz$I#LLx|!^N=~>l+yl;N8}a)Y0P#+@1kY%SIN(EQl;0+` zmHjeW3a+sV@dKO;IGTWLkn}JuE5^qJbe6$lsHoIP{N_DqAvbQ5R@P39Xt0SA=_QPXCY21N zU6l+*3snpeXvH6Kw^U78FNEyDyHKxA1l}+Ui3Qz22>K<}OnRx!qS|J4h!U8CXzf!8 z;9PBFTLe4_yjCC&Kc)B{pL=Qqey{(&nzRDdPt4YhSJ|TXaS+i->OesgI2@3h2(zh#wyyq@9M)O z(-08$^wsoQbBb+Z5j<(qlQyjr6(eXw>ZNX5D;S+sbhq9$_e!2P)$ElN$2sMklaGuiIdiSwk()4Z206I<_6;rmJp2$h|R`1vdx2fJm zO9obl;}D>|CG|&JXX{;4zohNkm^pNu8Y-rxKico+Cp7p6Bm)r)dk!-goWT(?Ii%;L zNpNiC^xiW%e{Amm0>F44xH^M(+D0Q}Cc2P7yFOS4r6<-&gi(<){4#=rk>k(`A;Uqq z#S^Y0uy|N~-4hmwo+oLh!%-$_qJvo`X{2*eDrvAYTY6qig`O+VFCoUvP}4q5{)(|` znw*|7dzze%F?yPu*41bF_YgXqqA3x1QAY9`Dr>Z4Y$EHVBy22ev?OdK>!d_p==~cV z@*cPnamtIWsVXAI>8W>wjJ;Fu2pH?9-r+O8V=c!c@{pr+^S#Emy`HMc@A0ihN&@qR zv3ARi<3r~Yr*w;u-a|~<5U{ROc`cUl7Amq29WJNSx3cDLZ;p7Au{lCID9(k0Z(NE9 zjzFB!4l${YRibwQ6>j_vM8Jivmy<5-^g5N0-1yGME3TFdy>-S6m%yFUX?K`~>p*%# zG1b^^HmN(0u5Y0(Y2$Gf9q!XZfNxaTYW2e$F5Jp*&R76ND~8>Rjpf20n~%nJ3(`)4 zRk2X>49=&c#)BjGhMQAQi(O~GLav!8Kcp-TlCohtnhz%m3RotRzVhBFMB8K}uMR*Z zn^OFm93D89_yf6@7k$ymu{`f*HWk8CHrdy_#?)vDs>REsArA)an|Va-#Y{%>Wvrx{ z1*jkK`k4>55e)MUk9-j<`N0C{+VrB{^~q4-#L=>PQEr_$(V7sg98Gl+89g}4l!jXrXU zryptu5{ctZh!DSJ_+#KsS#J(E(jrbptJKUPO7!->fc|g5z5>7~r+*qE4BZ~$_IiQN zq|GX!ehkZ^V{*g%ZL&AXg{{056iI&zj2`Q)>_438G{B7rI`iKF+5BMn;Erf40O?Ix z{~Q_>T(sKXL;de#ISh4r^fVw>sg9Ad%K3KIA$;i1q34$f29XZ65AIn$ui54Mu6VLo zOVR|1^uH_pTYi3fQ~(jAQ&*@{(hvVFnSa6&YzhPuO?0<3{ZA5TI+v0btF4VoF!1wv z;fUia6cafKHS<~((X_v#&3)j!1{)Y2?mWpBt_f)v*-@JJE46bxiMK^0_?o{E1=DSG z?4jDKyC|{3E@c~|V#&JHI=F->)ZnyN;XQEdmOJp#lWpyruYpuqETdH>R;F)pqxvN9y-itodW)*koWVP+njHY<- zC{bt3k5i-kSBcgXWg=y9xF=C55{SvNAQEzt|Rp^zHu-hQAxJ;sDmZT5E z^@1s17Ja$#pXfR8jgZ!SbotLdDAxbQanX8dfB|T@GBM zMPrqrsL)$_6`XV>tE`ItI-Mqt)Y3MNl+K1XI4K=NBKb-dRYr#*sw7Osq$6D12l&rp z5`p6}!^(cOafi85AJzEbSOWJnE%%yq@u-&^5B+`9h)Pap4sCVl+xoFlnQUve3}wg+ zM+5Wnp-H{Mq4keAKG@L1-rw`hC0kuRnJACtGg4X+Lj1mm5N?iRVWPM29r&!2W%B2J zS=m8#Jk2Wn3L*`A z@|k@;s??*EOy7qB$~0LfM4AP@+N5DtrOgO(RHedQqsNsfnAcSzaXD;h+I}x+x z*SU1+)faG9bQs6x$}%}4Mrs6h_@N56b=m}W7t|mWTtcG_$HMh}1tS+p%ii3hOg3X= z@C!&a!R0f=GOc{`T@6(LfhkChdIe$rF9aN*oH?Tgu7&@bh_0EOZm4%a03w{`y}6D1 zFl9bczwqQKguadwl_}RuTY8ttw`Sl|+tY_C*w$uNB*{ETE3&L3ykPhQN)>C8Es)J)+cX%@X{Je5?J=F~}wO@Y-R_ z=D2R<0PCnOTAN|8AI32ly1_a7JiVdG2cd?Uw(|!z`p()2Bi-a$)weYWgchh*?C>2w z>}uA;Qa;N%wk@u43(Ta^%&m zZkdb=o7O#!H$JXe>4ZFDbb!R87V<)1K%by9is{xEcXHBbB%9!^D;aX9qU!~tHiP({js)Os}raydy)9>(5+yT0~E!bE{^aaPI0DCI3)l} zO5i~A#@;d~)CTiA5}PR5LNe)FUZkF>7F*bELkZzo)HD(C#0yvakYdMq)S#}YfC@7D z;0V(FT@I+%>mSI#0?{6n#nmB@M5iaLVdFpRi-QI5KV$>&Mr)@~IpnNRPXN6?3u7L*PFJa-^{)?w)ISEy#BC>7^3;BSKq+*Js zf&j!r!Vv~!6%}+#h5v2<{~b0EkdPIu>8UT0aiQTmGMo2gHqY2XBaA39U;jVZUehuw zfDu4nbVjgDGEbo*6_M>!DixFE`XTBq!g4@)k7xl2JCSU7&c>E(2^s!BV(0jK?2qKP zMG;m|_#q_)GpL0ApCZ8SB`?I0rhuvb+hU;oV=*ZHu^3W+Uku0cb##0ap({@OUU&bE zkvD~8^do7!NWrlXnoG~C=S($?TJw*!()kD8#((04{s&(8f8fRX2i{kI$J;Vvh=FfH ze2-}Y$#%O?4^9r>S6w7idj-djOh z7gV<&d5_VwO^Axi>Z{VqOUlfbs)Pdm17euF(I>5r~4;adZi zeLyp#POrYQ^e;_ZT?0daNnTsmUjMfGzs#8j0do~ot=Ppztv}{T3$f9R5SrfmWq$9l zhqCo0?aVljP2F3nUCZS>KE6psa3x2?gEruZVG%Lh_~K96OR1|RbIdFA#hF`W-`MGWjo$%mws4~#(?M8;upl8 zTr13$WW9abc76&up=Sdo_yyGpBpvx;gRIL0976mjZ7r}{^yA>VL_002wg$Ff3Wsz! zuvPiog=(9XswL7a(!~l{tA-Bwjh*39Ht}L?v z00=+_0AUtl7WfPT0|1c)_6&kew4V1F1RMZD9_$$eFm)CHVi*blLHzvYtCaS_rpL}9NDXP$qArfd8721*4LpO z^2$DFf1!)3p!7_H+q8vF!3KA(MZ4%n;1G-92r)yTmm%bUPP|u63Od-vc4MZ3RL}&{YWFRIm37gDVM)@mO3P>;KOM0yUl&U~_ zDS-6izoZuk#+7b3?;9+kbAuGv9#ubN9O>d4S@a3(=VVg6BvUH!CmoPX4j`HD(O!}X zxJpf4am5e*?l1=%v^Lrq!`a)hj)mWI`FkZMPlQ4}6~`4H{CNZZ3!586oQR7hC&cR& zU`de8nykYZr(tygESh#A6f6eY zZ|pjxq<_N6c)#q%*%wh;sFc-Aapd=7^N@VsVEF~|tU9bAsAT@3uz|S~18QI|4uvr$ z!|4XgleUG*v$$NdJ?}DVyCnGqcr%D$BC`lI=)OVimw%LZ>F%k~VdzJeCKhA0Jdv_WaJOap9F#ViR<4CnwKwBh>iF+I3S>%?V^ zX_Vo&+Z7b)oDR7xO2PIJI&kU3^F-(pS6pR*-SGxK=yRBFA*RQ(rwKY}U{BG#>?zd+ zxYXx_Vgd^Zm;t}mFH-@33{-*Ln)b3=Y5zYaYFf$LJR}KdT`Rbr_s}WYZxTB#m12a7 zD8vbIJc}+eCrn{{6g%w4GXZ{Ja05Ru@oe)L@5O8pPJXJTJrlbr935RR%Yq8bXM*r~ za;bM&7wF9kX&u^qe3tn>D^J4!b{Bwwqoe(1p8l0lO@pL?mHp;X4P!@x9PxYi=57R_ z+v$#X*6%X-*GFv7t6F-o?1qVkgxFZ8mbD)4-#I7QWJwulY=^0L=5v*GDca?H2@T_4 zVY8aTJq@IW-ZOTbvx!*%9snJ{ZAWaPwyxK%dD!SuFF!Md*&OzoHy&9-^XdPKi4GOT z!N%ZU-WwKrxDUL428A)prppi)EHTariR!$6aB9%HD{Rub`=F%ldOL$a8q=gy3wMYU z`?1RnH-g-hFf*10 z;#)tT&G+Z~;SJ;EIOBW;20Vv$UY=>XGq=~xzJFsTea5CK`P-V95SldA&4scl%iHug1!F~$A4^SFNE^71xZ@j$y z&ku(U3j`hxABTU>G(3Dir?Es{_&=nbWpo?M)~3zO6f-k3Gcz+Y#LRZg%oH;_j+vR6 znb|T^3^7B@qnvxs%r`&g*Jv%Z_Otg}Ro&GsEorN&Yx*d`?@nWreT%(mH(rH?;!$sH%KlnEu!sw{jRr1CMLfaF?h1d-de@W zfR6T_Z=VO_-2rd+d%usKgaTe_D~Udo#vVUA9A2&yo&>ghE{!fB4Rbi2;Q7&*c`X+` z+&AU|3Ss*_Kv%sP?Mixg=Zhs4+y*xn-ISb}zFP`8Xqg0DYVBx%Ypi|*mjPD;_IxUr z#OHE=FJ2rKNzjB2^M%G?&DHraQ`J)$F=Ka0xg2>g@*6gex6#nhikIV(r(>}_J(=H4E~ZTIlfFMVhC`%OIv##xrsJGx)e;3xBJbM#8cG}8NO;)ZQ}N{4NR>IUAqx&@z+8srd%kj67+#xoqS zBeMg~o)EY&J-3HW-s_LLBh3591CDtj@4(_?4c%4p}^$*z}=& zxX^!DPglea?J)MvwEi{g%xL^e=_##&;?XMZrLpJkT}EZ-Yi-HWHUT-ecttiZa-A+)%z+zzoLNr%!A;GmO?0^t3=ZF24O<*_2SxRjp?CCPaC5A-G_mM#pEM5uzMiHD zi)x6ngu_&K=l7f}i~FRKHHt=z1@1i!{S?5a1XZHdFq#&hK685+_X}#ybPM8rg1TowmmIb)X`w)q}$n|aeRrc3l7gzr-Imh z{A?!ybx$DR&XnZzc>a03Tk6EK(`+9lr^J8tu74$B_nVD;X}^atFJj9uOYTdPnBT^h zeTjsl5vz)W>D4Z_amQ|-RHZ{WnFA49raF+ zFZ@>(Ca8AuU~s>42YREf9R-!%KE1z9^aTeTF(=u7c)8vcXl-7<0yzswXZ!N#(3;E43B7=0i-Se2fJy)MU2qFm@afPD@ zxk{}(oLr5g9#~yYSiV1Zx(s7QOsVCVFnRLeFyc<90Z$f7cL@sTb&%PIWrkgPtK z+~L!`#27dCqKN+T=kabu(bYHK#-Y3Ct?A6xM#HbiP#ondoNQ}qkwEzLIDUaSU>1Qm zTN>w&o#4~10LWXFnCHMB9>~krbrbE+hJd>t5f>}RJw6YPgC_x=o)4$!b&9hB3Qt^l zr?7pL)o(eG`%g<3p;P>A2UuC5p6R?lZzN@{IHF-Pm%Gp==#@(run9k*9|E;h$Hv^Q zbhApZ7;fY`C5DQ|jY=vbi98-wK*`43AM{p}YpSi#f&5A`piM&OrVl>QKw&Mq2%O%? z?iam@q5(6qje_om-k$M?lKtiQl?+Ev78S5zbk6ACv=$i1^?6?TU!}K(JINkKJO9vn zeo-0|?pL@q5{>!f7bp%mX*W$8l9d2m?I*OWT>f}(YPSMFp7-pR|0L@QfpWET5;SpC zPqnMaK=ie+OpY6r-@&LaH{-lc%~UvkXTB-pwJhRb!TU2YRWe@RfBG0{_wpHSX8*P@ zF}8lfZ6T?o4(8SbkX(vf`BR*l);K#qf$&pQcQX>**Ng(A3Pjb8b+C+_=7N;=IxcmB zQHp{sJXSq8{`UzHQ|LjD#Hpp37 zC4jNnx2#QseMfv+q^UnSQZT55PbeZ&=CfwPv0^wqm|{~y&NM@aI78PA$SmkXQ4f&b z5O|f&!u0XRf6}NZ6Lx_*GVH%P@oN_15mbboXc9}(H3(-fU=GHc7BRX*4Bi3bv>?|= zIdZu+Qv{neBg09KzDtHl51uJTtn9iW?z(}S{yv=moV0z7y9nfMc2V=SP(M&Fm+_nY zJx9WJ9;H)dFYHUWl;bRMn+C@>{v$(XX=gP^lqzs6E)9@=UN2`gP8h{#=GD>fA>Gtr zxN`CL7dc?JDqy4EZhnTwKI}`uV%-e?l&C@5k&46YXMPf0(ndva4G;&yFLLI{VeKvl zVdN86ZVOWT<<;OR@mrzMdqf^PDSj0 zHxPx8%+(yYAyQqM> zF!OxB*cenr4GGYERXs?o^|Rgs!{b4K{1fq@0Tu9Zdxoh2kOX}*5ow0;r@TElBKn7T zih=a!U|IDqx%0faBl*)>VGYg-D`9Sr_Y_w*fs0Qh+}Qpr9G^dZWw-Njeaa?80X9ic;ophl$jI}=e@3nHRPxd4gB5cdF) zf+HdT`Q*=K@IveCpaHo3A}9Q{2+9PX_fwb}KL78g;HR)P4~*A@`I;{np3=%X$cw^3 zL~b!IDt|Oll=!CALMP;`?F35ft)5fBVw(k3yX8{-6Y9gzZ^1#nMztp{0-6O4l8YzP z1-^}eBRfXlnm@^q9D=8ce3jKoN1w;A@%` zDk~M6&zUSvwFZ85Dy44YIzJZ?%J;?d^h9}#x>QPIHZdi+61#g1=PAvsjOkcGhRg;~ zZA4KTaxx8tXV5YVHD)N=vWz{LoTq5Zw|*Q1*Vd)yXdYHsM?<~S@2W~=#!JgJj;N5Q zk#deiOKQ~EwgO>JMGqK72lU-RYDzzhLmw~(ZkE*&<7@7*bOpi+ojIsk_#3KZ5k{~G z4d5u!2#pR$F2@L2=A}hM+KbzPd!Dnzp)Zos>96{iUEVx6omUt*Ju0$h}}B`l3O4#$T3L76%B?-rTwC z88JLXAJ#eJF+41#rd{nImKG|}RuO?wZdIu0v=s6aq?k&Gpy;PwWR_~O85rWW@U(QX z%PVCKx>XIjaT5a~XPZYYaYKYQF>bOkVVaewy4?Km+!*3kG0mEl^Sa#3Kp3Vd*3@m( z4O$zTFIT3!F#x%hGu^~JN@pDglXr@x#gOwTI?YIl^Q#P>By`)ep- z>TAfCzJkAH8CehE+Z!>hMi}$ zH6=_RZh_4Sc9G2~spRC(NJFBWNbqH(&HOWJe^&h}514DM+pRtZmE{3}ycRnP+?BmB zM$sU{Oo;~^Bw6*KOZVc~!xXieGBvg_K_33^!{nbsP-#iv-rq)-0(YnSruNwCFB*Jm zt)-DEnngo4bX85X4}ut|%m37?#)zpIlpCmm=ch=JGY;>D=sTc5XzZWj1wEm4e!bhX zzBSYlM{~`{eP#q{aKlK->I_sbIaY%>%`D`RH%1#y^+jUKty7Snkc7z_grK}#NRHM- zPj0ZTKEP|ro4TO(wrE;$Zd%#5R&c1xCxlQW2RqY1BE$mhT9oWWj{7eOzaXKO_VlB~ zsw$a?(mz{|70kfqsO6*!=oi2q-}h{qK=S7 zM^2UGSEs(AGQy>*I->Irt+$qY_8zqAZW1MCGjd(PwCJI%k&J7@MLiWg@wa2}6mk)z zrhib3gwr8oG+$~coPb^1xCjk?G7Lh$7SO(e7&g#WpV3h4Td|}{QGjB1*n;IV=_wc| zAyEy!bjKG5?-%jr@i~lU&E2@?pl})X-N+IXjkVutQ;90`i^8M6vB*?)c)V=ZA4_lN za%E9T5-bR87=e}iEe#NkB7+Zo3D4*ROy!iR5aM};2weeJZUPj~lVlgRPa@`Z0#c?7 zHfS)4GXIYqdl`qf6&c+0b>ykf15V-~I!hRkL4{&sw>M9bDLkc=SA1D`n{36SLLh;j zHL39+xqe9Y2N~JE|Im!L3a&k67^|Md*enk&wCKxlnk6mDi37h(mYX6(;SkMG?BWRj z0fY^^OSJC@&F>T&kfd0UX zCUU12pCW8hgUag2&l=2x4lky^B(gdZ0*rNdk^Lo>R?1Pp2LJKOO@`i=*ml6PM3K@; z*q}WDa;tm46PpV)p3S*eQ8m0|R+88{vEFEiodNS$EdIoZmQ;N041Z6Dm#=&Aft#1;gks|voFXaHEzsUYOHb7YIR1CoqKzo#`xnS zM{6tWw4>n|W@ylLCu7yh1(n(F%Fqcjh}S1c6*u5H7A#L8`xvCA-u`APMyHO(< zNtCz?zT#C+81u}C8$b>NGQN0K3&uP(cG(~-u1VmT(j4}tC};(@NXV(q^TN>_1Ib9e}nO!`hSpi%RY8pU3@r zN~3;~i6B!xhgY=`UwgW_ z6^~-RIxEz56f;)Ay5pBLbITuO8JDVXZk%z;+B&Cv~5O5 zf6%RVWFoY^ZQfYYp1c^QBrwyj$VH8k%=RtkTtWsHVJWo*i%C?OA5v3SfZhZ4tkKp$kw zMCe(e1IB-?thv%Q@<%fnC)IkloD%MOjIL0X^Y&UFR5>ZW3y>3$Cc8d&=g6L^l7!R^ zX!?t5WR`-Q$C=2hhQNiSIPZSk$96CO0@kHxg@m=~;>B``olm&IT)=2$nghCJ(DV%8r8gMRA4rjU|IGy(aqk z)N{!_l1K^8i)T60-NwqLjLNoZzt~@!@vm*!pLZA)|4pd@ zc#;Bc1;)iyY1cYA541Uv-U*Lzs7|@bi{6~MQ{$w1t-MEMcnM(@0`L_r4i>IV@|?fN z%ZUPrBGlIZgbGX_tn;BWJmgvK81f6=`DEQRw#@Lm=AfO%&Um;?BlxnT+;yh&T(?t& zid~nWTUqY@L`~l*oeEMKYlQGxlwo1Jo}Zhzl0NRU{hH&v_=@o6H86?bdl~u#>P<1M zn_kJ&0Tsqp78^m+f zY5uu)lAY{xPzt;YX?}rTr6SVF)w}eEo2%c$$7r(8=bzKT&B?2Pk9#96p|^aL<4<=8 zp|=CSguA@gbRUuQ*{oMcG_gKv8*J2^xbYrAZlzA`cck#A>tPbRFu+AkNn)EIa^f4~ z)+Gu8GG<)+SFc#xhqF$PU+>NMOIB;o2iPI$Yl>!ezNd|e>09eCkX^l&qAWdEr!6Y% zpm43HubRrCK~EQl+iYu1TSl9y5CPA3rKgnvil|t~MbHt-cu;6>kjPpLF-M7v10mF# z;vH>t^al{X|3DU-w!tQ=G$Mb;j)X4-8FM1~zys&`JE!G&Fg@Yv{WIWh)4=}4>2o^b zWAtwlFYPLU_U16VGy&?zk(+U?;EthR2ToDuE7-@w@8ze}+S&m3fY1BE<&T4h<<83N zN+U)gzlUXhW8dt(HiQ1S4--I#t}n+0f{fw)_@pD>M@3UicyS%eMdxFJP_<@diOtKW z;c{h%ZuNK2yjur@nL*-4fv$%s z&bLzCT218E>`fB>SvzD$fkur%?OMWnop;UsPm{!TLO8a~8<<*4vz~T>fjfk!>lHQt zN#sJfk*)GuRI4a39WL^HwVyGRtd9! z0K$9$-|O8p>REJmrDsjH=7Z-yH-n84R#wO}go6IQaw#X}9i_gN?YsqVyDQYQ$$wRi z4+l3wozU5h?(TYK-gz}X6RlabL_&fF55BLTJ1y^@J6K0Z)LiH%NZf;xi+aRc0{(zF zgWrOcGF%$VRlyQwJf}!W;qQ#_RDNxnxI9*lt?}aRRvp2gn@FFPCHg3U>_k@j#2v6B z!w~Kgt8O@3%}$M%a5f2@^+^W}kP3A~Ita0-iAP5R#g>x}h3}I)3av7mWW1I+Hcs*F z)80^&ai3Uu<5E#~2q|45Rt4J@0*h%rC1*Ld8QFDRu4hHRQFGZYNbzzSv7&+w zLKO^H3+@-y!a*VPctR1qDDgO@vc3~I-h3y&L+VJK!yzBpEf6t0#COY?JC)`CnGeP4 zWyuDg8%OOHpW=K5Epe)Sg+JH^cGARl(kCXH;~Gz{WvNE>_D42}Lwumk`{??)u9QmV z@#g1=)scCnkbf;)dmrwU}dS%D! zsV`rN2=cmQM*unCB&PiAB=z#7`SK+0@}&Cm#CB_zZ)=uoZ#HvAa}IX4xVt zzqEt?=1x7G!awhvU6t|z!b1c+KQdNc!afxt2s~^DX(&;yI@?@kls>)(!67FDV&Z;bAoP-7*Py#|{*@(nI{GGtnF za_B1gi1p^xyj%WFP=^`4S4ndU=%Qc)x~73H4fc?p#wQDYpDBYD1|v`a~`2~Ok$%hAY;X#LWvgbsdUKEs0hAX-^KYH``(p4&^@i2 zO$vEj`Xjr#U5b6g!irWUi!#~lS-WJS$0z|0Bvi3C0P7Rrjf(m82fB$MGQJ6q2k8M! zVQ5`u+#iATb+mZlb;C0lt|#dD3?e2)11u`LAhJ2X?@1ZHvex7tA0OAo{zB@zQExZ! z(_T3CyT|5lgDz$RJM!B?Ik(IvHA^Qb3esB@tD)>ZPH6M_?MuTOMVFnX=pQxgkC7dg zN`%f&LdjAtPlDWJQTzkz*8Bt0kVAy9^Va$ux+P*-6}w+(onK>LFD9W!Xh> z3D=83&n9*t*tNi93Mzu|2*ry)J95FdbOS3!)VNuSK|8X+w{!w4!joUd`eCgxF&-zB z*O~rchQZj1SRl*!)U+&WYb1TGZP!qCtJGgEuCEAQHbkr}z}Ia5CT*rMT-mLtA@ZXF zA@wZSs3@{-gH8i8rD8(4QgS@8O)Ijl!Zx*Y%yzWlw<&hyjj3uT4r~S~Jg@Lr0rc_@%-X-F2x%j<2{%F24ryLqYortr6rc7u2F( z2}~L5Z3)dHDG4Nsrfuo$il0u=TcH~NWJL`#i&duu4poK{U9t%B+-HE4O;iT{HwWvx zoc1ADs&sAEAr+2u6D;4pHF`@EGiCXLD7lM*&HQmMNtO1t_=ve2d=d+rjSvT9{=~TW z$PrF*Id25Fkz3u&ZnLu^?zieY|g|+@+?3&&QqavOh_Ru45(W7tK>{?S4PFvk= zDT8WlgX&B-StFi|aIwXnm>o&ov&s^AMUXseyttMJ7}jl`OB&AJliVRl9yDH{3_XZK z%MoDB8V?CfA~l9KpbV&bc2`PhU5#!%4jN?nlYj7l}pXfl|+?SRPyZx zF4>3i5l`EP$`LCFE@6GN%>wc# zxR!H!-hFO5jjn?fKNg)3@54z>URH(PocvrI-ymw=E<5YqWuuQ;eH-V5scfi?pC->+ z!P`H?gz$qlId?~T0?%EEPsAd8?3J7>2e$pDbB0;*eSZkWNZ@;lj6||n?zZo|Azc%8 z_jtdbOnMTo?ZqIk_I|UwyRwA&yxDuX`les$(2NP<<9zorIyrF=-20?d^O#V>U$;A{ zYQ9;aTOG_WYGcd+_4w;+z@rkv#kB=Zok6osZ788Fb6sP=<&OX{^MlsnxeATuO_};; zY&~R?&o^bGeaFfA-<;XHYd>b00xlvBjvlBIoFA8AoE|l3I_z63I)p8gb`2lfeMRqg z$K?_rKd2?@&pR9l?Dol2+K^upy8ALM>TZsj4^C96yD@CIf<{Pw?6L}yYjJt*-=3>4 z?%8@xN_;lcY2@qe7kjtC5(G#1xDV&rD{JPzsz4_ z_hQB&Jq^x=NicI$Vtuc_;i-oS9~ly5Wfi2t>hH_YmL3^C85*c=9HIw2-+D%cWsgSc zw6W=5qQP^qVKzENbO0!@97-rH_u{>uyR_SI7&3fil=O0aYA$DYB{@OZbnb;R17?kI zaU1nN_q-aFLWCEsY}UZMo)=jMiDsC5+iXfiSUs3_M^-JkF zHD_%iwlQtLN`bpR6~~Hfcs;|(l#XpiGrEyuZ%ETJ$ChTM8J4Pr_28n2n-5*l&SIoJ zX&>-c#4tnGy7A}F+535`ohe+vmwx%B{#XtF;)}rBfRDEt$K&7UL_Cp=L=sxEy9e?^ zyOMsVFK88$-5<%jAj_vu>mP%Zm`790s-a$Vs~aF~XQ+d6VO~SVKgtSzPID@$MYo@g z-h;Y6d_}I+nKHg)m}9J@KioF=_*w z%UE@GQUxLX$tkBE+3su)dU(8i-9Sd@jLyRo)9P%Jpb$_1znxKEsD zJ4IYVcKHdb2fNkBqv1Qd+XY>#VuS_qtzz%~HvGNE(8Ld5gp)Yi2hI%S4E}*~IdVi3 z*y-JDbh0;ap9`74*hp6aok^e_dSF2TEoc{%)5F&2DtlnjU2$#9)s<}j5 z%Fz9gxfo9qN`MjI{M*ouZ6q%`Vlrk;4MN6R2CWN;H%m~@-0GHe!50j7Nk|1v4F4AJ zoT37A7zJJVzU8e4=>n!@4a!Y#Iyi*MJ{%RB(!`+bAM0@lFJ}f8Xa%i>6D)|7oFyp{ zEa5MrdR$~dJvpnHFWfpB>clZHL(ScxAou5>0apR@*dgC`ku%t3<>(4%vxsv)AF1UAh3TZ(Lf>Ba)TX^*IrfhtS(V0v*`oJLZjWX zYA`zSd=4VrU-Vdwp|i1Jp#`1AG@*J{$Cr;mdcGWJ9DBGl{kYt;Ax@&9z_$}wj5+S$ zHNHyq&TYTJv8TSs*+JjPDWMy_6o1mT@yRrlgZrH}!;b&RV30jgcSU@HwB|EyC<9g5 zI3K@4P%Xc_+dt905t=I}z`yT|SSf2RV7m0y&rEVOl$=Ibj`5YOec${S(FB>@(5#C* z^|?LL(LD?nBRiOM1 z15kMe&x(Pgptd0Y=+Xyet~bkO-FI`T?@CpDSSShEYXMiPl*Ri51{*>W(%$Q5lq_r}=8(e|rrh(eET(MelEvhKVPEmh(Yiz&60R`m*M^^{8@0>{DME}#!3*u6 zNUHB~iZsrMf3ff%M%pGTOnpP(GC5-@MgY5LTSQm`p6v@$8kRxEU#<;NI39pd*v_^! z)&U|T?uG!=OcXZ>r(v?}q!+NZwIMhy^+i;GzO>{c6Dc~KzKXY$ldB5$dT*qBmD9TH zl}X1G{d`H#Df`UV4R-l6(l)z!SI{;xaqRWNE0&IRxD6(qj7z9^&gD+G zX-$6Q)!z?AwKPGUQSuBm2920AX70$^;$JY#>`B#PQ(J7w_-xauV}9V7d_U{sa?asM zYVN@v(KG(fj=xM`Uj?!l1Fw_1^GWkA(2+m_E|YcP!sF}4>L^OV{nJ?NTX zs2(kABdb`ygDfsU`C3{{wKSFIv9w1B>&$%UJS-r?1{s|4&+A6@0;|?iF)`{61XoQ* zA2lx~;NaGr^KI+@qk_C>UzlD4_poyuuBrMFyfCfpnKIW*sb2$6CV5DZ zzxy(?q8c!L&#z}1`jQ0OSi-M^s@mf5t;p}Uw$zstlHX;|a_}qF4Z1b5UuTv?Ms)(W zY0oK)znFuT!1l#wGn;5>%J(sjfytx)+v9--iI5qTAbbu3p}EyrBFAXcHz;PVVhVIY z<^F{MlUNhPDpWNzLD|M%11DD`kyi;+C5+U-Jn=HKNJVtuwM5?s*+ECY?NQ3Ft?ObN zVb>irS^U$GRi&A)NniY88Yr;pV87DZw8Bib5?C(vLZI|vS(V*&gdOystN7(BO%{(? z7RW^#EV_qZGsbidivq|$7j&I&O*FfwD8%{XY`N9}TU720 zRNF-$TqB~N0xV!?DG`*h($Q!xU4}R|z+Q#;ZRU}M43Wt!#SESTX2N_WcIfIW(GO82 zy(B^!&s(2Os?q`M22qLBDY(>~RY4I3Vcqyfcd(SW#n`l|<8KRR?Wf`J!5a`yS%DD*@oZ6vfQQ|wY2{RB~QV}$LvZZW=4gygp(@6$m<>~~I)3G-L zCbZuP1GZ+ziR3sq1hSv>Yuindb*?*?SU7K*7~O@oZ+L9$ygWXZKU-l$nS?RBp8!Hv zZ0$>*CIAfm%M1vzXqdTSU`{vQ%&{oT?ZZt{qbC`mw}b&_2;Z(kcWN03r;ib9ggtC3 zh?m^j7mzQS3UWV?jn!)18U~LOVzLJrAGsa`saUSlyJyDfCF6qcf_t=P7;+^vquVP$ z3G_za-N&*I=y~mmrKnuDyIzJz9V6=$72G8Fej(Rc7$TY^dyeC-wSfxQX%Y;thH_Ns zLwtWcAZrg55aC14!IXmCZQmvTZPi!b4a;2_XS(ZP>v6~e=``28whfV^0SvF1>Fxq; zea3k4N}5obc8AdR2RcgEOS&(|kI11hS}5?Bn+7wSfAHAx z>o(N^ccrDl5#5g+a<*{wYpx`= zl$nCt**OMR(-YTOhIi^f^KTD3fYnqHf#7>KVR5%`foi28gT#00!sBmd2R1H~^)Q3X zQs|8k2AcW6J6VW2Kj>ool*h~VWt<+wO2hFqPAQp^=L%ngqvj+PTTtI*xF9%!^h1Q& z#&DO)FxsExf6^j*q~5okXT%U_N)Icq;t?~4|Bk&lLTTjCSeMFS704iM^0&VB=>bj6 z9MBfxAQpSOTdpykwTW-wlMLM6r^=pEWBwDS;APIn6)Fc%7-a!oes@_4xTR6CX?;>} z`a#E|*)a+D*t56}sxDfj7o10}G&3$M-;mlb4M)#!R9BV1g-H>L4Wt-a`E zt&sU1e$2m*0cQ$v!*wA5Gsl{G6{U zQ9reAH37yQT<;HCXQ_^1rtF&GQuhu|p+vwnKid#l4;5{k!(+?UK~rVrAuD0PF4KVwWYd=n5AjmNfzC8s{IfAW+tUFDF(uZ=yK! z1VA`%f+F%$d9IhQxr27jjKm`dBl0x_k=1QNTyS!Fn9F}%OF~>*_xtlaqS3UX(e%++ zk@3(=bf*0&VmJW697iA_%zWo?2ES~quj9i~9>9lpesG~5J1j5>!lO0^U7oB*`NB9F zEDwc?)fOa*rQ8M-OalcXwf_-t00rrEqrvz9pa7IF2AHf6Irs3hbjs(*?0+X@4S6M= zLCA~LYf81|>xrWL3N}juvjuVrS|+^+UPwCU;ft=Y6q*`6i7G6SMTUZznes0UzkpVE z!s7g=e*A`=a*|h2%$%_Qv>$Qd`E|@+7}Cn*Z*{2?B(J%+4MfWQI{#_jW$gbh-p1!y zP}pk&P0J0BSGG%OrykxO;SBffMKp5(`3?s<2)MXxV#U4G_veE#IGz+cQh?MC=P~U< zB=sWqGZl3$1obgWxH5d%rJ(30MS2HmGU9ni1`114h@?ameR^x z5wqy{Q&uJWg=jR|?M6jEF1+%4l-zFUAQbpoyL^ku-GFxdH^K!~_G{wCwC~XC;Yp)E zupan}+^u&-O6Vz&8xYA7#7NG(rDNv$LZ-B23C-~&2_tBi?h-W%f}k_2QuxO>WBHLN z%1%faguszm)o8pE?aRD#dWonsG;0A?3N^015$Y#`k!)LU{=qA=v*QwdWj{_9y@j3L z@YmIUsr?Wl{tZfHvjWeZB)TyYWj8T0UM##zJ{<^3)ljy^oushN-R=gHQ?$;!D~^SY zN7X=sN0p8nl+4Zsl{02)b|B7aad5am?)2SqJP=*S%PwcE#4abt99mJenb3(eCNU0u zJtZ!A@v={bb`xCDT%^I7G@I4w#jWv^`2xP&Q+YR>$xXtcjt8S-581gsn^@g^W3nnz zX(hN#0WVn#DNJ;Od*HX=Ce}WclEXp!#;l&L?g2HYKAX?1)B`HBxOj#* zZg{3|MaoGM6QMy?Xksjg)E(M}-UGTtFq{vHgttSiLQ;S-I+5L8y{LI9y{LXIeeDIi z2Or}4ms~kq9y6@0>P8!Bb#V`Q+;Gp(%INhGvA?8|EaqIOjTGwlZ{T=DA+yB3l7gxa zY$6+J*Zm`-yXfj`vIeH(dNwpfcD@LLB1u(C8sEF((r)`lq;}PixxAqTo9`7JgF`to zqmh9t4d(AbIUbUAPi7OF=oIgV#3l4ojX5^+_L^7}{ZF#_`9I0~$N!)`v|1qj_WkgE zc3AuRn=*M1d6q2TCnA(nI%57%>)Pe2!?Cd!ENqS7Zgi|>^iF%BZ@Gqaf7D}8uzFnt zhEso%N}r|#Mvf*SwY0m7H0UZe4y2uic>gpaGDcDIAPJa6N zs<BL%rBc?LF&{+62<1=xVRFNRr5p%gz&POqf?lV4E$xmD1(0)%?-$6 zQGWlj>0g$P%Keuc{<1<;_rDD04rJA+t$$hdFKb1;|HG85{@{Dp=`D%`EhtDt!w=*0 zH+-RJunN21?eHY?<%?zO^HB~+?y|n4uZO2DYS&}=0>h0t z{|)zI_#gqp>;DZ~$k%@Y!>)OM!&%9;4?%L-3i+IS$Om*JgdF@va}=2 z3~zi(BXlN(F3Ce*k4;+SmOb)(j6~;6X#H?xGDnbBqYH7aE5DK_w~@E_YQc=e z@Zc9oZc{E_jQ}th4GOxf5&K@KUZM%*;Bnd~%-iS|NFpswtHuG&6^k=Q!4a+B;W?T1_is0YVkmnR z^sj}rT>6hC^z}>loaW6tqTmT{Wf|AHB4Z}Kp&7GsxSab#jK(3eH`}=BYXko5ed}Vu z`CK_nn1^B=`y<5}krQ!UDmg>c<9o4Uwk32MpHUZa#|^iVNJTl+F5gdDqaL};p(nesQW7ANx0sfc%=d(8^yeg(QcD*o$E zp4!dVFEM%lIl&He6IKG<%7^(zhP?z_=mZ~2mUY|a69(^Aaw{$h`rM__$!Q_oEVsF= zQDU}ZBY&OBbm5iQZE zyxKFAWtbQ<;buOgk|NVik3jpM&G7*J_dknArP;|;>Am`op3;{SPXTRjZVv~q$-Vl1 zUA~)q2wB~qC0tJWBaTX!z1=S#FAop3my-d$Z6B|DFNBx*`T7^1cNfnwcQK`}rA(i1 z*B8&@?@C;&T2}%5?OL@Jzdy^KI(?Eb6W=E9Vm{jg+yvSFyp$qeG4}TOzrIWZC*TJ7 zzh9r6Pp%3DY#AB^yq~Trarr^N{~lZoX#cq0N$2`}Dg4~O-8w1_5V-2?@_%|cJ`4Et zeLg+^d`pm0>hZrmwD28IsI7H;OZ|AZyz9`}>3aTSw&nJzizxJYhHUh{_X(;j2M&P& z0s;a9LYC00`8KAe(ESPmQU`p(1HH;NP8N1H4i=1F4j>4^cfHznUYac25~9SwhouQK z^WRr?R%T{OaebDb_US7D)rj@3d#e=k%S6Y#O9BlSX2_{NbZUGBm6^GkI)cLYo>kT~=(s1_Sa&E(JTAX-nLb~Z0#1k#FkLloN?dkn zaRihSZ9tpuM5J|}$VJJ4o1OO^K^Leoy*xf}RtVMSFyKia1oL!WD2kIp)?r`&#`}6>nhV2VKe(;#xu@s zse#p!)Z*>OSJtoZ)PYG)9apUmkjf^og)}w9;H$A(k`4(Hw{p-55Vd;sbzMc6B*nf| z*CiY>O>fVxeQ9mr_H?enaXgoIy8;2Y$6$Ghr_1&wG z3@$8e4|B`M^+r0EPKWfm>8#b}*Fb?RJ}R6~(CXn=&|93RhNZIkyj;?DDRYx7T;6Fd z^9DCK{c1qdpAZKQS(uAN&yTOlGoBOI-n$1lI^4s>tSPB%i%vH8w2R{6byB<6BlUMK zt2xfBRc$JbF|@Hf%JpVrr@!Y@1|^7hSr6MDQ(woc3>fcjoCPTe(!@N%!jfyp|?+Hc%avE@$$R+-CMZyXABLrhovpXL&mgUv z3~P>hN#C!o0=;m0dKbFrX3rxi%bOoaOAN(jRl$?R>Nod;q* z=TBYBv%;%^Ih+%c=B9xxtzGM)mOYSf9_Z?Nv7ii*aD zKjKd{bwXQcVr833L{@ykP7P^qVas6WU?|4W0!&k-Fm^8Y2d1_Pk3jR3GJab&6dkUR zCE;XoKe654v^Q?hycGzL%j{vCRBvLo|LAN`Ztz{TX0g28dp$XyQSuBt;`S=|Erf`< z6zbHVDq7A51{+_8<8_D*}H~_c%nmZ(Px>L;rlkme6+0L)=X4yKK zDOE)Nc4J7T^(R;%%7T(aB4L0=ND|}$F?*9*+$YM8$S(F+syBC{pj4c155!jOS1S$Hgh9oc{qH;*}w8XxeI^~I0Vr9*TLr?OvdQbMKems3&i z-%u=>Kts}bx>eB>??sbmH}N>WUWCX)YfABcAFP;)tP?|lRmAxx?9_) zWlQLg#uWgPG&E@##>goidQyk3ovha7RAr!+#b>S!2a=sWHPENkZ{mlSLHPn{4(F*M zNC*8{6#A;ibooH3NhI#&3UVwcOTE4`-98aO>MX=KEb!s*?H-P(vN0wTWiFUj-~DR z7j0ywbNfy$(wtP{ zCTNz?H*`7_h~YoSz2B23&&R2&H8fPS6EO?9ro)`!`K#tjEAVf zskK$Kv#~d~SMC9~75AdxmH!Lp%EIA+qjlj70ifAuei1nE=g>ceZbP?F(j$*4*RTs6 z=lqPt%`pX3GZ!JSjhRMSZI)h7ROv@!Y1O%6&dh=4A%y)!@VcIIxjR?F@GQ$_H+0#C zCOr0$a70%-Tr4Yko!)cqyPUUUtkrFYb*vrUaWOhI&kqO8k287e#?ahH5u}*2Q7s$N z1hlzA>*Fn{u}PQL_0&}aD!l^4ctTP|r3lb#i^7~Q=_u{K7t-9!yG-$SD3P@NqA4`o z_4wU>`ol+&@3QmF44N!hR$03;OC zQ)=o)oP-MgcO4^brz~#=obSA)Vo|0&>woRxX4SD{ z(;h;;D0wgXflhvTs@U20iC5^ zYHf7RHqe2=I#<~|ZGAy7Rz6-YKZBLI8p&K2cb%Cfd-&{_a`4nO!vKmlilrM(mKMs> zF#P9%qC0(!4L3R-584NUJSZR`zj}`*wOG5>Z_HfdR#W!c;bwO0$JgPE@m<(4LfGuD% z-_p~56Z;f_P`{n35le=J@|ny)iDr?HV(puSCJ(BtEL&y^09Nz3m&dbQq#3>Zrrt1w zBNWe|)3P4TNss+vzPG(*eBxEl_~g@5@R;ie-zjb!iIpBR$efXY0b^94*y42OdglKYh7Rjd*ED7@#q#cYRmdLg7ekf9AH$c+G$a z+%8qWKl=;()$H!$|! zU|PH6j3cQ$AF)yxRV zB#2&)lJWE;xw=V4!Ph;s4vK;y-0H@pM(D0TRn;`TbN|~Wmg@0X5StNe^N^FbSPP&g zB7F>9B_q^h=u>Vm#T}X*Q)>FaWDz^o*c}P%+G;I3;LiwjpL~*Ey0_`8!$3Mt7VWrK z4<|pm#ra&$Kv#-jv~vNh!;x@L?O#W(MD zW^gZW_Y>7v*d8CK7RF(16@vL`G9(5z*v~g8j`C z;1V!qP_ULZ6;mCM7LaNKx6>^t0B)2bCPTjFBUOJPaeam0yR z>D#Gf(=Tm+NZ2M|kRsIn=dy0kH!I!aJUQd@oLT1gcX*>HRnUQ9-==lb{c>dvyaha9 zwPTS;XLZ!FyZgC+jKM%h=%0&H2wey1bJN(!$}k^8K6q+bzKUKgKvwE;$@Vtsu#bkt z34=<*TLTd}L?y3EWi(ntMZRpTi?W2)OzOqD6j`N8(j-;o`NeCPHR9PVVwcjcu2x0C zE7#xeS0QX&+L8b9Y#TGGr<)<7Y1(6ytfFHdHzU%f+ZA1i*j1RP#y-ss6&5<}DZ}Hi zWq)2Qb1qoSt;6POtxqY2@Yw4nS6S7aj-45-oNKpjP!Q2{r2{H-$*KO88F0-XXT@(= zE3|;eHfh`NbYM+Vl86nXlK>*D7`8);^_f7mt}kt0*n0O5wli}n??`Xdm6%ut-K95J z3XV_R69`->`WQys_kpK$ZxlPAfZpl*q^}0LRfSweb$ z*u{oX_gm?6(1}-d(aV+Vz8r`@juy!KqV|Ji0(-H>7G*Ge1b$16tp7>6_hEx?{QQBO znRlKsQb)*5sEkzGgG&!t=ql_ThLCuU8o`=!UFxD1R+Wm>uO$82sp$+9T5(WLW_&!W zZI9&X*=pH!H;7q?bSjR4B$B%j#g722H9=-7$px(B(eE}q|C8Ftho(RYpCjuZw=5GC zsgLooxS_G0+-3y$TQyigu^#+M*mLl04MTAp5wxAZwarNMhu<0O2wOd+SCV*Khgo~( zC$NK9-e@XcJ4i}WdrhLr(~B)|n{(2BU09;)!*e--d=Y8#%q=%2P3?_8&n?@v`+6Re zu40E|EjcIJbBS}5u%-hvF`ao#y|)0PV6YdqCSNVUAaAzE{Q%D@BH&mo z;J4MvYA1+~3>jt!7Sd3vG83fZT|mJ}eu|I{fPHs5+k<&wZ974|_W^AwbFL5y2l>Cn zgpkr8=&cZo?SDw})5CQ>G(@XyCQZ{_{h6l+icM$xXgn!4R{#V#8uSD0x9$XJS!41i z!?<-dwwP-9JU_&AXRTLp6$xPDCd5JLnWE4;mm|4vn49zQPfJ4wuny&2+~@moad5)~ zD#`*lB$?t#ze&!YUDov_2YMrMmKmsiPe>JI0n)FV;_iz$7XzU6`lX>} zJ~tQjl=!J$FGxletS$QYaT2w>6Ul4-z^l2ZNhsj#`8#GKF4P3T(!T2}J)Enq+1nDz z)ZD^ri4qOdaC-9g=jma2sZN23^=llG9M-v~u#XOG$rY$JDY1wN>|1e3v0w}~WitK| z7qvBw$g5O(_4p};X-ja_=s;kRG9Y&Ct)SLAe!lKxo>2QgQ&KayPFBZTNom={P0yaM ztkh-IPNQASo1@_?!&>i7PKE*uPLqaZUY-2`LE}PYJU;!~G}scP^HA9kKya~E@84g1 znp7Qd^e~J@uS`22ZUQw0Q9g46*yWw_aRi{w_2tAV5{w_opK*BFaWz1UqN@=E+2fY=*d%g}`fvxJIM|kYK*Xy6H?y4eH})e$&^yFIZb>fC)@jBT9ig}14aTkZw@}|8$9}Cb0tzp9hic zzf+})prS;xsYQbvI8k&r)^EMT%FtWg<0x4Kax+h*Fkpg)&fNt`IP}mq1;F9Xg4xC0 z6<@nDHTg(Vo-tBiPfymbFV1Za>9tmXhW6B=5ll`v-ZtBj(`mBz8!T>j=(7c@o@o{2 zb3b6Xo50o|b;;BV&v^`0T(;sXYd7O#tDE+H3^+qkQfakmdU$_{U?*-FmIx5_(HbUd z9c<~RvpREUn!@wPaGrlOT%k%)tRYPR;4rRZ!^G%MgFWFn$|UwD-BP6{cc0FW5?`TUPhG9J1Z zS~2*75TDz9iIQ5W3Giw67D9JRfw3<+=FSMepHyQmY>wLHB_Dv{F7Z{XXiL-Mn1xR} z{&g9?^tLy&Rqkf?M-O|6l?}+Xf;@xVC|~ql4V)+0TXt8=qF0oeG#xbw$aQFDWHN}X zLIvr=Ntp6l!Vx&JZJgXp@8I-=oNb*|%2H8@ zoT(2!lG*HxYN%DkTLj_Q$ie+pGJJ{9WsM+@+xY)vWr7>{A`)?#IC*B!;xRcfl@_6?>wy0iQg zGp|*Nzo%Te1Y}E(gcG&@qZF{0pDI9A4xg2~Eq*ZSi}D^JK-lKr>oN3jLEX}R z5D6-3yI$h$TTxC@*yD($%B5m;Y;H0wd~se{^OI9CNRPCj4SGlz zJjqS{V=~dgMoT-|@d224Q%x|5t!g3NIOz?gWryg~7wiuz-u&|bCDTy3IS$KY=TNe; z3$k$b$UqgivxrTjADxhV(dNK1z!ZfH6)gmg2EI|Ffzq#WY-yNXbQk%yAeJR!GB2SMF0fOEGjl$~-Q|;~XtQO0o<7%JDBy z}*!-4DCjF6;jwih@~vkk~AvUQz;3yA5P7E{jW2e zdHBt%`|g{Qgn|=CHZXr8Ay0ljI?_JOKsF68e_|e$wY>C%(HZ-0_vjF$Bp|a4aDb+? zY{9voXXMX3qwX7`SF3dZGa@FfY@+0%?#wt_3xZb5w)HDx5Ga}|J(uTY7+IFst8Q)y z9nLr=!Qx>Ulwo9Lv>n9_7`^da{^2i7%$7{-vm^XW4X##PfRIZ^74yN!4f*8_&#RVX zU7P?{72sAXg?S8uv$oD>B~8-HH@lzqr_kJrV-lj>2PCk20j8Y*0;Y!)aSn|NNR4^U z+I51v`CV-y1Q!m;VjYUCH`tb|_SE9&^JhR1pkZms#$ce42TC6--K*{Ea&bp_HsTMS zawSB}B%Ry-X2J=InM`j4>87^?`8eZ55_`e63Z}5+dbJWyjArp+7X-WEVLtT(tLaCE z=-V-faX#2GaW43&w_vNKX#V=@d^z-{&j*ve&I7(2P0!CVL!2bqg1GzsgI?PhI)&2> z#bq8{Kj3M+g7R@X1%blWo(~bZdz1=LW_htE?rB_ATZwsGYT^+KQb>zaa|+-F>5Xfv z_sq0$phD^drVft9zWC=-?f{Ah9QfFy-|+#^VcBTIf%X7l@x8p?)#>ztSEwpzSZ|0F zPjDeA$b&0^u>ET#BFNg|Cfyp-2sGF8n3ubYr`X97VA*;qHHo#?^x~>%Zx7}owM@t* z&GgO*fxmAUoE{y_41S*+mBFLwHt~M67h{_*y^R87xt@SpnuX)_{Of_7dtYx23FHT8 zr|Ao$H%IYhsCZ7DvgP05D%}3XU$_o|LZAFAwS6KuzvyydNby~P;nyWys%A(u$0?}j z0Y`*R)M!J;Nel#R9T)Y?-_&GvpuMIv$J=?GxW=aKz`xoaGbaN&xBZ_5QiIjv54jNm z!msVdbGO_TUTiz{zK8y(LbFwudF5?CzuDRk)4?d(C$`AsdgCfXSk zFP!BrVEtmg^t`VVQhYA^f(%-mSQAZB5*)RMkf>D3;1nN`f5nOwR`8isS}G-E64-S0 z5J@>C-d=fI@#|Z=yMbUTRDo0;p;rrgM(rGy`g*Ol&9ve$*BW~8sP22pnbF_x-mT9m zc6&1pcVpGXNRB9ku*OCUjsDYP*Ilv$^o}qgr0V20G?!dnJ~j}#7ysNK&`^vliz?*~ zD=J%vaI}_g7;%)LBGb~uy~v=jb;hCuH`Oa>&Y8$|f1ItL4fAz3y4-;eY;d->N~OQA zxOD*P-ZVNsO!lj<;TU@$&J9t)ag+CBaGFe#&VS~KEV*KHAsmgrg8JAsTZPXng+w|( zw+?B1sOXb(E#81;Tw+u;IKEu$Fw7*+Fe8mUKK|Nj&Yb&q363 zj+TQn`IgK#;IYT}CwL zUSx6>Xkp?bCY`XR?(6o-e2^Vb5DbTE4zwcKMw3*!c>_UC`hQ$|j=?i-8OL_=zjm+l z4>(#59db1h;{VCDrIrmB()RlrwzsNJo8Y-Pa=Um zOUxuvZ`1PCZM=-9i?TCG!pR*Nu)n*$E2)Gm+3L_lU6IFzdm%BLjt#;-+5aRz3esur2yVENu5=avxSn4UNsQVDi+f260_g;6U0Kc8``GlycJOo})>A)$c=h+_e zpz}Eu5t6gzwOz@o1%#Km-P;bVcXb7z!sXBW=e0BsGVmAi+$TRMn@*qQ zKRmOEyu$5$`_*blvkO;PQLJU%L}Z*(7AzE;18Z=MV!tJVhU+<FofMrE^L6E&{buu%jnQ7 zenMsDS(LzppOxq>bDIv?1eHDx4(Ud9EA8K)8n0JTq6DK zgs&RE{>J{Xt<5d`2qEmaG!#lrHZ56Fl&#?;RYi|aVTsMZK3Ec5-8;GL!mrtc(DZGN z8OCuuWUL6{Kk)GbJcit1b8WcPB$rDt2f8 zKLm0_Bv~UsA_E(EO0v*z?Y93Zr{=KjBBQg_x~3p+Jo6<|l6DFQmj%!aYQah+s>=|? z=1vH)vq}_>gL_eU#(ha2BNX(cUn9ffVlFYr(l`C#A_Y*aGV?cXzsgstp5k%EgFrWx zb7gLUcda*Cd&vCwk_cKgFQe3}7bg+qCeGUcLvX1e8qWf!;>HU?C_)tNTFE5*qYwiN z(*jP@HRaFh!sXinlV{XE5UI$WwlM7YI%@&(@jxm(5} zSsNIy%Fka3b{m^*P_Q1vJJlLrwJM6`e#W(WIDvfVp-|!>L#SIZ@}d`JX$CPfuks8| zG42KqFr!93-UxRG-pJVj_X%F+NNX*9#0V|CQz7~&^sBq1sJ`yZ=D~+2u)INjd1w5# z5rAL6`@5D$x49OUV-ZW zxW<#MC|>=?n*WJK2pOKpLg)c#B?bCtauP{UzMxQ1P~~O(dlk}gup3mIUR+hxf;nf9 zBrN5wv<)X9FItFNWyX!{<9ocC{sAGyJP5Di7=(t|Y;cX70Hx(AR|qYz@~svU#(82K za7(XCC$J~eXt)uc}Q=so39=LZ)HRED=?UuVc zUi>i8L6=WjDwNPWN=k_KE*qxMc6c9z))Eg{Yvpq264dx%(qG0u#c-I8po-i8;B^Vz zu@VXyoN&2D6S@b)mkWu9|EolAA{xCc+gC*uF^()0AR%dhXl({)9GqBvqin}R0O}Txq59(MV7{;URr!NkY;+KwK!)xiOuLyr@V*Kl>fX3iEjk#i}2RKUHkD73$eT zgVRZ0zv~xm?l*e}tqgF3#@12ki-G~6PQV8(7uKC9|B_8PM0dW|o2@PfR)jRPlt=s; ztLh}9(@OB+Ag|Y67H-b=HQO76v^CVIywA-C5N^3(|20KF8I_394!pj~bU1939tlz% z*Q#uvdYk5WgAdIK75|I^S}fg7AT4LJvh`L87qx!CJVIpDj1R_{%e8a>bZEw2YL^$) za+h};W?9LR6}*x?J|rN&LW>NYJ5BCg)&I3oX;zMFNqnP_ytpMUVpu0mKrkU8Z zBbvhRl>t6^5vv$_V&r9WwMAK@}wnK*fG-~ zNhn!5cQa&Cqzt+^aTiI3Z2=dM?oYruZy*yxBT1bl1!C?GZoTV8f)c&(2`>^Xi`8k& zXXvdN{7#V02qkvgv2sR+!#YigJbvpsj`9IPfV!?ml{Np6}`gGjjp|s9|hL z4L&K^{5OM?(Lzw-7^$q7YW%&ny2@hBtIpIlIC;cf5Y|sT*56Q4iMiHkkaaojj zS690A?%JHF`g4~hT_HD3t82Y6xzPg!YS~LTs28_69JV`(Y}ywecN8u4fNi>@VhK@e z0CMZ)qrWLe%8Tm`jub!A20fg>=q(~^PP{B~IsPl)Q(&Q$R4lUGg^##^-CzCPPWrE* z<91=yuDDoV{qZqN79D9uy_2X!%9Bw?fjL|oRjO@#bHggJ(}*@uQh9OPi#-S&@e4Tr zM5=G^R%3paFr5sgr&X6u{Lrk)s+IEJ0qT<|aWqGi1qi8^**xGYu~*qW5A|Dn8|4Z5o<(yhw218zrlco*kyv$NKf&ZXpe6ETQ+Gvr)jduw1Lr z$~kKb9OOxALGZJMElBZ-_Kp6R;QuR85a03~ez_wTw)1`HEle@{RUKiax*3f)KRy;3 zYWg&h;|v@HkxNq~TITr>897!fL(Zdjd1cuD=j)7L9KOw7Rv^L$S|^5X7hZzi ze0~Ya1P#U0+HIRw>{{hMMN|vZBGjt;=;NAnqex6e{guqxFz;J{1us7!6{n+#(5S}}mqys1YJpWxaLPI~GtnewSr_q+E186ySqdY0M)GKYm2xL?g) z3oxa|aIH@0l%LC@N&A>)UK$cPkS~N%_2)x|Og>m&&QU@UKW($T`%wNmU)(C7Dz2J0 z8FI@f^AP<<3zji)sHDMu3b_Z#=n2MP1!~nN+xBLi3+xN34=a@POu#^V)&iB|Pp)!q zt>wPJAfcJfnB3DWBD6v>8q*u%txt}{$MqYqOyoOPVs~M!)fOj@JTS;39w?GT48RhC z-$rz-#sj_UlpFk14xShIJW-9SS%F?MahHtlonjK~Q}i?v-V?!ae0(x$1-!yM{V#{}~0_NG_}EcS&5 z-Yq?8xmj-|@zH@c*ota6)zbT_R2#cq=~dN}!c~p#=k$CjQ#CWRM?og%SEL__Z3ecR zm(+qy!H9gP0^QiPECG|^1fc7*%KqmqY3pC~Ip*;pi<4KlzC;|&mLC47`eF=Y3J_xbF_a)}*Pf!@aTX5MwONN=E$ zr=N^l+e0Te>dr2r?K9IjNBO+T7ArIy`^BI-A+(> z%;z7WeJg07Yf#j~TM~?Q&IbaU+&%qv89WD4H%`IYE@)c)XF^lx{^G6zr&G<|p-K4mxrc|*lqI8zL%G#Ros;|sy$Na; zL#7dNt;eVEXsHr4_@l#%Ce+36eZwViCVW(r>qMNPlfl|S&Cip&Q<_t;V?ow7Wde47 zZy-vUISjV65*EUntWU0)zE!8N!Uw68Ze4uCqa;!Du!$X@E;`c?a!=#510OD+IQvIM zZv}~Pe~Vb}e<;)%{_+y|Zr%)g$>y3`;nqYw?L?JtW7IWcu#j3W4^&5 z97BCblj8$vqem&(7v@2r3Oknmo6QaD9csqR+x2Ye8Pna^)WHb9aD-|~AZ0b6KKlno z&&-~D=f^UsjuPmT?R?zEo-2qMg9i30`D&yIpcn z(j$5-*1E_pn_<6)&LM@b6o%Y;CM4tlObUbH@|;Q{!4Vhf3Py#6fiWcc>jA7*!{h|? zZ49>e?oMGNSUthZ`6@C@f%Bvyto}^n0qHG5CUHrM47Xl5Lh8kbZ<3a|A49Lpp>Urq z1L4t774Z)ZlmR3s{rtw)AR%5;>vNZAZ3G{f#(sKbMD|@Ye}?gSc8Dcrdnhzs_siEw z5!r*%Ov2g{bgVTsWs#c0bf#>gcn9NwQ6^j)-y#Nd4r8oVQd-(R8($O_{Tq;(Y0aon z_0voI^v3Lxk$eH780)EV7T^FF?i5 zm1KTasO7^e-%Vm4vg)Gq1%)k|M-9!3R!i9VGQBlz(dLEWuezSY`#utMDv0i^pPs=u z2O)M7MxzC8$nI#s_$^VIKfgGAN6wg#*I!YtR36gqdc?FK{T}ZDI_+EO`++2U2*u_q z`cC>u*exZ5HaWD|k{l-eIy-mid@*^bA2cM>MqjW|bDdo{0H!RBt1>HqOjt zDrPj22BM(l-+WY+IIO&Y&izo;HR)GRyg96(UD*}7mZ#C}SvO;|E85~`vqj8tP&c35 zBXS6spXr5Il0#Y3PQ$WoC!X-id5=Lh2j(H!wZb>eWm)<-u(|6`il2Ta?4i#){#Eq) zdGT8l=~^yXC~b@?*3`%qYmBvQ8EgOkk-T{$8$o3*xkmRD`)n>f;;ZRL5ch7PgVY|9 zB8W#u4+;kT+T=-{;hTzq;D!p9<_4+FUIwzYEU1EV?fp#IX**;jQ6wufSc@>7=T~lB zS|ON2-)pki4swaO+9OR5l(Kitjh}B!o}>ha&O4HcHpbc`-pR2h4{p8!@9Oxy1&8>e z$U&*XEj|Id{L<$4dSB|!(ELKb{n37YGJv%ab1?(WuPQaA2cR}{_)l5M7AssN&EbYW zrs7bUSU=vf^i_07N|zr)_9M2edr^`@?i0LSgaE$PzVC0l&9*3vc1fSWhOk|wn{w~7 zU|0Kap)ouI!94+aTutYjU^#a_Hl479dFYnD7RvDl%zenjOcC(fJN%Ee%<`7>uY?wq1AP8Zjmz^A2DaFjy zbG(@#2Gx$C8q|(MkJJey<&y<+GJY2fs0jw*irF^7n(RdZo}JT1sME3Hz{?puLl^=@k;W(J zYBKKZLzHZ9_SiszPX~0S)Ru1Z8pmlZb!!akx*^WB>l@X?2`IV&e}eCeu)lR9ux+3h za$GNonK(;se6(4Nh?5@mQlp2>N|CTB=#W{7gNV_UG@6nKYU}{ zNH5=i{8RsOWRYM!D9ul6>VeU+)MH+&P?$>X#JV(%XR`@v=ff}gobgw zZ<>rL$Vhbmi;;-f1v+J<81W?ScYlhtjJ35oilN0IEKCi8sC$}pLjj?-vM zHh)jgqYZq!b;Y9njEwl6Ragw7o<1CI!6vl}|HUETFG-*X*qSWn5w?%PxllCMoj*2a zSqpqV;6NX^HGF=G&B(x}M&8j9z#Xbfr&7pvyn~et48!O4%3GQyR%iXdsw9=Y8lW(t zTx43%GyWPIm<0R5RQFEZk}9h`WxbojCMO>n0t|h2$LMs{72MzY*EQln{1nQB-1U=6 z5v)MYw&lB#*|fv;j2!)ZTe%`y7fa<6X;olh|X*{VZ1SiComA~%pV9J z7)et|2Be!a!2Mw60PuabOaVgg`Qc~;Te~%gvwksGU=;3{B8e}2PkgA!SUqn_VbGBpsqasFN09p<;1leY?yfZ;S+^uqb=ZNOIW<7 zWKfIulVa=YL?Ds)MB*SzeL49@>FhhZ zU|VVM6Cq0%@}99!p&k1uwOZk2wJCz3(1oc#}zKS3g+y zq4=4X)?2S?t5L0QM2CK<7zhQ|(?d{2B6Gnx0a-ho^)_ra+E>*S2)3gG!Ej*6k3}&4 z@Ucs*uI}w@a@GYpE!OUMjb$`M`jJm1L(MrM=>cqE`&b|coS}sJPxA}9X0@_IasAqS z^=GW^e1i4z+x0x1(hj9iy6Tv+dn-~mI}Z0+#8Xe2v)#a#t;8*S+uLpF^~|=1_DgL- zhhy4=gm$ZV;*ygq^zN_nnSat5*3cs;0(G5$m9%;Yvs}0^d;D#D^x2PiOH3&|&Lkq- zM%aen;FeNv2Vv_hoEs|+3e?;Vl&bLY^T%mIV2O@DK?M-eN~5<3rjMydakR9FP|9g3 zBpcZ&sr|`=1Uk>84JgAge$fV^pAS9y04`RLU~kyr+>7Hn{qZobI|Gll%B7ll-&3VO z4=cR?1I(0Vu9}#dZ|Vrr6dzPwV{;{xlDA`NlzX{av+AswrP|u((7MDH+Ca6lus7(CIRBM1XC28+y3E^;Z^+ zX^EHj2yC(Tov9#~eofU^XtVVR6mIixewCCVjl6>qxw?_}7xR@)6Jk8}X(pHE)vi_2 z-ogd96Vm2mA_R*xrS18Z5MMs2+rqhYI@rdkE=!Taab6gyco^MlY($07pjJkuO$M9l zXERM9)}wQEa{o2v?@CToQ1ik&dvg2|7)Wj}7%h~H@Vr7!0?r8^aaw7Iy@}t zZALhk$l1`TIZ!ML*=Dyi-b!5j=koDA0+hT|v9d@DfQ!AKimI>02(Px1A4Y!3HrDKM zzB@OrYlzzL`xTfIq(kT3QD#9B4i)T#>)6Cq}@`ZD+0ni0D;|Wbzvih2dw%$PY zqQFXu8PR%QO9BQ3*N;w2xD4XVjTjRZ*PqRaNSHQnAO~EUU!2&^(GvQu zJ^lY9V4}QC(76Hx-a|_e$vDBY8r@2kz2WBd7lSmz4h%j2G)Q6+ulP`-EI6=!rrk5g zUi#KV`6qQWtN`_EEBj(ady+a^l*S=pQ& z{^c_x2>Kd6aAPT7K4m;cjMg+}ymS?d+X{`|i9iN63 z*-XVL*Wip2$5h91iCWJ;;;>TCFWa($1{s8-bXbTO^UAV@c3Rmw5YR{@d0W-cM>!w- zQGoIci#2?Fa!G(I0boVR8{sm_B%GlsDD|KS3+uTbaJ6LsZkUPbd;g{eM@y@y)98{< zN;r-olJni9_;{f^6hQdR;$7ES zB@)ht_j3Z&%CB~H_p^*wf&KElcrOvVE9dm)+);Kc63O`O@6eHhBxdt3As{-fCMM$U z9i#bLJJe>UB!sUe6V9KX7W<%P(-B@r`A1=`j?8Yug*d{zMyn8#AQ?CxY|v|lLESYQ6nT-(`iWi8!XyGmy}P-*-Y*JbNBr4fs~ zXD59wry@6t8Rb7$2~3BJd|g<7ZFE;Hi?_aW%q6N#=oLq=ER>$@rJy#PCOLd~Mq95w zKU9y`F5oK;_S4b(o3M9gJ;)>X&})dy7BL3z2L5EMzqAto0cNy9P`{O4rwVr7EtjcF ziWX##cv^!<3Mh}lH9xAK;&wYs9h-~5RWVo0=JS`Cr1aWYSzWJ|t-dcO`0I2_aYJKW zouaQD{*dH-I>^TYthfo1sAot7PP$cpXsb}PtCj_=%XTM-hw#{V@SG?HZ9v&cx)Rg5 z5Meg4sYGU+P0S!z!zP`(LaQZEGD4WB4@tPklf?m#V={vG0%FoArkH<$YbqsLICoL^ z)4M!@K9N0 zv^+6)tVvwimYHNGT~L9MrR}5Yu5y&l^6+`u)TVy?57(^tbUs;725V9Ew{K>Qe(dF~-F%*>>xST#lTRzEonF8|jGN3tbQ zbfKpXAb~7&9SOx83w(O%%PVi@t}={1vf*ak-kpAg{;60snpm);O#2hQ1L=umjkoe; zkPoxxcef+6x(^1&hWHy{V}zwrBz2ocH{#upKKX-uJ$80WvQT7G$R7w+hr**g9w43v z;B(VF0Furd2$QA1S8R77it>Q=tiMl9B^w(_nx!HOb$4sBsmO`WGaTj74qk2w2kWFk ztCu^Eil351EQZ!&xK-XnqY>NB0K`Ok##Zo&(Y2z;BcOR^bZV)c{W9=%WvR2Bk4x78&oLM*Y=q?t+{oX$&aaBV(tll2V_bb_FaWO^GsB z=xH}NP5{jH)|!Fr!XM`Lgb8O^q=r0h7hi65#o)SA;c`-5K>kif96h=)DUMMAKfHFB zK+?EzrNqs}CIbW!&zh=(#7``41C#JZ*D_VA&U*7?ae{7+JFGDri)9Q@XRs*^S=F^_Z$dniSdKTuR04#TrjrUHJfehoCZZouKi6F;{vr`GyoUBe2_ubvBe2wY90=HC zf)qoWk-M*RV!tWE1d-y^C;py$UA5cfDTT29b;73CbcQ1yd(Jqi#ChrC;zKjZdUa}q z*|8cUZ0CBzA?ja6fr-KjqIz(cO#p-Z8B8d<8$Njy{7-E@oRJ-ULqONK=>SV)&Q4Gs zOdT>3QYgjU`W*?R#nHk;;!CLBiT2}FMcj`e${ZC3ZI)|%f1}* zm2ka_1jT3aEbRd@-{ac~kI>2*O-#URUbl?l-Q-h_H(`DW~>iG1>j5PQB|l2HL#C zn2pY#mhy0L^V!Lhz-63HQwLaVcvdkuMM5ATARuO8Ffbq>ARsh2F)&L`(|#Yow|>J0 zC&)tg5jInnyMQbyux5mq7b?mruD}`E3-BO6JsR>XuU1O4e7-P zFh2h`1VT>DQ|kq@)wq4iiMhvk{lfP*hVV}zFpAr|xW9k>ZSNy>&V4}poyZana+C7V z3ldU3DepDPl9@?VQxJTlX6j+RIKtqrE#N^dEYKig$E|;MHLKmAz*%DcKz^soinvJn zBI%`%opm;J@X||qQWq6DJikRN4Y_(b1=@n+TuZzK!Sq&;%$C%VzeT0Q*7+x@uf(;X z`Lzw}5wMT}?p+JN#sjc_lcfp<58}5Qb!EZleb8FNBLqt0%A7XU-^Q@VED5#=r;5-6X|fvz_2W+3GO6c2Y2J`3q$S$!gOY2F3n6__#(JWPl~BZXi~U z<%QMbPdS}0h7~3XLHj+-*};WzSI2WrfUh}vi)4VxcN7kLdfCDz|Eq1Y+$S9J-+J8?b|QM+yqJuEKB30DtBvEz2GbLy>C=khq0%*T{2#*lDfY zJQv3&++@llK}8MpNqokf9_IOP6lMtW<~4w;`DgBK-Ai&oHE24ZoSk1Udh}U4$M4>G z|8r$@8dK>safXbr8&_$%hSU))({9l!8;Cz)(|}*5%xAO9UIKp>SEXYfWq!{wZ{TI3 z{1SJH*qn>z-i=kr>>ZR?7X?a_=589=!_|aJ5N87U05w3$zn`aYB9dl&v?DkYTGY!x zSiwUOzN*_|hNkqFGVX(xtnt4Fro0ByOsMSg_hXOYLw&gj=&0XMp&bKs7qzOlaWP;% zkFfJkzteXmdyGlGv}zW12iz5Md?5?N>?X++?%GbR%eay4sVVz99rZ(}+%w8+ z>=eblM;JIsY@^XIqb78Ex6X{)p9dpw@;IBZ01oy0780NHyMSrfd<*8ljYq~PM^MP+ zA1h2=qz1#N{z!-ivADS`@29VI`)~5qICl-aF{GA-=L0f*N1nR2IAzcxiXs@gcToZi z!rB=Uw!T&ilmkp$JMAlxjXO~LYA6h_+n#TBVkTRl@a%hs_$kQ?@wr&*44Sn@AGucS zgI`B;2f0@FyFX3sFTT`d&sU^(^!#^Mg|Occ!xz*x-tT|UT|e11ZT&owSx~$>&}?9Gp_-?*lKdux8@HKn4d8K*+y;&tLhuBBvElb-il?P}qh1&cPST^_E6t@pPIjYZ2j zdPO?m8X+NRPZu&&BaqprWnl{2fva~=sg%b_6Afs5C{>Oskcm0OGuL@&}nA-ZiW34>@b+RnI07dG9R(tzaXA zCy#@W-o(+lJPEn$>f_ZDX7q89QjX_*{T*CHG+Q zUcv<+6aLXb{YfShiTQfrP9N=0ADq;<6%l>}M6*Wgo8C6!lAv{3>7D_RT!Ht$NA>aY zI#!$(Dm|@adEdjy-vQxcs2ZMPnik?6g#TSVgTn8f88JPmqwhF@)*w(+&DBrM1DYfg zgdDkV*f6JLy3pi#7j?lcxozyW9DcyPx^a!W2~fM*Zd05}a0fmRb{=r&nSkuQOOP!c zSFe(MJu;-n(sy7}*mrrXBs*YM2gJa+Kw360xfVwJn;Bb*|5cxY zL>$xz68X^gL-V@6ig)aAXM?NYHy6;Hd&r>b#7rSP%nNARZlz%J*}m{AY3t7aohQMd z)xl<_DFM$HPfe8HORl~%;RCWZf~sr2pt@gr@9f|u^ulh=h@$^f_&>2LYxO;+@LGw- zu_VGU71V<30bu(| zR*{N40PPGRMV6^ggDPXmN#F4#)$gvT&oXTvQR|*)oj;V1;r7Rbq3J$vMB`Mvk_|jP zT3t3bgH8EA>aaxA<##r)@?U|J)fmlhn4msbmhg?gPC{l8`_E?)_%Ka^uVo$2V6t4k zWt}KH;=z*~+Duxm*L9L;7A~NXi=pf_ex3Q$B^s}e>$@B@G-uBYTr_N?Oz0$8OkH$q zWOmq@OZHdIffPnY2?A!u)5O`2jw}CuspbLJ4(l2Px&&)@J*~E%C5`N{)QF5=@%m=v zxqTC0BE%gdJ!*D7YTQ@1b{%eCR$uG5eH;F$b2`C&?&_kCMtm$89t-m#o=od%ycz4+ zL<=H1zoWtyfJUJ*AWA5Xi=!y|h+-yUsEw4czN)|vY=S3u@5z}L_JHG6E@4XT9i>Bs zGp|Z9s4Z>z>`c(N?t2ue&bLFMe)7`kx0%$nV#x(HC8LZq@8$so%-rgF)oT#TpmuEK z8!_c~&E5lXyC$p1FGZt<d|uUv*?6rRoBVjJvOb{`NjqC*Uh? zHhmkEtpR3!N@<#QuI%AO)9=ZtUps?4LFB1?Bpr zxjr2I-H;i8Vn$uujW%qyvcZhy_ld4|N8^Tz(zAA8f-JhGTY2$7C?UE1OElLEMP@m8LqP^}L7 z(l?urP%*+f45Uy>asrr)e|dlxo>WO?S@SmSK>|aqIgprqmm0d7k|WeUf%5zr&%>5+ zx<$k-NYh6o}B8j?0N4?l<{gn`GVVsS{^ zfsXX~Dh2lCP}lGYF6|0ghKup{PG`p+FmjXKV5MD>y+1GgSDbB>_AIx5DE@5KcEb10 zK_L+|7?Z}YYf69X#zr&G;AC{|w0faxk2_8m4m7^4^a?+mr7;fu6&^UJ^j#m`y1Ps} zTQjxRjgl6+&Q2u(rNAC9CTP~k{|G%6s~BWM6PYu)4%4g$eeJdTO_b)BwkstG-SQZ3 z7%*pRBLY89bBrS-asl$L7%{waaQ~0ZY?D1J1$!)g8l>k`pM_6SJy|}3(|;DEUW&dU zPXDEA!YXJVx&8WAi_IfmPFSc}WYdXgUn!g{PVtJj-JHjJ7aK03V}X?L1eEw9_zZ+9 zGz3-;@u>SGlu5TmC@=M;Lisri2RhWzssFFJg0T9%Fria2yBAHA0RS7Kg!gQ4hJ(vqT?UsGSb0V$&HNq*;R8=l73CNQ?{2GCk}1IO8iO2`MXjd-ByIBBeu zNy{U>f*eHmnPolBz>7fWiv_5Gj@+Mg;;v>`Z+5Ve%iF52)8Y)CF4M%UQ31@w(Sj$tF~OpApoM?%uDM3SnH9 z8QP^WSXZp#Yt!=qG`g0EN1QKwD);jrb?i%C>|&fBhT)ZWqX`@Db~)cJQPKG^FQtP`R}s>D8r`+@yf$RKa- zzU_*ZJ~ndOjeW+7fuJ*GUv9~MlL)b?d*E{CJ#Q$64YbQoOMSU8_AGMS1Vdb_FZKZ% zv>o1o7HeX3|0OreC1UPBk+;WnWgn&5^-0VD61FVj#BEdLQFqf27);-rm2}u~o|H%($-_p!3EhQx6wmYrK`|98szywK3CC$vcMDaIa=`s!j z@61XU9#m~zD*p~rbgeyqb+Fov6Rh`2S67VOcAz_ulY+0bhsTl3IURXD5|e(Oc!`m# z%G@gxwvFDLv@Pa;vDGyRX?GEY0yWim(HQ;W*ukD(DLu*ulzGJMBV}4V8Vr`65Vm20GL1L(+w;X@rsO9yGWK)|vtIST@}35p zG(sZe?|>q#kjR4EGfPU3zf^z=OrJO7vuJ^Wtg}U{e$7tqJxWE~z#>D#x*~ttB>4Sw zx?dRMaUB?9DgfxFtw|QUp}ot`PVema-9F>ogM0a?mP@5SmNy0x#*Z*^c4!B<3D7gj zV4$e(>|?MlKt8V-5)$^TW_F1#Zg?Xn~5t7&9C|nQiY-;T3M>21(8o#y3_h$ zz6ZRHA~y5{c|nsphzfF_^^cAk?U!3fVjfbO&5%=Og)Z$) zvrI+)qUH{_tr>1v#+2O~YB_YV1KTR5KBxG0@? zyULs7b>pnmJ?ggwwO0r>*kg2fG$Y8CR&4JbRtx$jHcL`58m_kg4*1Vk#;y+>b0?%|I`7G0&@FP zqcR|4*sjsKB^ow)>s$(r{>94pnwgAg1*(7)+Sv_6kb;BmBuJiHQ|mxbOKEc%)XcSR zr1mqHAZD1xl?iAXK{{Ow1jZJlx=LqDU_`tGQ7a`2<@^L&;3#G!x7U_Zy7(Cs!{% zh7?w*&QTH_yH4NXn%E`AE{1_D{PlG-A_Cs~zTQr}CGhKDk^a|X06pcpxo6tgzFQtU z+mtU?YI9(H&$W}cT!nD#2x$s%9qIUC6uVY|Qc-N%Y#sK1H(F`B2^^w*!_KmhM4+7CAmpnSAItfv55oXQVRnF%$t^gpKp?Cke2`o zK+w92HsoaXMWG${cyQ4(oV08(o4pFntVg;h03x%eO3aW9>!X!qbrH6LwtXHOtrp7{X9mx!+C#1oLkarx({v7?lY^v|{c|0kF#f9XP*d6A)Tj=n%JQ5Un z8|uvC3_5zNBCQy&H03uo(6s#|j%QDFx6@S^L8boVOK44H7?@mxmzZz$pZ;ox)DO|T=f@mc zDI<%UK>Xj=8D@1nnLob#ScaHu+77a$L$$Xo{WuZX$|a>x7o&+C0o}mtA7m9b)Wkr| zY>Q9LPPNyAa~a@R)X~xlAVyjk)>W#NilT$G=NN8w&OHc|zSg%VR7?o)fgUg3%o)%{ z@TU6xZu0h2Z^u_f2zeFx(!xi8+E-{NBZJ$-qd?@S5_aq~B#`kht|T?O@-+_U8V$nF zF@p3SXdl9Zl#fk&I>Xjr{5FWPTNd7GEFh-%l4(PnlzGW7Z2_6 znH5JA5gJ&!p&_FLpT#%v^54^F z=#pgHZ1;kOJ{y)E#dO;TmXXoS7&s84j+NI`^)aod;ua{R3)V;~+tr?~D%NKqTk{(z zQ|fQix=n^p?j3V;^UH~I zX$-w3b4+rB+P4=m0KVk+q)5rat$Bsxa@5duygZ+{(up|0$XypVM2$&@sIdaMJ<$$$ z`AY;|F=*$FjgQPTfbAf%mUc`~r=-Va1=a1i?JM&9Zu+bYTfZ9?{?j^fcZm+h#uxC= ztxQZYGa>y?^lb{XmmltnayOtVbJ6z*oyR_O=GS9lBUNOw%I5`{2{aiRdk zt5)<0McfSsQJZMj*U>gmY7Ak1MPd7RS$V5uM{k#4O&Ve}tDa^U)3$d-q%)W(#(iN| zmxnFiFPU7i$P-QYkjQ3`Au&mR`74DH&h2lE#0<==Y!U-fGw62`ck*qSbSzTj`JrpH zI_gZJTh-N1)8ceO`%R&j-*=Cl7NDklAKHVxIL_E}68RN7@`Nq^*8C**))2xM)Jdvy z6-UqZNPLfWMmcv@DRtbCJe|@a9GP^xyho68>5Z;)q!?iWi&ED_v9z`?D@#r~1_}UM zYzFGf`nLQvMY_p!@CEDhqPvgN4o1L-U$8jwpBKy|G9^joDZP(_B#c4bglQp%0@dYX zt~7;S4L$OzL*6I`$c=c3QPtRSC7^}AObUnpOM27x=Xvt6)V|OUi7df-sXDUW&Z+3mqeU8)O&{i74xO+G7_v&fpejpY-K zK#mh+h3c%YjwbztztUKp;mxZV*(T!_enYF^lPX<|eM}|0W5O}m-ybF5hbe!ZitR>q z;sM@{yq%Yb$K6HQ zEMNS;!;fN3PuC-Y49^2!ED&Z*530O5wk*WH`&sBOujRAi+d;Fw<6@!dneki|OZxQY zGmL5jz46VkXW{gqtWm4u?}XmXg7dYeZ(UvF5i8ze>?~e8usvy;%yh{xLc}|uE9=+N z*f&7!nBTpXP*$oqSSNTjc5B_V9kgkI__n<;bgtZ(Cx+bJ)QuewI+iZ`RYy{Rzz3lwQ_(MOGm_ zA$)G_Ujs4k_|L7}-}N$7%KY^ka*&Vz72+)WA%$$c zukT{aMDtX!{!}=GR^{YOv%3tGl)PmUCZ%~m4P#?|ZKK4a%XJq^@tEwDgOpT2x3Pv& zU1O^7c;dtI3K!ntpR41t+x_?x4?k-_Kt_0AGo|X|ha?FT_WH<{sH?}1e}v({{7wS1 z7*!?XfeX;RM=Nl_>}xEVz#0ZHY${E?QHOZI6cT_b*~WrnrI!DzOn0G@$Lc2sYwQ-d z1A|^a)gsaBsMNi=yX6kV#^|qrfrx_D*<6Lo9)$USPMl#Kq%+^Dkf(6R^uTczz-a@* zJdfr*fcnW^`r~^kK7%Lx(toKd`|$vQ$KFAio!+$WvvJh&L5g}wS&!q4i8)6EL$T{$ zIZn%rwE{qsjftU~ z$fJKqRAL|O3BH0qmi-^$Kdh75er zRcWo-dJA!PBMJ1ezDYL>tZ~Z&gh3=eClA28)qh&C60>{i1H@Ljoj@JpjDJWKzCxUzW;+_x_lG}HrQ}48PUkcxDMw+5<>*;d;3pJvZ zl$#qFOlN@-8grFtPxMDxFq2W$CtPP$WD@4p4q#iMR82Cf!gdzn(J;pT!fa`m&u7-9 zZJxTlJnQVBS7Ua)c43380>EorRF`upYc>1WM4>D3qtEFDkc?J4b-77{gdb%`mQQ=% z_p<*2^=^tlG{;zQI70^`ctZ3Cb^;p6JzIzPrlnya$7qf|o|X!WHAO9%FLL9o?Qqf2 zZS$j}ON8U_0nK*(mx4gPrU1%M1UA{eA&z2P=FhLyFUW5E&<|-lJzonf4>3sd%k1$Y zEx`}b7b|w^0a2rrV*+K`Rah$|!l^p*E~R!!R? zzCIV1S*S2c=OgE(ODpP?H>#ka(3 zxK18mA{k3`Ko|_p;YuUPjZ-=G+Bw8rz&R(rOX^i{^3djxDmGSgN}-*4)P9t#6=4ab z3n-WC#RnLDdnx=w1ptGJ@FK=Cb-L_KKy4z;X?`Xcvavcp~)8isM$zAbtKnPc8D*phz{8!$P<&ekALEbQ6?uhkb2k)8XT`gkv zJ>sr{lc2l{rtV}VCxw#PXmVc?(3Q*f3Ye{M_?d11usy&{MWV4Xg47`&g+do3GFnfx z^mj4MwHaUbwIZ&P?~|yJx}B+|n*cP}KVzq7=X`cjI_*Zn`mMdXy`-D~r<}$v1PRU}llGz@x}CG0A^KJ$L)?n(NEC|-ln&*wZMW*gyGA~dTOYa0~sapD#L zeYuFeEK_5t$020r51E{2s?7bTdQxDn)eUyoj^oFK2+GnP=p~rfjt)kQ1nb`iKu>d0~i+3PGgHF1vkfvNKQ!cy^|~@4Wlu z{9|!~*%gWYwEyZ97CNpldaO5+o>tY1232x;#vF5|BCuxv9W)@+28WZLuVJlCM3uN` zx(uOFWF6lSBC_AAO4O_?3pe_=*L;F^nDwTTW?74^M6{A-+5@r?5xmbHv^QnLc1skf zUIXDDOpgZyiChy~@P<&F{Cry92cvnAdQ8wet>G5euZ@IC)nf()D9W98jv&* z_H&mAH8+|#CidVE!M~8$9*6{*N4gyo`>*iinmWuXmQJt==1ySO9pK z5#8hY`lPG14{NAACwH^+o2WwETCSQ%DpI2{_E=c0q||UfI=u)C5H&OY01KVw&epCwG$S>wi;(sFe)Z2bF6cK9u7#WM_GVx%aHoFVF5j6z7 zNaKs@0{Eda1?NTS>2m`oe9uFMpysg+kdaE$3~Bt$Mol{Gy_rLqo^KJ&tL+e&-j~Dp zpj(Xz(^*VVhP&juAWxPmCJ3c1@Ie@)LJcHPaT2zD_tPd-bhV*OldT@|W zKg*vfFwY{Hg>7TZ$?~)buFyn*AN(taa%wWCfk1%dHMtDETu_=NKKu zT{^>%7oRg5{8gWp)z?CirT)M4DXLse*LKi3Zl7(q#XR=;oj&X6Qij}boTL)7l&*Rm z%dJ&45#C>Yf3$HQHVvUR%>N15n)n8Zd zF5Ns8(`%HWa8SFW;9M&_c!N)x)3#11VM4Dt0S#zmmA*+6bsw89qjI8bl}-VLj!05D}WaDno@3H=V`1e$;RF89}*zZ@XH}k6A z7KPV_!q+Ae6NnY~1feL7>zteazWFC!o{N-#Uua1*tG@N8iUk8pCEi za#et>wG{Q{_zz5HY75!bRL9aWiYiLrx=#i_Ww={2mUw-v3P6l>0IzXJz&IjxcW-hL zeUO^QLtkxWUt=Ukw(Fk)1~U#TI)ch`>Yr=(QXl=aM>zvd>+$ZY%_uRmN9b1$jHZFt z*h<^IZ1?dOEkZ#oA*&J^7~{=&3vU1Qu@%mn;;t(UjG>S9u3Ef5F&gIKf3LLyduJfB za>PEJKDckee=Ys0R{z75!n&+m^)y zjTnkk%l3#Hix}c*Va7>{=2hdZWmZ0bNfHf3>0pMBd zEd^YV54F+w-AK*H=GXI^ZoBf3rq!LG>-}2C$S&c|5D@$Z>R!u)uxJUFCY4<7w(^av zU+Y@dXx?Z=vtSqEV`x?#$m7pvng+U9f+U_ngZ*29im@gw9u>=^Vl7XtdL2uQ|-2XQbt1P`A~*;s`*;@I2~PtjoN& zl7d9)epTv@R)44h;mCD?MUk#&@nw3b$lHl4Deogi*Yn;mzK~ov0yLWrIxf|-^n%2j zw;=o?Qp)mzDgnCiBc}xMU|=Ie7BXRGkZ?Yd1N_&zB%id#Rzu zLt`j8dYKE@m4F@nTd2SPXYUcpP{BRY^R?-lcIH4hxoLvf&-?0^r=$7Wev&fgR86_m zcP40|ygH-fy6FD+z)5j}M%jEjfs9fk*L>|s*8+L4RsQxC<-O0ee0%#^hoY41g`~+T zaJlEUef@D93)szFZ|!`}Le7Q-15@0+0Uw+mpwReX9@USER9m}57OrL&k1@$%=Z7$Zitk8rS_dRp=&I6|{Vz2T$m&tJB zrV!2mmaV--MH%dmV08u|>fv_$zApN4XHL1j{-;aKZv|sw)wNCv+N~}S;$zTUWA1-@ zR*fip;1`PX>H2XN1wqo;o)Pgi ztzx!WWB07m;XExIDRbq7>i{&Fb0-X9v#yfKu`L^J0$I_nbGOn)=TZ?0Wym4y{l}E%<0ahPJF{M^swNrXl@bnpCto-Cp#mJ$W(H9 zeJ)`$bS&0M!zt*GQ$%qyTE6v-K8nrL%TMzU;=ASgLcyLxUZNBRto2-O7) ze!mdt<_3(|;+9}5d59F)(d5_OZ0uab6vbJI%HqzH%qd?kB$gSpSPwKo3Lo5Y#5M@2 z!7O(cs7{ItMRJoe*G*zngov%$8|c2d}KV?&)PUo>Ap0DU=Ue))o9-Fmd2=dHBhzLlyj){$Ww% za|5)4CTH64VEK<+I8C-g#h~5eTy=AF`pw;ouuHZ;34ZGfF7Gohy79vdYv8|!HY4hc zufno%dUC2nRO}W9E&;_P3gps9VaXd7Zcb|_3kq*xpa2P5;4k?8G~4uwvshX>J_QEY z`_ByO`(&Wgr&Fdti<~H1uAcbfiy&A-sF{2`L8Lt9#zBops%8XlL!D-74>m@-(JFON}p?xSPT@$AlX?oEZ=AA*@O+lGNVr%WPbC(9e` z5Nm+M401ijLK*R-DUoT6#2f3uR>J5cwq~*;vmx0&#C_YO{|93I~+jlvDik`2U)Vm6sA|J@+(`x;EP|bgXNS9nY@RcR?eP zmAHsJx#}LB2rZS7UdRQvp-`ej3LZFhU`WmexE0HtN#&ts0dZkynT}FbsxL|Wdr|5` za)KNUka@IimMumnfyJto`q0caLdU%GuDV+KppOrHW=+Yehwh19=4Nh!o(@!GL&eRM z!tpSv5LaAm?cN5ng6FT8bU2j2P&rmn%Q%z#MIaiLjytHVv5*&;CIiqKioLq3rL}5r z=O3gI_@I^0T9G|3Vqlsfn-ka4?VH6uVeRn}&$}Vj-E7S}e%R*Bp{7Ta3yyHj z(*nbu(vk|}c|1^{Mw>L%ytM5n$qC|KZTiAwyD&TSzg{@UMY38}1@$kKP@Pi`t)bzJ z1!cU99>u&yNQ}V~DV@t6Osvy1NBv&p;Z>V=l%U_) zgR;5DxG>av0KFRznM(cf=*eg^U#ktc#lN4iD(l?l+Gq}$VcC59Xkq@?NbF`!bvHLI(KXz?*U${(RwLY|Es?tn zFNi?4v(R{`4Xujg_JS-;bsV)qg9!n?46icpU;^Te;X%p1PE@1!_ispXdBnO|JK&&q zcAvi0dgBW|D&%YfC{u_Fg1-t8cdy}VYR zN_Chsncn?GQ{ZmiE1+co{ckdHJy}>zP)gvbb_3h(Z)bhT?|0OJyxI?ni@6uCbhGcW zjMy1e#|+W^!lZIKXWEF?cb+X@wT=p?LcK=H=otJa$37(;+89_!=uH6E#7zbTjEf27 z&EOf?=h50<4oxjf0Er#k{Tr~oTW1S$h%XjPUZODl><-m6_6<{)r+gNzVkgtpR2oa~ zQ|JNawq>JabK)a+kBJu`XyjWbnhloHGGrOYTX{q4f%bDZdEwP3buPY#6{-*KZrGX{ z)CT+f#~^R6MRmcV1`j_7)y$*Xl&l#8I0eL&k^<-S2I3=3PZ})5y(M2TTw@Ky=#m$= zUnp%3NrNf^C*+bbNnccwTZI2~GAk6mrulHm5AGW*z*>i*P_u&u7#V|0^`{KB%%9y- z*M*8D3PpP759=P}w4#gL=u*+m+v6>Z9RI4v6`J{iBb-$ac$N9!A>WXIZ%;YbUa#*B zeE*c0maX>X4V=3K(k>gZsrf3pI`o;VA5~5k0*hM60Vrw8#jkFZbY{Dp$)T5H2qW-? zPZtFYQu0VkBRfNaLD7DG)KmwUboA@=GlVSOj29v4bJVx$KUP;55F(IdNRk7E@OIEw z&)z!cxmD0G7_e?@;$igFgm-VO#hd!Lj2)ZVqT2MIq>v?lD1PLtB0Q>Rx@`G=v_g-F z7&&21d>b(A+;B%{A_JC#n|&E~ z0?a7@i@aoGFYp8@xlTQFNF~~xq9NSf-Ek!d*-N4ZcCk07(nc`FX1 z3}`2qp+|(AbZ%SEpn$X?R?8oV1rn;hKb~kkB>KH{hXQIG-({uc74`A*NJa-g6`}45 z1K80qy6))Dg?SJTbRqZ*X$p|qq{3c9`yoXiHkJT<8GK71u~W)^g2TE%0%jsTydM9n ze=suIBOtArD9=Pj-XIf8}F3%V_l>$Ss*X59Pt8Wly$`yqR0TjeWsoN19wA zB$6O}+{?v6`@qtF$C)Yt~&g%l-#4!D;7vzvy6Enu`do z^12ul83%Q-dRV|9g`1pnOlk9km^wft=hR$)IB{$Ol}(5qFJI+m$l+R?cH11dK0TLd zv&>LVMx|AkxNgxSp6mhlO+^Tgdr#6wfHk{=WN7?{I||9sAG0$n1QOB6`J2x@@SO;n z->4V1<8F7dBcfC(6QL~SvhxGwK%RA3Gs$*nhsUZ2NkmpoYfsk%nU@KA$-Mf^YSJo} z-^q2kVPAYRhHLf%7pS%KKlGC<)8kKo~DMU)KO7o$Yye100rr2TmyuF=k0KG zi%M)u+|*Qiqb&&5^qq*i?PYe{*g7Pt_ooTm)-gS{@hQ?J!x_Y(VKS0e-D75&Pd0pJ1FgvYiR&vKfVpWIcs z&=Ifv__JLh_T~BETeO=>;cH%x&lHH+H?}TYquYxrxgbP5`9ioLay%EIRf()vrIU}J z%}Zi6qTsb!m@{}-dg_7;o z^~MBkm1YnFn_L6+eNSWH)B~rb4co@=yNO=Z$pK2(H)5#E%oJDPWt4gq&>DcDEa%)y z)G4UO!BgYbZP#_L2F45{dkGWHdp~-qI~@>H?ZCh4g`$#G?LfZzLysh)6_l|>$)Pea zUggt9dOG?;IUoM$-IR6ZjH(5dG}Gru1U;Sej1;BG+-1YF&ZG9SzQ+&%6F}_0=3EeO zy_4MjK!SOJhT_-QoI2cCCLvTLy>-c=eB>Q1C=J%$9mv($H#4Hbx+N0Rl# z>}lh=e-c5Yice8)d&%Rdz+Sy_hE_(N4IcL?Zo2JU!OP}Zht!m`Me`69;U4%~{5nH& zcH?!q4jE~{s>MCphx8h3O*7o6V=q=F>ihSjFv%CXxJSGv_INpGc{}L(a_IYK> zC4x-@(I6~iQc6{IUlu|S607R2El@=AUGqqH&aZd8*UHm{cmsg&*lEuHz8B!bWIw*#+wj zdqnboz&YCDWIiKvB4DEpdAm>S$A$yH;jvlP;TJzIOlc&olSW_7v*;KcP>Q(o6ADWx zi~H`qQHT_D9&WO(U3!aVdZGqj>Z0=pCu_a-ye>LnLpDM-9RIlq^!KQlL0z zB@(Pf>xj_)aId$k$?^dUtr%(j!uO{AR~xci83a4t7foD2cdkF|o=LJXAUS8?BptGn zUm#y1;7OsOq5tg&3X?Ei3Yc{UGeHw`kMLZ=N25-bd{{1Ro%E+vw^`|2Lq+ePL7X%U zn75d#mV^nn2NVRN_~tPiQh~G)ho3lA9NL(ZQxezS5-?i0xQH5bY%eb6a$H`2E?VZRWC&gsb_kBJd?q;WtfOzftf9)R``ZPF6(r z%a_kPuOASC0ABMjzq+Tj9vr;exXNwn5j`RmbSu|bf(j-s=6Sbz;$DO*&12{Q@&+Xr z)D|BeIj_VmS`YoCF)(}WCjVS4n_TrtKf*t?EmnfGBN;4U+?~+sU&Ct*XrKhWBT67g z@uTz4YCo$9I1dq}L_mzcEl5R-b(LV*-EO_--9ZnQRvG{JeXn1wjA6qdoB| z%v37K07?Qvlh86WP95*+*(F~)+4_tNr%Jg8G$Q`jZZ@}&bJPx&jwFUjz#rGhr6jjY z7O6+6f2+F_gJuU#>g8&1-DjDMw&(Y7xr^Na(#cRK@^d%Z4iiYbX>qD>#*UaJH<6JMDnc zi@*hQw~%d=vZX}Ume<_}^xH@?cMZmy{vY1;b$b|7wB;G=j&c?YT78xd=1)dbMv%pk zb55{>Mhp)dX$zPZv_}KRc)m~o>Y}!3sB=DUkfMmRhvDtK5(DH?-hX2V@Rfe@r>EA{ z>CCiu+X}dSga9c88l^M7lv%ZWs=V(+eLJ8zxHh_p)Lr(gnNlUl&aPQ4fmzudkNrd| ztB2^5;?`&~gN`BT&=6H6X3bS~8EK++P#bvh?+TI6izR!TDr6-t6p@N2zd%{CUqP(~ zVqTx@GaG%yNB}RHzRWGK4!~MiScP z0ynp2AQlylgP7;cYeg7a{8-Au>ZSEJ9{@-BT@0zK#-=RITImGQ^6{^>QlIr2EQDIf%bVfvdwQ+ zT>4e{k?~xdSHH)HoW8VCDTF0uo!6OejWQTOdH=Ggm^8leCHWvELOZElbj8~nHDAAA z=%l)o`V-VM%%}S~LCf3_IO%VO;}fK2Ozhv#@QQ@YljPJ-aeQTG@PjXV?4P?@TTOmM z;Jh+udegp!V+-)p{TE~NlWK(oIw`#-aQ zJg`VKd}RaQ7M#L>|3RKwWg7jR#27b1O2PF(BOC{oPXm%G_lzS&2#MeUHUwU3>`%5P zlWWr^{qaRZAe7#!SPWPEju4$hMY`n95QBfkRF%o;9H-lAl*(Z?jE;8ZU6vcqShW;O zOeu?`n{6)0GH01+sDD=y!>^_PSn70{HzR6cLsTrlRBI{N5ny=qVqS`icnwkVCaRg11l|g?#-6|{Q2TdF31qdu z*}Xb6cgK}HZ({BWS`1}J?~3j(G#ExrSwkwchyP7QYx2XXf~9E6X&>xWuMN`l9Dd|P zb?*xMH*WN$WwkEViD!6%6r(p*r3+-eZ4SNN24Jl;%t(qNv^kaT+R2$rJapy234^?;e zPxh@{rr^FuM`3XyBuz_?PNG#_K+Pcsv@YRYhN81M(yN z>3&MZZeejZ5ZyI1WCSmo@|{OHSFw3`7cC4^v_J5bsC~)nw$_V^PJL$4uwA|7Wna_L zXagT>t47zT8c#)d7xn08Y}FyCEJoVaf|ag|GlhB#>7D{Nj(-?-V_LTkwVMnRyQS+h z>-W76r>F3AXeX&Dx)d@)f=leoGw}hc+pP{gV(<7>qlL1>h3Mp%vHHSj5dL}qM05{H zN>hs^XDbE6kbDDXuQjKRA-M~D-#X6PXvmOb<%-!WK7bDQZ?P(fsjfwiWLRu?Rxvn5 zLLeX@AZB4OFd!fxAT%~&FxRmhBy3hZ@RlV1e#K&}|NIWe?IM z37YFdBb-G(D#QciAT{3x$)L_!MvDAz%K?>kpRH!!RdmBtpj9Q181qH2t(NKC@jW^! z(OeQS2M;t09Czwef2R`9b4BWIzn0ZmhFxV2{L|3z<5Ybh2N^_g%1~5P72sp>ES=#A zB*bM4dzS33#39LuA=UYGgBOoq3j}mYB2|4R>eeW++IjN{rQEniH#goIk?8XQxtGK* zC}Ef<)nUZxBLM>QftlDT`^4GfBrb{=2I%vbWQh^>?HDgQC=jQsYy;EH0G*&D0sA3? ziNd{nozxnQTFwL(DjweP?j?%>A-6qxb)3_>d;iB;$fOv;aeUvlsOr&6^M`|&U_&YKQh<;nDV0V9d&j+L|uL#$U2$r%A=MvGyicL?#uSV#Ngt3t zh)z(_!fVx0FqUh9&X6TMux=>mml=f=c|Z}xxl|{vpbX3ptz`*d@Kr_w@G)bdxgR9n zmOdN?{#nL0v{KxpvpHO63cChXa4)U;05xkWa0;n`}OIvhF6eBft|4M=(&0oejqoso+`3ZE5`6iWKzSaYWON6{E~=^0C`` zDXYnr?q-AnCvLHW`Y`6ml|3cUYWi$v#KOvO7(%}thckFdUQwG5Ng%{chcOJ}1^f7T zM_L~Cny{SG*6UmhDcoh33PC`Nz_4VU+?9Sv!ikFBIy?w6Qgi4~+P!caxaNl;f|AEC ztK~*hSX1q2Q>0me-2hhCAOf(VFiyZ zS!y@zue~4j|0n(|F(WY^mGARkq~2^HRWg$OQzMf^P{v}$35{hW2!9eTlBo}5N5ePG z!3Y^+vHQ3%8CD~wvRTs~7&=QOJA5|qd?0nHUNDquPTO~W)zoeo0v5=laD#!JBhPGs zWB{>KB>P4~DYLh+4ot4RNFHcfsnT?19QL?B0fBE!#=`;)$)Kw-)w&x`446j$pPv2z;oFuEb9KToZYx3OMcl0fhJEX4sGI0QKyRB3_Dg&~rKeMSvWEJ-a5z!9AOKY$dh~7>JJi5VnUK+M4p8x+bzS*m&q8}; z=!i+zTOKAo4YGBc-8y_lzxfmAU||&`$QtC??bh;=9UxjOa!k%*r;~-NQJbrwBDGTx zXo_WL%D3vD#N4OH$=>yz z;PeEBjF#@6m&on#+Om>&pu&bvifYz0HtAcV;!0RIM*HlI<3=B)^+pd}8Cv%F0J%Uj|5IdOJQ72}$JHhU`f&D94U*);gQ@pvvux}#h6N{%$OeT?RF zI)dpG!=CljxZhRslhBo>8G+PPr}+JYt#%P&ZJPVcq=mHNNXOcEh!Ebvun8YFb*?-` zKz>#WiVl|zda)v87?LvaUFPeK!A)$ZRsNzXX4B>hpzJ)}@o?Kgam>vsNqP*76O%mm zsK=J5=P$kpuCVG3X`YCS1Qn*%d))x>kfVXvYcKOBr)F-CwIWonCDBolrqEIe$8S|f zeXV41YT8mJB(*8hYv|s`1i2 z01f?CjEI%YtCu|>OUM+M)&;TYkgtHJlA8iJ7F_TKmeo#{(mJY#yqi}?uS<`)^Rd&I z&MHcQ6^GMycZ^&6w_^#un?yAKT?~HqT4VeKADMRJ3VdP1Pux@Ajqx`7tTQs^hDEAl zQe~)8nCdIwg(J%N82xd}YV@I(`U0Fx2~E-?#s^*H{?06ZCCD8EgMPaVNIO!S-F~MD zJXH?s8xy4bm!gp*7yEAS_I}oS1UUPd;*pHgoe(;Mio}lt+mM7($zAxOj*Bmp{-{Cn zuoZ1k{7f5;tk#&6ZS6R1|CWvo>k>+PR3HC|IDYrD-5y??!mVUR2(0gSa!m?1>DYK$ zI8>3}m~RaRFe}#YSC~ zy=A2D-C6{K<2z@u&p{~&_~#Zvpct%xT2WGIAWhP)UZN>IAk@~e{HiJ*x6`?S#q>$*>Yi6~7LaY*q^5M5#s3 zXytM)8wp-O4a7~DxzNg3gudT6O3Y%X3=rIsq#!p7my}aSZReSrKN3RQ{bAZj&NqjJ zEoX|AK#^hf*zRACY-eMy5OWIhvP>EyxmqWixoCsD9;-S?qX}2{9+>zLCEUPE@k!57 zHgpFWYN?&|spIcO;=os|V_2F~ZT^{5Iaj}Q^D4}Tk)ypykCJ1s{03M*tD|xBV}NsV z+A8&Yq{+hDr5Hz?#C|5zr*WZ)t`(1Ssv*Nelec7%gD-+TL+Vn+n5Hw3-1>+FMGWcZ z*li{zIo4#c!^yK2SoZiJ8&spjRw#NsBgd0S=I{ixjL_zI<<;5+5Q<*uKNk+z2Y>vA zC?dWA8)MjgJg)VaKtp+2Ss^2E2UQX?BiOSI1-J`5snx(M1^ zQ3A_EH>ohU$~bZ1`e*Bpb|UNQ2vA9?hJFv%;43S4rl~|cwy@Zcz&0?RO5nX7lppS; zK`UrU%C&9FYEU_DjPMg^wm;(7kDG74w-D5UKV=Au_Iob5wtV-XG=rAA820^H?{uZm z#{T_c)+*4I@?vH#ygHKWbE>+lTk&1uGZ&v=;&G}@R;6Ubjn1Plt$X&Rh?yQ{Bq}2! zQr)3Gai(nBfZOAQZh8o#(Co+`LIIUG3p|)Ao7t_Ioib)`U~?v;lU(zJdh9=o&~EpC zNfEGiogzEC@Nuv&g zN!Dp=-!9AgRQA?!oLxc<$NC_&Up0RRlt8DTR9xccC5OaX-dcHw7>MJwu=J#y`O0KI z`fx9rH9yN9w;_#Lz5ZbvTzr21M-S3GjWex^7CE6%^VHLAWjkbfu;MLj`{c}Bx!wU7 zre0VBq|+tFZS@{H@O-`)MEh|}wfNaV_ipTB#2fP=vwo954DTAZBmBD0=P7~-{^+Tl zzcVj2LgTnUSWFT=jQ4Q^}rvZ~Xq%B7*?1FVNckk939Hx$3vqv&}-p6KFl_vb3Oo+vR1Ec&RruT?NfxA%@R@D^_r-+*CLl z%gB6vWP)ZaIl1m=P*Mpz_|x@KJk9$yHJ#Ewyi+dv=Rknw$s8z)PVkSpF;Ge7>6(Ly zpI|sGFe_v;V2l`!mCLkUp$vV2y~sO_D}Jb>1EqmEEgqA9-I#uW$_VTL?p0gtpn7?crhB{j2~bHgH9GLL_G!Kmb(WQ)CP%?fF`D6mL~loIi#zJ= z0YtX712kw=psSd!5b1b_sl>8>3`fn?#1L4iUHbUP`H|l|< z4}qK35|@(9O-%iv8;K1%`&THvucN0qyRF+)gm@?gs3Z zkxQFXmmbFl9oM~^_c_r9{yaX03dI7(q98g)QquT6lS7rLH4_YGFa1A*hqb}bmvzsX03LrpyPBic|~}gHGTuf zE@}kW^w`KLuVOr)RS&@!V&2(?kmW}xXcT)Tz~KhDXFdoMY@UM9;o3*nVVafoA^B*m+XY& zy*FBnd}{cDz!rLa9^7fA#+f?^CBc&OBIA@90tZe z)XU~hJcF4be@ia!WFX{Mz9z#t52^*F^)0v)`ppa%>f&l;Wf8Nt;V!VZN4|S%Mee8*Gx5I z$8iO>hVy@febusLjZd65{0O#cK9(Z%oeOd(RSm@U z^ftMrlF`VrmPa9kU={nN!oK%RjgvfSAbg)@XO*EZ-h(JTp|vdCpJm z%}${MAA*GcJJWiDos}~}nnnbv*?>1ufasTeS)y=ge}Mp%pKWyTQbRJ_5H^pO`cav} z13Z#F=6pzxA8_E@^u=4qZ#UNat^A^TM%HL2z(Pz24kHPrPM0|FfxAY@zQ~g2i_Lr6 zOv@8K%Zm`{pXw|M%yWcDkAN}1K>tFXi&vTtXEY&bBQ^~pa9|ow5 zgwVOwrn*#pstn_Kg7T;JKyFFU9r6ONoTKvJuaMVB3~1syxpfFj zq3ekEMFl1SuRqm=>3&Ymja}i*#agwJ$s55)X-0n10QL_ln;}IkUUf-v=P0reI|z5} zm!mpw2K#g&taXzyS0L<0{vh6!cy8BMQ3?5}@Aan){-R|YFeUg#>I7CV?mseyZ4ksg_ydM`i~(0Nxr@llcH z2+t?`)KEa>>d2c6A1`xN`1E*vmha%2;huWTMPEte!q}%p^Cl`R-<%L>pCxp@LdGzc>)@@!cB2?YH4c}DVM|F+gjW7aN#x+*e2J%4xOVHeI`W%h;Wu0Ij^lH`sa8zWfvOx~}h-0|e(a5rU%0v`E} zv#4R-%nBcSto^Kw>iQo)NjDt-jk?q+wn>BgG1)DBLjY;93n{d@)D3Q=jGhU5fs-^n zR=;2&xsjbK^IkaiXAn(k_=C$zYHXM7252xtg5_Jy`R+re-D^DYp?lAOd!B;r(}Fyp zR!4fcIQ&x3D-|={=ri6#R{>%6mt>TDlVSouW+hg6K+b%}y0F+@2oqVZ9Iwi4QYfH2 zOlXB6XkD$)Cu)9f4CJU6aga_J}MvNfjvr- zBfbpA0c{cYuriGB>#;>R@O%!(e4kY2ko?R$Fsaual`5irr3lz8jz)6!igm$mJJd;+2eN|EYS&wpj}F@dg9(EchF+8OZEwC{-Qfxs4OG zW>8oNIv)H@58StK%a&asD#`?DlEwMs_;JKx!z!$srA$zAw4lQ?Dy=<- z{_<+;=L2t!%34VPv-|iGXWl{5+42z@2k=D!X{40B1A} z4=b5JLDy7WndiCOf=x`$pYgRz7}5NVW3oorA-Y7x5L(9em3Dnoqt(3dWurl@%~(N$LYegE;WTM5(^NV%N z(fY%6$^$6olx&6*_NVy##)!Bw-}2}Dp?)j(qB-5;H5I<%si{xUb0}q$3Z0%K&XyEUCV@PmR!eN^+Sx~NgsEXUE$eC29j~O2?{Z8hnaS(3f_0o}K zJDr{}hgN-|gRj7`S=0 z9-JYouz9O_YM;Av$y)~obxRLc8|w`6fx$pbM*`S8{+*UH+SKIh^9U?{;i<<&2DY1| z>zt1}++M&@|EMC&0$L)n$QChq#-@C)&LdeQ zYB3IuMfXcrq^S~M?Yi|DlESfo&mxjn^K0V)A45ZeoHE?P&NWlYQ3K*KxH|Da0O`#d zEnQ$lU^q=cCV430a6p~vN(WuvJULH@KHWIW4nI`Ek}sc)`5L7N(H>ICNxu&S)77$V z=lpADF5WSIbO{!!fL2g6{LC9tWT5)Q8wTY-FTIf0c&q#*wp+utoLvm#ap35`qfjJL_mC3IukOFDa7Xz> zGJzc53=>F4u$PSru*ps4k&2@s3pQ1xi+m4dCas2MnX1=0Km(z zJwfLj=jEp7|B|>Q_hjhg^l7he?Ji*U2?E=Rh^e*!haq%PDe2B3R&r`=@a)-CHz+ua z0@btXp^PPob0AukNg}4`^kvcFo?xZNFlrxo)6NGBEm!#pa~w+YxAPY@ktqY&c9DCg z6D{k(bcqY<(eT8kf$dzRn3#4jnCDEX{)yq?h9C6lq!(ZSO4+6&6be+{Y7@+3X%ma z+6OEwv}7OItISL(2a<$u?_UIH^MhO&wDw5F)-B6>>QkTqYN`zk2zDSTcb!F%<%W>U zp@7Y^7}SR7($>+RqcRaG#MK8pfzU5T>6ZFI;;RY9$(+6%?3CAtxyqr^e6C;U5&Ixl z*Y%7|v zrBO~ydB6l9lLs)6<-6_{*0$%e;qS}>N-0i|0{P&uHi&S)-kmNB)K}&@{K?q-c!j*v*~DfN{FW&zf2O5fNSH*N6X)N7ItxP&x3$L)**%~&2>bB7+4$7vS>T9TfP|k z*$IC!#|FNzc<~gv%8?RjpwaKQkD)dq5P&qW&kqLw_fLP!jNRKKa*_E+rzw0SU-OPU zBH++Ii8>$0b)xti+u_W-mvEDj<_%>_Y8RN=dr0ED8c(ww(12#N__5`UkQ@?Cd~ zDY0|-v_y8c!OYOUN~>Yq!Jy2Ox2CphhNW=k#65wms}B4d30MeIRBJ(mtyTsD z&@CT5&Yt~xh(9u@74ec5V7|zd!Q&`EUAEGSsu{~-gB;xor!m{7!&cR32eiBJV6iKE z?~$G?7$31F&Imm#@dl;9GZ!dY{&Sa{O^4VIB;%BgG}RL>bWd0GGBlmJD5iV9cQE`G z90soN6$2trw||c-vcE!bEP}1Dw(Rd`Khy=bUwN@Di}!+Co|4kO8gnpOyPlzwxwrNT zC}jG=ySPF2Z%Jb*GPkNUj21L_c0+0Id0~-3ra3#Z0XS2Z?^IBJ{b2<UP5*qUX>IOw1S#cs!B_hi%BZ7 z6Gd{g^BF9cY$xrRvyocvN(Whb_D=m`ZPROT>}hzStu%$Z3P-T1R@8-E%+1_FczEo` z(;0;8CikHq_FzhYd;6+|f=j>GWECQ^6IT1#YMx`Aa$^SL)7eFWURlW*${p#!I}NQb ze&BhoR@L-%Uh6*X6%C?eiTYvt&5e?z*jB?gUdObuazbEq^g+1Q-dYQ@Bh<{h`G(W% z96YLHDGBDu)Z+&uGkLEv7}+Jo_i-o|TV52i=wIEWXVIBug%w#kP^AWrsx$@-{}oz7 zY65~5Gmbyx(RW$nn&jDCALm7)?+9&x%f9c(>4|MQWI1oi=aR;(ZBiH=vKN9}QI!<> zw^qCTD`Bm-d`De@Yh&@{6wkjjY)9Vfe$n=yhF{jY^B|AF^8(}UGhf7Ph)b66vKtBy zQ&(rwunBB;Zr7FO@=>a=()_Cw^jMlPaehgy32`yYR6?k%0f?4_@nI*TX6(tSNpGtR zA~fAD2^GukJ(Rp$pRes5jFkX6m_ElT0=8s1%a<}DaBb9fkZG19k&YuPE@d&gfaN1mc z*LBO8oo{*x&S)4Q*mu_&gFbml-^}Dj=;Rf(!fC1vOG$;+nDCUliL@MOm=wzk!157? z(a6l8Tyj^;x&KG!E)W++5sjC4B839W&}hew-N=-d=gOEKO7o+_X9_IHXR8sZN(oMe5svU3;qdCJmwQwDdMERq4jD7fky)D<9Oq*C zbd0t)^@sJJJ4d(JXPSc=xJ3D4m?{0##i8xIv$He3+MLFJ8O#g>PyF&_Ud*PzH{3e>Z-5GX_n66wU~ORo zd=Kj1H|9`!M0K%?Qr@wnp5$6+TQCOo4bkDwf|o)S3YwzLiKdyMcEbzc?x|6Yk|< zyi3+UNb)-?OO^^iH3&Hm&{B2wEvcXmJ=r6)wn^24w@O!$O%!71HR!f9bWpWPx{z;{pjGgn*1S-`I2e24z2n6=GBXPH=>-w^`!0^p-fLDDh71%L#{ejAUqZy_p&~Vg3 zch(GKo*=kNn+H@90i);t)bpLKL8R@wUw5o7iF^o?D|;sQl4LdywUs#gC2!FoWv*73 zdHHAxGiDUPG(EYjx!D5;>0Z8k{xY-<);k;6Xo#m2a;5yIDo{Y%Dz0!PABgxc8T(tK zlN-u#`cv>=U!9swC9i>eck*eQ_Bi5ApdXPD9iJv#>^(Ds0`t()to7bG{PY5O>7-@) zWbDlB*d8XQ4t=?U)hUTS5mM8_1sKTXK2BqJHb-T$b3sUW!?pqMvMn$Cv{Q-CsDS2c{uBp=GxJ=;D>Y(%x#mFo<#)#v{}pm2%Z1;VN&1^k!LoRwY|_w#q(V z?BL&^<64g}mxO%TH;$MXxA$i-NicM3E|qP&AGYEY#ern znF!XKwJSdVnJDU*aEI~E!>UdwQFL+2)rb+d*;4l~M$qKtQIxg-XU$d%L^_?)sEc8- z7Z^lc7=pac1XI!@W@Hj7V-S1Rk!W^XJeC{`WC?$F1Y0y+3_d2&lDSBpB~%^(Ue|!N zCKojMSY|Wj4{z+DaT9$Xnb7wj>@)+RkQrU_f$fs3m~5#;r?g16n^c3FV~)3FImA%e zLyCKo%%^~Dz_fa9SbIPpX}*;@(e!Mq6{g4|@YvKyl$+1k`UI6GAwI4bo+_`R z5@8Z=Diidw zeGMH~-<}aHa~jsAgltNIh!YKd1d*bJNF+Pl5EYk9!vbX2vlq*@&EOVlV{Y~V$SK5J z3FyM|c~fqD&3ptIj)7ruxa_^jGNQ6DFv;Il1$Wz7ss{(+kLYQzDf)o1>u6jul}Rv# z#vk%?C5%v>J;8)x747;{_UvVI%M6e(@SQ#eeu-hn%@z9GPw0zX(r9tJ40}c2jppc3 zPbPO*QoywCjfy=)}9X3(pXd~n?V~OEw zgD@Jw_nk~J{FtLy7HMpB@qaCdZ`aCDuvt1l69qb%X-e-pU;NYQlv`g@@?1s+iFMph zMkoylr9M12B49JNtk#Id17N#X8LQa$j(-eGu0PaP%+PFzxfRG&6J^`TIt31($R+H` zFO||ffvP9|K(bev=>A_E*^nonpr-Y>%v}xq?5o`j9jo*1_tv)#)vy1p<~Pa3G^nSr z;Jj|qyXn&3nnHgyq8|t6_P2xHvQZ}P|Jd%(2BNP)4t`pPZDSq$MEPPGLEbKk$^^=? z?_>NdS(B6^J1xRFkJw1f&Y8VWA;tl-$m51b7 zt_asl{I^3m;Pg0_;g!bAnYo-3q{6S3>bngY1^&(G8hp|?0i;%5Fm7{B`V7D@NSd#K z>#|sb^)(#~dThH>N=9fr04+qjY6iJ6_tfV#ji%zEws+6ki!RPKNi!JGHjdZM*6i37 z{-k?y6|>&Ru9o=gH6r(5#JP~(1>QemG}$=i>OP=Jaf@OH_rq?VR#kVvz#9D|*bYmb zwmd9rs5hKjhJRy4l7zGt?PR-D{9#)tY7Ve(Ln0#`OaFK#Gpf2o%nwilwr->mW>PwE z!=00j2G6yDc{WzKefxVS2PwzPM6s!hnF{YN%L6DQ;mIcq3gV3shp^AMl#YX_hRa;; zFs4#$>Rdi-r{O9F5Bn=5JA3-}0>LtCPdo-~9>Y7y`f}f`z0)+E6|VAl6EP2bI~`=< zLq)K>syhL>a5tLOssuh!Rwp!W*;$^l&#FS%SQC|4O!#4bElQ@FrTXBqp?Hj`01bhT zUpUsHrer|I9c&RXA+?78OP2ubmG(9rDn$^`_9W#@lKIZvI<#o(?V)~~g2x_O1cbGx8N3`fmTy#rO#^04Dai2U%SheA)gi_B9(d~;Wv|v?l!g)%O;?F5AYy_>#%A08h zF0#Q&zP*EkBDCEflfeKnASkzj(Eqlmi`Ho|>)7=w>3E6ZbU!x{4SwS3&Ic|RIac4s z8C_<;`Wq02b~_B9RPf8bkxpg~>E%B!6u2eQkFidlE98F6Ql2}9P18m=a5~xj#*Zn{ zY^TzT?ieTEs&s?#$Z=x++l@F{Xk0pi;D)hcXRoef zU}Z{*wDN!8Xh{0q0lie@OUp9Dl@-%1zQ8ww5{u^Vpw~3{~#m;$sj4mHvpu z3U|zo428n`J%bm3JEGu`4lJaZvIAi0Q~NxPTHw_pJhXy9HJ!3WI4GeVHhBsq^Fob; zzS#ZHo$lkhpnF~~>s9RI*-|~81Od=14P#;@F)IJySv*E-sjQc<{BS}4xxK!vLZJO< zMjUz-sSkean^ufpTqEylSb0MmzeJk#zAXga^SR{=P?5e9RT}il5ANajzA4l$?jKX% zkMx)#I`>>kZ_yQn7C(r~LSTo%HY%fm=qrtrCi=`>`B+g)gtK=ei^q7(ix0R$cW^4`17qaWDcwmi z9d)av3o{sa7nC4$#3{Xl*BCg}Kuq54k0Oe0LMf6_5A8gE{u^m-ssF82(IFD5Q$G<973Yu#25Upe@48rV>*Cc9X}wB5u#@KP zi`wLrPaNFMprE<8Tp9J0@->Mg{&xuuNFu$eQP#nZW`~f_Kv#Q;JJ@G6oEiU!TFqO5 z+1El?%U!chsh6Dn{RH3b%S2IVF0RqIQM1iq_nDC{s68 z0Gb{i)$!fF1MWo7goERNQxN|-V8t#u&pMbIPMJ1h9_n|(n|5q6<5)L>>^X;2c;&FB z;lB^!zdY5nS@~3YQS@+lhaf8NAKiT_;ul;1SE(bhFVK&l>tS(Pw)LxHSW^2 zmJ_En`roW+y?h~@g@Zx(k*?cTc)<2&ZQ>yh2sODB4=HU2|HkT_d;HpjWuced=uBvEcBwE4PLXuH~L7`w#P#{SZ|vwX5) zm&ui&X1|+l$anyvCeqaH2P}rOa{b}dH*V{g)aEKZeo#OtaME8w_zA?SCN|CyzdsHh zW1n!fy0t!W&SrW(X841Bxc?zIy}A@UOSsk^#2vuSl%tAx610q$%_i(v@rEIl7PM8x~w#KRPOJv?tb=uS^xVcRiY`)E!ZxEcePs?cq`jg@N@TG8J~tv zA>mnJ-CamoRENLrMIWF@QV2mx8AvfkEg`FE#qxf0sJ`Wj@eYeCaP=MF6q7j0)FrmV zT!02|-ahCxADpu032tfa6{g2Yv3BQzIJ^0`s^}%`xRcH*T1YS^w?FK^cp-uuRslX} zdZn(Ds^+k(QazPNB7w0m=+sG72QM^AC0Sz!Y#uqyD=21HzuB-D((m9=r6#>HSc3}c zEtn|?wlb800i#$TYO!2>etqXLNURTI)L+(&wRKIi1q@}$N4X_IN!;u9i#_slj@r(;4Gt%CsQ*sdmD*bQbG+W(b5 z!MNa_l!NDm{0%j`M)=x0m%xedfYgQb0;I~mKif!U9eBh}q{9rM8G2J=F1MNDquoch zolx^ZXWqofsn{rtW~V5=;_4=6bWU%U8YlF`I*7qEY2!&nhwlCn20xo{qu+`M>nB_X-!tm*bMDP1Rx=g_mdf?ezcWy4LTz1%M#~_-DgM|1tX1-A1jOrvQz)?X+Kp3Z%~8D(-?mJVf@SD$ zPH(8P!%gEVKwlp3cJOOXwKYrm!NX_t^Qv5s=zkcXa!_fxXv>^Jq-U9%jIIKSm;u(b z?TSjKiJ}%#VYCxPf#V$+>eYOl#_OiLI27qsYjA->C!O9ukk^l~<^v{+e z2%<+WLe=EARHr^5q{6}kL1x9c5WE)~mD?|C0ai%TgJAp#SnTr%`2><{)$K8oqwD{g z8$a>Xi34YS78{2P1K6=CFKM$Wvl(0CL3D5Qx~z0Vqg^Pa<~JmCyE^P!?#oUNcWbNgfXjw&U~Hy<4x=6~JCZe$PzX`($~^D(|tQto=ABAv&g%-As?#>g4WV zpu-UL79111An41c=t*i2rKH%}7B~m03qDWz`(l@rde<}PIK->yZ$@OGIw@}%-uMzn zL#%3BsRCf7^`^)83r8f)gh*+#08KucAan9~hggf-8OrGgOR#JU>Rq>HpRpPg@lC?pI%{jt7Xnl%EeA+8&QhAr}$3eW$i|4>~MsF>#NAfsbB+LTMB@;@SMSE^Oh-~e!Rj+ff6ow~& zFy6_YwoD9l{Tdy@+2vE_B9>y|*XZ!86X|45sEbJoZO6JE$b8W{-Jz|Lh63|SI%{#_ zBjW{j=Jt%(Am28T0)K)ey-D|1hLkd=lFk|oZztY9nS3A^;$J*DE(j@>yq6rCzZ^Ba3{a#HU~ufb_xFhPDS zNw*0*DHoGib&LRR*wnUKI@-zj=HfB#)5$aqT-^wjgM#ssVS~$qX9#=Vv zZIZ;Be8XQYv!g$TapD9EkV9lXdkqH>U(%7aQa~QfW^F@d8COtVH%vnDe6yn<$mEhD zoicl#u1b7?73b3Lmd1YsQx>ng_E?)r%Ida?yoB@yHu}dx#N)M-$}aemMtpZlxxQ5E zom@g^Y*&0gZvyCUaADa$A*;wr$%wZQHhO+qP{Rr)|4W+qP|; z?&&)-@7?>piJ01vQB|?y7ypb^xmMK9ow*hzyISPpO8mWN{v1NId3`<&O$dtT+(^P7 z>q}pH4|YDS6NzCl|M{Cxl$uCrS?24#tC273aql|$N#Ju<7fB*&6VFasxYm4Z7tX}) zl$I9gVPDqNgh>mBdC*e50uW4i4TL$9xm8=e3A+BBtJDgJRR6xhyq{&~hxYDtv5-8V zO_{}UO(t{W^UIi8u#j-~A<}Tm*(~At7tjnY5FPi&d=HM)O|gpR>1Q=mfde$* zUB z^Kaz1k3c5f&%5XcC;>V`SfrrTa`BW4mCx5jV|dT&gSn+Ul|qoErJSOOX-nLuH#tf- zUzH+5$eOA>weVAnQtMkt*kku8MNPzCtyuxntLccd=GT%ivBU#(B7PH>M_8o2dtM-! zFMb+&bpEDhtcvw1$Cuq06arsr|GgjLpnB6Xqyb30A+zMjS_CLc87M*}dCwzfEvm;W zZ8q0Z8%|&Q1#h>A`S}Q0RX8)I1s~cLS4f3B5d?$)?n9>CR6(lA!VjVN4gr{s(P4W@C7T= z8|P2T2vQVz zz6S>)BBO9`3AZYqQK*-uL+r{)=U0)gu1g6UdG8SWG|1hr!?bobMtCzU3vKnlzhBJ@oun%9y# z-)$FaA3Sa=Y=*d=8>syXd>^`5sh%w4ld7vYgm#_HtC#Xs@MOLu_3=CD(bw2N2o&<| zHa9_MM8Q%)IbyiGzv1A0K8E($)W8^GyF`>L*0z*dued#Tti%Vqv8oo)Qd6sx_xW67x1(ED{=EY4oh|ISA$#`xwX)uZAXoBdWWD^WnJSKs9!0hl;Q_SFHP zF$b+1VnDu{vslIB)onEETf+y0Uj*(mI6hg39XjzC#bxFE`{P&yNrTqjCd;^iqsn%c9*odhIB+_`gHaG$9g$Y|y&9adE)&A`}Br5I!&02==u#rXC zkh(>8uLO@9gs$eAFe_HE`W=Fi=Pk^CpDE6}f)BB-ZBYGJUZH6q?9JYK*%a0QGAo1@$`h}n;L?F zmnVaHSl9O>#U7(yVYl0&LW5N}PCssV`R?BJQ{u>KXcZhEq& zSlaw(NKiUTaT;l4qbqa;O4V=~UMP z3Mq^8&CAQU!nt0aexCA)&s7Yn$a%{wA7H0Rs8JL#hh5P`WSYIFjFPkP3|ygl^*yGo z`^aA;RobsR6vyA4H&zLiRjVko&c=w*co7k^mU&}6#XKItqWXMQ9Jp7=dA#XRZ=;0t z4mtIRxEP>&{%R6up1$NISJM52M@NjyJjx0imc7At+2e^fvCx^6YkQokoTRWw-XngT z^qr=Ks94Ul_5&q~)w9!pB-bQtE5pNEznX{7sU=8!G9+y}f=QDa%M-dFdY(c6sE2J; z!IIN)yei5_3ERRMuB5e=IfEriqIm+LOyBdXEE@}1k&qgC3yph3V11?2cj4#NA_-(PjMcyt4m-pA z8JnpjQrJiLQoD7gKkF7nYSNm#MtHGl=cf{P2)srT^tqZtSCSMTy%G*M{Ti9k;PQA^ zNuS7&%%$RlF;g11&gJ(S&b7Ll+qDeDr=~fy!8?CdyGETgeZ{NN4k030VSNi2qoaK; z(~73?TxdTKd*`-dZN9p-Yb`{9nRWuFb@4XwaoUT%CvCM`a*XfIc{!We%pX=`05Vaw zWD(r&tz1}z&1m07>-I@^ovL9=&bX;o%&u_ejs!|Nmi<0#=bupxdaOkvTKL@nDxy$( zx5?TO@gXOhJ@ASBGnes7R214X;$q2C8zzK{e}R>neNX44-`fdv|LcV2Sz?p0Xy>oC zlXI0HL@@ZgV}i3I3ixN6_x#b{&IUbVd(>LwRfkq=M~g+D8KFoWC6iNwKVg(0z{DFD z%*Fh+3y5L#zf*vfPfy2UJFOWG(N~|MJ8v{y6>xdf)V)Cx(hs(Kf`wTAE_`l>Al)(@ zb0#PAH8I}Jbg<0UvJc5xGuO;d&+_S@T&kxfE9VKmjy@|1ziD+oL${Xbvb62%Yq9(H zRh^yr*~3f7I-d&k{+7wv)7_w0h&YC@p>674gKDY0sq`-2N62`2lXF8mU6j6P=KWIu zaXor?Uq|neOBG8lg*(F*Ev2ochpzLg;7Eg?>d~sSk?0ORN=nFSB_<#>t((<7RX2)d zj6)8n83e9!c}0WS{p8b$xq~hc8{&|9R7hA(2!L%j-2ExUZdQ&9{E~+slurxZ@D54* zaa#XpMcv`6Ass#G`(iXSAthx`z7Q*-9vI5Y`?59tI1k!pGxM)Z*EvQ=ksi_wx$Fs7 zcf%6m?e=5(MC$yjYS4U@QASjG3;^nckz@w+i3LG-<#VLln-5N~;_|HUr?y`QTv0Pb zT)4%-YMPZfyNvrBVr^CgAgnXNSNp^nOBH6Gbz_+!l2&lMV?pk1w`zH0UsB$!_x|4Q zJenkXFP8za(+U9yiV6SmRDEUiixCkU{`n+9~o0w ziSNO)Y9#4;)YjqNY*c{8c20B2TkfAz{miyCKnNh&Qt~ZyHHBDmhZ@^}5SuiQm!KsA zcKQ`^3@{fvTkv9{1-x1G+%#9RF>7T-6jz7YO!(xzhTKkjVYp8(9_2r4+LIj=(xM*H zA@H$%8cLnw<4ijcd1KLT=hc$;=I>sqI!99g2<iG$ zw=*WIMe2wWt($c_B8sW_yD+xmRb{(MqFmrt2f=zR2^`@gr`HHpE|NR!FF}D?I-}5_ ze2Zz;FzKoSzc4z@_c5rzI%=yUaNq6>C%}k9O81%-if5b3+C`JxEzwg*8NLdm^+(rB z$qA5Lj_AA^FIiMI{sv*G85GW|k@w6ljj*&fsxCQhb8}W-*52`>WGmX?{UM?KJk}hG zqGx3!DVz) zA>40@#~Ba;nS7cANLgHe*4)RhgN~83o#M1K`L*ly#Q(G^#mmhU=qT#`6-HB=89Fz0 zvqZ0E%^2ekxih4WqeObyNNDpmN|VdGKFdyXA(0(Q_>0ZdM05)O0B^wM)Zp`NilD0G zU9ato=>ckJLt~;DDTai23}krjL8az8WuAPNGC%am@oyFae~f?Ew06`L!#n&DaI-rS zrL2;MX;!WO&5R~|`4f%heB}TDyk5tp@PJs(DQe$#li?d%Mz-jl11T_94vf|5>Kmdk z(elT&78z%$)e|2J&oZ@o2E{7v>wA=hc>BmJF7f&FDHRT*{p^8xfgj zGgr41g6Z-bvE+1rHVoQe^Fj@}u|Ae0;wCTz4{X#obrzIB4IQ+Nv<P)R#rYSGrxn-%zy+68iv3k|*^ohRk1JF=v?@d?CMvShvd2N?>fy4iy zw+%GRhwkTvTCIne!0*kT%I0BBGx(54Q55GU{Dt|Mz-L4s1tFL0AVTSikNs;JXB z*ZKm!KD@Tl;agsv#uI4W#*O}XwDCB$fk_e%!s?zC=z%v&tOsp=Z|*c;GpLHgYs_ym z5e*JLo&gJGCeFsd(%_3pSOB)6&!@&JU`A@6oQ?T_B2R_7|9J{Vg@}%LjVHh>>Gt5u z`Z3_OM~7{sybvc@Yp~@x=1k|XI{H_mS`F9_&+0y|?(AaWn7P*$K-!+ozpCrunpo`J z{2{^aCizs;!`$6njIrS;5Ddv`Xs)=0$&s^3w{Xr__~z^J&4dy`KF3cF0QEDiL{5~l z`nOC&bcp0oGK>ScsXT}bCeRcs3yyc2<~x-HTgGSMIt#@Uu2rvlZU%Xg4j05yAw!Ho zjgvIGF*EZL_b>|UlPKmT0pI)|t&T=f^+l_sLf{R$Z5LaN?kMfXr&xJMxdXB%Nc@at zHiJUmY%M)!=E@1xfcQrrE9sM2J5$oi2LSZtBlL;BdK}&UUD8MUIgF_Dp4iebvn1QH zrhytRN^nl#!AZx)beq+%`Zn~Opl8^77BF7>fF;u&vV3L<>|nboKZ~qn`$}GnqA@h1 z?zaexwEn`8@m@RaF1yH8C4t!7&iKVq3Dx5j2$FM*fNL5+F`iW#phoYWEIsW&={C{1*_PX7kR_-V za^vQ@@Wa0(}$pY5T-)$ZF@;96ZFy8fm45)uf+a(2u$bkZzbvwIb( zsL?t@ck)qBIbieCYam@z`ikrGy!3qf0}n>;*&ky3&Ynv0_V=ung-s1}iI;Kmx$jtQ zaf({)f5tldR$}I$G6y~7!W=_mm6Nw2HD)A4EIno;DTh*f(*cK2vQa|VklJfo!RV&b zS>CEpk&`UKiw^p6Yr(83*MF+bgbH7lDD<|ey4WWMerwsua0L_?0qthvxqDH3{9b^u zUl@>9YQW2Ormni%>5YLo=+Z7uIf0KcD1H+Ma%mXX_m&3ppxnAJ66ElNLUb063Bv?_ zoM}VHgcy1Pre)aFQmYaKkK@3$BOCgjnnc(;J@EJ?sIxWQ)W0kMLdQC_ims9dnKS#r z{x>tn@Vt>>HWrjNu#$=zP@zZhi;=ICdeAO&C&c|ZP=hv^Grwgj!o9uMPskNb|6NiU zP6z~p+m;6vPDz~U&Jxf`l8`XPx<}U?h0tax&|M8khjU&5#!jOgw7RDWQ)YQhNvKWO z&V0Ir^Nj=S^bbOLwJTC4tl6!U2rzoQ0&6rJ%L-Y>;;D%GT*x9crd6=n7t$FXtNH4d*=5@IB`|yBTW!<1 z^)?dz7ToLPW9!`E8ThjW-Fz$~_G9i`SQ%*1J`(|XZEP8u+q``+ZZ0o$zRo6Ze8W0& zXB2{CSC03Suvfndj}q(56BI%cUK#*n%@Apn62ZSy(y{?pw}HEmQ5I$&W8T`FUNzD= zYdIHutNjlPRyljuo(im1eC-v=yTD2!tViMr`HP`@J@cVZM{YfCTM7`9>jwAT--*dk zT1RJ#qEg_hU2!N5m=8T?0xRSFBEioR#X3W^bV0%{)~c7(;_`6`@6dQuAbJ2%7d{^S zcp|&lLnti6&^NpKKbrvFpxeOlEkzHwTa;BjKP5x|zVn>KIgivQZ z)N2A|-W`Z<2qVk2CCxf?uTp2uocE(C+@$Bj7`^`r94ygw_36~_LQi~}d8IFdqvI-Oc z-OK|c%%a%FZFuqb0Q5vtYQe_t%ZOwTBS4SKFQCU8e5DX#z5!=_}*QbiCw@1rQL-{TG}~Rt};`Pt@gb_ljaQKcRDtd3g&N`Wa|`GtY2D& zh^Y^R2o78w66(wVLmcVKhJZK3$Byr#Wo`<1Zif$VQ~2CT+ta{)N=Cj1s4qf>Ej9{c zjZ8k6zLgdXw#{(8qXJ4!Pn5vT_> zf+;!417=}@5^cq10cJ1VR(`)?d<&g5SMFm~LQ1-{2@Gr5uoM^xMJk}&H9XBFV5dLj z;CIK8Vek(tO?4StS5(6tfC6(W=U{QtH!m`I~bk9V36&ZiiQzJ*V?% z;R*cNN~<04U>V(e@-7ea zv9kAd!9dwCKpBd*_xmPy>4Xz>$0U0qhPZj3kMX+ zOd1g#{nF7|s!ftny(^?q3*^>FtV}9R-IY=#XNt#1qW7YocH1xIaoxRQn;tfq)NNGc zv1jRlCK5WS=|KnQDNj79`Me=#IWxZ;hPf1TS}9nWgr;*izx(_NM8W~V&1s8+wz%i?P71}-mvFk9O>_jIa*^a$57U*LJe%KJC zNO=L9aTD4RSX&@G zAGYE`Lz!sE&z)?Di-Cekv-1creBee%&{6-!qM%>(G1g*MF5`E~qY@>Ct>*a5;Y-mT3l@`{xRGfJyap9_u1VXy z6$2aqpZM+8t5^GXN{jMTgg6gS2V#G}QQrWg?r(&V%8h&QX*R4`|J`C}D5g#QJ1O#! z^tN56OY75OG=*6yS`SutIHek|h>#*kG`i(%B2DKNy*loQ(mjmf$9u+JIQp%S7IlRh zq#u;k%SF4iib!h=kDGwb-(*YnlP`8g)(PuOX>s)cY-BMTxsoUfr*6MW@_FDy&3eY3 zXF9~8ilIaj4N+;uSKjR<-)kfuf$c4{j!}=WB4fW-ImIB638T>h>%iP;hScH}98=9@ zZIIi2;+fYJ+5G{S4}Sk(DD+p#EX5auW(4JKXnL&Id?s{pRVh4VO#>O^@<<##i+rgs z35wIuR124@u@M@WZkLZI_14bLm0hQ`7KA_!(TandYGwAl!fqY)3~NNz1bb|%AOua=ack|N`!^SXb?q$e4A*veXF>i)j_x#?NdbeoM3j4Ray#_dzQ%E^AcCSG9Fj@?#frt&FhO(^>a-yaBQ;;-V_)B z-_6!49cJdRFh;qFgy^4zwioM2uMcUC7GRVs#_*>>8rp!=3d&d5kYzeLDoD>(psU;a z3!#XiIKE31IQQRUf z3f)B4i40{$K~oHGp2tK)S(k9rcE>w7^f8Ea;ySm+Euf0Ew*{`SNZ$V9z0Bo$Q)J6?1iP= z>l~+(tUVvRoQ|-6@eGDI`TNlgsM^P=a&Zt=21~AubPtP5V|xNVO^I5;K| ztYg3wV)@yxx6#q33&H#?Sc@ClaF8ASI=$*f{9V+YPJ`{A&!Wh{-&SNdu_Aqya;SSr zNTcl2GTk>QH1`Cg@IBAMFef21mGZV}9Q6oNS?iyCXLtd9z@g>N8S#>ZAhzYg&H;fA zy=H8gEl(t#4qQ?=PVFLTgrve_o8D%yPYf{K=#2yQS`(l{1|Xjr4$@A`bR$VrV#M2N zCdYzmO=86ZuT&$zDfz9}jgBVNji}2))Vi-tLO7sZA?wMfnS;=G$*E zur!u$R~Lz3wvd825B1AZ2UAF7bEL9bpA4QBbI3F6nIv_Lq^Ix;=G-NVMy2wCRd6+$ zo<(LiHehTcAFc!zW%*iDe%N@L6^bY@xkK^EVxxmRv=nkGLC-WGM2%KS`b!;FgeeY&Yl}*#VfhpQ8nU6vd zZst1!wP_v1Kd~8u$D@Cn#pA))BhMa08Bznp z@zmd#0zSE;`S0}h-%tLYW`qNQfVMsaIm@VAg=if*N+3ynqNo~cTQCZl2q&R`g+C?`luRqfg_b@z5TKlA zm6S804gy-@z^e-OOZU^)vGGU6;$F{4s3;Icu3P~S`gZU2&GgtyVClHogiM$Vm=~tDU1TF#vk~XGhj)V4HV!Ga$D6 z4#bCm$p5;m16}#nelS`T_Q}t;+VO?2d|sg@^90z*{lFnooL#W$@;$V2n(gXFXNJm??$7R_ zClr{R3A&ayBe^I&gS1(^En*Z+rqA8TnKb#3CKRzH;%h!I@=P>$rDG|c$M|lJ`TRw4<3k8LUQ{iA7%b4{GTiao3eu`ivS(p_ z&+25Lu^4VUd;03jekw8M$)|IDJw_H?LwL9E$;kVVvhL=qUL3xqB9cHE8TX9u8b7iJ*Rg(%8keL~FMM6` zbb&$X!UG+sFy~x~Q4g96Q7@dUWiJw*!@GT$17c0t={0*L4u;0`Vi^+<|I~~6wCf~L zCs3GmhD4<8H!n>!Dj2}tjfU+VH{-pE$hk9ccYJu7aBfT>N$Z|5#i(!LGHL_H0xrL_ zd|LUc!TO@;l8lZ~kc^)(WPX~nGs|QJRldLIWnCxeiFmLjik8O3qK$LbL!;k5?}%e787?a9qY4vys%x)mrGw{*!T#ib*E;1SQ>5e1*?BEVkZl zZU=f6mawnw{Em28dT2C;WI7CYbHdJ7X`P(AD%J%krrzspbBji$nH`>(tFXt_<#((; zD2D}UTL=}-u_BqI?{(mURaIpvwEwBg1?%7MrdRSO+1e;``Lr0BIv9bCmd?cpv$^~ zSep>9;#8?g&v`G`*xak*HkgqJ)mD@-Qk4RS9PQ%Mh9Z?l{Od;l3SWnV<+WD5}G=C9eT^Z>~`F_h#3L;PL2)?bEM@53 zW(@_a2avQ@6yI55Mm>SXvoj9BuAs7k!l8BKFS8{=%*dAPIcod8b zu?JYx+xkfj>AV%(&Ov^;6FgJF|LRC#*9E7((9 z;#%bz33#p$y#;Lp%L!7ufDGtv^*1NF+$Lk$PwUYk)%i%EOM+Iqe0PP~G?#2GNG-+1 zZk9AiWtWkn7)(bu>lY2#cD?tjP{UFWF+I96Nh0uvbatA_ zTihW^2TAHQTVAvZCqm3TU#+h)8EiQ;B@%ckTHlqc#*Qqfty^14{4Bl1*&L7LvT5o% zZc$%mTY3x$nN4xrqNIXkpaSvRsk6-}lcr~7?5Ve5eK1*!c)B|CjuvXI-`Sw!x_pk! z9;#0cBo7_T}{LV)2zQYDy_m=CBgLnoE?@mqCR%GA=3XL$o0M| zv2WgdC`oOnEiy@2s+%B$nbQ>TL_{170(EHt-8;mo=O|Yl_X32^MzFu5d;&Vs?0iBY zA2o6f&4!u4710I>CZgT6w4-mvELtS366E!mt)xKxW2zR!q4cO%L?QYt@~i0%4$HXk z`e5bBqT@{8nwu>Ya!@U%8z2H^41fhz!Iznc@xA^V^u>-ON;4QYuNy5SU`B2^{UN(9h^1OG zjZmfT-JOra7yAMW)KUo2^%!E6Jp5gSoHngrph|q3vrfa7^hsgx?G0D&nL-cN=Hq4@ zF`r;aiE0Z|ee?F7yLP}|bP7!~(4Hm6*u;rG?c!?Hsa+(SWhh(n^;Gc_Vx7KP;z1lc zeQu1fbtlv6DeqkMb3EV%2r~zlP2=We)4-TOeiC;MfF#AWx5+clcTck_m*f7PFfgjV zJ#yHMLHo8#?<4-!Dl%QMXcFXUg2e`B)1>VquQ0RVS8Sz+K82M9iihJe0uzJ9T+OAPU;zVpny-d=6E9EvWVCH}^rSh$C`w?h}_ z3k<<_b9uzINy1v7E>cT%F=BOVY^sYp;UZAoUAX=@Ek5YGYuQtF_T8Umc9L$nkM&qJ#27WYXu-FkV<)D05D3L#d3_lYh$&!0 zbM6JcaO(&Ji;&Si0XePTO?HVPq{F<|Q$1S!MfGzPasr{PP2>b9M}}d==JXuEB@&zh z&K3UnDRNbeTD8Ot%BObqDL2}(eWw>j0^3KZONCK50<19Nq&B5($+LVyAW8cSR&u*A zB*b=z0blx)6S)Frw_a!&qXfvTb6} z5a9A^GY1m7z|St`3qnytVhzIk$rSe3DCz!Ly-=t;2%)>@t9rune6xgMnV66>7QEyS zgj4;*UYvR`0zuU%Bwa_XjuG>xJIYj}b z#ES#ieHV8z!#Z9v*<{CsYF&%bTt!*Ku0ixnz0crEZDPI2n`@0|!9qG+{x0LuMibHz zSw<%(3}Vrf``WiZ)lA0dFh4^@*j+OJuDr@wnM9$b)w4a`zTqz(9gd8B4{w9j7O24b z)fUY~?FB;hk&J8A=Z11V6W5@KEQpyVS3*F?FtX^ixLED8FC9UYLGimh7gk+pbBb(A+pGP!-F&e6Y%O%5sC0QcQL z5|MY=4{{+Gj0B)G_?^QQGL*}pnQX6Z4*$+&UAsXO#-@WxJ(j<})FapW+2ZY#YSd07 zS(e?S)=(pPZ01JROfD@i>GHaZywo=qpzSB0#O$Uei4c`oOKT-gphOt*<*jrEZWXQ} z$*SnvQVw#^I`WtgGYBjczB0!u;tn$6j zh`#C~b%S7_R--7WVavPn$vn0dEG)T?bAa^)?qTy$dHeAa)t^-0%pr@p^2k;DN&QB> zECy*g;L#1MV#>R;RJ>{zJ}@B!f~use@15MaAXo}{ni~Tv0NL0$mqyUO>^25U zL~iGkX!o`D`Rnd0MU_2TC3jnt(hDFpQ-hIOQW_e-8Bpv}{raY1-=e&l)C3a6N`o|y*tEZ)F5WQP9!+e+`4RaH zUnyvw?Hr*g*}&9*i*D3zCF^NvZ2brlV%annzw1q|XHsnUYrr6Ec_7I4|cFKip?BK*QSW))itYloDCqhXHHyZRO@Oogg0#FGEvorxOAI|4o=`} z569iF1|j&ODhD5^m@)gQ&uq_pv=Bf*$V2WBWGNH7jsPy4vFZs1MwM3Xu+$j9D*UCm zwEw|0RJEs^H3;8llWZCg895%GXCNlQyYyKbWABH4<{>X1juC1y)S|SYg3}k2l}Dd+jg3W+TKb^pWMhtG}lU(7W6L~ zhd6UeF{@k?@3X~VThIX`pw99*MJ^~yktw(B=N;;$zG#%+S_p`ua`=7p#(xig-n-u;ZjEvG@s z74OQV8UKI=zqf*@#)k8>bL9T@{h}IeO+)rA14QNu1~qGI6y!Z9V`1#;_lBR1 zxcPZS1Ub6AI%oGr#FLl_;TkK^(l?1PtCXFF6k4VbX*1p`E9M4dh;|>?TM3q!fCS2l9^)lVC>GG|RFeV?hm} z7Ip#+&iMu0Vj*4sOXh7XciKioG~$jvSCq6PUH5dr!^ zX>VSU%q<|0qTTJ29ke9O%S^1+Be6gZ+%%}^^Px{CQN#qXcX%dC7N@xyt!-cnhP~1( zK=V+rO}O7t#*4?DCy;cOd9 zU4{Nk({cnnjlvL$F!}T>ZR4wbtUMAbbnsX>WdibPe|jIXj0QztsSRRZ+n;EYjJ~k! zOw>M+43`Gyb=_~P~;ryFC$@ENZ^q2 zqZ@rXZkNezIXEg zMKeK3;+-9V_bCQ?2J49h6X_4PEQSM0QG+Wmb_%UL!Er5ktM~?%mjVVs0RRAi03eR* zRdt}AnSJ`Xvj+qKfd4tmTiBaeTiBZDIXSx+(z&X{^~(-2ApESxisTGbPDCRyKZAT) z)KVr44n|lX$r`G((rQu4>>ZU2L_oK4bKRcqplN8dy{)_=Qq6ig*!t_(JkmKop^d(p z)zKHV%wa6l7XbVLJt-Led&sH`==GG`|=?rmDOxHOJxN-4gwhR zFF45P4{aB~}ry>2n7BV-swu$Sv9r%}#-Xb~CDujz` zC`ieZMNyHEC`453Qghl2LQKS_Llb-J#sfktTbs?cFE5=Vr&zno-uuLL(H_nQX*70| zVAdXZmRq75n81dIXA5U*p2>BF69|t=ZW^W^xMMn0;gvpn{7|#5Hn@-_nEWEuvShGC z)|njQa9O#AEakBQf1O~uK_JIx5T_DEQRl*a>#qF#yYWpN8OOb07sbz4=(v-LYnuK^ zL~a4J$Mps8fkzmS4)eFts{J;(ha2G{@mJlPW7%$x?Sz!6T;)OjvnmDgWRd(ejw{tk zbSfLJM(uBNW716fQKn$e-%qP9*eK7iUTJM-g0!n{1%>mhYf@J%?|}c)a%0WkPD(!( zi~MP@|6w^g3tJ;c=<%sPQ&Mqm5;PLgZj-E+qmfc!U{PU^X8<%L7yye=aL1SzkB9!pbF&wU3JU&F zo%(4o|Ecb1Y~b`?s_>2u&i_|!nVI4Ls|Fw_1WL?cldoTZ5U&xRnUs+h8Dj-8z&}X! zAj!0^q!`7#@G$!*lwT1@C{78HnGxiYp$Py9$_z~~D%`(_GsOrz$xjey0-(H`mX|gG z`j6he_nzs4{){jhxPSE4&DeA}MamY05Jvcg6IP#sUAqjs*qTXEH1nY>U4c!5G!>P- zd)G)AzFsBn&BL$PC;Ai2e9+j6W3+KYW!9m&L8pT4!M$NCKcXbnU|GPs8+`NdDz{6!56&I0kgjIE~2 z*@E%ydp?a2A!gxZV`c22)#p3lX6BMQu~-a2n}nl2FK-E7u)c?yq3|n3EUZnL??m__ zZ&7D!rts#*4-Lu=you@6BR`~X29)+Zm)Csm#YON}KpOfwWY^}b?Cw#RD-fSp6N9@~ zVMzZ1D(pL-kHhv)l5Es+yfE*dp#=zp0{rj5g-%vLo&004E?@uv@Sn%~ujA(ypg7=P zSH?#6bnZ6R|AbE5Z11%w0RRkt2F8z}|NAHoX#ZjVEB4VjnH$)f{F8MSNUe?A2LM1e zgZ|&+>0j{w5#*=-57xxk^q=JCn37EQ2LM3*PlNt%&3|?E4Hod|%y?>+a|B3!M;y=lM zy1~CmGuZzS{c#F;DNwL~eGB>XK>z{(NQDCepaT$LR;8C!QdW>v;Sgn&WRzo4WE8b< d;$ZY-b7PZtGG=F#vT^W~l+!dbv{X>w`Cma|IoALH literal 3010 zcmaKu2|ScrAIFC=S%%lrWVyN}%gt^WAt5p&hO8MHX&4N`*h9%=FlFrfekro1&BYX1 zvkW6!Mz+u`+!AhM$yV>UxBHfPKks`!pYwU1&+|Or=YP)c{LcCRElq(O5C8zc1*kD; zLcK)Kz+%||fNMYifRp*u)x}Ra)WjHNqjZleLQOZ;f zGESpCQ{R7UxeK>(m)hnw&B!0t)b(7(H}|z9LS)|Ed$3ShI?0EAHcOIzaI(zoMn`?A zoD)(^Ws6+Oix$z8;5~64F$yp&Ij zs9X0tH6g`0!8QsmUg`)zq@*e3*buI%EB!1t>E4 z<%0O^k+`(R_PG`WD)oJUviV#@eN7v~D|{I-jGQaxLXgHlGv3LTfn z2*i4-klAFyT77viP_wI657&v$D(@DIh_;#`;xU$)QSZFNViRSJ<+XIRi_sDl1=r*c zj~QoJ*3G^!Tfvm$MbMElJrkA+2ouPzV{s5lC9Qk&$g{i+bMkrZ)KN)94)0+S=REJu zR776w@Y*$>8bL>}XrQdck06^JNhfeUXnoc!OFBWIdkkl%9u}aogJrv2{hJx~3 zo>_MRPKMv4+mM!xPIv$e`$3qqSN5W!1%5n5Ee3079bJPQ?u;S&KLVaAW*@E#7wv!j zz_}oA<`BtwB;QhuI8Jlg`(Q^zp?m3DRI6|dtw5lR;X`xfpFsqPx>aAu&M%%6V!FYS<; zG6G<~offh1H9n@DxR@RMqn$$WY+URcyY}9pC{-=Q8Hv57hQt1KRmDNILxKfPy)kx> z_Zz=4VXnGB?-Zb{Fn(9EJtpgt(6K4ncai}b65mij6x|x?XpQP5>OWQo>DlDB)5N2l zXU)IL<2oRp#7z3<^64jQt~l&8ZZwK$fRx5tmO6%XuaK&gQfC8lBo*#Qbe{ZkHgQw2 z8LP1{CoiWV6I*U%eRndF{xWyiKu6E7SEFb}TD>B`X^;-k!dprWdrR3Ap6=8&Dx)8s zFk#>9Oc`SYGNz}*6vlb=-+|LjeeG)BkZ0fV^ECH`$AX}OxYo+Cy3?Z9`uHOodrPa9 z0Q%=+RN2dHff>?GUod~@ZAu<`+8w-j$Kg3=Iv2jH0sdj#xOkDLsL1+20ng_q zf`-%G2H)%a@)4T6PPgdP>}S{$B<@+r+bygLvdaDbdW-OMKJKTrZB0eVZqnonLNijV z(tK0%cF=jftxut23diU|cB;m9t&g(@K4*-Dc3FKz!y=H06+8G56+uMhK*B9KZMn3{ zA&+cNYZ6;xl0=w$2;K2`>*f&IBX4vCC?8}y5b7;nsWf>jLS;k4+m%vME}|_@v6KQz zG+-jXS|xX%G+qu98Z49@xO9lZE_n~8CltIRwKHjnm{aOIjjB-`2O4=Vt+4Zl;hoNG zmEBY|9BV(mB7E;o=Q{mkBsFGhziWuEDxSY&xZqxP;Z63w=J}y3&W~KTuP=}J#QB&7X8vyf@G7QR zx4G#a{j+d}Us#*+Dxco@M;H#0uK4`X^@Kp5xPB|%aC5iLlUpWY&DKUG*Ou$dxI!`Nc*0aa;M@(=ObHj)(gT zC!Dp<59qI_yw`t?iS@ELz|(6ioVu!vpWOXqE;L%W>0DLTm0-ALZe#FKBQdJEV)E#I z0r+cp;M2^BJ1_+h`fmX|T)k}*doQ;`A@GeY@`XCfyr=2O<5e@cSg3l5W6*JFhhSyF z)WPEC1WX6`;PR5o9L-hi@~OVW&OrS?>!#gQ`a6!CE}>p59LUw{GVsdBvnQo}>e;P0 z=AK(}5N|Z0ey-z=U2i(!dNX5*QCuBnwRnkPIBFX&cTkq5HB=&miqrun(m^^B=k%*p zWDO2f=@uLnnSVGwB!7`mTC^olK;*Y-`+|Qc$#GVVv|7NIg`*VS|80cDa1t4BtE29g}gyCRYvxm>r*hH`S(&)R7{oBUcyeOS<9L$^_bD9_mGNI zT7S)Bq$2V~x1y;nRNWS831!1dv>iyW3KbnPP6o4_VSa{jQPIq(G-ltI5(8YF1OJK2 zAK)MKEgBsL{lC!wXAD77P@f{F+XBfKl5^8?vd9TuTy6U8@(iTf8yo95*f3*Y)v&07 zc+6OiD>o-9I0p^j2Eo7*UGUbIhiR(7UVRCo8{qs*R$*2Th-I{BOum93bEJFwX5VN- zTyYAfO7bt5lUI9-?6as*V`g_#Hg*W`f7fcve*l;k1e8SX-F>UvSRvMuVeiwwq5I6s zj|BuP$(kMZ$h}q)xyvjT|3il2SwYqVVh{Q|B<#R<@Y`|03bSsm@31{VL6s3~+ zUKxOZncMoiz}@UTgWwiEt|)a6ygSy`>nzOPIL!Sr+S>vVh`56A*9Z&>!$Nic4K|P4 AR{#J2 diff --git a/VHDL/ALU/ALU.runs/synth_1/Pipeline.tcl b/VHDL/ALU/ALU.runs/synth_1/Pipeline.tcl index f78754c..0ca503b 100644 --- a/VHDL/ALU/ALU.runs/synth_1/Pipeline.tcl +++ b/VHDL/ALU/ALU.runs/synth_1/Pipeline.tcl @@ -17,6 +17,7 @@ proc create_report { reportName command } { send_msg_id runtcl-5 warning "$msg" } } +set_param xicom.use_bs_reader 1 create_project -in_memory -part xc7a35tcpg236-1 set_param project.singleFileAddWarning.threshold 0 @@ -50,8 +51,8 @@ read_vhdl -library xil_defaultlib { foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] { set_property used_in_implementation false $dcp } -read_xdc /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/cpu.xdc -set_property used_in_implementation false [get_files /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/cpu.xdc] +read_xdc /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc +set_property used_in_implementation false [get_files /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc] set_param ips.enableIPCacheLiteLoad 0 close [open __synthesis_is_running__ w] diff --git a/VHDL/ALU/ALU.runs/synth_1/Pipeline.vds b/VHDL/ALU/ALU.runs/synth_1/Pipeline.vds index 0e8305f..796a1fd 100644 --- a/VHDL/ALU/ALU.runs/synth_1/Pipeline.vds +++ b/VHDL/ALU/ALU.runs/synth_1/Pipeline.vds @@ -2,8 +2,8 @@ # Vivado v2018.2 (64-bit) # SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 # IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 -# Start of session at: Tue May 30 09:12:59 2023 -# Process ID: 10840 +# Start of session at: Wed May 31 17:56:19 2023 +# Process ID: 144089 # Current directory: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1 # Command line: vivado -log Pipeline.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source Pipeline.tcl # Log file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1/Pipeline.vds @@ -15,46 +15,46 @@ Starting synth_design Attempting to get a license for feature 'Synthesis' and/or device 'xc7a35t' INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a35t' INFO: Launching helper process for spawning children vivado processes -INFO: Helper process launched with PID 10853 +INFO: Helper process launched with PID 144101 --------------------------------------------------------------------------------- -Starting RTL Elaboration : Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1255.273 ; gain = 83.699 ; free physical = 57815 ; free virtual = 69577 +Starting RTL Elaboration : Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1255.273 ; gain = 83.828 ; free physical = 7354 ; free virtual = 19137 --------------------------------------------------------------------------------- -INFO: [Synth 8-638] synthesizing module 'Pipeline' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:38] -INFO: [Synth 8-3491] module 'IP' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd:36' bound to instance 'inst_point' of component 'IP' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:177] +INFO: [Synth 8-638] synthesizing module 'Pipeline' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:40] +INFO: [Synth 8-3491] module 'IP' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd:36' bound to instance 'inst_point' of component 'IP' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:182] INFO: [Synth 8-638] synthesizing module 'IP' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd:45] INFO: [Synth 8-256] done synthesizing module 'IP' (1#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd:45] -INFO: [Synth 8-3491] module 'InstructionMemory' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd:35' bound to instance 'MemInst' of component 'InstructionMemory' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:187] +INFO: [Synth 8-3491] module 'InstructionMemory' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd:35' bound to instance 'MemInst' of component 'InstructionMemory' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:192] INFO: [Synth 8-638] synthesizing module 'InstructionMemory' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd:41] INFO: [Synth 8-256] done synthesizing module 'InstructionMemory' (2#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd:41] -INFO: [Synth 8-3491] module 'Stage_Li_Di' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd:34' bound to instance 'Stage1' of component 'Stage_Li_Di' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:193] +INFO: [Synth 8-3491] module 'Stage_Li_Di' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd:34' bound to instance 'Stage1' of component 'Stage_Li_Di' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:198] INFO: [Synth 8-638] synthesizing module 'Stage_Li_Di' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd:47] INFO: [Synth 8-256] done synthesizing module 'Stage_Li_Di' (3#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd:47] -INFO: [Synth 8-3491] module 'Registers' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd:35' bound to instance 'StageRegisters' of component 'Registers' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:205] -INFO: [Synth 8-638] synthesizing module 'Registers' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd:47] -INFO: [Synth 8-256] done synthesizing module 'Registers' (4#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd:47] -INFO: [Synth 8-3491] module 'Stage_Di_Ex' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd:34' bound to instance 'Stage2' of component 'Stage_Di_Ex' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:217] +INFO: [Synth 8-3491] module 'Registers' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd:35' bound to instance 'StageRegisters' of component 'Registers' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:210] +INFO: [Synth 8-638] synthesizing module 'Registers' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd:49] +INFO: [Synth 8-256] done synthesizing module 'Registers' (4#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd:49] +INFO: [Synth 8-3491] module 'Stage_Di_Ex' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd:34' bound to instance 'Stage2' of component 'Stage_Di_Ex' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:224] INFO: [Synth 8-638] synthesizing module 'Stage_Di_Ex' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd:47] INFO: [Synth 8-256] done synthesizing module 'Stage_Di_Ex' (5#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd:47] -INFO: [Synth 8-3491] module 'ALU' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:36' bound to instance 'Ual' of component 'ALU' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:229] -INFO: [Synth 8-638] synthesizing module 'ALU' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:61] -INFO: [Synth 8-256] done synthesizing module 'ALU' (6#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:61] -INFO: [Synth 8-3491] module 'Stage_Ex_Mem' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd:34' bound to instance 'Stage3' of component 'Stage_Ex_Mem' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:240] +INFO: [Synth 8-3491] module 'ALU' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:36' bound to instance 'Ual' of component 'ALU' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:236] +INFO: [Synth 8-638] synthesizing module 'ALU' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:64] +WARNING: [Synth 8-614] signal 'JumpFlagIn' is read in the process but is not in the sensitivity list [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:68] +INFO: [Synth 8-256] done synthesizing module 'ALU' (6#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:64] +INFO: [Synth 8-3491] module 'Stage_Ex_Mem' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd:34' bound to instance 'Stage3' of component 'Stage_Ex_Mem' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:249] INFO: [Synth 8-638] synthesizing module 'Stage_Ex_Mem' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd:45] INFO: [Synth 8-256] done synthesizing module 'Stage_Ex_Mem' (7#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd:45] -INFO: [Synth 8-3491] module 'DataMemory' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd:35' bound to instance 'DataMem' of component 'DataMemory' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:250] +INFO: [Synth 8-3491] module 'DataMemory' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd:35' bound to instance 'DataMem' of component 'DataMemory' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:259] INFO: [Synth 8-638] synthesizing module 'DataMemory' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd:44] INFO: [Synth 8-256] done synthesizing module 'DataMemory' (8#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd:44] -INFO: [Synth 8-3491] module 'Stage_Mem_Re' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd:34' bound to instance 'Stage4' of component 'Stage_Mem_Re' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:259] +INFO: [Synth 8-3491] module 'Stage_Mem_Re' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd:34' bound to instance 'Stage4' of component 'Stage_Mem_Re' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:268] INFO: [Synth 8-638] synthesizing module 'Stage_Mem_Re' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd:45] INFO: [Synth 8-256] done synthesizing module 'Stage_Mem_Re' (9#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd:45] -INFO: [Synth 8-3491] module 'AleaControler' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd:28' bound to instance 'CU' of component 'AleaControler' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:329] +INFO: [Synth 8-3491] module 'AleaControler' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd:28' bound to instance 'CU' of component 'AleaControler' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:339] INFO: [Synth 8-638] synthesizing module 'AleaControler' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd:40] INFO: [Synth 8-256] done synthesizing module 'AleaControler' (10#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd:40] -WARNING: [Synth 8-3848] Net Rst in module/entity Pipeline does not have driver. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:50] -WARNING: [Synth 8-3848] Net jump in module/entity Pipeline does not have driver. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:170] -INFO: [Synth 8-256] done synthesizing module 'Pipeline' (11#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:38] +INFO: [Synth 8-256] done synthesizing module 'Pipeline' (11#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:40] +WARNING: [Synth 8-3331] design InstructionMemory has unconnected port Clk --------------------------------------------------------------------------------- -Finished RTL Elaboration : Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 1313.898 ; gain = 142.324 ; free physical = 57806 ; free virtual = 69569 +Finished RTL Elaboration : Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 1310.898 ; gain = 139.453 ; free physical = 7345 ; free virtual = 19128 --------------------------------------------------------------------------------- Report Check Netlist: @@ -63,46 +63,54 @@ Report Check Netlist: +------+------------------+-------+---------+-------+------------------+ |1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | +------+------------------+-------+---------+-------+------------------+ -WARNING: [Synth 8-3295] tying undriven pin inst_point:LOAD to constant 0 [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:177] -WARNING: [Synth 8-3295] tying undriven pin StageRegisters:Rst to constant 0 [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:205] -WARNING: [Synth 8-3295] tying undriven pin DataMem:Rst to constant 0 [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:250] --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 1313.898 ; gain = 142.324 ; free physical = 57814 ; free virtual = 69577 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 1310.898 ; gain = 139.453 ; free physical = 7348 ; free virtual = 19131 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 1313.898 ; gain = 142.324 ; free physical = 57814 ; free virtual = 69577 +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 1310.898 ; gain = 139.453 ; free physical = 7348 ; free virtual = 19131 --------------------------------------------------------------------------------- INFO: [Device 21-403] Loading part xc7a35tcpg236-1 INFO: [Project 1-570] Preparing netlist for logic optimization Processing XDC Constraints Initializing timing engine -Parsing XDC File [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/cpu.xdc] -Finished Parsing XDC File [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/cpu.xdc] +Parsing XDC File [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc] +WARNING: [Vivado 12-584] No ports matched 'CLK'. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc:1] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc:1] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'CLK'. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc:2] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc:2] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-507] No nets matched 'Stage2/Jump_Flag'. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc:9] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc:9] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +Finished Parsing XDC File [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc] +INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/Pipeline_propImpl.xdc]. +Resolution: To avoid this warning, move constraints listed in [.Xil/Pipeline_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. Completed Processing XDC Constraints INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -Constraint Validation Runtime : Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1673.281 ; gain = 0.000 ; free physical = 57555 ; free virtual = 69319 +Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1651.219 ; gain = 0.000 ; free physical = 7109 ; free virtual = 18892 --------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:10 ; elapsed = 00:00:20 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57631 ; free virtual = 69396 +Finished Constraint Validation : Time (s): cpu = 00:00:10 ; elapsed = 00:00:21 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7183 ; free virtual = 18967 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- Loading part: xc7a35tcpg236-1 --------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:10 ; elapsed = 00:00:20 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57631 ; free virtual = 69396 +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:10 ; elapsed = 00:00:21 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7183 ; free virtual = 18967 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying 'set_property' XDC Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:10 ; elapsed = 00:00:20 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57631 ; free virtual = 69396 +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:10 ; elapsed = 00:00:21 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7183 ; free virtual = 18967 --------------------------------------------------------------------------------- INFO: [Synth 8-5546] ROM "Mem" won't be mapped to RAM because it is too sparse INFO: [Synth 8-5544] ROM "Regs_reg[0]" won't be mapped to Block RAM because address size (4) smaller than threshold (5) @@ -221,9 +229,8 @@ INFO: [Synth 8-5546] ROM "Mem_reg[96]" won't be mapped to RAM because it is too INFO: [Synth 8-5546] ROM "Mem_reg[97]" won't be mapped to RAM because it is too sparse INFO: [Synth 8-5546] ROM "Mem_reg[98]" won't be mapped to RAM because it is too sparse INFO: [Common 17-14] Message 'Synth 8-5546' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. -WARNING: [Synth 8-327] inferring latch for variable 'Mem_FinalB_reg' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:261] --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:11 ; elapsed = 00:00:22 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57618 ; free virtual = 69383 +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:11 ; elapsed = 00:00:23 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7161 ; free virtual = 18945 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -240,11 +247,10 @@ Detailed RTL Component Info : 2 Input 8 Bit Adders := 2 3 Input 8 Bit Adders := 1 +---Registers : - 32 Bit Registers := 1 - 8 Bit Registers := 288 + 8 Bit Registers := 287 +---Muxes : 257 Input 32 Bit Muxes := 1 - 2 Input 8 Bit Muxes := 8 + 2 Input 8 Bit Muxes := 13 2 Input 1 Bit Muxes := 279 12 Input 1 Bit Muxes := 3 --------------------------------------------------------------------------------- @@ -257,8 +263,8 @@ Hierarchical RTL Component report Module Pipeline Detailed RTL Component Info : +---Muxes : - 2 Input 8 Bit Muxes := 3 - 2 Input 1 Bit Muxes := 2 + 2 Input 8 Bit Muxes := 7 + 2 Input 1 Bit Muxes := 1 Module IP Detailed RTL Component Info : +---Adders : @@ -270,8 +276,6 @@ Detailed RTL Component Info : 2 Input 1 Bit Muxes := 1 Module InstructionMemory Detailed RTL Component Info : -+---Registers : - 32 Bit Registers := 1 +---Muxes : 257 Input 32 Bit Muxes := 1 Module Stage_Li_Di @@ -283,7 +287,7 @@ Detailed RTL Component Info : +---Registers : 8 Bit Registers := 16 +---Muxes : - 2 Input 8 Bit Muxes := 2 + 2 Input 8 Bit Muxes := 3 2 Input 1 Bit Muxes := 16 Module Stage_Di_Ex Detailed RTL Component Info : @@ -297,7 +301,7 @@ Detailed RTL Component Info : 2 Input 8 Bit Adders := 1 +---Muxes : 2 Input 8 Bit Muxes := 2 - 2 Input 1 Bit Muxes := 4 + 2 Input 1 Bit Muxes := 5 12 Input 1 Bit Muxes := 3 Module Stage_Ex_Mem Detailed RTL Component Info : @@ -306,7 +310,7 @@ Detailed RTL Component Info : Module DataMemory Detailed RTL Component Info : +---Registers : - 8 Bit Registers := 257 + 8 Bit Registers := 256 +---Muxes : 2 Input 1 Bit Muxes := 256 Module Stage_Mem_Re @@ -331,109 +335,125 @@ Start Cross Boundary and Area Optimization Warning: Parallel synthesis criteria is not met WARNING: [Synth 8-3936] Found unconnected internal register 'Stage4/Out_A_reg' and it is trimmed from '8' to '4' bits. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd:51] WARNING: [Synth 8-3936] Found unconnected internal register 'Stage1/Out_C_reg' and it is trimmed from '8' to '4' bits. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd:55] -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[0][7]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[0][6]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[0][5]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[0][4]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[0][3]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[0][2]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[0][1]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[0][0]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[1][7]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[1][6]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[1][5]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[1][4]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[1][3]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[1][2]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[1][1]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[1][0]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[2][7]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[2][6]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[2][5]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[2][4]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[2][3]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[2][2]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[2][1]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[2][0]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[3][7]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[3][6]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[3][5]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[3][4]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[3][3]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[3][2]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[3][1]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[3][0]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[4][7]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[4][6]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[4][5]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[4][4]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[4][3]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[4][2]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[4][1]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[4][0]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[5][7]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[5][6]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[5][5]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[5][4]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[5][3]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[5][2]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[5][1]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[5][0]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[6][7]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[6][6]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[6][5]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[6][4]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[6][3]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[6][2]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[6][1]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[6][0]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[7][7]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[7][6]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[7][5]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[7][4]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[7][3]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[7][2]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[7][1]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[7][0]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[8][7]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[8][6]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[8][5]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[8][4]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[8][3]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[8][2]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[8][1]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[8][0]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[9][7]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[9][6]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[9][5]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[9][4]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[9][3]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[9][2]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[9][1]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[9][0]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[10][7]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[10][6]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[10][5]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[10][4]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[10][3]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[10][2]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[10][1]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[10][0]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[11][7]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[11][6]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[11][5]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[11][4]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[11][3]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[11][2]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[11][1]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[11][0]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[12][7]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[12][6]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[12][5]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[12][4]) is unused and will be removed from module Pipeline. -INFO: [Common 17-14] Message 'Synth 8-3332' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. +INFO: [Synth 8-3886] merging instance 'Stage1/Out_Op_reg[5]' (FD) to 'Stage1/Out_Op_reg[7]' +INFO: [Synth 8-3886] merging instance 'Stage1/Out_Op_reg[7]' (FD) to 'Stage1/Out_Op_reg[6]' +INFO: [Synth 8-3886] merging instance 'Stage2/Out_Op_reg[6]' (FD) to 'Stage2/Out_Op_reg[7]' +INFO: [Synth 8-3886] merging instance 'Stage2/Out_Op_reg[7]' (FD) to 'Stage2/Out_Op_reg[5]' +INFO: [Synth 8-3886] merging instance 'Stage3/Out_Op_reg[6]' (FD) to 'Stage3/Out_Op_reg[7]' +INFO: [Synth 8-3886] merging instance 'Stage3/Out_Op_reg[7]' (FD) to 'Stage3/Out_Op_reg[5]' +INFO: [Synth 8-3886] merging instance 'Stage4/Out_Op_reg[6]' (FD) to 'Stage4/Out_Op_reg[7]' +INFO: [Synth 8-3886] merging instance 'Stage4/Out_Op_reg[7]' (FD) to 'Stage4/Out_Op_reg[5]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[46][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[47][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[44][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[45][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[42][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[43][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[40][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[41][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[38][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[39][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[36][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[37][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[34][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[35][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[32][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[33][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[62][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[63][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[60][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[61][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[58][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[59][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[56][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[57][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[54][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[55][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[52][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[53][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[50][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[51][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[48][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[49][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[30][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[31][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[28][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[29][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[26][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[27][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[24][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[25][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[22][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[23][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[20][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[21][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[18][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[19][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[16][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[17][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[238][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[239][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[236][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[237][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[234][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[235][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[232][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[233][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[230][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[231][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[228][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[229][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[226][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[227][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[224][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[225][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[254][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[255][0]' (FDRE) to 'DataMem/Mem_reg[253][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[252][0]' (FDRE) to 'DataMem/Mem_reg[253][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[253][0]' (FDRE) to 'DataMem/Mem_reg[251][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[250][0]' (FDRE) to 'DataMem/Mem_reg[251][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[251][0]' (FDRE) to 'DataMem/Mem_reg[249][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[248][0]' (FDRE) to 'DataMem/Mem_reg[249][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[249][0]' (FDRE) to 'DataMem/Mem_reg[247][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[246][0]' (FDRE) to 'DataMem/Mem_reg[247][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[247][0]' (FDRE) to 'DataMem/Mem_reg[245][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[244][0]' (FDRE) to 'DataMem/Mem_reg[245][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[245][0]' (FDRE) to 'DataMem/Mem_reg[243][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[242][0]' (FDRE) to 'DataMem/Mem_reg[243][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[243][0]' (FDRE) to 'DataMem/Mem_reg[241][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[240][0]' (FDRE) to 'DataMem/Mem_reg[241][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[241][0]' (FDRE) to 'DataMem/Mem_reg[223][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[206][0]' (FDRE) to 'DataMem/Mem_reg[223][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[207][0]' (FDRE) to 'DataMem/Mem_reg[223][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[204][0]' (FDRE) to 'DataMem/Mem_reg[223][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[205][0]' (FDRE) to 'DataMem/Mem_reg[223][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[202][0]' (FDRE) to 'DataMem/Mem_reg[223][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[203][0]' (FDRE) to 'DataMem/Mem_reg[223][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[200][0]' (FDRE) to 'DataMem/Mem_reg[223][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[201][0]' (FDRE) to 'DataMem/Mem_reg[223][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[198][0]' (FDRE) to 'DataMem/Mem_reg[223][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[199][0]' (FDRE) to 'DataMem/Mem_reg[223][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[196][0]' (FDRE) to 'DataMem/Mem_reg[223][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[197][0]' (FDRE) to 'DataMem/Mem_reg[223][0]' +INFO: [Common 17-14] Message 'Synth 8-3886' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. +INFO: [Synth 8-3333] propagating constant 0 across sequential element (\DataMem/Mem_reg[145][0] ) +INFO: [Synth 8-3333] propagating constant 0 across sequential element (\DataMem/Mem_reg[145][1] ) +INFO: [Synth 8-3333] propagating constant 0 across sequential element (\DataMem/Mem_reg[145][2] ) +INFO: [Synth 8-3333] propagating constant 0 across sequential element (\DataMem/Mem_reg[145][3] ) +INFO: [Synth 8-3333] propagating constant 0 across sequential element (\DataMem/Mem_reg[209][4] ) +INFO: [Synth 8-3333] propagating constant 0 across sequential element (\DataMem/Mem_reg[209][5] ) +INFO: [Synth 8-3333] propagating constant 0 across sequential element (\DataMem/Mem_reg[145][6] ) +INFO: [Synth 8-3333] propagating constant 0 across sequential element (\DataMem/Mem_reg[153][7] ) +WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[145][0]) is unused and will be removed from module Pipeline. +WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[145][1]) is unused and will be removed from module Pipeline. +WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[145][2]) is unused and will be removed from module Pipeline. +WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[145][3]) is unused and will be removed from module Pipeline. +WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[209][4]) is unused and will be removed from module Pipeline. +WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[209][5]) is unused and will be removed from module Pipeline. +WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[145][6]) is unused and will be removed from module Pipeline. +WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[153][7]) is unused and will be removed from module Pipeline. --------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:13 ; elapsed = 00:00:25 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57609 ; free virtual = 69379 +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:18 ; elapsed = 00:00:30 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7123 ; free virtual = 18911 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -445,13 +465,13 @@ Report RTL Partitions: Start Applying XDC Timing Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:17 ; elapsed = 00:00:31 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57516 ; free virtual = 69285 +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:22 ; elapsed = 00:00:37 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7010 ; free virtual = 18799 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Timing Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:00:17 ; elapsed = 00:00:31 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57516 ; free virtual = 69285 +Finished Timing Optimization : Time (s): cpu = 00:00:22 ; elapsed = 00:00:37 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7010 ; free virtual = 18798 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -463,7 +483,7 @@ Report RTL Partitions: Start Technology Mapping --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:17 ; elapsed = 00:00:31 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57516 ; free virtual = 69285 +Finished Technology Mapping : Time (s): cpu = 00:00:22 ; elapsed = 00:00:37 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7000 ; free virtual = 18789 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -487,7 +507,7 @@ Start Final Netlist Cleanup Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 +Finished IO Insertion : Time (s): cpu = 00:00:23 ; elapsed = 00:00:38 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7000 ; free virtual = 18789 --------------------------------------------------------------------------------- Report Check Netlist: @@ -500,7 +520,7 @@ Report Check Netlist: Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 +Finished Renaming Generated Instances : Time (s): cpu = 00:00:23 ; elapsed = 00:00:38 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7000 ; free virtual = 18789 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -512,25 +532,25 @@ Report RTL Partitions: Start Rebuilding User Hierarchy --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:23 ; elapsed = 00:00:38 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7000 ; free virtual = 18789 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Ports --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 +Finished Renaming Generated Ports : Time (s): cpu = 00:00:23 ; elapsed = 00:00:38 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7000 ; free virtual = 18789 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:23 ; elapsed = 00:00:38 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7000 ; free virtual = 18789 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Nets --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 +Finished Renaming Generated Nets : Time (s): cpu = 00:00:23 ; elapsed = 00:00:38 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7000 ; free virtual = 18789 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Writing Synthesis Report @@ -543,35 +563,57 @@ Report BlackBoxes: +-+--------------+----------+ Report Cell Usage: -+-+-----+------+ -| |Cell |Count | -+-+-----+------+ -+-+-----+------+ ++------+-------+------+ +| |Cell |Count | ++------+-------+------+ +|1 |BUFG | 1| +|2 |CARRY4 | 33| +|3 |LUT2 | 51| +|4 |LUT3 | 67| +|5 |LUT4 | 41| +|6 |LUT5 | 55| +|7 |LUT6 | 209| +|8 |MUXF7 | 19| +|9 |FDRE | 330| +|10 |FDSE | 12| +|11 |IBUF | 5| +|12 |OBUF | 8| ++------+-------+------+ Report Instance Areas: -+------+---------+-------+------+ -| |Instance |Module |Cells | -+------+---------+-------+------+ -|1 |top | | 0| -+------+---------+-------+------+ ++------+-----------------+-------------+------+ +| |Instance |Module |Cells | ++------+-----------------+-------------+------+ +|1 |top | | 831| +|2 | DataMem |DataMemory | 168| +|3 | Stage1 |Stage_Li_Di | 35| +|4 | Stage2 |Stage_Di_Ex | 213| +|5 | Stage3 |Stage_Ex_Mem | 63| +|6 | Stage4 |Stage_Mem_Re | 37| +|7 | StageRegisters |Registers | 230| +|8 | Ual |ALU | 35| +|9 | inst_point |IP | 36| ++------+-----------------+-------------+------+ --------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 +Finished Writing Synthesis Report : Time (s): cpu = 00:00:23 ; elapsed = 00:00:38 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7000 ; free virtual = 18789 --------------------------------------------------------------------------------- -Synthesis finished with 0 errors, 0 critical warnings and 2331 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:11 ; elapsed = 00:00:17 . Memory (MB): peak = 1673.281 ; gain = 142.324 ; free physical = 57567 ; free virtual = 69336 -Synthesis Optimization Complete : Time (s): cpu = 00:00:18 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57570 ; free virtual = 69340 +Synthesis finished with 0 errors, 0 critical warnings and 10 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:16 ; elapsed = 00:00:22 . Memory (MB): peak = 1651.219 ; gain = 139.453 ; free physical = 7056 ; free virtual = 18845 +Synthesis Optimization Complete : Time (s): cpu = 00:00:23 ; elapsed = 00:00:38 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7056 ; free virtual = 18845 INFO: [Project 1-571] Translating synthesized netlist +INFO: [Netlist 29-17] Analyzing 57 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-570] Preparing netlist for logic optimization INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. INFO: [Common 17-83] Releasing license: Synthesis -159 Infos, 108 Warnings, 0 Critical Warnings and 0 Errors encountered. +270 Infos, 15 Warnings, 3 Critical Warnings and 0 Errors encountered. synth_design completed successfully -synth_design: Time (s): cpu = 00:00:19 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 513.461 ; free physical = 57552 ; free virtual = 69322 +synth_design: Time (s): cpu = 00:00:24 ; elapsed = 00:00:38 . Memory (MB): peak = 1659.227 ; gain = 499.406 ; free physical = 7043 ; free virtual = 18832 WARNING: [Constraints 18-5210] No constraint will be written out. INFO: [Common 17-1381] The checkpoint '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1/Pipeline.dcp' has been generated. INFO: [runtcl-4] Executing : report_utilization -file Pipeline_utilization_synth.rpt -pb Pipeline_utilization_synth.pb -report_utilization: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1673.281 ; gain = 0.000 ; free physical = 57537 ; free virtual = 69306 -INFO: [Common 17-206] Exiting Vivado at Tue May 30 09:13:40 2023... +report_utilization: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.14 . Memory (MB): peak = 1683.238 ; gain = 0.000 ; free physical = 7046 ; free virtual = 18834 +INFO: [Common 17-206] Exiting Vivado at Wed May 31 17:57:07 2023... diff --git a/VHDL/ALU/ALU.runs/synth_1/Pipeline_utilization_synth.pb b/VHDL/ALU/ALU.runs/synth_1/Pipeline_utilization_synth.pb index a29faa5e62148053506e54b1ed307e0802e76f96..3e7476f30bd33d044d2a0db4a01869548fb9f2c5 100644 GIT binary patch delta 96 zcmbQjG=*t`xyx$jxx5SwO72g2HOhkQ-wH7_>~!8M%+RpNtyScx-xK>@Nd_Re3nazd umP&!xYvmaj0v!JW$tzAr6~0@#I8LnA;A^n|YXOvWI%>(l;NdWFV=@5A79HOJ delta 96 zcmbQjG=*t`xeEisTwaC-CHJSi3_$Q!2t@7`W@uRC)+z#G_ez4uyFgORZK)K9y;dGX d{sod(oQ^7h*b}QY_<$l7K&I1COR&hsWB}om6oUW& diff --git a/VHDL/ALU/ALU.runs/synth_1/Pipeline_utilization_synth.rpt b/VHDL/ALU/ALU.runs/synth_1/Pipeline_utilization_synth.rpt index d935c8b..f247b36 100644 --- a/VHDL/ALU/ALU.runs/synth_1/Pipeline_utilization_synth.rpt +++ b/VHDL/ALU/ALU.runs/synth_1/Pipeline_utilization_synth.rpt @@ -1,12 +1,12 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ----------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.2 (lin64) Build 2258646 Thu Jun 14 20:02:38 MDT 2018 -| Date : Tue May 30 09:13:39 2023 -| Host : insa-11267 running 64-bit Ubuntu 20.04.6 LTS +| Date : Wed May 31 17:57:07 2023 +| Host : insa-20668 running 64-bit Ubuntu 20.04.6 LTS | Command : report_utilization -file Pipeline_utilization_synth.rpt -pb Pipeline_utilization_synth.pb | Design : Pipeline | Device : 7a35tcpg236-1 -| Design State : Fully Placed +| Design State : Synthesized ----------------------------------------------------------------------------------------------------------- Utilization Design Information @@ -15,15 +15,14 @@ Table of Contents ----------------- 1. Slice Logic 1.1 Summary of Registers by Type -2. Slice Logic Distribution -3. Memory -4. DSP -5. IO and GT Specific -6. Clocking -7. Specific Feature -8. Primitives -9. Black Boxes -10. Instantiated Netlists +2. Memory +3. DSP +4. IO and GT Specific +5. Clocking +6. Specific Feature +7. Primitives +8. Black Boxes +9. Instantiated Netlists 1. Slice Logic -------------- @@ -31,15 +30,16 @@ Table of Contents +-------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-------------------------+------+-------+-----------+-------+ -| Slice LUTs | 0 | 0 | 20800 | 0.00 | -| LUT as Logic | 0 | 0 | 20800 | 0.00 | +| Slice LUTs* | 371 | 0 | 20800 | 1.78 | +| LUT as Logic | 371 | 0 | 20800 | 1.78 | | LUT as Memory | 0 | 0 | 9600 | 0.00 | -| Slice Registers | 0 | 0 | 41600 | 0.00 | -| Register as Flip Flop | 0 | 0 | 41600 | 0.00 | +| Slice Registers | 342 | 0 | 41600 | 0.82 | +| Register as Flip Flop | 342 | 0 | 41600 | 0.82 | | Register as Latch | 0 | 0 | 41600 | 0.00 | -| F7 Muxes | 0 | 0 | 16300 | 0.00 | +| F7 Muxes | 19 | 0 | 16300 | 0.12 | | F8 Muxes | 0 | 0 | 8150 | 0.00 | +-------------------------+------+-------+-----------+-------+ +* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. 1.1 Summary of Registers by Type @@ -56,31 +56,12 @@ Table of Contents | 0 | Yes | - | - | | 0 | Yes | - | Set | | 0 | Yes | - | Reset | -| 0 | Yes | Set | - | -| 0 | Yes | Reset | - | +| 12 | Yes | Set | - | +| 330 | Yes | Reset | - | +-------+--------------+-------------+--------------+ -2. Slice Logic Distribution ---------------------------- - -+--------------------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+--------------------------+------+-------+-----------+-------+ -| Slice | 0 | 0 | 8150 | 0.00 | -| SLICEL | 0 | 0 | | | -| SLICEM | 0 | 0 | | | -| LUT as Logic | 0 | 0 | 20800 | 0.00 | -| LUT as Memory | 0 | 0 | 9600 | 0.00 | -| LUT as Distributed RAM | 0 | 0 | | | -| LUT as Shift Register | 0 | 0 | | | -| LUT Flip Flop Pairs | 0 | 0 | 20800 | 0.00 | -| Unique Control Sets | 0 | | | | -+--------------------------+------+-------+-----------+-------+ -* Note: Review the Control Sets Report for more information regarding control sets. - - -3. Memory +2. Memory --------- +----------------+------+-------+-----------+-------+ @@ -93,7 +74,7 @@ Table of Contents * Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 -4. DSP +3. DSP ------ +-----------+------+-------+-----------+-------+ @@ -103,13 +84,13 @@ Table of Contents +-----------+------+-------+-----------+-------+ -5. IO and GT Specific +4. IO and GT Specific --------------------- +-----------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-----------------------------+------+-------+-----------+-------+ -| Bonded IOB | 0 | 0 | 106 | 0.00 | +| Bonded IOB | 13 | 0 | 106 | 12.26 | | Bonded IPADs | 0 | 0 | 10 | 0.00 | | Bonded OPADs | 0 | 0 | 4 | 0.00 | | PHY_CONTROL | 0 | 0 | 5 | 0.00 | @@ -128,13 +109,13 @@ Table of Contents +-----------------------------+------+-------+-----------+-------+ -6. Clocking +5. Clocking ----------- +------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +------------+------+-------+-----------+-------+ -| BUFGCTRL | 0 | 0 | 32 | 0.00 | +| BUFGCTRL | 1 | 0 | 32 | 3.13 | | BUFIO | 0 | 0 | 20 | 0.00 | | MMCME2_ADV | 0 | 0 | 5 | 0.00 | | PLLE2_ADV | 0 | 0 | 5 | 0.00 | @@ -144,7 +125,7 @@ Table of Contents +------------+------+-------+-----------+-------+ -7. Specific Feature +6. Specific Feature ------------------- +-------------+------+-------+-----------+-------+ @@ -162,15 +143,28 @@ Table of Contents +-------------+------+-------+-----------+-------+ -8. Primitives +7. Primitives ------------- +----------+------+---------------------+ | Ref Name | Used | Functional Category | +----------+------+---------------------+ +| FDRE | 330 | Flop & Latch | +| LUT6 | 209 | LUT | +| LUT3 | 67 | LUT | +| LUT5 | 55 | LUT | +| LUT2 | 51 | LUT | +| LUT4 | 41 | LUT | +| CARRY4 | 33 | CarryLogic | +| MUXF7 | 19 | MuxFx | +| FDSE | 12 | Flop & Latch | +| OBUF | 8 | IO | +| IBUF | 5 | IO | +| BUFG | 1 | Clock | ++----------+------+---------------------+ -9. Black Boxes +8. Black Boxes -------------- +----------+------+ @@ -178,8 +172,8 @@ Table of Contents +----------+------+ -10. Instantiated Netlists -------------------------- +9. Instantiated Netlists +------------------------ +----------+------+ | Ref Name | Used | diff --git a/VHDL/ALU/ALU.runs/synth_1/gen_run.xml b/VHDL/ALU/ALU.runs/synth_1/gen_run.xml index 43a1ea5..2247bbd 100644 --- a/VHDL/ALU/ALU.runs/synth_1/gen_run.xml +++ b/VHDL/ALU/ALU.runs/synth_1/gen_run.xml @@ -1,11 +1,14 @@ - - - - + + + + + + + @@ -82,14 +85,14 @@ - + - diff --git a/VHDL/ALU/ALU.runs/synth_1/runme.log b/VHDL/ALU/ALU.runs/synth_1/runme.log index 3e75e98..f824df8 100644 --- a/VHDL/ALU/ALU.runs/synth_1/runme.log +++ b/VHDL/ALU/ALU.runs/synth_1/runme.log @@ -14,46 +14,46 @@ Starting synth_design Attempting to get a license for feature 'Synthesis' and/or device 'xc7a35t' INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a35t' INFO: Launching helper process for spawning children vivado processes -INFO: Helper process launched with PID 10853 +INFO: Helper process launched with PID 144101 --------------------------------------------------------------------------------- -Starting RTL Elaboration : Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1255.273 ; gain = 83.699 ; free physical = 57815 ; free virtual = 69577 +Starting RTL Elaboration : Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 1255.273 ; gain = 83.828 ; free physical = 7354 ; free virtual = 19137 --------------------------------------------------------------------------------- -INFO: [Synth 8-638] synthesizing module 'Pipeline' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:38] -INFO: [Synth 8-3491] module 'IP' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd:36' bound to instance 'inst_point' of component 'IP' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:177] +INFO: [Synth 8-638] synthesizing module 'Pipeline' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:40] +INFO: [Synth 8-3491] module 'IP' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd:36' bound to instance 'inst_point' of component 'IP' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:182] INFO: [Synth 8-638] synthesizing module 'IP' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd:45] INFO: [Synth 8-256] done synthesizing module 'IP' (1#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd:45] -INFO: [Synth 8-3491] module 'InstructionMemory' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd:35' bound to instance 'MemInst' of component 'InstructionMemory' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:187] +INFO: [Synth 8-3491] module 'InstructionMemory' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd:35' bound to instance 'MemInst' of component 'InstructionMemory' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:192] INFO: [Synth 8-638] synthesizing module 'InstructionMemory' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd:41] INFO: [Synth 8-256] done synthesizing module 'InstructionMemory' (2#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd:41] -INFO: [Synth 8-3491] module 'Stage_Li_Di' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd:34' bound to instance 'Stage1' of component 'Stage_Li_Di' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:193] +INFO: [Synth 8-3491] module 'Stage_Li_Di' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd:34' bound to instance 'Stage1' of component 'Stage_Li_Di' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:198] INFO: [Synth 8-638] synthesizing module 'Stage_Li_Di' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd:47] INFO: [Synth 8-256] done synthesizing module 'Stage_Li_Di' (3#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd:47] -INFO: [Synth 8-3491] module 'Registers' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd:35' bound to instance 'StageRegisters' of component 'Registers' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:205] -INFO: [Synth 8-638] synthesizing module 'Registers' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd:47] -INFO: [Synth 8-256] done synthesizing module 'Registers' (4#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd:47] -INFO: [Synth 8-3491] module 'Stage_Di_Ex' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd:34' bound to instance 'Stage2' of component 'Stage_Di_Ex' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:217] +INFO: [Synth 8-3491] module 'Registers' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd:35' bound to instance 'StageRegisters' of component 'Registers' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:210] +INFO: [Synth 8-638] synthesizing module 'Registers' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd:49] +INFO: [Synth 8-256] done synthesizing module 'Registers' (4#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd:49] +INFO: [Synth 8-3491] module 'Stage_Di_Ex' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd:34' bound to instance 'Stage2' of component 'Stage_Di_Ex' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:224] INFO: [Synth 8-638] synthesizing module 'Stage_Di_Ex' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd:47] INFO: [Synth 8-256] done synthesizing module 'Stage_Di_Ex' (5#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd:47] -INFO: [Synth 8-3491] module 'ALU' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:36' bound to instance 'Ual' of component 'ALU' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:229] -INFO: [Synth 8-638] synthesizing module 'ALU' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:61] -INFO: [Synth 8-256] done synthesizing module 'ALU' (6#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:61] -INFO: [Synth 8-3491] module 'Stage_Ex_Mem' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd:34' bound to instance 'Stage3' of component 'Stage_Ex_Mem' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:240] +INFO: [Synth 8-3491] module 'ALU' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:36' bound to instance 'Ual' of component 'ALU' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:236] +INFO: [Synth 8-638] synthesizing module 'ALU' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:64] +WARNING: [Synth 8-614] signal 'JumpFlagIn' is read in the process but is not in the sensitivity list [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:68] +INFO: [Synth 8-256] done synthesizing module 'ALU' (6#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:64] +INFO: [Synth 8-3491] module 'Stage_Ex_Mem' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd:34' bound to instance 'Stage3' of component 'Stage_Ex_Mem' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:249] INFO: [Synth 8-638] synthesizing module 'Stage_Ex_Mem' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd:45] INFO: [Synth 8-256] done synthesizing module 'Stage_Ex_Mem' (7#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd:45] -INFO: [Synth 8-3491] module 'DataMemory' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd:35' bound to instance 'DataMem' of component 'DataMemory' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:250] +INFO: [Synth 8-3491] module 'DataMemory' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd:35' bound to instance 'DataMem' of component 'DataMemory' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:259] INFO: [Synth 8-638] synthesizing module 'DataMemory' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd:44] INFO: [Synth 8-256] done synthesizing module 'DataMemory' (8#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd:44] -INFO: [Synth 8-3491] module 'Stage_Mem_Re' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd:34' bound to instance 'Stage4' of component 'Stage_Mem_Re' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:259] +INFO: [Synth 8-3491] module 'Stage_Mem_Re' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd:34' bound to instance 'Stage4' of component 'Stage_Mem_Re' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:268] INFO: [Synth 8-638] synthesizing module 'Stage_Mem_Re' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd:45] INFO: [Synth 8-256] done synthesizing module 'Stage_Mem_Re' (9#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd:45] -INFO: [Synth 8-3491] module 'AleaControler' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd:28' bound to instance 'CU' of component 'AleaControler' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:329] +INFO: [Synth 8-3491] module 'AleaControler' declared at '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd:28' bound to instance 'CU' of component 'AleaControler' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:339] INFO: [Synth 8-638] synthesizing module 'AleaControler' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd:40] INFO: [Synth 8-256] done synthesizing module 'AleaControler' (10#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd:40] -WARNING: [Synth 8-3848] Net Rst in module/entity Pipeline does not have driver. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:50] -WARNING: [Synth 8-3848] Net jump in module/entity Pipeline does not have driver. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:170] -INFO: [Synth 8-256] done synthesizing module 'Pipeline' (11#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:38] +INFO: [Synth 8-256] done synthesizing module 'Pipeline' (11#1) [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:40] +WARNING: [Synth 8-3331] design InstructionMemory has unconnected port Clk --------------------------------------------------------------------------------- -Finished RTL Elaboration : Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 1313.898 ; gain = 142.324 ; free physical = 57806 ; free virtual = 69569 +Finished RTL Elaboration : Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 1310.898 ; gain = 139.453 ; free physical = 7345 ; free virtual = 19128 --------------------------------------------------------------------------------- Report Check Netlist: @@ -62,46 +62,54 @@ Report Check Netlist: +------+------------------+-------+---------+-------+------------------+ |1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | +------+------------------+-------+---------+-------+------------------+ -WARNING: [Synth 8-3295] tying undriven pin inst_point:LOAD to constant 0 [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:177] -WARNING: [Synth 8-3295] tying undriven pin StageRegisters:Rst to constant 0 [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:205] -WARNING: [Synth 8-3295] tying undriven pin DataMem:Rst to constant 0 [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:250] --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 1313.898 ; gain = 142.324 ; free physical = 57814 ; free virtual = 69577 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 1310.898 ; gain = 139.453 ; free physical = 7348 ; free virtual = 19131 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 1313.898 ; gain = 142.324 ; free physical = 57814 ; free virtual = 69577 +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 1310.898 ; gain = 139.453 ; free physical = 7348 ; free virtual = 19131 --------------------------------------------------------------------------------- INFO: [Device 21-403] Loading part xc7a35tcpg236-1 INFO: [Project 1-570] Preparing netlist for logic optimization Processing XDC Constraints Initializing timing engine -Parsing XDC File [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/cpu.xdc] -Finished Parsing XDC File [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/cpu.xdc] +Parsing XDC File [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc] +WARNING: [Vivado 12-584] No ports matched 'CLK'. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc:1] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc:1] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'CLK'. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc:2] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc:2] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-507] No nets matched 'Stage2/Jump_Flag'. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc:9] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc:9] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +Finished Parsing XDC File [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc] +INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/constrs_1/new/test_cpu.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/Pipeline_propImpl.xdc]. +Resolution: To avoid this warning, move constraints listed in [.Xil/Pipeline_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. Completed Processing XDC Constraints INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -Constraint Validation Runtime : Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1673.281 ; gain = 0.000 ; free physical = 57555 ; free virtual = 69319 +Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1651.219 ; gain = 0.000 ; free physical = 7109 ; free virtual = 18892 --------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:10 ; elapsed = 00:00:20 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57631 ; free virtual = 69396 +Finished Constraint Validation : Time (s): cpu = 00:00:10 ; elapsed = 00:00:21 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7183 ; free virtual = 18967 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- Loading part: xc7a35tcpg236-1 --------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:10 ; elapsed = 00:00:20 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57631 ; free virtual = 69396 +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:10 ; elapsed = 00:00:21 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7183 ; free virtual = 18967 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying 'set_property' XDC Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:10 ; elapsed = 00:00:20 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57631 ; free virtual = 69396 +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:10 ; elapsed = 00:00:21 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7183 ; free virtual = 18967 --------------------------------------------------------------------------------- INFO: [Synth 8-5546] ROM "Mem" won't be mapped to RAM because it is too sparse INFO: [Synth 8-5544] ROM "Regs_reg[0]" won't be mapped to Block RAM because address size (4) smaller than threshold (5) @@ -220,9 +228,8 @@ INFO: [Synth 8-5546] ROM "Mem_reg[96]" won't be mapped to RAM because it is too INFO: [Synth 8-5546] ROM "Mem_reg[97]" won't be mapped to RAM because it is too sparse INFO: [Synth 8-5546] ROM "Mem_reg[98]" won't be mapped to RAM because it is too sparse INFO: [Common 17-14] Message 'Synth 8-5546' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. -WARNING: [Synth 8-327] inferring latch for variable 'Mem_FinalB_reg' [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:261] --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:11 ; elapsed = 00:00:22 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57618 ; free virtual = 69383 +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:11 ; elapsed = 00:00:23 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7161 ; free virtual = 18945 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -239,11 +246,10 @@ Detailed RTL Component Info : 2 Input 8 Bit Adders := 2 3 Input 8 Bit Adders := 1 +---Registers : - 32 Bit Registers := 1 - 8 Bit Registers := 288 + 8 Bit Registers := 287 +---Muxes : 257 Input 32 Bit Muxes := 1 - 2 Input 8 Bit Muxes := 8 + 2 Input 8 Bit Muxes := 13 2 Input 1 Bit Muxes := 279 12 Input 1 Bit Muxes := 3 --------------------------------------------------------------------------------- @@ -256,8 +262,8 @@ Hierarchical RTL Component report Module Pipeline Detailed RTL Component Info : +---Muxes : - 2 Input 8 Bit Muxes := 3 - 2 Input 1 Bit Muxes := 2 + 2 Input 8 Bit Muxes := 7 + 2 Input 1 Bit Muxes := 1 Module IP Detailed RTL Component Info : +---Adders : @@ -269,8 +275,6 @@ Detailed RTL Component Info : 2 Input 1 Bit Muxes := 1 Module InstructionMemory Detailed RTL Component Info : -+---Registers : - 32 Bit Registers := 1 +---Muxes : 257 Input 32 Bit Muxes := 1 Module Stage_Li_Di @@ -282,7 +286,7 @@ Detailed RTL Component Info : +---Registers : 8 Bit Registers := 16 +---Muxes : - 2 Input 8 Bit Muxes := 2 + 2 Input 8 Bit Muxes := 3 2 Input 1 Bit Muxes := 16 Module Stage_Di_Ex Detailed RTL Component Info : @@ -296,7 +300,7 @@ Detailed RTL Component Info : 2 Input 8 Bit Adders := 1 +---Muxes : 2 Input 8 Bit Muxes := 2 - 2 Input 1 Bit Muxes := 4 + 2 Input 1 Bit Muxes := 5 12 Input 1 Bit Muxes := 3 Module Stage_Ex_Mem Detailed RTL Component Info : @@ -305,7 +309,7 @@ Detailed RTL Component Info : Module DataMemory Detailed RTL Component Info : +---Registers : - 8 Bit Registers := 257 + 8 Bit Registers := 256 +---Muxes : 2 Input 1 Bit Muxes := 256 Module Stage_Mem_Re @@ -330,109 +334,125 @@ Start Cross Boundary and Area Optimization Warning: Parallel synthesis criteria is not met WARNING: [Synth 8-3936] Found unconnected internal register 'Stage4/Out_A_reg' and it is trimmed from '8' to '4' bits. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd:51] WARNING: [Synth 8-3936] Found unconnected internal register 'Stage1/Out_C_reg' and it is trimmed from '8' to '4' bits. [/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd:55] -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[0][7]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[0][6]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[0][5]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[0][4]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[0][3]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[0][2]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[0][1]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[0][0]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[1][7]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[1][6]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[1][5]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[1][4]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[1][3]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[1][2]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[1][1]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[1][0]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[2][7]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[2][6]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[2][5]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[2][4]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[2][3]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[2][2]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[2][1]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[2][0]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[3][7]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[3][6]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[3][5]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[3][4]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[3][3]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[3][2]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[3][1]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[3][0]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[4][7]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[4][6]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[4][5]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[4][4]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[4][3]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[4][2]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[4][1]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[4][0]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[5][7]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[5][6]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[5][5]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[5][4]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[5][3]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[5][2]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[5][1]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[5][0]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[6][7]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[6][6]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[6][5]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[6][4]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[6][3]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[6][2]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[6][1]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[6][0]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[7][7]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[7][6]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[7][5]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[7][4]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[7][3]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[7][2]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[7][1]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[7][0]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[8][7]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[8][6]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[8][5]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[8][4]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[8][3]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[8][2]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[8][1]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[8][0]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[9][7]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[9][6]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[9][5]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[9][4]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[9][3]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[9][2]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[9][1]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[9][0]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[10][7]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[10][6]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[10][5]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[10][4]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[10][3]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[10][2]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[10][1]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[10][0]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[11][7]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[11][6]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[11][5]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[11][4]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[11][3]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[11][2]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[11][1]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[11][0]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[12][7]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[12][6]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[12][5]) is unused and will be removed from module Pipeline. -WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[12][4]) is unused and will be removed from module Pipeline. -INFO: [Common 17-14] Message 'Synth 8-3332' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. +INFO: [Synth 8-3886] merging instance 'Stage1/Out_Op_reg[5]' (FD) to 'Stage1/Out_Op_reg[7]' +INFO: [Synth 8-3886] merging instance 'Stage1/Out_Op_reg[7]' (FD) to 'Stage1/Out_Op_reg[6]' +INFO: [Synth 8-3886] merging instance 'Stage2/Out_Op_reg[6]' (FD) to 'Stage2/Out_Op_reg[7]' +INFO: [Synth 8-3886] merging instance 'Stage2/Out_Op_reg[7]' (FD) to 'Stage2/Out_Op_reg[5]' +INFO: [Synth 8-3886] merging instance 'Stage3/Out_Op_reg[6]' (FD) to 'Stage3/Out_Op_reg[7]' +INFO: [Synth 8-3886] merging instance 'Stage3/Out_Op_reg[7]' (FD) to 'Stage3/Out_Op_reg[5]' +INFO: [Synth 8-3886] merging instance 'Stage4/Out_Op_reg[6]' (FD) to 'Stage4/Out_Op_reg[7]' +INFO: [Synth 8-3886] merging instance 'Stage4/Out_Op_reg[7]' (FD) to 'Stage4/Out_Op_reg[5]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[46][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[47][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[44][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[45][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[42][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[43][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[40][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[41][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[38][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[39][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[36][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[37][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[34][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[35][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[32][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[33][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[62][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[63][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[60][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[61][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[58][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[59][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[56][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[57][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[54][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[55][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[52][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[53][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[50][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[51][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[48][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[49][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[30][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[31][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[28][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[29][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[26][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[27][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[24][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[25][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[22][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[23][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[20][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[21][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[18][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[19][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[16][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[17][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[238][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[239][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[236][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[237][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[234][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[235][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[232][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[233][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[230][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[231][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[228][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[229][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[226][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[227][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[224][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[225][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[254][0]' (FDRE) to 'DataMem/Mem_reg[255][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[255][0]' (FDRE) to 'DataMem/Mem_reg[253][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[252][0]' (FDRE) to 'DataMem/Mem_reg[253][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[253][0]' (FDRE) to 'DataMem/Mem_reg[251][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[250][0]' (FDRE) to 'DataMem/Mem_reg[251][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[251][0]' (FDRE) to 'DataMem/Mem_reg[249][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[248][0]' (FDRE) to 'DataMem/Mem_reg[249][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[249][0]' (FDRE) to 'DataMem/Mem_reg[247][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[246][0]' (FDRE) to 'DataMem/Mem_reg[247][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[247][0]' (FDRE) to 'DataMem/Mem_reg[245][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[244][0]' (FDRE) to 'DataMem/Mem_reg[245][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[245][0]' (FDRE) to 'DataMem/Mem_reg[243][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[242][0]' (FDRE) to 'DataMem/Mem_reg[243][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[243][0]' (FDRE) to 'DataMem/Mem_reg[241][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[240][0]' (FDRE) to 'DataMem/Mem_reg[241][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[241][0]' (FDRE) to 'DataMem/Mem_reg[223][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[206][0]' (FDRE) to 'DataMem/Mem_reg[223][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[207][0]' (FDRE) to 'DataMem/Mem_reg[223][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[204][0]' (FDRE) to 'DataMem/Mem_reg[223][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[205][0]' (FDRE) to 'DataMem/Mem_reg[223][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[202][0]' (FDRE) to 'DataMem/Mem_reg[223][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[203][0]' (FDRE) to 'DataMem/Mem_reg[223][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[200][0]' (FDRE) to 'DataMem/Mem_reg[223][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[201][0]' (FDRE) to 'DataMem/Mem_reg[223][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[198][0]' (FDRE) to 'DataMem/Mem_reg[223][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[199][0]' (FDRE) to 'DataMem/Mem_reg[223][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[196][0]' (FDRE) to 'DataMem/Mem_reg[223][0]' +INFO: [Synth 8-3886] merging instance 'DataMem/Mem_reg[197][0]' (FDRE) to 'DataMem/Mem_reg[223][0]' +INFO: [Common 17-14] Message 'Synth 8-3886' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. +INFO: [Synth 8-3333] propagating constant 0 across sequential element (\DataMem/Mem_reg[145][0] ) +INFO: [Synth 8-3333] propagating constant 0 across sequential element (\DataMem/Mem_reg[145][1] ) +INFO: [Synth 8-3333] propagating constant 0 across sequential element (\DataMem/Mem_reg[145][2] ) +INFO: [Synth 8-3333] propagating constant 0 across sequential element (\DataMem/Mem_reg[145][3] ) +INFO: [Synth 8-3333] propagating constant 0 across sequential element (\DataMem/Mem_reg[209][4] ) +INFO: [Synth 8-3333] propagating constant 0 across sequential element (\DataMem/Mem_reg[209][5] ) +INFO: [Synth 8-3333] propagating constant 0 across sequential element (\DataMem/Mem_reg[145][6] ) +INFO: [Synth 8-3333] propagating constant 0 across sequential element (\DataMem/Mem_reg[153][7] ) +WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[145][0]) is unused and will be removed from module Pipeline. +WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[145][1]) is unused and will be removed from module Pipeline. +WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[145][2]) is unused and will be removed from module Pipeline. +WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[145][3]) is unused and will be removed from module Pipeline. +WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[209][4]) is unused and will be removed from module Pipeline. +WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[209][5]) is unused and will be removed from module Pipeline. +WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[145][6]) is unused and will be removed from module Pipeline. +WARNING: [Synth 8-3332] Sequential element (DataMem/Mem_reg[153][7]) is unused and will be removed from module Pipeline. --------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:13 ; elapsed = 00:00:25 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57609 ; free virtual = 69379 +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:18 ; elapsed = 00:00:30 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7123 ; free virtual = 18911 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -444,13 +464,13 @@ Report RTL Partitions: Start Applying XDC Timing Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:17 ; elapsed = 00:00:31 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57516 ; free virtual = 69285 +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:22 ; elapsed = 00:00:37 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7010 ; free virtual = 18799 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Timing Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:00:17 ; elapsed = 00:00:31 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57516 ; free virtual = 69285 +Finished Timing Optimization : Time (s): cpu = 00:00:22 ; elapsed = 00:00:37 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7010 ; free virtual = 18798 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -462,7 +482,7 @@ Report RTL Partitions: Start Technology Mapping --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:17 ; elapsed = 00:00:31 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57516 ; free virtual = 69285 +Finished Technology Mapping : Time (s): cpu = 00:00:22 ; elapsed = 00:00:37 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7000 ; free virtual = 18789 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -486,7 +506,7 @@ Start Final Netlist Cleanup Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 +Finished IO Insertion : Time (s): cpu = 00:00:23 ; elapsed = 00:00:38 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7000 ; free virtual = 18789 --------------------------------------------------------------------------------- Report Check Netlist: @@ -499,7 +519,7 @@ Report Check Netlist: Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 +Finished Renaming Generated Instances : Time (s): cpu = 00:00:23 ; elapsed = 00:00:38 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7000 ; free virtual = 18789 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -511,25 +531,25 @@ Report RTL Partitions: Start Rebuilding User Hierarchy --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:23 ; elapsed = 00:00:38 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7000 ; free virtual = 18789 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Ports --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 +Finished Renaming Generated Ports : Time (s): cpu = 00:00:23 ; elapsed = 00:00:38 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7000 ; free virtual = 18789 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:23 ; elapsed = 00:00:38 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7000 ; free virtual = 18789 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Nets --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 +Finished Renaming Generated Nets : Time (s): cpu = 00:00:23 ; elapsed = 00:00:38 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7000 ; free virtual = 18789 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Writing Synthesis Report @@ -542,35 +562,57 @@ Report BlackBoxes: +-+--------------+----------+ Report Cell Usage: -+-+-----+------+ -| |Cell |Count | -+-+-----+------+ -+-+-----+------+ ++------+-------+------+ +| |Cell |Count | ++------+-------+------+ +|1 |BUFG | 1| +|2 |CARRY4 | 33| +|3 |LUT2 | 51| +|4 |LUT3 | 67| +|5 |LUT4 | 41| +|6 |LUT5 | 55| +|7 |LUT6 | 209| +|8 |MUXF7 | 19| +|9 |FDRE | 330| +|10 |FDSE | 12| +|11 |IBUF | 5| +|12 |OBUF | 8| ++------+-------+------+ Report Instance Areas: -+------+---------+-------+------+ -| |Instance |Module |Cells | -+------+---------+-------+------+ -|1 |top | | 0| -+------+---------+-------+------+ ++------+-----------------+-------------+------+ +| |Instance |Module |Cells | ++------+-----------------+-------------+------+ +|1 |top | | 831| +|2 | DataMem |DataMemory | 168| +|3 | Stage1 |Stage_Li_Di | 35| +|4 | Stage2 |Stage_Di_Ex | 213| +|5 | Stage3 |Stage_Ex_Mem | 63| +|6 | Stage4 |Stage_Mem_Re | 37| +|7 | StageRegisters |Registers | 230| +|8 | Ual |ALU | 35| +|9 | inst_point |IP | 36| ++------+-----------------+-------------+------+ --------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:00:17 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57515 ; free virtual = 69285 +Finished Writing Synthesis Report : Time (s): cpu = 00:00:23 ; elapsed = 00:00:38 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7000 ; free virtual = 18789 --------------------------------------------------------------------------------- -Synthesis finished with 0 errors, 0 critical warnings and 2331 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:11 ; elapsed = 00:00:17 . Memory (MB): peak = 1673.281 ; gain = 142.324 ; free physical = 57567 ; free virtual = 69336 -Synthesis Optimization Complete : Time (s): cpu = 00:00:18 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 501.707 ; free physical = 57570 ; free virtual = 69340 +Synthesis finished with 0 errors, 0 critical warnings and 10 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:16 ; elapsed = 00:00:22 . Memory (MB): peak = 1651.219 ; gain = 139.453 ; free physical = 7056 ; free virtual = 18845 +Synthesis Optimization Complete : Time (s): cpu = 00:00:23 ; elapsed = 00:00:38 . Memory (MB): peak = 1651.219 ; gain = 479.773 ; free physical = 7056 ; free virtual = 18845 INFO: [Project 1-571] Translating synthesized netlist +INFO: [Netlist 29-17] Analyzing 57 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-570] Preparing netlist for logic optimization INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. INFO: [Common 17-83] Releasing license: Synthesis -159 Infos, 108 Warnings, 0 Critical Warnings and 0 Errors encountered. +270 Infos, 15 Warnings, 3 Critical Warnings and 0 Errors encountered. synth_design completed successfully -synth_design: Time (s): cpu = 00:00:19 ; elapsed = 00:00:32 . Memory (MB): peak = 1673.281 ; gain = 513.461 ; free physical = 57552 ; free virtual = 69322 +synth_design: Time (s): cpu = 00:00:24 ; elapsed = 00:00:38 . Memory (MB): peak = 1659.227 ; gain = 499.406 ; free physical = 7043 ; free virtual = 18832 WARNING: [Constraints 18-5210] No constraint will be written out. INFO: [Common 17-1381] The checkpoint '/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1/Pipeline.dcp' has been generated. INFO: [runtcl-4] Executing : report_utilization -file Pipeline_utilization_synth.rpt -pb Pipeline_utilization_synth.pb -report_utilization: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.09 . Memory (MB): peak = 1673.281 ; gain = 0.000 ; free physical = 57537 ; free virtual = 69306 -INFO: [Common 17-206] Exiting Vivado at Tue May 30 09:13:40 2023... +report_utilization: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.14 . Memory (MB): peak = 1683.238 ; gain = 0.000 ; free physical = 7046 ; free virtual = 18834 +INFO: [Common 17-206] Exiting Vivado at Wed May 31 17:57:07 2023... diff --git a/VHDL/ALU/ALU.runs/synth_1/vivado.jou b/VHDL/ALU/ALU.runs/synth_1/vivado.jou index 6074572..8c57bba 100644 --- a/VHDL/ALU/ALU.runs/synth_1/vivado.jou +++ b/VHDL/ALU/ALU.runs/synth_1/vivado.jou @@ -2,8 +2,8 @@ # Vivado v2018.2 (64-bit) # SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 # IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 -# Start of session at: Tue May 30 09:12:59 2023 -# Process ID: 10840 +# Start of session at: Wed May 31 17:56:19 2023 +# Process ID: 144089 # Current directory: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1 # Command line: vivado -log Pipeline.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source Pipeline.tcl # Log file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1/Pipeline.vds diff --git a/VHDL/ALU/ALU.runs/synth_1/vivado.pb b/VHDL/ALU/ALU.runs/synth_1/vivado.pb index d51d0f6ac2ff16cfd72d79bfecedd60b7e1d620e..e50866efc1edff93806be68780783152da001a76 100644 GIT binary patch literal 86696 zcmeHQeT*c>Rrl=O?%9>YIUu>%7sn}|+1%aQ^U)tO)3d>`_v?Hc@A{U#+p}|y8THNd z?zTPCJ?`$g+ck?x2q8u&k@<%|BOyf~hyqE3NFWh`Kt7Oy_z*}$fe;Y<0TL)6goF?h zulj4cr>m!D#xqmXmmS%+Gu<<<-h1`xSFft;y@%T9^orhW%36I9&ztDX$#XMBVd1{p z>TS8MTeSwiiVEhTX6;w&imC2tIBV%Gyrs63hN>wz+ma0nAJodSP_$~TJzgkfIsQ~# z*_GQ3Ytegib5_i9oUngS>*T@7H!}B}nL&%_>@^F1tZI8W*Z^VS1SeJkJdj@tJQw`+ zCo|}&CCgHpEt`O)<2}W~GH$3fMKcw=s~dP%k*&6&;0yES1q=e_;aXj}4d1XY*$oK= zX<@Dg3eYwFUFg1RL{gB`jEca&6PCbqz1#>uOWM7tKqH zxYlapCvc&#NdDvSd$H1xTc%R)T;y>MZz@gQIK&q>m*FQZMSdA>b9}LwuAwNnwSQ=;HMs#d%0f}}F5gxSt8HK9Dx6S8QJsTerw>j$g8gp>Jz$Fj zefKJ{sHR?TH=KSx13E1U(!#7Rn};U<5W3g1-Y8A}=Q2pk@9Rw^Z#3kZp{ob^Ww0T+ zoflOj55KpnsQCbJCgc9ZY!w3=isx4jBEXw^jX{My(A4DfOiI461ISEjvLXglO)BoPT z>Bq_b+T?mN*4m4)GDofb*Jsdt9Sqi)Hz-3MUz|4|oi{I$q0bjfNtXO}l%dR7e9Yyk z*A_?|G>~68IX-NdM?bs{qs?g7$c*8@KV{JUVg}ur09JH!(gQk*6fR+c2MLHgl$(7@ zIz=#283;x`KGXLM+NUZ$&aTsAQHC1;WxhEi%JiuoKo_23XEC6YI%Rf&&?m+SLhnXz z0Uvfxscxv%Rh6RCk7m#-+BN?QR zA6I-1dY}r;ojH&0w^7CaJ;fRl1e_jh;ko$bFa=xwum43+f(l^nm~0XV zxRRg_fDhUX7K19OQC=6OOvGDRRjX?UlrcF;Z^h=J2d>ci+VfUu-m5<=#R)e>h{B7p z!-;|@!+0xkN|@wi>-TUhs!Y^diBkyx2yG6F!Icy#unSrKbBr6c??5MDznOw4KaJG! zyD4O=d$6rq;CDxEQ231%WQx#3C=G%h-|ycai5325CfJK{U{Q+4%;?hQQ!{9dJTio* zIQAnr)x_{5r4G*@Fg#?#EklPVA|}4owlLh%;8B@-$Am|Cs-@mmtwVTvW?EV zdfT|L#sB_xvvs*4@2zVT^O*`o#V>`4qBs$DXy*>*oTpcx9@1BfH5GtO+Ov@uG@S~4 z^)#$55dEbwF8MGz?QG<%9aLdAm||W(pFzg>;WWrZ4_xi#pwX89$>L2B#)|O^9*T$d zk^c9SlC|(UlTMCJwp z0k9!a>?j6J(xJL89GQrt0zJDnU0EE9E9VwD0IQlSip7-&h83cCPioDaVqK|i$AP~;U|vkbkV7!-xVKAbsz zUnRsvJjC#{*#wTft9V*z|EM!o)uZjP5}F;gGIN zA8G-^;tG@@5rZ+Q(qb3Ld|^l}_C9G%6i7Sp5~IK=8I6etv%7Q$M+6>m11IK9yf2%$ zt<`i*Q)(8R&Ti=tJOKyt=g5g`f%7&v`#eDg+kY#Km!md#llUA!F1W?`*Ig(7znnq8 zmbt8Is<{t*^nLQ5r=9#4;!gfUBtuTBkdyxI$$w6$2CTu%Q=S8&sSk`{If1D5DOPyQZ zJMVAc+m8+0@Da9ON9zz<;Jo$zJz6si-7xXdbF!g9oCCarjY6vpe^(W=W~dMj1Cblf z>uBJHUG8Z@xB_)O{_M!9=fM?zo%7&_*{je+IsR-nya7bpl3qR4F({5Wm9}%L{W( z*e3rddTVm1=^W2x#R7>n^<$)-L6^1+1&9*bFjEkRzzz{@=zD4n>w&&Qq)mviS~vyI zr(Xg$?dB|(EtU&Ga_>VAAqW5@Pp=8V=T}!Stdb!N2I3nnJBIcIFNz@we2E7Ne(>uP z=$-2tJmQucPPihm9iXIWdk|FX1g45oh(NJKA~}5mU(cYkTe9J|e_4fS#~0?!7oG6g za%JHyj@#L`)P^~>utsoYL2NIq5he|*3X!6@gL;j&ev>jc=lDXFD~Ck+pA34(v%2jI zn;1eUYs4cZ2L^J8Joqn4;=+BchO8~^!{Gs*IK3OsM4jF#5fS*y#0QzoaeNl!fsVAF z{bwKy`t=!f-aZ|n9JIjNOcJpPyDng#B*0C-MNAMBaSFn+i+)%zY>t`=#5fvyOEIj& zlxkxZVy25lP{zFn_r8%K%DC%Hp+^YT51=W;Ya?n3u!(gRP{DTVDWFg&2SMS-&>8!@ z1fM4dgR10Y&{U6!9@}}uED9u#zz+^W#A_pJ7JrsO@AkJXdQE`RR8N2;c;BDPKX(Fs zJG0(wH6W9K2CI3pf;V9@P#*0N?0XD5tC>P3W=#d@Ric_2Tx*=<(n@R%A;946} zvlxeq$n}Le&L_iH&^!R7`#Z%k9_V6XBjAZTRFry-;&c-ZI4+b$;J;>&F>iL~`+zOW zV5SfFNYC+Mf6v|Hv)^}b?{zMA)}AEW%;|F{M5&YRL_`5c8s7l#xb6&x?Y0IkvH}aL zXy1^G9&Tb1+J=1MU9ME*ay&3(v)vji-6|jcYt&xsof}xnjC4sLVMQ_kcYIH^RGr??VgD?w_~bhM5$yCqa4`KmanK_~~qPje;Lwzy6|~ zy=?Cf%f_RJJ*i;95BvUII|frP&%bny$3M@YFLlPFCtHsmi`{lQ9-Ur3vgxwmn_&xH zMmcA6F11>XLoysM1XuePA{$Q8IdQt=V~-L4)-|Re5!zQeV@mE%&&T6xpzT}}N!wR9 z@%ef4JieoA7c8>!Xo9Z6UxqAX+e@2pp(evh1FLofkfrOe?tx8B#ZEz1srWhDVABuI zdPU8gJn1eL#gJeAtqgjhSG&s%9i9pYTbJu~g9IW$>Nv=D2Wg|Q*_0b_;t9f(WD=_c zclY&1-M;6t=I4}xh*t=(H>-xS_d?;tD2pVE6zzN?T&5hcb_F6kg#JQtJ`s!#b+VHo@lmMY}Oc-!I&a zsri2KZcNSh3wUE{zF))}Q}g{o-k6&27xTu{e7~SKrsmnA9#31SP7d{Wwy4LmMLnJ^ z>hWw*k7tW|JX_S`*`glL7WH_xs3)*RJ%KIi32aeMV2gSJThtTSqMpDO^#r!4C$L35 zfi3EZY*9~Si+Unk)DzjFp2!yUM7F3WvPC_SE$WGEQBP!xdPTOVS7eKNMYgC{WQ%%5 zwy0NRi+V-2s8?i*dPTOVS7eKNCAO$nVvBkuwy0NPi+Uxts8?c(dL_1~S7M8LCAO$n zVvBlZwy0NTi+W|Ys8?o-dS$k#S7wWPWwxkSW{Y}dwy0NTi+U1U)RWkvp2QaQB(|t0 zu|++JE$T^ZQBPuvdJQ&gHUWF~{RoJ3lrC-$h zyA1kpW)lLxCL9D@ucj{p=Rx4C$OCoPY$v094#XeBy}oh?YfeFM1AaJ2M7vH9oS z#7_OC7b+3jcGjU#dLgk$PZ%>r37po#=|i&UK`#S7NlZ)<#XzQ6NaK{40{^)%p(oK} zk7c{kyN5moJ|rc%kCHyZM%T2}m1GUJ1PQL0zI! z=ZB{*1bL@Z?~C^*!g_^jCq%Ch8%k`U#W~nQxV#r2qADo6pN~f%-)r5o!TMm>kAO)imhH(^LH}!fO zvITFcEd@%wC`klHJdKVVPrGJOAL{B?V)LW?Vt*d&xD5%yLqWE@P9I_ zvJ2=(U6rlMmdwOWWT!AF0iC?`9QV+rd;Wc~mSFxQA=37wHxbzNW?$ydexK}x@Bmyv z`Ox-bXjiH8Af)qjC&9~RFCcpku7p)wKo2=vk#;GLZN=2vMosZbHch=gp$yjyRF(1z zr@w@5gak1c(dxA=cxYTH;EOfA0aYorJ!^llRJeqeA$>mFfpZ9=HMjsZ56!G!Zt9h`V&-pLS>4FPB9;7~GmV;=cc9fP zGwf`pIc`Yq_I{mKYM6fj%@lhS;+3*O#jfXPm)X1rYR`UuqFU!{t*?wk>mSRYS0<%( zdkeFt(u-$iq6Q;no`TxXQKf%2gU&Y<1FBx{LA6QKJ{0HJtX+r2HDJ5uiYWLsS72Y& zwgE?pS6g;2V%nKY{w$igyc%VPr&5SJwu&$#$!rZC#tV>Xhh8QN>Zx8{-Cm<$^<(HA-BftUoIxc+8;_&o zy-aTue2wYnT}(0WF`bYy{C9yg#^c`)jMR)N-yte)_aQm8A$e)TAP6^f$8Nv#r_QBrcAHiQ|!+` zM%47`J;nYEOIBtD&!nbt==JFd1`rw$~NicJ;nA8^^{VpcPPe` zUfxseM?wj(^y)puek2s0ORwHj>_ zi$k5Cp`CnT@PKuM%un9pN67r-O@4&Tr`qNx?@W$R^ON@`N67r--N_L$KY4$0gv?Lg zp&TLellLe`$2^0rnh`Re<{1`Bu1|U)GD6L#d4}cFJi{{BuNj@$FnHiPLgq*0+r&)N zwA1_}WPU`x4IAcTS{?M9ZOlYHX3dYtw_(G4OsfNGKJFpncr`zw$F7W+AB}GV)wZc# z#+Wrf8sCNy^D!zL)aGNRi!p0{G`KBm<{H6Jrw zj9K%enPEjX%*V7kpyuNqCyrP1h0)+VBj!hg^Ng4u4bC%Sel$4Gi22drJR{~ugY%4- z9}UhkVm`$<&q0|vsymp5Ns6nCW+lbMY&a-ym}1ROeuka)I)@}v5hd-%0Cf&OnL(wN zp||8cs8t4;q#$V;NhM`rDBnoZkU`ElQ-RcCnx#ViG^GJK$KWQ(FlA?!5(L3ZiU#S< z3KU+Tq}~U+bC7V(f)Gc)ZabJj6w(~}r5M?<$h|oAEb`OOqA=Ag@&y2Nar#*-PBn}6 zh%QYxi$(BO%l)$W`3zdSMh8BUjrDaMvj0`10ms+jHAz2DPzq*32t4;EDg{+DVS=B6)J{g+% z=nEYLOweyE`0+dq{K}&MzXDgFfnT{&q4)L_fgk(cjuyLg#*sU8uC^=~L$AW_lCIIU zc|3ORY56+%cGuYXgADp~XY5dPI#uIEqWAC=jhD4B>cj3N+IC7qlX}slXo*TJ6)LpQ zMe#c-TBqZ&;QZG$9S$@%MoAyxqY!)WQE4@xb~oV_AFaFT|4n=}OQ!Z_{VIm}MS+R&*nuNPlK}8P z5q2;tXx>rd<%Voo3aNF4mz7=JQ1G#0{V{02Nl@(duws84UGz8Aj&&Q>Wb{*lZsW=` zf`(z@dseX;P&N&(G!$8Dw-RWv_b=FU(4#Kmu}Y)X+0XF*%Pyz!cQfcy9j7sfrSa|} z+2U3ja*I5=eF7H>i}0TyjbsNYz3$>_7*`)h4|wk4%Dz&2*&F?d3*vT|&WmW>^{fI8 zme=*(CGT%%dEB|;eK(url|>sqvg@G3x)@3%I&ZyykJb!BH(;IY)}4fRFg;ss_`9l@ zP;e4D=<(d4GL*K^X%#e8kx-A2r(zORd%o^|qo_HN^tC z99atPUyKV!Te3+;z|ki8GIqX@wpL(JrQ9K_HMP+Mx88YcVKe>Ur_=4jN1NTf*G=xh&=~?--UT!&!Eo*F)v25 z$AC8w;IZ%L=qk_~I}w**OE->>7Le{ufRFEX4V|xL&}V}~hpyP;k(08Kvl*Usis%t% zwxGju4cRHE18ag(xf6X&lzXTX^tSm<0M=eZRV z!hf72LkYeLdk1tW3uFm8I?N4~PyOK^TIL z;LzFFxlSnB{1k`bNA&3siZ-26c?f=rJ{>~QrXvo+Psyi4C^~W#hv28|(;*ZI9flGLW?S;fA48ap+uL-ClF~5ro1J{J>do^83rH*E~9y42Dvkm&_YLJdJI6vON9BiD%?;3j#Iq;I9$jP(F2g6g*Dk^j_)bSPE8+|eAAIFW- zw=D@e(r1)(D|sDlI>EW_Cjx-*n2ZisJ?M{}e-{ufz2$J~{p$+mek7kHAr1(7@b3X7 z#%_8BpT7H9HxA(vq0g1#46YY_7eF!DG2T-gX-FI7iR+$H-B7EmszV(Y0m3D93Vs6y1816ZYD=(|Ad(s!f@&_y)NZj5)}j8Ncpw6w9)`RMRJO#ixo zjXxtj+c#+zfc*whiX}}CmV=thmJBz|y zRa4DaG!wf1oVEA~;7$Kf5EE3qJ0qk-?p1~~x=sdXT61UMSr=UhUw-*NUIkQY<@ z)eQPfrqgmT#tLtPAFskPYLNslD)^!a5y7=q+duHcl_;m6Ah@pIAYx9a|-ydbrZ^p^DqBmO&#flfuj$|IwF|T0-Tt@i1A!{Za z0wy~Nc4Rp0B)kgN0tau%=}H9oluii6!on#?$Mlj?vu@6E*MP@Bxktt!YQ{2{w$g)mZMSjH)r`umMaHadI|9^ zahq)*+;n1%YOeO{fXal0m8~5}5CvJI>ZaWsFLj!uXN0m_4fEmF4r-H>cEwp&2vRs zI00?&bbezl-<%V;EGLBIcnv*5*V?sqvnd;giwHImp_@65^ZDoDg!r?1q$}?za6%kH zjy03$Ua8X%f>;QU3W~mi&Td1JF4-iSga9!GPVO&)(6*eCurLSx*VHxsUFbd^K4=EP zm9tVP3D-9>=xNa1y0)vEj}t9=2k7h%D;~gkS8afUZ@I_q6s<lIS_co*kkhXKN6P!dk`SiIHqEzsE`8>Km$oL{9n;8!&hMa@2yciX%b61e) zcOl6{CCBp=RN>!=PKgzmD+;s}bq}DE<$_4-#0SwVCrJVyZSUqBm}QwKiuO08o(bolv`{viWEy{{0h zUzj&9;C{2&ZC-Ll%fi{#tzKVg-J*K}ezL#cBgeXT~)n1V+NC75)>s0FFYdg5CKXQ5+Wdi1SJZD1d#_oAb|oQLht|*3Ow)@OGqQJjs;cij=iGC?d+x1!&LM4bYSn5sb+f)i=N)qTs!!Q|LZ0WX3cWY%`E4sDTwyKpD74^Y7 z+tS+&cPaRAYqqecDB8{gt&_VaKbv{r^bA=d4_|ZfWy9R2y&Z58PAG+H4-Nd=nyU1E z`!_S>*=5&d&6d}IYte1yQk^!88Z#Y6w=A1(G2Lz3jGmo$&QdU#!?ilQgD1R8UPoHK zvM^VJ04!5|2YKik=M;Q8gDj|TBM)}?9K3mJPAM;Hg>o;6pUIH?`z-fxB+Z^Vp(*(h zl2iprv%9mt%>OP!X6BvKrxs>CiK}1Bkl)UPqp)%PGQH5yZ(FwR8kR|y=yjvX=sD-9 zC0c8>>3N#ZFY*5r`fkb^ddp$;?nRYm=@r(r>^*w!$_l>HV)`q%t*FIfRxNAz&bDrt zxKh!wrD_#_Z`q8|*3O<|)bs{!6w4K*7+k+&*lydqT&fn!WilvqaCU0;z1{E!`ZR=E(kctHmhS91>f6ar1nN83ruwlAF>^asljZD&Ub8J@H@5;M z(%ZR$VdwCDchfMptlYY7z0BOjYq-Fg%vrpIi*^%&d$rA++|7$?mvhUPZ}9)Kj$Lzd zj@7nn%-K|OCcB#p2*}>qsh`dW$asXzXqD45gLf*KXEV2ED~n*LcVz$f1i9G5GW5LG z&~1#5?s8>c;wEt0YMXTmQ!z}()y<9>P-~0A09uyGOz+vVkk?5~tEz#(9wsxF)(0t3 z_sP!qC3R_?%Sg~WsM1P;>yHt_`P*z+hUp6K$8j?y1OF&Fp_I$9BR^LG%v3oPhDQBg z!>fKA@2^j8B;&NbP>khyP}_fZhRoNYu>Qm$FnM}z-g$D~d5W99S}Y}L@>@wpFlnhV zm#1D@;J8;oe(U7;uwkCO`4X(nZrAvf;p4x+=suMpcPD@q{gfO49Yq0^nBlpoDITDUD$uhS&`F&z`#|V3V+5gh zk~hGK-DaDYjmfN@Q+|5T~lenwP+F`S9?F zlPH8w$Qa>Rl!*u4L0{%;M?{%KkpM_Efv&~iONs>8hb*5RBeGyiV1sQNSmW8EErE|_ zh&6s(2`M-LRYdO06XcB^s)XMcXhQ;n(}OK)K7N59z?T0Teo>Sl0?Zwg9^;YV%2$tw zD+%HNe28YS7*t7(@cJ-iBHqfHv3X%vV3U*dRy-OGz!lM02i}Uvdktr$IOe7ZQIz;; zT7W1=@mAu5Fv-a_9O03m$~}84aUubLh~}^uTuG4t`;g^-#<)@YGvoyJn+1sS%fuMJ zo5Huc54TkddT;0r0ll$|Oc8ph)x)fHel)y463hIrOmGzAz@l`R4V2Fx(FKW>0MJ0R zankY zK9nJi@xzPX{Q`F1<5+}=_uU2& z=9@=!U4{?~6idkqOda$-jV8o1K!WRH-OFSna5 zfsUm@X5Inacw^;nj{1c5M@O{QgT=uORdik`#!#mO^%Kvv_u1&*_eCsxJwtvc^PFKC z&JGT@4;`_fiXs-YxQGQ!(Xy3lr5mxJ6x6Jy7J|@(Xvjjo6kLylER?DVAq#6>ECvZ; zF`glhkPX(d5KgnY!)mWkKvM%JPnM{-9kNS&n`}qhd5K(ldeQso{PO>ZUh3WoJ_Wyf zo__k!9q&``Xa5pnBK(iRzxx+#+p-#q_`SxQnr$GC2O%f^&;Fr1 z?ocmcqQg-4R`4nKeQz8q9?APnL^~Oq-Xvjen#=`XJ}>#sZ%Xs~>$<~3X7;b}hy5AF zr2fOx$}vvbpLO?mKn-F?_!MZ;7A8wCqJ)Q%)GVBq))6?8C*IVkR*S)5d+d`O7>I#+ z;PTbwHNiYEC0I2?Cz*89njqLKi6F>Lf;I%9pcz3VzTx(%;UIFLy_pd$L#I12v7; z{NUU59UWoJ%JDe&VOs*G+$3+hZ0U6lM_yz)qMZ=}s1(Z!bAITz`XqT%a=7}Osw@`r zJYGEvvwWUBwQe&s%G-w1#(i@y%)DW38#QY63?AWqB207P!4{7auDQ2nmBnH?-`m`K z$lD2Gh!GO(@CHA)wo0)hiAXxcNV{Gf{t2~Eh_s-VRJ0HV(4Qx7yJQ-!p*Q@9XRbVG ziJ997%=d%a3zbL%#S)JO4;%PKhCIBk+hPCD83>quao%~!55X^27vA8<{I^}B;izLe zPUCryb`ThiIP>goy(Zcvt7LA?srf~v9AV6>J$Mi|l_}+h@2`YIa*@0X*%(?4 z=3c%q!x;i;Z;kK1PiZ|$8TVrY&7Y0TQ{9fcsdqY*V@SUVDBxFj&UeT*o;~w z^DkJm^F7u;Xgjo#-epLm0M+zcVfC!Q3b~FeN+dG*$1}v9clwhEU~8>6eE|LxCl2tQ z>!b|uKKG{!=w9s3Mm&YZsWT@Em2M7&0_m|VdK2bS_aSzpjlDi3I9Q^BH3$wecd{Mi zvw6{pqsRlIjTmg-D5bKNRV$*qkCIt5oEL4i;#RR-EQ(Gl;8v-sDT0?kUIvP?$`K+= ziXeZ|`+nb~_pJ>1Vt3N(0$%U1#HF23@)5o9M@P-aXip$|e5a#B#k`U&=gYxnO=J_N zr16{M7VD56n`fBq2mmofDts%d z!Yd@}o9A+?)$n%q&N>X~Qf#ZmY4* z9d4!Pkjlo@EA)wZ=Lve(GS9m7Hls}l8=f;ZrZ<+a;6hDrV-;<<)NpXoq7G2oVP1lx zYBkIVh226Nxf#^+TX>mQEEXckRQ@VMUOcGZm4=0PH@&^<^}3B!9z|j*B-27t8tOFl z1`eK3cSkqz5AN<*jkWF3{}U%(Dx?mDd1pv=qV`UaTR28 zG#+0;CP(9O7G!cX9&bS=N8@o9WO6hff8n*!Eyi$ch{r#~EqFw0vhnmTLY&Fy2b-*SpA9om}rC$8vJLi@ZQl@4qxUti$e3fOSHbZGzno zi*{q;ept90Q}@H--I%%`7VyT@{ji8PrtXJ@yfJk@Ear`=`(Z(EOx?>xJyo<&ogC_^ za#2r}i+ZYD)Klf6o+=mhRJo|9%0)d@F6yarQBRYLdYW9+)8wL_CKvTIxu~bfMLkU} z>S=OOPm_y!nq1T?$VI(^T+}PbMZJPt)GNqEy@FiSE67E?f?U)q$VI(^T+}PdMZKb2 z)GNwGy`o&yE6PQ^qFmG~%0<1RT+}PdMZKb2)GNtFy^>tiE6GK@l3dg)$wj@AT+}Pc zMZJ<-)GNtFy^>tiE6YW_vRu?F%SFAiT+}PeMZL0I)GNzHy|P@?E6YW_vRu@w$VI)1 zT-2+`MZJn#)T_uvy^37atH?#Yid@vI$VI)1T-2+|MZKzA)T_!xy{cT)tI9>as$A5o z%0<1ZT-2)$i+cZ*As^0MLEtwE)6=u_&RNQ1(-D4-OyfM85|8~x1|yz<$nmnHAmJM# z){$VfZaDhw2C^*C8xEqxYk07Rr_qeNgE)3P@3q-LHWinLx;qSk@4U_64o|zPn@BB| z<(bH4l)}PX&1yC+Q{~l1_Dpw&`ho3&cyh$TE26AKNbgi$R3ee%pPC_$8|D_Xy_9GT z-L36-3DNE#M;d3q;~H6EPiSg6ND7NIr~Je-GIr^W6&~avAYqEKnI2s35PTt$K1_}- zKM}=|atx~RnJ0KsB-YSdJZtfJ+R3=2jwa)h!t-knW?ZUh;v70tl+@}%G@;5Oc{E7e z&9gZ2+&Rd~hg^P%nY%v_ZRow^>8BU_vQkI>?D-=pH}_!E`*c4-9{yylhEel#q3vG^ zFoPy#-yUpwj8u=TY5B%^IXc=%OXug|;iJNn{#hn+?eS|aGD{jYCn@i{e2$)va-@-W zt}$0f7D+!df0rqq@e3#;w(Kxf(o(cJOch+=m+N(gNi*@=gK3T)LI!pf*~VIJ*U!aX zr7M^*{hy%O^T@Hve-daLqVkq1_j zrIdzn!$+?CL(TQ~uwou!{V^2d38uAXY+l$sHm%+?(3PlZ;XOmgw3aX5n6RYA?jDVN z8);M_Dh$o4vxqn7g&d23`Ezp%Rrzih%LByV0CYN7YusL0Ne zpYDikO?UPC)r*ap$hkk6xSuv|(-m*^p_FejX$k7jUlwmndXHnu)nEQL-iuKKTqU{4 z?r3DwtNRwH`)Vt}%a+fedEUY;NED`bSlu3siM zBaAppWbN8I-k4YO^jytq&<4ubxjRdx{8MBF#T0M{r&1!fc#VY>93m*?y-!++YIu0? zI7zRUDt}l97m%UlLl6MquIoRTQ(~GdZ*iP5Y792+i;z%R|~vQ3~Pb^ zEIIA%N)>Wf+wSIa%)u`dEvXC|a9oL#PiBan+p(G~XE*ekZ5g|{l{N;rohul2&e?O^ zO`J}%awwztGIJNN;R4DTI*VcXu~*y7$=$rTb~%SdD*r$0*fl5TLu*iw(j%L@;BZ5- zcXsNc%9QFOWTvQ`o*AUQl6e+YD=UjyRpV7;!lPn8nyAzjPwJ~9k@_bxnYLa^V`osH5y7RJ&8%(l5yagK3+n6;$_d;;zwX@Nz;ncEs-lTHf?u_sn;+ zThr9wP$SlCos~z9D++7|wR&C@ol{j&6f$^mH>fS1rl8$kO_?y!qO-kIPqgSf^wbkA zIzvA7M2pVdPd(A1ScR!4S`^;xvd( zA5Mel^x-s!P9IK#==9+A?gP8ZUM(;zy1I1QrHhtnWBeK-xG(}&X_ zI(;||qSJ@dAUb_GT}&fRgXr|(G>A?gPJ`(5;WUU&A5Mel^x-s!P9IK#==9-qDUCP{ zqSJ@dAUb_G4WiSB(;zy1I1QrHhtnWBeK-xG(}&aLG~zUfP9IK#==9+A?gPJ`(5;WUU&A5K@&h|?fCeK-xG(}&X_I(;||qSJ@dAUb_G4WiSB(;zy1I9*L6 zPJ`(5;WUU&A5Mel^x-s!P9IK#==9+lcst!U_EK7M}yXrrg}7RJ!z^_h}VeH8BGBBL}d-CQ;64~I)!)* zs#A#9pgM(k4XRU!*PuFucnzvkh}VeLNg-Z?>J;KNs7@hXgX+=X^|V(O)R4kvFu9F- zh{}TUlZySPpRn%K!mO8FOZ}S+`FbWy)iz9OvG}Tbny9K?aWqx+@>O9~y>eBY>Fl_w z-ePaXz2mBSsEu_Pfyg)1jc9_rCGsvm``>b_)z~x4ZTi8rReBwzQt@#WMHyXNM{+wy zYz|>5I{AFbr{LfFAnM!AQ-pr zjBiLC+qv38rDEeXuUe>Bn#acTKr58NKlT~VH#6i5UE>kR^w?nd)YJ2mpk&8Ic;}td zVLs*YmJYs;itrAqO$X&zZO614*7hE~f;#Q+783MNK48nI;2-)x?i(5M`5urP5a}_- z_23)-n4n{W%6eRAJSst6Trm9U`Qz{lx%&v?2Fs)P7@UmLG$Eei+l=x zKDx53l6hZ@&oy+{WhP%xuCOi3X7pIFp$&Q;5|rzDRIoos&V?Im$Fhw|a`3MN*~XO( zfrOFr`~~JVQ1qRyHkfXt{rXXC330bTJ?BX!Pp0vg5TZkR|o{R_5j*$&LUgb=~1L zEB3GOhpGQC^&dWT#~tcLOpKCa`Ivq+s@`Str0>{mFjM#56TFX^%+`4w9QezwZr0fG z`vRyyf=2yoAFlsphWtSn*AEizG0yiO2LG5K>EnHYRT(%FON;02zu7!1zH&_ckTY|eHf3dL~MFNza4fc0ePyzXs6>FEF zP3%>Bg$Kv5cN=V?(WGtI!PZ7g+r6~r3qK49=n?)ZL$%-= zj=s&}gdZL>J@1WR*vLG2b3jtC`zjv20~&z5Jp%SuQN`Ph6Y&8J+%pA-Y#@I3Do_;4 z>$E3p@(WROD3K=uA%;j5Y-R^H#L^8{~0w$7md{=DMmO3LvDeAHuJ#g8S*@NYTafn-R5m{zDIE=i{dD+QKMFG)PmPsq1xA6zPGtHnrnMnJMU1# zyo1AX%!bBL*P^)6u=F~`;b2_Ja_VNPm4y@NLwzgB)+@G-XlID!m=QP9DDj zdoh~6P{2Xc3$>uv+RdhJ?=2B%1H_>yN=UzoBWW*KwDUdIU`>X|CVH3QNE*VNOoz9^ z>LOd>l0;Lz6x<*WZ=em`;gW0^HHM>tOJLgLv!X4`)vRXIGSzpGheAUeWEl;Y7b{wC z!{5!2_d#}-%q`1#hD$McVekF18h}A?)dK@O)p@~qJyOK%I+Nq z6^%f6R~QY9yGp67Wz~uzX!&jA!J?vN3nkIbN69RZwJ3@)#x0<$D!6rP4vJY;xuip1 z_<8aK?57q+1!Ag#Cx4sKyHH9T@uXJU&7Ph+b5c?A+QJ*U4?4FVR4R)_RpH<#&n z2Daqr^&Q5?=Ip$4mhR{d8bdSN!Fd7?G)|OMB^2{xgw*)ioR)>CM1dgo$xd#^YOm*i~+w1Ejln4Htj{<H94?$jSGbeZR;@aih^5q-+ z|E%3M9emStcQ%#Wy3u0Tf@Rrytu@#?xGZy^ir~a=_%qY&nM`3}4vldue2r{f*k!f0 zhvZ8XfQ;qzCjM-6BF5N< zpU-FW{H#~ks(fwc*Q+t+73eI!)-f_XoDZkK9y^1IYU0U1Ujk4B8qjO`AIgxoT-fzD zC!j>S3suTyeWg+JrQj>-88Ua%L%_iyQ*r3)dYd7LWshokny)S?+EO7;)x4?=KJ6I= XS_ToJ5Z_411+z{!Sk1EQr!)T#S(GAv diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_total_behav.wdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_total_behav.wdb index 69e344e58e79caaa54e90b04dee26ea18d89f6af..c62301be80d293a24549129bfacafbdceceb928d 100644 GIT binary patch literal 61446 zcmeFZ2|QI@+xUM-p$X}}n`DSmDHJkfmQZqp(jX^ElG&k5$5_!wNg7OzDxuOqnHou= zQm9Os4vv{)IHupa*!zCYv+q0a^Z);z;eCH^>(jN@+G~B+wbr`Uwbowe?2~PF4t7qS zqUKs#bw$@{nQ3Wjnd*wlt|Dz4&o{Mm^l-3pcXlITK@*Eh-4E>*MFG1dec%{R<#LV3 zgPZY^|9`P8s)ox%8YW?dQYPbx#GT`@{qFHt%4R%fY#opPt1H89oJe%~ugHIL`48q~ z(Ab0qG#3&)a3PW8)5qhy@#v2$H+=H(e(y~$N`WCL>~Eq=9m|8{+uMz1{9;N#haR~qZpF)40K2+b4*|c zfRfxINs`=#L>9>^Bs-9pBQZpxk7T7J2^!ys1lP3X|4x-CQiZG*Z=_|m#YD?+tUD-+ zG&(x!4^3$y;b-qOWvi}^8QEkEHO&=QIvx57#Nx9>gkSm6J-V9<5`bxU_6^_94S_AZdP7@3=wQ8x*d^#K!g?&;sKCEx5xGwfukU3sDR5+OdG|FPYeV1r`fK(h&|DGRn`*qrS$l>Kl;O;$Wcxk9^{1g(YdKxmlD2XJh zfaXf=&l0aeA}MK;NSa=W1;XHCa_2# zK>s<=g##{QcjNQ;M;S`MjKDn}zz6UE!^MU?R(lb;2TcpG7)4`k!mf?`5E|}S9Z3`e ziw9^20_1^51CO)7Ks)o0tU!XyM|z9|^y(mC?*p_ghXj}$B+%boNZ1)6bgzg6m^WDL zf?}Kjy$g|OA_3+T67a2KNN@)FDTV}1#~4$MVlZ%Gz^p*bOcb+_{ES(R7+A?%DE=9vg38eDLYg@NqmIf15HoiI zMjw^ULd>EG7(-Mhh#2Vm&-!DG%4Q>G%>>L&R0eBCa{^{JD*GKV8zx|^QP~{Cm`%X! zM`iG6wnQ=bA0A*HAb|hLB7rqvI{~AL%I70-K@9s^9oI5C3ce+e1lEZcVqlK(fHU9| ztC7Gu2|^6MuL6fN;1jSuVV#_qfYCzb!br|d!04iKc#gzOz`)KciUj(MZD;82Z~X!P zgFcHPxrrE9XL!K-4F0zc$s!~v6EHhaxj2%v37DOzTmlKq7uXaJcw6AdFki5?pCbm= zD;{tL{CE|TB}nooU|>Il{Sw-OHH`;c5BNH?1?#^UF))94z!~uM6-Z$H<9Sf;uLAfD z*a~b2dl*tV)<=lJb}*lKIp_l)g0>-sa$G;&52y!YfP55@G?C!-!M8wGVlmhho=gOQA>q9xrGuR$tX#W!u_Hvj9s1GsJuR(&BL!V*pz`hW} znk3p6><)V!Zr{Huhdp|ta@e0IDu=y!qH@@mCn|?Md7^UIk0&aJy?CN>*oP-7hdp?r za@c==Rt|G0i`gATV;r#HcMzZ)_T8V=$M@Wym4lwu6V%7|+Mm^ja;^WOKJ2j*)i;=+ z9M;7|{R3ZJH$iHe9*^A zaG|j9Pzc9jA_vuh+YJi{h5cAe~%{SWM&=pfccOA%TJt785x*0|3VZ2^9FTn8?A|9Jp$%yzwU-oacZ`g9<3* zU@=jj2r2_E94er20gH*8C@KSPKU6@$1&fKC7%Br!QxquV529nypXO~5$_8#OR6s!# zi;4Q+Yz15gR^I;;4$g4E<$+=pK439X-(pk-Ts$a7;T9GXxh1F!I8RWFLI4&MIZ0Fo zoG~ayVH*|`xuvKKxTT;N1z9X6a?4N|aAO}1P{acbpg`o7qdefsu=47ka8ejYe9pl0 z0z$P<@Yr)^#rW-?_Io0?661*DC34ajM;tGalfgKmorxTr6(A6wTSRWvI7Ye$21g+c zi;3Lo@!~(}gXbdz+%9tCw|{z06FGUDBRmI*9DKfp5DF6wvB7vuRwoJiz$VjS_@N#rarj(DCVa=ZRP&JyEzFvp&Y#YBA+jBCWo zJAT6L#yFz?VUMRj){zy)5zqHTZV%or_BjUsJVWHHF^;$o5xKn>M?7Z}IU9^4o}Y=_ zK8z!t$BCRR#u3lY*mm>BIb#1$+9h&!7)Ly}6S)I;yV!){pU;V$J;o93N8}tZj(EN& za*i06gKdO4*n%c+3+5{Y&V{y(8i0#5hEeP@0hItE% ziCn-Kg+9+>8>TT96S+W)>%hwUf5HV}+=boaiFhm~>I=p=JmNqJStJnS0lve8@0;K= z1b)7Sa`-F+&*@1>a31II=Qrd_V;ue&3G~7DKA`V6BoIKaI1*eB4Ic!@VYnRBu)`N4Hd;TQ{dpge9pfm&+2RwV&+l4(2=b#M2Uvc2uIEU}& zf5icVbNGJwR~&qIigWmW1RSK<`v?06&K*T*2!F-FQ3U7Cj8T8h!FQ)PcbSdzLFu3Q z-?e{`OZ*49)PInB_78He*|<=YX15>g1^9U1O~B1&<33Hm!JdNaD`Vr1q4dw}SH;F1 zM``x{!B@n%zQzeS_-YvEm=kdD9KkufL*OsBk+8Q5dmheB#yb92+!gWpR*0DVi5{7m0gHf|Z> z*vBD)?qM8&>|o=T6F5z`fp^>xrXqpoDmw?yQoLPQQxJfg z$bRrn90G7)KT#z3b5I z{lK}gpW&i=& z8|TimagfihPl}C$-$y}!{y{!Fw*uYc`mSLd+=KnNkl^#S65{~5!^VOA*g0u7?mim_ z_G9PZJ9%ga5cs_m1h@zLv2$<^#kp)Y4(x~BqSW87U-;b=1gJ-}ANU!r?+qIV_WPMW ze14%G*zac?{1yuWa9~$qrOX;q!s7BjAYZXdN4euMgme{-Vpq;p+o9@Rz^pALQZB8Q_Ti zvL59@|6r*2a|Sq~zv!`X`1$~jxQ_JMIQ$#|pIwOKHNZINA0K9C;E3bhz{bsC<0cv} zzK?^xiS`ZLe!#(ae`de=Z0!=qyAkUjAo%$O^nw4u!bJbZ^ctcV_bdGT0-te+{;~<< zpf9+;07tZ+5gUj53vfjHk=ZzWyueLlKipq{Bl?RmrVqx8`wMVjKNu^%jy9t-?pL_K z07tZ+2^%Ml*%?05!TFY5pDD(HU6xJ2nXz#)6L4GDxHS`S@J(5;!N$S7{S`ME z<=g&)9F>jx+4BSR9sUP7Zp5+&=sP|q#C$H4@iYEs`QU@>0rZQajGytp^2hD}%MSmu z?C>)`$9;bkON_05bork?zwnG=53sIbfB0wR|EukT_Z>cWK!ACHv+TdR-T&$OaeINy zAi%u;zihYhQKE5TiT_vD@Bb7(q_{h~kj!kT?kM4I82w&e;tmjnO|l7aR0v zEo?|S4$jv06c;yVYa1$+Waor<7iT;4#}N#SN$5YW8=wplT--dY-R+#6P?ocs7s=G! z%GPGpctkO@qpY(VPow`ZZ%1{vaify{mKtMMW9&LRimoSV3+jZH;g<0{Ijl(6lY+F9 z)>*k*vBiJ1CXZpLjADZNy@jOZU}L4@?Bwp|>|ok5@jSUdYRD_%c?y4&Dk$K2Yw$MYaV3A`{DCXrjDj3iZ4F+3jWU*__(u`0M;WiB zyb5FFaaaLQ$iug7=zDUQIFi+POmVSsvvb~yX49VJ27k0*?_RgD#6e_f17nJ_hdap) z&7`3n3C(~OT*xkP)rN}>T+oD#-K@8BvT_*DUQI%Cq6HTOqpLPtbjB|3ZVnVJLk~!s z*ib224r58It|`T6y@Qo4$&^CgHy-O?_dCYo4IYjzl(7^{rWW`av;dLz*ww^*EYgBe zKrZ+Mg^b1kH&`PkHmD}Lpo-|K4Ht6~j2rno#YHc4m9yvU}e zBqI_Ty_VM@fz7~VUQB#eRV0>edD-EDA%0iXyi9xcex z8q3gvdu`x!#x6!?CWa&{w6f40PYmVx|IX1}we zjf|Uvm9?9*ou`bphntO+hm5?Pn+(+pS<=pFpR8PEND_wRLb z-ed3KvRcN`*~7`s+UlT;C#pns_HeVd8B0?1b+y*X>_v_wgDN`6AiXkF_q~+Wva(7j zVdb>f%5CpIQ>VL)C)zOo2^#HeY;5pu?xi?5+uB)ER;^ki52_vhFD>vh-7EJv@AbkO z_*cy5*t}4&ImMqvevm)mlDZ0NLu9-{xICQ(c1@cVCSY-|$Ii9zxfsIO4Z1jD@*Kq=g6M-Jh%+YeUK&ILeQyhL3zOf`7b+kjn2e$rWE=Xj z1>`X%OVO9UAQv#1It9IZL*kAJ9mODK=z||fE+*`Kgs+V8`=7?<(M(FnoWci3D|%v>plE!@Dcc2k(O6Jum!i4fy*R zDoEh(V8GwHfWK#9j0FBJ#cm|<&J2Dpvl{X6DMJAXyo-nT?C=g9-j73jQ1(~lzySmA zz2TiUuv$oTk-$5*zp4ZM)kC6>G0<;#uLbX{;C&RlYl8Pk@a_lR>%co3cpqbi1m2^- zI}&*R0q-KS)U1^UrO)`g@FHpO4zKW+i^SR~c@|E(JwzT;`zTjQ})&ELv? zrG8o9f4c={@uI&)f%Y$XB)`Ic-U7t^%bq&kr{{6wvG&XHcxl;xzOTP}@XG@KX$zc3 z`_@e)@krc$ox%Ro(=qmWoxKx+N5=2=-XD)IePb{EmHuUc|J@cSL;KcLbOyT(UwHir z|8WZt&tHsF<6UxkIv(r%_>Xt-R|kGs;J=LpD$)M+8Hp7BMe=`}p8fCa2<%_%;c7Gc z-LLd73;ePGy9K9S?`fuE<(_ZNC=JsW0PcnM}Ll|GUX)wg-lSe!d-Z^F*3(5L%Cta*M<_?2KI_I(D}A${T$c0dIU#hnamUh6(`J~mc6)-5?&<)R!zr|^SLWn%^_d5P^?N7=UF8#5%uOKzUPK?wU3@vOc?DF_|UBH;||PPCzZ-$!*WSR%OD7N%`_>58sJb9xuuVcH8dS+UDEjaW_Hc zsBUb}Y_|mN&M5}rsXP&-0~I>TWHoYOdim((FcJH%Gb43cd=25xq919bm!{_*57MQ( zG#@i^DhqkD%gMx&k?L0xGECB~GfHrH_dvPZz1H0QtYr41N+xA!he-RJ^rZ7!n5-8g zMq$14{AiCOCJoI`ptMR9&8yuk*_-5AN|6z((oSgFciO#Yf%+H~bw5+!;`nBSS;FOu1jihfDz{T|d{ zO2?19`>|E|5mhg|>4y}a_`cY8aB!e8Vn<>y4F%59oPW zZl`_P?$r`je%EhW%;$G~u21`I$Ye1x!vr}m<$h)T(VkABdm}~(T~@h@@1N?&)_s(5 zOZ6_*TXcu{Jf*^?^-i^NI^BJ2L2QkUHGf2o2xcBq4!yKPq$ik+GeyUmPigqhNSc7v#O`P&&y2l*!3+&DQ;*xQ!mCdc0qzsC8f?v+UP8I zObsKX>M284c(|r7uQ}MSN1(p!=BdbmmVqVyx5X3uGA-SA&@Sy%re8G+TXX-2L*Zy; zL8M$=^gH!Br(~8G-J(_4@kQZkQ_GO-kpjjI`dj5FTHZ^G9`Qqr-&lv49@NajEn8== zNKM!{M`d8Q#@wR8Q_-x83%ouAN7NZ6RQNM2dIeTqjZm#OX6zbW%+07B-r(O< zy0)yU>7#mamcNR!s7S44LXTvaiYsMXCL?8a-jfe@-sTnE6{F0a*f*uJ0G=QktW@E%WjTsgjRr zu`7Fu8(t)@v5gixI_M~L9O2O zFWfQJFDq%MC<1!ILp5ApjbS$=`uys>9;De*#X5Ce9x~tl&=d?BZ zh*5L>piQ+Ns72v zufnj~y^qUY=4Bcc(Pma3VOsk8h$N|RYo|u2cZ-CmWOY2VR!tU1+l|aAgOb;tpD5{Z zQq$ggw{P@5<3l<7a%*lwjrARk=2zY2N*<`Mb$-cpnr$8j)Yrx~Czm>?vR=2J>5LbN z(9Iqlz83dB*7nlSjnmOArgx7RnJPsuf8F|YBs#b6$7A}Xbhp#d)UP4s@3&~wMJEo| zZlf8wwz;>T@X9<-zu;PEBT^EQt{+jJWZqa?YVLi%lJQyhhbWCgX_zGA(e*q_A+~RU zGE+{`Ek&;{lIhAiBiY64`0Q!~Ap0!&BA zc}wl^RLV%`N7}FmH|N!}a4PY6wQtMpH+?M?j*TydHm@?=v){egc(d8g%_j0D=VqUB zS`s-sVsrH8b?zTO=$=V_n)^XlM|u9!rB5$hxW055>)Nzcn?HVIRAejI$Mp5AZugCC z|60US8;nk^8c>h;RI{auwOenGPOMS|E*Ce7X3L#F%g{P8J)B2VGG3J5m}Ree;KrhR++6Y8 z56*5>Y0_kd1RB{E$?K6iC+*SZ`CV~6X{Y8H4Q7E(18JH!$<G8PCC%ZLdPxz$m zj+}Y8jO(Pt%}pVRXAJnSg&cjL>(SkuzGR-7ysKYa71FVv!%U9*RrvkY;cpc~o|P`$ zF6yGfC7)ZQIjv*TWQ8!kg}0x_|Bh6Yn1`8&N1RM!1a9ly=Sgq0*^@9BJtatlU+~uM zW8qq|elF2QmsCY3#~8?KNh*o=S09{LoGd6mS3*$stkRJ8gZUTt?)8sbt#>{**~foD zXrZQD(1Dpe-z0Q*d3`Ite)eghMCiwhd)F_q5i;CU@A=~E#$Az_42gXwC4T3cHRqW` z(qR7b^dF&W!{rx^+;)4=SS#XXJX-xUSCBrx*pPRZ@nw#*N94}5le;8E#bsXzNk~7< zpXdGVwb&VhMy?AVCqGPGQ~G1pjNR^9Ir=p&qc+bk2*^H`Z#tWso5G{N{M~}5o3wB3 zdBTwR9%v-X)%03Q!L!R^UysYM1UJolxcRcV-Ra9xPRfE+*;_rL1l`?sT-iEXnBvI@9@$X`4xf#|#xN{#x7J>q)moH9u_4-y&Nfuz$Y_U3l?@a`L>f z4#>aa3!NOW!$tE=e&-wR$bfa?Z7Lk|#Kos_9;4Y9J#pUICUH5SP=eX~7xzn`4PVZE_9qT{P%Lq7dJV-0U0X~~UN^3j0Xmi5m@>h89yC5?Dy z-q=z4l6l@oK&>usp@MH$bOTp*@mK5PH3{L95AU8Adgg=`=X(!&on!g%3yX*#;XH}C z3s)bU8WZ??3wN_YfAKq&@TrSEIK+?Xd`n)xMC+t_lB#ge8;gjOZPcDu3_r~R{Uc~{ zSzBb)`17`GOst9(U)pFT6C<+vQf){9r)a7{_pc@p-YJW8)G zyt}xyf8Whx@-0v8XAP-W(a~@2%~B2cb>3XpUn1EYQnhTmL|LMaCUt0f0gqLDTF9;{ z`+K9OA}kgc51fkN<&w1<8p*v8P%D!0tb7u7R*(4qn?lp1yFe&u#mDH#uT;Y$Sr2KcyFZ&iB5?ENInbY~qm*(<;<8AE~ z|3=L@ch|cIEm-&cfcxXmT#5$H4krzl^R(QblD~7uAl=Z$>+I9Eyx+-&rDE4s`%T$# zPh{zD8+K*uahp6xK5&{ooH#xu(b8Y4?k@3v@=&2sJs~iD(w_CpFHCxHzQKG7r?Ngn z!A4gnmVb87y{JOIdGFDd^gMpYqP=&8LLxU*=k@q);1jy_RGdeJtK{C`JoGpIk+;V0 z6n_@n#v}hw-8HYEGB4JH=&cV^cSW7km#;|{3}3bSs%Utbc>`;px!$PD>p1SNC2>ke zolE!2>Q9EbkkOlBy*}rb&)34n?2^Jr#fl|X5&;GtRUTry?}RW`Rtargcx~iuYWT;O z%!4E0;zfC$uR1RY4jI{oEju2k{d>;MIYRPv2d3lnJ;`*~g_}OnzZX z{=D0GV=L$SS-B^SwqX0;?>9~*yce*AF}HU!qZ!KRe=B@(nDuIS`32#;x;tMkHw$go z;@gW1vNlL$^|XM3C0cLtdm7U>`rO|2%xKRW{XJTuo1Z-vOi7u(%f^D%Hk6(`PtDz9 zByl)=!o#I}h!0Q*6 z5~9@yd;gfDN|0|xno||k>J(!w8!sJO!D-rM3v4CkKCpV3G`~gTQQedkXqhhNRtwOdtKwr9 z#BKMa$aA3KMqtv6ry`BR)47DQ(PNQ&VR&?M)zwM6?#=(m>%{Mv`0=ZWV7Wx*{Z*@P zi{8tfztoxecuub;Xd7gPv#pd77 z<(ye*)FL9hwL*YvA*X%W@brR5)6f>k<8FU__EYf>AAUT^-PgOm_b%h~ihi+cVq=e+ zAZzV?>)mHDtcsS-i@0l0%3C;h-Y$Oq7OC!gy#w{hZkF+KyqxYq?;C?`(xY`4l+U}v zr$SHXrEnoHE&KE>(4@$pmYmVFhW8rZenZoRK|emVih14{9MlQ>WM65Vt-)AOTjt^K z@0nd>S7i2->G;0WFD12a%veY;Aq1^G?5uS))w=X?AnvjYi74yn2&n zcy_F$ zz({21N0Y_#kcA(Lo-BM&e$ziNLA@)ay2qK;xP2&Coyn`-qoqOX-N%S1yfI7`UK7jW zLjR0JTDRAU_?pDUCxkUK<@}q@SE@^qX%d{Yf`#=i9p|K2KYE@$qX*T#RPT6Wd$?F+ zc&K>idl3<}h&B>g{lO$5kuMC}G?%?}ar*oONhe0DX?5Kv?pU|iB1Y1zAL(IjZjUrn z2lV}w)W7Z0D1H>i^iIx5KP{DzeNlgzuSxRIJ{q$()<3ZJWqNhbVRHMWf`lg1>i)Yl zi>jXO%>K)kK7JjZ2?-hGXvK6X$*9=I%jH|h(yXRr!9nU9U4+T;|Wxbun{yjy9- z37<_R`_i8VQ{9?)C7;x9YHKd8J8i0Flin{gT(9naI@@jdvq;7Fbm;}Twym|^zSS>Z z4}R~?kM7D)%FWfTA2<>+Vi185| z#-gaKN{y864yssF)3qzT>aMiLh@PJNXS)=3`QJ-@`KEZLmI#$e=TsLfUDUjQk2y3= zNTfHgSWsPP#PZo?wcW*oB2I@QEShRnTh8~??J#IbE{lZsTm|izrtJ=E6 zoMa?T52Ba9kF)4`858z+Z5cDBP1#yCTG7?*QFgiHWLg?u6sC6bu$c@fbXSGXqpx&Tv4P1&H*&_2K$27TonTuN!Us3vHjr2zP96$T? zrmVATojmJ1N4#PZ7{Wb0AE{pl-!s#T8pv@TA*G`qzFS2{CoFVVq^~NibS|VOm-D^6 zfu@<;-$(dIf9ithk&Yjk{*~>wOBs#zg|Q3BK2y}vABP>T7EF#}9#*99V)pE%vyLTr zuRYmu?`4<8GxZ*eURNXX!JLGqR8}$fuuEU$u#+42roQ3Vo_Uet4$&4G=2{}X_eWgZ zcGA2@8w+DEv! z&4nH|2iai`GoiN6|_&Ki1QnmqJo=+(3e>hn%3vS;s^(U~41 ztZOMnyX5?RdkmjWQXSY&F0H-hJzP*aa{s%QQwS${q-GL% z4WWT2^+)@1K6RHZ817c#E7JW`tf$kO?t**V7LDP!!kG)mYq@9zkLWLG+R3^FhlZcf zDb@Y=_@ZMwngZQ4PrY#RuGmOxTvD4(fAiAGfSepTUHvWP_tzS6_T32y19_zueB0xO z`a*2#Zzt4UC95r-gG?PjSKld3`#`2UI~__Lyke>9pjx-YC^>dxTVjxU>$PX;eCbJ9 z177!=FOS&xb)6q}nl+vLtf?@WZ@889qN!-6i}&M>32kC`rh!7 zR#I=_EmH4lf7zzv-_!ju<)VhV_mT<@VVXO)k~*sBGN^cPZQdl)>bH~^KR#-(*7>)p zEF3KalAH3SKo8CS`+qgzYf~8YnuEn#qWXY(J zMrvCFJao9DRw`DSd*^-;(fESw;aPDlR^3|~`FNfBWeu8HO5DIu`FE>&*N|Ib>AmXi zanVwJFWZxQhNh|ZfA8kWjjO2c2`QB-YUzE*V764L_~Z{3dzH&IzkTE?!9`26eCP9$ zcBwnr+xt?O?+h7AYwNwPzu)_^$M!y2X6KNTVrFoEc8_-Q!z!h8zvLkGmeign*{z>a zMmcs_s~?4Pjq5F&Ex&~nwItQ&Z42LT5_lx&yuelEtMS6KR~YE8yCSy0Wxv_%b*{VP zvuE-IZeF&2-qcf({Il%lVlN2)-&&nOi)Bb>!iy5I_AydH|lTRE>qv`x4G!WC-=d5;#%}myKiRAG+M+f zS*GQA>%r}T>Rcb|Rl$M7c%U?tV#&eWiUhp}Om3cd11SqHW< zSBS1`uax7D(%YROsA$Q}Sh!mF^%G_J0Q^3l#A82zNqf;@K1DRc^iE(-x75>TkXO|OSzK~Db{cI zGGhArV%>s%#3X!)sEvISoKUwyqyI{SsWUC6JExdctfzg2dqILMSPr6;SP@lZ8+7so-gsiPA zLoDa{%$KB;E{nTG7roBxH0Q4ATC_*?_=(U?m2D#DT!S+2tuB#N8D`s&bxCW^EBf-}2pJip+Y9Wn&v(UfjqBRqL*N}pVg>20L2zGo-eve`tp)W&w;%8S|D zXCXd6*Rx31*c=c&Gu9zCX)$eB!!LM{DbAKMUZzBNWxv1HXJ zis!d^%HHxUJ9k}1M43#jIovgJAY)C*GoJ?fdRq4Z)rPz}G^wJVwN1*;Q!}dkf7HM# z__~6*Vnvdoh03f$mB)6{7Tz__@f2@EekwmbBCo$V>)m?XPrYe*GKW}MiQJdZraGhX z++O{m=YxWH92eLsS8ZiNXHRtXGRi~sLp1s_^ViA)=mksTJH?rQn&+cQ&g~1?=GiVc zD=;l1ZEjf2qCFWiOSo;Kcgx&8SwHZu>VP`>4qE!4OVC#yRj0i>DfGm=wzbcOJ{?+Z zq`n|fJhmaRt!<4-*0sRgQ=y?>LU!K|DXsCCIU`fnaFO>r4{nt+duAkvT@EUp!CZ?KK|QGZ#v{_T`jKn!t>c&C1uYS*AmLpx!V{1ZK^kg`B6oe+J5zv+>bgXzE^ds z6LtRuUMI!1B3aH7XMf1=74NutrL=UQYw4$+{NUD`Jp#|)%3S^wcvrpQU4)!vJ%jfG zWppHm;@7o1W0yp9mU`3|MVeYdbK;%iw;^bXD-_12_`S%mLHjbj1(jYq)Rf!oR6REo zy1$l@kMm|QqJzU5?BjTj2s=c-$Y@diG`@s0D$i9`?2P>!t#lw>SZHu){<-M(h@ow)8F4#WhywZYBX|V-@GmL$d_zZ=CRA_eE*YqKG?gE zaq`5%=-q2L!xnrk$@TS`RptHUoeb?qU8;amjh$EHu#@<5Csn6)>rVb{mhZ)0FG~tr z*uL(7kDgTZlwCHdZxV%uXN^yG zf5!cd-HFks!d%_V^wc*OEh-)=4B-xPJAcaj`2ww4k9*TE-3|--E;QfU>e*MD+}q-3 zrYDFk2pqFdl-`*JvcGnBt>z-9Xy2Fz)Ay=2ID18=ET9~WQ|^e0Bh3w?)lZ$9kWyrm z(ZpbGsd_)@t54f*>Ga|^Z)INBZ}CpA&8RdTA+gQ)P-c<6I^AQ7HuXN_PrcaiinrcxY{s*c!dMMoJqrwYjb=`IzJOeGTkW0Pr4icu zvSOtHhx6XQpKquwJ^d=?P|a2fHzQ_EWvI{08FDii2KjHIB2pF{Q$ow)Y8KkMJ+dmB zru9x=v)HyuX~4$RCcggo<@M^kmC{p;PW$XILVLv{+pjNu86$MMPFL0q(L8^36kX}IPKUVqCWx@+C6vXH5Q`YK60 z97DCa{GqogCrO=6*1WHF83&ODzSQzaSZqB@>TNaQI-9&)hm`$nMc{=6`GTT;rh%H} z_l|Srg&0YMyObJm-P2teczs?ZZ}mHKG5*x}DUPsB7Uy_W?ZmW~q0 z@%wyQ(ijbbbL(_1X%3(S7FroUdXh@w}p~3MYA0zvr6e zH{X!7_Q2A>>p?GhswMd(`AyxpIBKH5^Sp{tJxdxmvzcqwfhnA2lI;@V?rn29``zaR zUO15}Aeyx*R?{Nn7*}5VrbXc{t+P4HirSZiyBEuI_KVyU3{9sk;ka6`h+nbOlCy4B zDz8MjVhHK+Cksx46Ac16aq4lJ1$Vf4`HPNm)x`RQk_KMra?P^zzd(9Cyob}EU3CM= z|Gf$4DxdQLqLpbun&pSW1VpQzp4AN6u1i`w!pmupo4h0-?$J?A!`F|vLiv)!`ORr+ z99^|!LH%2!D>z!@iUjnZiVEa7dk1P7KAXW6>YTk!GJ zz1_mW**{P2)NZd$NJojSrKuTsCvAGCd(6dS2Q{)X9>Uvo1du z_1fet>G453P6PXV{+u}T)0zcGySPG=j70hSw(4@$8Ab9)w5S{-^{Q+XZ90+9)e(G$ zKXf1+`S`5^Ts5cf7zL=NPYFDH)|Nk~k`kf$>Fpz)>N%xL_^JCAa=wye%nG%4U&G;c zhb;P|hRU1c6C&6!?F^8k;ZY9^O<-KA7yUL<8O_+XM z9a7E`{KeHvIA7UL;SaS}4<&gOBNzWRLoA>|`JARnpA}a}+)PRSVrS&yfyl*Yh!_M6 z4KC&6wVuS`aQ`FM*?p(cY|Eb4EU2nld%FCMK;owA7iw$HkkTvna`G|~_;c1=-XPi( zX~)&!Xp8#0DuQ(X`wC9ixblqw<+f`A4-X@|IA288ed5K{Vcj4Ys=SYbqozk+G`U=x zvu@x7kAxZRFzMg`a&nLJ{5dH*H;6V2)pLc)q=@pDHn?)uIZE+LSfn2(tBf-!7V!bKWaf^Hat;l7IdQu07|SL^baYuMSL0 zSTjpgOTB%|@^xBe_l`|ndM_%&=*UuiXUChIQ+?@*xA`YGyj*Ffsg>a*_1o1PTKcJ} zOY?#sn~F_+QMC3}0H;r`5vr-uylZ)U4%sVg>gL@WXquB}zZyKWS!`&lAG@o1ilW*43k#1kA4ASi}bQaO=?bozt9~P#Va!fwh z?uT^GcL|ufv_r#h^gy5G*In86GX%qUz)l({CLz2)b-4I)M~@4sff1l|D1Z}%3jC5B}*Pwn&$;TtJc+NydB(IG^JrTBFH+gn{Y7w&5 zg@ntfo%>%0P&<8hu5nIv`#ufKqDMt$vHTK*X!Ue33vH)~*wg~WB&6GOqy6%Afone> zMg6w^hO%F*YDGO+TkD2;vi#8G-;Aq8S~QUn6AoVGoLbXWh;*CxZCD;Jn!?zCEJ&y5 zPM%$Mssfqq_Im|npV21?s3*y4NcROFq_3QriIPKGoRPk{pF)shW%q(j)(#@mk2H=T zeR8c!kiPTt^p7lc;hT<{=~@f>z8rt}n~^a!SS0t2t8yxD=Kh*w(X(e>qR`7kz4@P%O_p19H%6?S(ZLoZFwDXel zK-UAUludDE^olOmDLWOXN4-nhoGdXK=r382Uc=?rr!;-%R%X6=X>;Pa^#k&_)sarp^qA(t|m z1y$=J7gXO(>E@zmJdlZOw!CGlaYrtjnx+_1aHe#ft_U--sNh;w!Fy(D2XA)2s5#4qr3T}zYIPYtZtmPu;YVyPY(LC=^=fIJ8s#=z1}K+m-%kas7Hf1{ka#dGUtZ~ zb&{>dQ;JKMb9Z!@Q+QQ;-SX_wvi_VzWeJy0l=sg)lu{n9Jfk47>w}oa&D7|O7ek-3 zWdc*lU%126>(gBpetObYZQt*n{kqSEl^+|&CET~D=tSvD$zDz)s+>mksiMRb$KE|& zG3H*yHLA^dzR^@m-eb`Z1qN5oP;_Xki>%rhlbg}yU6bLhk+1SzC?l30PZv~asVZz8 zR#c=KkDj1j3bx@)={kMTt67Lr-O*UGCgpQrZpLcvl$5T0m32(-!bHpXmtK)FDGrSK z_Zj(@jmcir4OI_>_Nf&4Jh`l1|6nkf+CN9?<|N_4e59Y1Pd-<2=?Gml^N{&k+uI~b zjb3VXM=*W=h`XMgNb5Aq0mEw7!W*%}5k4#vYMy0Q<=5`GoNJ>dltDeUIPSIdOsab6t(cQ;=BZ^;~RUh0OQ~xH)=Ou^@)R}dPQVv6L#g`1Q4yat}% z*J|LiD`R=ewDVY^v3X~Li7et8M6JV1ZRstPfd`D+2WMV-=cN!*pzLgUv$6jA$m@3~b!qqeLZ zYfCSUE>?9_-y*k7=bCa$FAvx+R2s71|DI$y=A(+!Ph?&6kGq`Zy+DX|Ws(uC)r(7y zVlQC5A^MZ2^59M84cb?lOV5#+o{du8)elqRUk+|r`8l;{kJS7U){mOXLf^V_70J;Z zu?*y;I%NtPV`B4iQL-OOQhNRsn#-wc;7YYpz18lI=mcW?YV51sR5Z@F9EP_DSzD6c9@ zhWW_bG&Hd6 z`wvrmg%h~_ngS-n8t~o;^&KYyfLakng zN=r8F^oE(AbJLHFYRI^|of7vfx4x|>y&xi5@w54e96js7`2yvr16mFmo#ty$N)0i!(({N{#2IEOhqU5`E)@2>nGvI`Z%J=4Wj?=FbdF(AX)x>Xn^Cgu*j`%qcYf+y*K1@s;PasSg1Kd1 zw0XN8%tWbPoNfr+n#%Yr6*rQVcrmPjGhtxcR@9rsA@x(LzhCKoy|7j2`b^bAt%h1A znkDCkYG$fG9l501CO~4zJcn? zEM#g}e=X$q_gK)t?!a)Zpe26yT|{~LBovMW3I3nP-aD$PEbJbpL;(>(Q4uKtX*x(z zP-+N8ijAnKSb!i!RC@2Bi%1njIuRQx2m&I6j-Yfx4@hr;NC^-^Adv7KoSC<;_51#r zo7_3~IZxT=xvaSNIVX-LS{i*1KM`}gUfcfjP%TAkd(0hSHi^Zd2S|73#@xp>jBz`U zOjnz7rdP+4HZ(n#x^F#;=E}*u*UEO~1LL6_rR8g%eI6OM%XeR3h#Y4jM2q@Fi`&gw zE}Qq6sI~HD(+NH$qw}+c*f$;;+$g!M9(7nEiYug1^^s$Dsl8Gl1J`D6E?ePs^AC;k zRG4_%`DWES8#PBD5^3gHmr&3^$-!>%<|m_29Vw>J%i(p%ySd5-bjSh(WOY$-#z0qu z!$0h_FQJ=4ZrJ6Hqec;j04I1f;IEj=tl!T=%(85LiNZu#RwI1RdW^oCdj%Cc@}yJV zU%@*R7A-zHV1f%chlc)TQ9k&>{q=yOFGcTk!hw^PlCJ92GBKA!j|P^kRoRm+ z^4kx3cDV9*X!jUJcxV$Ke%Cp6xGMu@LeGXiDX6@Guu*P;8to5Dk4kWLt8hc{u-~b| z5O8LE6+8#kAwz&cc^fb&#kG0zimuP=^5nFIwB7Qu)>x=WxEXYct&;{AYU*N-Af=PR zF-c@N<{%4S9(*dJW&v^|Cgvf#({7?&#da<*B@oC&t zAQ*F)`AzOttTlT5SLxcvImsBnPWAm=A#Q1cUvPB(d@Gx7wpr%%&K$q+8QrnEpp=z* z+>rjMWRLNCb^n+3Ct**D#Qk;0B~wvaUk2Xh=+zl?3Et-Qcr|YDQl&V0+xgBWt-vmVN&jp|ddB zt$Egvc^;dF<;YijOghrKbsC4>@C*u9>M>TblScb@gc4iz^lKS5Dy>=pe$j?3Mic_;)Q6_yD#>sOA2vaw350+Y-`#yp4=tFAmZE zFE}O6JZB%<`4Q3yeWJ`)_jU_Lz7<@iBO|ttWn|`_nK50Jy}Nt50P!}5cmGZ=N-r!Jp)_7X$9yEzqJ924!L0j zh_htVAl^NWZ_OQF?AG&S>47f`>COK0%Y`-S?u^e z=BNuJo-BVE7$`&dwp;F6Xx5Cj)F8XlAk6CZk6uh{{y0siQ+W?w*8^<*okGOHpU;9% zdp%MKpItA$rA5gM2XxeA+78c`j|-Hzd(+v7NEB(5TglVBu#9%L2_ZqQsWphB-Lz{u z^aGi5(2~f-#zlYYEE1SxiCz0qD$OOraxS#9?%69=`nl@`fhR+CuIyz4kK&L>N-STv zxL9fCazi`VH0|>qknEKuL8jat}B)6BDEeATr=I6+!(ZsDKHpSbRn%($p zGR@+uv88Qd|It7H0p?vaW#In+CMHmW-QdhNL1WcvUZOtkPyX2dV&<_q4p}|-MJ7g< zXIx`8xfdib{yhHQ4tfK!Z?#Q>PNx6eLCZ7M_<>#`so)Yn&T)3fd;_s_i}Ac-p~q&$ zzNS{2JNR(OV`A{pGcgir^wa*`YC7lm7Uy}ogb}Q=-xa$?$g^_>D+C2@547T2kWV>` zma}Z#@GJfV7G|en+x|0w{|lX0RM5(ylzVMs!CdCvM74R=rx7_NZHEpuMs|*mRG#e$ z>-Ky_6P!;+tXx6|02cbkwo!pbZ4Pb;^?L5fJOjITCz~aMcF)CJzWAqqCX>vot`BK3 zn+p`P8$`B8Zn0*C2gXTGboB7%pZ0_S zU;*oNfL9bYa|8y__1cJ|)B1Bb>tE?5a8+VLN8MI$?H|ogpJ-bl?&Kl^Tq~34tA8`ljo(0;6ykh$ zQ;r{f`vkPK*%H)V>$G0%A$6lF(Vqi;t8qv$Uru+zy0Q=UQT(>;$ysa-g;b|VoxeLL zu6Zph%nUu!*nI!_sr^>YNCVIDfnAb;S8W7modAkGUdF z-MxBT{5gxnA-**$+x*-0@`uhjL_}Qw#Tj*EP1-0F%X9qd)z|y<58TqdFHtqf6A@8E z${=U@;?k4^Bs8}fV^n&Lno_NKiv8GTvn77*m+b1ez+#QfMwemaNEv zpEowS*dA+{QE&NJ*i$=Xq&A1Xt}4ex)MijlqUMRcZcEcQyX|3f

>*OyS_=^(aOe zU1RgihVN)Ep~KIwqKpEYOwVJidsm|xIJ}QO@k0pVwO%H4*%wTHKZ~dIddqwNAq7vC z;#9vA~Qah`wX#r3d$|}5W)wLScn?xdQO!)cCI#0S#Okosi0l5${vQ;S>hX6dv z5QW;xU(3CZf4Sp?VbpK5h)cMO@j>%T=c-f(IUI0dOLFdTXc4Zx<(-UQODwx8;GLRs zpD(Bqu*a!r@tV2G%9Hee5T|oiEo@*!6C#mc3Oq{w*eQBWK5)tqxVeO=mr_~pO%8+a zLYgA!bwm!77J10a`CQ?wXhqFv#;lXs#w}t?Y~mPVyV>0p!)t*nB@zX-yfU1$`qESm z7i_OH=1X(uz7tzSCp!_F~UXG}9ACzl)QrOKveXl7moe15BUsA+-CfQ?3q zp6Xpk_EMdGkvc*rD^zg7mv-k_a}oZ3v(cu;*M%c{QE}OubH1ES<5*O0+CAC8mX19Z z?oxMGS#9o1#K@7Vv9Lhu8N8NP{(-`6=gg7Pchw|?z|xeAiF6^7`2b);u6zEWqiw;; z3=6Vl5w30T3Mq3PW|+#~#A){*RKl~i6pF`AtD0Ar z%wZ;`U?d6QppE^xh=8q;vIZ1Y5Vx?>GBHJ>^d?}JO(-)Js)Sa*)%fg9W%7|AzbEmd z@9!-WmvUT|h=E;~aLp0DN$|Q1tsfYW6b;hwQU|ijg+vXzRG{$M#f@ynQN7sI=OtPU z7@`)nhz;72>J4u#`b0?Qm;)ls9*F~w`%bPu0;0x(`O?)hLe>4M0SM{L?2NtyF zWq&6U#~6&)cr!|F?E*c$6E2KhqVg96?r1lh#k)+VFVMCkFdqK^FrFT$y4?k%uhTxR zG&R|+!Rz{EI`syor~PUPL7kQGP2XTs#u0AQAj-8MQiT~~t8*OiA=5bHpaGi`9-GbX zMd=$ON=;4GpV5;&B|XzHzsf6Y*^BF6=Q6M}6@V4c!(TmP0Le64Z{tE576h;jWE*y_ zgN%@NHtr;2 zJUo8UF;E@~hgB^<3OtiiebdkF&08wYk3x<8zJNUkhc`4ikw22Nd`%{Q_2LfuEfKLU ze#LA)qjqiYptQ+F(s1<;-_%YNyFB)=41TWIJ-KTEJyqSTHS>)|pz5zaqUCP=KrB-q z)Vqvk*wwuY(nP7!hgdVS<(&PF?7p8P0CgKFjAInjIT^%XOHUrdWca2ZBcJGz$%P51Z{+>zfcNko`$Q+pE}Oiyb`4fY4E;k3?>0Vru$^ z8`$yLV{GzpJlzHcva)^!GX)sA4eq4n4T8+W3KzBVnP2QeGAg*_t~T_*xCT%J-zpr3 zk;3Bv0&CaDJIBryz^$F{Ad%D+P8coUWBON9;$nMy ztLgL~hVK0sMgi3xO={>wpDPGFC7y#f3kuqU;Oy`IWiyw6syC>WUrh|H%)t5DPjb|i zro^D#e>)*2WDkWe?`a7FTD^$>eLnC5Quduf#_ums9vAu3sYflfIF1hZ%>}@Wj zwPrRzxsI@)X?6`3itfXH10@(S0-)0)nInu(G zO!;QhHlXKL*AtPjVf0fNQna%7-pB~W@|h>-%>n*VEMWa3eU_|)V4Yg) zhP9eBkIm_6_pLgY)u_K;$_@N>UjAPMr0ZpaI3uHjX5TQzJZb$(8bQ0vs*Lu1O>9~S z>LMC*PEZ(g!nzhe(c?~M*uZx5oXcjvV~vsiHEC_Wx2b7r{|UqOvN;LU8diH;^jsyG zR=f0TVfU-QO5EQ+17U0rH<8R!oD!df>GK>7ebpr-C&44_%9(UAb(1aj`mwitVv1aE zPCUDJh)v?rtAnuArwWHkul9VY&tDdJ)}5!zbNSlGMjdIcLyuqi6p%Am7EPRD%gmiHxnkYT0YB9$W8t0dpz$P%2=4QpTwsvCSCVN(C=((biwL7bmZ2625e? zO<#C6y1u)u{=E5)kx6?~gsT=|0bWdY8Gf<(PFYB%*5<{fvPEprghZ^6GvKU-$y9B$ z`;Pg0ELvv@_dkacPM(oN4Ig7~l{z=ZaV);xt*bpiuDs=b4)j|`fr_XQV8U`ff{dM7 z-%3R-|C*hPFTFyP3Qa<#_plBHWGS$n6 zbY`1Nw8N`z`JGZ5qUDZLvfbDkG>{p9Q5kERomf(}&DLQzwxK>}V6?zye|En9r(nB@ zU(p`f)0W4_;UZ>~Y%!2Y3s>v-`KCy#a&Fi5tBS(U1z|O;tD7od^R8#k-&yYS9hbER z+`QgX4;-E^y(JM-kzC=79% zar)uK7)F)*LKAFYOCnEJH-<-d3L@Ay8(~?3kmR- zdlJ7UMdaHKb$ySNb~iUb5f_^<5U+LYXOj#25@A& zSHC^R^^@a0ug_@ngPP71S=XW5ik-xLGMGJL?;|Z!)+uj&qI!ddYer#cn@+6ZYHEWn zEJo&}7nw1dWi)f@?McG)cU2g<4RfcaG}rO*cJ>)#bV~v`m*S5R+%zirIczmCGr>^^ z|1oDO5EB@a^hSNHUQ_=)WiWzO2b&V>9SXb}Yx`+me}$VKQfAw`6>GhetQ*(cb{)wRE?4RW>@s_w?Y8nQ}p7omJUu*xZ@TYKv zGK)Ml3dgKQ$?C&=+_H#D%m$&~Crd&`#h9BdR3$r`AKsQ#q3O}KmuKZR&4_oDB3234 zxvcVzCd)ubjLKAY!}s>@K8bELB9;_$KC>j@ed?cR@OCuPI80fJS_7z(CbQbTEyIR@ z{CDI(_`LIX80~&z$h%12>y5zp?~?(v5#0XlDd#G(G9U;ZD}<}4)tijpU#Ri_!}L_2 z4fAyhS2hoNC?>BUFkM>y^k{s&Urr4FVpwvK@>!Vb$T9mUm(WKL3zg#}zBZ1n9}>t& z(T8M8Ykf=Z9@7)O@(^#dWx!e}#LEjxtPc_WVa?4Ms0gT@a`8@{$Di-{9?v-)&^%s~ zg3%gKxyjH{{JX-$2*dqaJ>{$4{_uJ2k-h}RR^woks@f+BSi6Z$x-s2P>;$!V)ws*& z;DG;-&)y2YFJ12F#n>c1H+oW%U@+04i-B&2>SgcZNO0LCHtke+;L5OJjFSJ+CZaSe)<^`$!&mvZ+KK(00 zX4*3@b3|25n0;)IKYz%7)t8jDN9KL>()YlnUSiV!uCNQAW55_?%t*2Uw7HcBIk?CG z$Hx`x4LT-nB+%uQZ*nW6R%`dDyg%xIb8U|_;W9ptp}me8GrP!}yT#&WV^Ti>pLZ>0 z%=bxWQ_#Z`@^2-^w3_Ne;6wBlMkg4@@h1BUVsA2Jk;F3 zQ0-84WMt-xBLB#_O0%G?Ke>_kJ2&v{onG1D_Xlt;Yx|BYR&|cABovOvywuy?&sbAn z`Z;`ja*^Ub6i|Y9|88+J3p0dJP0<7tUc7Zn%S(ZRCHU+>uy^)3xEBCiCgFAbQ8?g8YQ9lpJ%cOIJEaym4>}u z-I3G~G7+0Q2SFAIU}S?7frdn@;|%itqZ&fw|6OP7mLcFI+lgPP&xp{1t(AV<%0?Yb zPxJ>g-gh6}Ud=X-?lJTKivXiflrS9$NV}cQdkPHAHwvSOl|w<(S-GxzooET$@=>#` zWE=Bl?>%9k1)8FY?pYS?u(Y4WkIAwPqq;R!4@~b@Lp}973E8EY5l)ip zeb=l9zXiUFSg@7fm+O}y>h{HocNA5Gv8rC$V`nm}d1so8nl zMVIKvrLOP9O(c)Ek0S~fRkEUK(rhH8+K10(I9f6?2+>1$Rg-C|X48p|9`ZtTPFsuA zzHaiJ1!RT5EB7|es(Z?-F4!2WoiP4jM_&rO5%4P8twf8wg!2e!LA#6MukENuDh!KO z$al)p(|XO4F=|`Ix8@saZp>F$W9QU*VVLlkNG^<+UZfZs*r?W36K6 zEc`Z?FzvaJDzUo=i|i_-Qa)Or69~K!jb^H96~{+1(!;3tYnRA6m4)1WZ15gC##*c~ zcSMQtQatU0$ucKNkM5|rc`WO(d0eqpTf8%6U2>&d-mTUL?qOwKcTyz-0=6!k4|`zV zUPx|rPS0x6N{n~kkd>b7Rqi?|mjWYgChuO#GOS%2akmJB&4MlZEID_ght$uceRti_oG% z0MMabjy-?|w7@zl9%h5E0${#yO7~N@z?C-W3C=T^9=A~xyjH?zR)zfAH+frG&1W|u zY+fg*r_eru;JEuuji=D9nX;&&@L-!sFeP?Z9X;zm;5!TU-KZf13N$OoxOI_a)CDp< z&%~=W)BIoq<70-iHM)WASJp}6Hh$^j5t&V%rzrWTx!1^d2>0~7wqyX)jtH&Ay!`0o z@Lrc0tABwy#bC+B_E<1*RKK1_0e9pV3Iu%CWycLIVC!uzhdaiD6i)}B_5Xz`E!fmu zsmW~fM<&gaMreIdFc54lPYdofBeTX~&*eI3(0j8@e$FcIx?QQZ$eT-bZ!h&DhS3yF zdm#Ub)nBhI6RKbKu2Bj99jwob-XXgb0&0FsXGCj#GV%;HRhyx8q1;!r7EON%wEg&0 ztryrO(ckv+p1RthPW4j6?>EL+g(@he3_D@(Q8D(~f6&h!iDZdiI8Kem>&Xo4%XCwM zPsDbPdMhtjp|ecUfC9GhJRq|Kb(#ay|0(8?&wN1~YKuPNyDp1^&-GKUE%yQhz6fh= zp}Za6bekWOW~*j0R!fyxX(hGh-kcxac_19;=uB_5U70s^TcNc&6Jk+?GPUpb1vVG1 z&h`cVUDS}IaVsZ^@j0cXxx#b#2*8pGbDuXfns6-u)|E|Dx;0;zZ28k3*=3X*3QZCf z;^Kyv#}g3VXSe3fRxaAH#H*$F4WRmZ_PZ*z1y%lctb$#bFn(}=R@AQLw5ew(Tdf;& zChcDK#G>iX$9c;kemxSNYi8As&VSlz`fu{kf^1e=6C*JLU)ar2?IRLd30o!ticN(L zch;ckhuo3HU5E=P{Sf(liF~>YC_eUIu;%GdQ)@8d%9}vY$(3wWeMA{tUn-ysx`O1$)=Q1udRmA z5;E2WYY>C0QsWw()9TMqb1SyPdK*GMdpMPaoutgP-OYh+|FvKxHK|NT53U<#t!a95 zXO-91Jt`P8c04Gs*xzKf&YX)SunD_6p8xt$jbM!>FZoq3s;d%SLw!2-OO)_+>*kvrGE zpe+X(7BaA*vd#tier|d*SLXVM@sZpf%uB<#VYBsXa-aD3I;kn$9lp0e->*Q=S3&RW zgtN7}TXfc3_ps^Hcaj=`Hwh9tSYwc@aP1C;_#@uWkI%eRgTE8JfOJMZZ z&?%Rb!hXXtO}GghRcn=J<_k&!b9xb|ujqH&nzUr$s+zS7-)a0pOW`9O*qVJnIc+$5 zoVRK%qpro-iU5B15ERr7&&BqLvRKiEHfnUPw?7$jWI zTpxVcrw3h@a2a^RsLNra$ciitp@j;JcUcFOrk?>})S&O7r$3H0t*-hTotLaslssM> zX(Ta~C?|Q`;@ykuEmBhtU-Y~`KVtUo+OI<=d5bR}KQ7gpesGBIxm593#he$8K5EBE zqtbz36Qe{*yZS)A9VWYDM_OwdM&T$pCFv&E_{3Q1DrsIJ!Q%&zCP5KmJj0epn5U-V z*(L3@BAFggnjn1Yof5&rni%ct--4OYI9-+^s+_d8<}nUQp^9*({g*f-HL)>F1$AyL z7p6^l>QKdr+KUT$>^!oC(g_X(Q-~sEfXC?eCKt0=i6*k&p_H*v8;_ zv7v$vh$0mYa_=%cgVIJjYs4R zgE!rLSz6>jYcnY=onhfP`xkFrV1Qc4Tl-Hubqdv?+KXKM>^!yDMD1evv+S1NfGo_# z(2=E_?#V6*_c_eGL!z)Z()L51&YhJ^aPW{|N&OznA-O}o%Tn%kgf(Q#%e_hAcpO9*72Fdf$y z`(}b-Y3!i#7!BmXnA^v;*(FD)Ow1!i3`pV=Zn5AdZI&V=*KzGrimBSg9D3}Q7ok!K zD>Oxx^1m85Bs&6t>S&Cer6^idO55Qa2>NC`f1SrseX;w~Ne~w}Mmpi^f*{MLzajrq z_?j^DRKjUUVp@l)AA1vNaDqs^Gpca;~|4>GQ47)K55#;6-gYHN2)H0 zO;((QxS*;G#6FJRVA-TN^E|Dl$gwoS#-$VTNy;p#sBz#-mj_FEi4wbHY~}@~p!!IN zRZ}PMS1Uui*mRDk?ndS%vB_#*h)XF#JVDu+nYrrTd9gjQP2)KXpV7t=A4{qe0J#Io zfXN#VKwg_K77Qx~ASd30JdH1qPB?|bLlmt6$WK(fWLgGty+nC>925E%0Qp%JJ~4Dv zly>pYd+e4k0|CgNz}P1SoFo$ZY&Dp7zKKArNFx`5%Yy_$Y*;sV>M}=WP2bj3cJf*Mr=e2<~xm{xBCd_TD< z7X17fgfIKkc_z~@XF}d`++?4)WGcm@QF@HoD)~1gG4N^_(|fcW3rF;0{yK9M&=Y>j z1A4+f8J^0I%*M?r}Ip`g{MQpCGdQ8@R4xs#77sI5T67?201hM#cBpawTrLI zaadZ|>x)gU4M1E-W#S1p%D@5$-GEqSc!_E6yp{;DS#mxeJk*t@{r$5!`-GR5R6<{w z5c5vqC`TjrvQDtiH<=Kdc3EGQsI*_Y8q+^#OPBe3K1JzRds~jNjaPd0|oet>S^SWi|OZ7?J;-ABanQy$=DMNngOT11DVEF?-UdpSbJH`_MxV zfpo-?4xZ$}$K~iAvN3N0x20qElusH>K>4&>2Xres$5!}&ZKN@fI}P$C?FnnP4};qq zBQ`)EbBF^>qxk3m7|~k$N3n$j(xd>&o?^?Z^BxczIjnPo*|!~z0S(LDYC|B}v46j3 zn?MCa9xYr3MyQMgpx6q^23np*MhUn*6s5N3w~ah_awZ+Q?@+lN0yy|qmlMcl5U#vH zEAz_;3|2t^O^i*y77!b?8(snrPX5M$5me%yeCYA&o_whG?uCdGUsM7wfZ=j^d$t|- zL|ug3vwIoby$hzNe~SaEohN~Y`wsaG0kP2+r1M*r16K7NV8kNq9?&D1JOHXQvCH%j zkU>*PV4G&C0cMxbIM^OgxbLe2-`XeycDbV05xN@O2Y!3~oEjDuE0J?4AO*D_du1 z@JAM`%G{L!}6)jVfOY z1Dp(70M%7!f#m^2G_MVSY_@Zj z0xek1Z4of~N&yhj86Ez;r@$JefzkIC9)qNGI)ZFA7gPf+m?B06_!mA92lBm;4#K^T ze-9Fi>;N{tA%UJuO!Og;)-^N6fL2d2_wHT>pALW#8ntpD0yrK#xT5d0hu9Pi5PDI7 z3h#a|f3H5wOZahnqx-^5t9IiGl~)r-jOMY=B~=oeBa$RfUPxTC zhNH_K-d4_cwfTI%`^)YkAH&T$dv;sg?0EU2({2y~BwYbq}1t-h@4gOIJ^X2&J$_@T5Ky zxq3p!%3DVq(*45>48RR?MesCI{9j#V(NKUM5I4%$(9MB3t)fqa*L|JS%Yn4CvYZGP z^Ydi_hJ~!a$7KX0f^RS@GKVL0{hs)tixUT)z2ad}dVTbOlu?{$h%WoAZARU$N}-ELJPp3$}gfII@lO^Ze%UvDtidAVjpngjyG`PLm@MWZul4o z$!A6W&_zq?J7Abr!T}8HcYv{ifiPg$xD?FM9exXVDFX$M`TUju9|yjHWD@h6z;yNx zBX!x^L%C195PK8|!av`Z4XRXA!VjFlYenjEI5-P{Og!)gNm(Xyf=tX*gOCzoa4_AC z`JAgPh~Hcwx|F>dcm=?~*jqIqYa=hHz=@AUU?DM$6^!-RW(8TB3kug|pL&S|Gn>=) zf#@*Yd$ptR08m&2Md%8mcV9&CA7SSV-}jy6e#jT?+hV79{vTgAar@BBIHu4{fbo8k zU9#)Zrd5CHT%Yt6{R?m2KD_?o)WueBBAfpgo72UvMy?Nk%tsm^zOqH~MM}Svj!pcT zXw~Ajq?4rIVwGg|@#lTPNGXE_+F0jljd5I1m#31u#k6|?Sqm!7CS&qkYk9f&z;5Nu zptldFX(@jNiU?p5p&t&5XbY!kR{D%tH=gjVaLX~gvNZ#5^P1=}Y2-yYW<21=-Z(~fVz$bDYgms?5cPKMnC*w^<4TXfn?f;TQIoZ=oyrss5aA-SVxz6dZ533 zlJJrrPk$$yrZn2i$>^Mu6@N(YGx0VTt^YL$>4{MZ(Vdc zR-}E>&x;RFm#IwE+rI(HUWbh}2|InwE?-6pTXJuCs(J+NIkK8=;qzOy!hL%6PcJ+q5*$vA>Ay*rXjHCvo6<^os%N=-z zKEiwW+zp-^oHO}W^`UB>d3`gCl1}WjAkKbDp9f25e@I5we3(2 z(Qy)-T0nIpp2cR?GDeV8*Jq4wAg;9)j-!!P=rK!^I;kEv{aM;ZBG88 zSzjO`i_!O>z*8$58F-I0w;>J*7%?N0I+q-`z)pKs_hG7PlhcP$={N(T7)8wdGCshu zs{N$zaRonjKf+xj!O*d-HdutH#d0!bMj3|nSfHwGa79&S`k66Tw>@&7oO#RUlO}_c zg3?@7zbsynM&5%S^LAg-3Q;np^7#f=qE8_*v84<~bg%CaET?cSa=BZt4eSjtLV2$h zC9qYBo7Y481t*mdZg$R~W*2kuL0>XAhp)SZ?Cr2P+9?sC)e#G5g@b_(Lt&dS7?_I1 z1`i+AcgFW}RXaw_UGA;fduOym2bYVAw-)t-o%~jJihBvdy&GzX{AK2ix^S$;cWrK? z=6R*N!um{iwekrq+w4W8DA?+iM#tZRMpcR)sK(fn8s<$pleZ@^N^-kQ-i!^+@2j~g zs#}xO{XqkPopYYOs8}EijT@odnNPECYvoyAt|Cwk)<14s@pDU$H>=>9y9bxF0A~hy z_6ev-oy^Q!XDVEig!^{ILwD{`rBBY%YnMV@RmvvXWBvE7>m#fnc21wYNwA@desS*R z=;={@`x$|%h1T%}o(cKfEQ!p_MNS!Hhr4JAv3pKa3P6;9n@nuE+1n{6)TWQBmL+6N-IkuNKFg}PNc z1y!vT;!cGvrdUuI#wk%7=91!$M(M+Lt|+9)=R425Ajgi3LftXXl~OY2N6mzo?c`~z zw0n+Dt7h{SD$Wl{LcXhJs&HSA4-+%fYwhs!u(jJpSPvm*=k)~aLVZo>7_M~gIISG} z9(HbgXbtKTFwbUey!n-0VCziF)N)6TX0&%y&3Cx|fn&Ue0EIX?YjFo@On%!yHd96MhA<*cB|l)0r%QwLwAV3(*RR z$YjL9?Qin_NgOWtj5wpJW`()v?45Nc8M}_W%$C~LA#a&)cZ_$YYoex|#m~+u#2=z5 ztGKPNtd3Z>i8k6*d>Qo_3ag?Xr~1Bq(%80>2BIHLjxQtZFVE1x`1dKL?98`e-D>sW z?%nYVm}2a5O`=gEIWBj2+hDn~x+}?$n)s?Ew>^p80(M*V$jEl2B$O}YbZujMg6eYj z_FD{7_`1V7vh`=b#j%N*NF=i622~A%k1kZEy_i#X!`tQ970BiJ>sMT#76(R-BF=(;UsCd+F8)sowIYK+*BL2 zF0nP){+Y5&e-kKO-R2xHxa;*sg(%~e(%Z>vY`hVXluJ;HA6lPx&rYc>{7@M!U?FjPm#_aQSv%s-QrvhSA zkk?si=(OJMN{=CfAspBokG@SU+M0gj{|M?bXAM|g*Q(J!x%`j7n*~^^R#GNi=1`kH zK^^L&^r7#lS|&hio7k+YltX=`ElzIUKDs3mUYYqzZwhR!EZ@+ueN1gOK*F2aFk&~; zE+ylqf;Xs3i$?n~>@)6FsVL9cZ-c~Jzy&TKMMWOt^!q9`-J#Eunsi%jeW=3*(6ma? zMOomgzx?a?411a@KICOk@U$Mn#qB%z*Q`=M&W3u@?=hQ#OzWAO&QVHu-Mt=Q)|VTZ z;%^IA>oAm`o+Jrvx7H#JmT6zN4=lU=GE-F}GFuQ@+*`tGH2mN8-$U#KwwGtvQ#mrR z6)7X8%*(ViO!PcBamZS=Xi+C-qJWKlaq4DvaJJ^+K0Bw5yz!4SCX|;x?~uNK1tLb= zS~rd(M^s$b2Cr9uBdSU*V7-l5W1C;M%50rqM=GTRPJ-M-cBaQK40T@G{p?D)bN0() z%l^7gAvam`_TS>lKXx13nAmlmKtyU(gIk&xDrV6B_2W@0kUQmQ{i z#U14nl(fUnIlg@xdnnz=$Y>fgp@guszS(+d*=Vu1(8WUf5DhCRLdG2&3Yvuc7cE`YLb2@x7i(XVb@2l&T-y z+LAp^lwRL$2w{SVF)_1iGqLal|Nr^uVh!n($hm^rd#9U!xOwwXM49~^l}~IrFg_YH z?Cq%)oxj9kUJ2*n;U^AVvrGsNf$Z0%SPrv8^vYjBbYC9kpj%q%>mJuIx~Rj;`sVVB zXIFVzBgGEBRhu&(uX0~1@h5JHuAG>TJ4;X@jLl{GJES;t#%eA5-_K@HzG;@}Z<{az zV;^EQyQs|^XJx`4JpP%wE>2ix$Q|*U>sK-mP)r=mAA4*dJ>=`F>umds?_oaU5#C8@ zS1#f*ou<7jAG>W`!!pIz#rlE3{nYc>ahEd*k1UtZIj9tCUnTz05b^7ty*ff~>pim5 z6Hq8ERzmxf*BW;ksN5bb#6>YVh8YKQLOxvB|ITYJD z#YgpmANVVx(>+MbXA*oUo`>gMsp(-BA(xJ-S9*&F-%di0-{WUJdlY^!e+L{G5R|MS z)5UzDqu$%W^6)8eti@qn1upeGubgzrTQQg2LPYMp(kwL`6Z&|nF!i;m4POj6EudR) zzTWlKjposhPzSNYW_3nZ?nG;)GaOdypNg0BH)YME3KxYQo#==bB_HMy(N?+MA;Kej zM^lh}o$X_#)9z6n1E@y9PC^myPB7TxG5ctX%~^i+sE#RCgCkF8_eodY*+?da>-Yo+PF%GZ-s=JFacJ!@lX%(YG-`TJ(T+-u5#4(X&_N zJsx`pIU6KgektRD3Fl#b@#uqISD?qI1ERkt8C|I(L0fOOrtQMMzlz*`vlb~Fd!b_z zBPX*d3qR7oZzA^tr}*H0tC{1r;gbekdcnM3WpHt4+vgLCPI~N@4p+U3${!szyUiY4c zS=$K06KDXHrbJEX0Ez1ZAWk2V%)r3OOElvOhR*v0*AwOuVnY#GrXc z(&n3@?=h|fIggCon0&O#^OTF-vQrb0sRWSx3HH}1)j`vDg^bSbVSCx!(m>ib=`}u& zXA0+Z6r2kYZE=>DZ#}gzc%I3Rsegp|v`uDy%Zx~6?NIaM&^sb5>EJLO-4FYpRjSo= zg+`92c5Qv1HegBZ%dYV}t_@Ui6D-V+6K&ru?}+@3=4y+GQ)QcX3OG;SMrH1SxiStT zO-Dr^lpJf?m@S&WPkk9y%aQ`*n|#-fV* z_Fu2x7bE#imULDkNz9<|uZ7NMwLQr`l(pwidaCA6qnNvyJtRPwMTtL>LbQVtXr<5? zUYqqV(*Th|_0XqmVZWoEU%sW3lQdYf_UidQtL+DUj)BeV+P8=ak6i67c7i2Yv^&`U z#xuP$($Gt~@|2%g-cCX{4?;|o>G99gV(t>^QKR)Y4Sq`A3vpo1nh39Z=$&xe_&uXJ zy`{hn{II;I=5#t(Py1!u0gb<>Xe0I2_p3Ivkf9tY;3pt84 z61-o|yUt??8J(JII`+V$dGL@rE5EMr2ZaIj(0G0#)ZAwuA2i)WH4!`*&ZksfwW=$ZS`^NT7P)A=H0~?)OdT$ER ziL`t_kq-+!uYLH`z4MXn`1Z*x>T@@lAH zI`;y3US>bv_?(S}0kpDAc>RFc_pYI8&IaivevI+KKAUyLtEw}*=bm9gg5N;b;A{zf zpNE}U+3E}U9VqJpFQ@GK;HTU)|pj z_NwFtA@aO|{*}Aicre+ku2* zhG$q$CM3Fj{CX-n=jesgD`CQ^H=-NwpWyV9czxuV^%tWfVFl#cz_p4(_nIf$F3V8= zjh*aW_pAll=v)m|Q{pe^cH`>D$+9ve910~LINlWhLr_asVRFTexB5rCU3pgH+Qayu zQy()HMHuIZ8Ue1yx_^S*^z7|a%%FDo?3Fig+(a5-`OM39!&v;e7|cD)jPmY?WSO^0 z4lM9(BDel3wBauFRIy!3%$8&w^%LBTgHh6Px;7*v&mj|*jLMVfw_zL z>L<7QG~W{Qj*_@{=P@Oak;5TPY2rVJCji zHGH0^%`AxL-RY8<=qEosV2BAZ-C6nGHz+WthoDl!qS57Ab101$%|g@O(2!_fHK!Q* zZ*ba?0fVV98)a=q=Wxm0G3iY$U_~&-I9!V4%L9L2=1{ny8IJyTE;t(7x??F(zHwP5 zqpsBMagBHZ4!y%#7HW_4N{%JxVB&w(=_6JgYJ*f;$=}W>&t7mjtx(vCzc#&QGxp6R z_!3T>1oP)G^Z%ArIIq5<2N$aQQ8D2(E~JjyP%7SM)_#+a;RTF+bA*;}IN+C}f4gt* zqilrP;|hYrS{raJ5)-dsK3*NQ(rW=0FGW}d$ZMafaHg@+in8pB;{J=iy9Ik7t81r&y!m8lRxUWe0#-g9oeth=m^OqmcD-JThKrlfq zJtv?D$ECd)HWsp@$vgY;+brd&DRN{j#Fukv)Fz94g+7F;&%^8m@NHQYqIxD_oBY}d zq(~J`0a5|F!_Yo?!e3;zZD0*Ss0St~^}zh%5!gO__M=(oHBq$I(ftDSIwcX2OEbRX z#+QSmeH)gB2GdMdL$nw5R(`QXe6p)SAl$^)ekpy%-oS^zY8}s&-xe6z=;#IhPpgFP z72u|Kh&`^)52Wa_In;m>W>;Gx0#eE#0{??NgQL+CLl(X7$W?hhW~4219xhxOy(v# zoKaADnvO`2k4fg7@u-hVc{;x92fU@KwpyTSDs5pfQVV1=uu0&fdc?vQv|vDPp3M5O zDhI(<|HEwMatRuO&!&ft*O$)y+C6k+b#$$kB;s&lhYM$TE`iI2bx8aFaoGvl&)7%z z;I9Rpr~k@&F1dNaz!tD}T^_AY`d9?rk>->Mk5kXjPPd}PXG_57w^>|`G##(KL| zo&o>kQ2?@LUE0aWdFsi(mj@$V)fb#IjmN>TD;U#-lY%`NFOA33|NX{5IxC;{hVW=J QF@yh1P+4F`u@6`NKOQd-IRF3v literal 54107 zcmeF32Ut_f+Ngsls3_R65>ybZfS~lyL`2!7aq4lNTcZ7pM6aalQ>*<`-4gOi7&wYv)mhXhR|UMzUh*1_3c9J$5i^G&9* zr%%Q!4AGMRyI2-fLuD!r{7B&*q`e}u$&5WmC*zA2ld+Hq0{(9zA^S<7=*0g9{1+Fu zgMo-boiisDo4lNi^CzR9U!`$4TTp7cLwk$vNjqnE42et&&JRiKLduc()dv$SZgR*- zSA3`bUNG@s=7$sB37oW@1vvUN9Bwy=LD%ApO?D9X8tUom;6UbtK+8i)>VOnZ$_$7s z5IG=+ftUi>3uG6N%~CknxC@ZEK$D67Z@ReI;0BKL7#Un9gDZIggE!7(TpKd69%P)R zw#WqG1lYnGsxVEqv%%r!-TTxA(oPP}I9yJ0<>l=-+;Qlqa{IOv&cW>gDYWAU{@;2o z4phwmJvY!YIj~=A@5Jaq7LLp1(&5OofXw5y5%Hj|j)~#^36KvLhr|>8`E!g=!8p(m z4MZHIVFUUGCc1jMll4HBAKJi9a046o&CZEvO(I!S{?rUt8|(t8{f}-Td3X@R0JKcB zf@;}sXa7%Vfi{Pl1)&NMuv-4l`hwL0ymlg>`${8nzX>)8!d@f}LgGXuW+JgN^g<92 zH{NezqCc5|ToDX6f+X_(D?j1(JGAwH!zI2dIGhnQo;(gG4crYY zBWl3WG;p{FdXr)V*3<|Paj;gPtOr%0&=4^NF*X!}e*F&mq&jo5WHE@Kz~u%5>^2{` z@WIQ(-Q*1XSq2htM&Lafpbww{U8L|HYFLBBE07pO6YW9&fh=UUB55h)9z+uXwgUrX zz#4v&8iRK^c&om~%K6kdXk4Fo@Yee#UG73|z^u&7Uz_LD@XO2v5Om2W9gCvvdkZ z6O=6g%$g~f9iVI>VBk9cS$}qcvPFP_ZT^fg24!%)z&3xzn1Qk-fZ06-a|D#Z$F9i~ zj1?$b3K&ZeL%&7?oCg@7|H%S@YruXA25cL+w7_f!m#DH9xf`Mxo4+QoH*{Zu%#;|@U5VRcDhyDZ0VGQfX0YS^* z+`%;TQy9bkJx0>7F6=v;Z`gkr!}>Wu(E6|(&NZ|@jA8rbKzPgHJiz)ehV{P#LCayE z;rzk5gE3rlSo=b|!+jXF@86ZfJ$R~exc^R74)?*S%HbY3RXN;qrz(g0?NsG(ubrwK z?z2;s!##GYa=5?#tQ^jvEMj*MO>mHfeg^|Ahx_W!>Z5z=&&r{mty9!T_tKx$hvm>e zrz+Q(q8$4DRP94woT@%7hx_Kw+K1(E&-__Ax?ldR9IhLOX%0?$wAgZEspX(>TR z0zngru^c}rgWNpiA-EKYu^ikpA(x7jfB6Xq_j$-YfEyVIPmma^51IjTe$bIYIFH0w z4t5)IX2=823W>2C><;8)kq4R*5@R{o9mvf@7TP={#&WPbkZVB7+kV2q?m#XTE*KDU zkQl2Eb_a5yaAANDiNshAHUqii(7{1)MPe)mn}M7rbaW7QAu*PN%|LD`ba)WNkr>Ot zW+2y#l#l#`gUvuLA9>J!Kw_*uSRHb4a3O&30Ew|2tPVLZc<2Se4~elHtPVLNc<=?m z42iKEtPVNpiFZ!GACMT!!RnBkH~@oaCOim(faPFy$bCV|8-BvUY{)%K3FWQTz+%A{^ z!3c@5`qqFl$ce)Q2+~N5<>1)~awACj#9<2LVL5n4gWLyL0fa9|jOF0j8*&d|1rVMf zF_v2g${^_D^974({D3w`~dz?olWQ zKRdyI^*`7y3@E2KdHWZ;U^yj(!#?-1oHD{;pXXRk1>vyg11ty6XfR-(`&bU1rD4Ff z3(ptOXVCGgLkI+{J}gI=#Qw6cVL1(i!|p?ng8^+r=`x=(hLOAUD#d6vRhxKPH zr-N|V^AMKXfpFM!4wlnJxCe;8OY2W&V7Z+rhdC!gpN9e6ukSqNC}IKpA)1Agv=0reM$ z$=ko2+p*m52#1{yEO!Fou=^yIb3{1o=Kw6{gmBpNJ(hDuIPB*IEa!r7*!LFjb2bd9 zzqn4`{^flHmUBZm?EZ}9NC=0uAC@B{9QNFV<=hbtyB}aV4}`<|0hT+7aDQhPxJ96L z@tlH#=Sh_FLOAT_0BpMygcCVug~V8Wz6iGz*^tDM z7|T%+4vqLg0)EzjF&f}IJz;PI&+_Ow6P9lR0=Ea0g&cgp0XZ1M0D1V)5oKT=st@MD z_YE*W4t~~v`r!E#< zY~*bhe%3^}4ImA}-*NmPALV2xsK4i6`zVKgUimwHu>UBBejb1vd?xeu59Xm9dhY!@ z4xT|#ZZAl~@OK>4jdJKY26D%M@U{z|*(ise6aJ2a&oGoj+<2m0tUkD>qa6DD`a6Aa zk3%{1`2ab%_IdjUeH-P_edg~t$ego`PGz!@c9-&VcmK>{ragods#${=q#3?ce7q zxJ5kN_bIr=JX{wKcNe62+g-xL^-saUy$tQ&$Q0aC9*)Dq-2>^L*<~710R0rsHE;ix zfqS%F5gzX06#5qNaF3_p#CW&_OuNf@+Lhwr5-}WnXN&ev9^v5LBnbq$1*w1R<1kN= zhg%2kf5xfua8grnnmnBJ6r2tZw;pi3c82ei;W!|o$HT#A1TVJ=;ox%w{rxvM-Ypmo zKGRUU7$N%L-YJ3M@CXMH^tlSTsqA-vM<2AGI1u<8g4r+)+lBpuzY_ri)CZrxun_tf z%w7!y?cY&^gL^D|p7V}(4Z=YL{@x4>ki(7x)`R*W;>g3naq#Mc^-#`@hlAtbK3;AU4|k7;o63IiJRH`3n|bsl@NjT^$Sp|y z*L9B08|*I}-_N)wJo>Qq+XCvr`f%Q!^Kfu{ymp4~#!>DS4+s6;4+vbNFdLrbAU6XD z>i6(0fc1M=4|1>$>i3Y70K(huR&bAUsNX{l>-X|J9P0Ow!}`4f4~Ni3Yt z&Ko?FqIN<39&%W}-_FCKeh)dU-z)NPsNX{lJ8w!nTskrz@C<^r3w(!;+Aoub!`cO& znNcpAhl6(Eoe%g99p%tJcYy6;?E>GSqjo9e(f2cdhW=N~!~M*kAy>-7LAxNgAoY*+ z0l5!69Q5a(apgQ5T!(+hfo$A29!?Y7!*Rns0cNWMf#ZdKU(ds7fqUc@r2f%A-t_^` zgxK{#0C}jL(e(j2?E28);ZVPa9M%ssc{tSXAqV~7@A?PxP``&9)(_yg(D_CE9&%Ve z(B|P#zlR)ledzFT=<^(&fwAM=fpD;Y%}B=~haImj5BGzIn`*r1z6eq0y(VxcJpxPcws!1{ZM~_9M)gy9?8Gd$w=RID11_%d{@hLb%9&S49BnW?}&j{qh{y|kjQ*iruxcNMsILPPi-+mr$ z$rPM154Um(&V+|s&BLw6w0nSuTgSt#!Eo^X4m#eAJRI~Z#GD}Y55I?q91jQQ?e93~ z&uaf9w~L4S*>eHvJM>R-f`H`>@EsVO6X-j<0p^Q?jGytp@+a-~%MSlpcKDf}qrT5Y z5)jJNmmeJ%62o;3pEv)f`Tr_ApxuGzdKjRc;aT!urT>4b9@JjYW-!2c{GV*M z$x(uFB8mSg>+wIuKZ))xt~e7rvO7q)TRY;k?T%ZYbZ{Yoq!IXWaJ2*fHoy+2fOerBLdD-n~N=_C{ZT&||;m^E3 zYsf33c?y4)Dk!3P+t4-?&wp91)q)p8S9sNi7ae#3voUeA)4|!=aWZ== z4j5buUH}ZP+VG+?ad9U(61Dbv!1R7QGEvKMB8k*BCK~K?w6@0?6Ah0|#yZIT;fZ*+ zhm$LDA_Zqk3px>O0Y=&r*Zrmwk(RA3X(9n9oM;Hf01>2-{dS-xxPXe_stqruIBQS? zI5Y8g&;oAa;_Tu|)Bz6Qh%+=I?$sym(4QRqq#B&g-aWYe#wNJEhFUvtx(1-k8Mgyk z%i6;WH_<-y>?gI79FkJvumOsR=`o?8vxd(3TUX0G-_LIhllg zHFSl;0#{u#c!eDW5m*6QFh^@5Lkr$(Lr!PnVqmg=FU}e)4{!%5H2ItEZ#V}sw3Ic; z!Tq?Cox6h#%m5Tm#-zW=9CvZDlOZ`;+mKuwykxXJNOsm9G71hP88QVJ&B6JYi;NM; z<##*x&Bh?Z&dH9vSs!GQoUGj)+&t{aG6#3<*ee5;Ig~AAk_}mg?BGO{lX155lyU!a zW7=}^xGhe`lXODH>$vT4TW6P}Cp=uY$~d`rI6K%_pOo@8JSb`nKEgs|Muqejs69bLZ*N{1UR&ejf<13 zgQJ~^i~iqC)5PNVx3%WsOm?t$wnM!5zu%77r~AKPp#ShkXAdXvu(Bb7H463q>l~d& z@xRr}Cyq0dXP!wz{kKohpGT>GQ+tym1g8dFuYXgE@BuOL82E3u<>c7F!3I1>|4mJT zmcSwQ-_+RT_&}53MDTBF^1mFzC)%7iK)}zpFd)ZQoD)bu$wg!#_&^B71rhjt7ZeTf z9u&$0M3#UT9Z&)g;er^78F&c-u3N0 z-Nfl4_wYLps1JT;3cnA9|EmE0KLYqa2jKq|&;$biKLGq60LDPz-}1x1*S7+)74Y!$ z1N@#BeuoRcpGEb+?>J%E-<3n2mxbT2!hY%egol0I2}BRbE+DYa@H-gzy$SqI1b*KE zzsrE%Q^4;a;P(sgy94;WfC&)zJ|DiTKLq435ZG^dAZXus)95&$y|5hoo|HEr|62Ul#af zfnOH*548aN{OH9;z2VYqX}2`bkm39|bJw!L+fQi~$4##MKELI-!us8T`&O(iDA%|c z7NoOz=e|34KjL-6y`PFY>{R}v{IR5NrgYFEpQo0at}UV_cbeCanpaWlv&CY_F${7N z!-tyOLyct~`Q*Vop*G%6>2E)zUixD}RPzZ9de`guv0Iu&J!yldw`aSS6#Mw}N#*rg z&v1FSzOUccfGUu{wObs$)>Vooox@&L;MR7T=$X|tGN1MIr95M}@it2;%5<tC?1(W}aQFAKgb46L_HrT3 zP&V_`!yy@JkPr3aY7xTfo!z6Y>w-A-na|T+W?jfA4v(TmN>O_Gxy^RYZ}UtY>ep}; zqEla8mr9G>Gqjkwv8>j!>Sb$3K|7HyxT?Qlp=g0=d(pVs@Y{zg4pSFWF59;byj43y zYz`mPDeWy_mvg<2osefZ*Crk)4U?kW3QsHJD>^}D^f3b(pWV95AH#V6m=YvP9qlW0 zn#+CIiLwF{VNMJLPZaKv~?LLSf)3i_RbnaSFHJ`jJxpk}9Sibi%5%#nC zA;O2q6OE5(6f(A_F_W{`#?yB_BBXU*+<0r-*HVFIrL2Cd>mim> z@q}SzN?KWb%E<%DyNINSn;qdku@@7MoB5v9JE_>8J1*DVf4SeB$hN1N5tY_>5+pw= z__Ci21u^d{MAsOXY#c9$9#>2LoSr>;S;uLH%eIgwgEdM<^(>R->WS z(ZQB$hC5Gt+{LRhdN{7^hX?C-h*e428EDj)CWI(AWyw+_;@J{1oDjy)qgZVzkIq=r z(kx}crhS5=g{enmYDKO??H{$Ii*@a4SI;&5QI+Lp(K{w5#>~xle~}(wzlvR!c8Hp< zH~v;@_=@f;6-qu>njf`i>4J>*SQ?*8J?v$t0MoYreiACDw?0BDMr>L93(b} zDpOlmCJ>q%*ecxD!JkA}akLr^DO$w$F-uL zW8)*8?g2MDhf^xoI^z3+6g#8b&ejZmuD|?Th8b0-VP5jMjNIhLZAi0MYrVk8cI&B6 z^TdCq)vuzaw`g3}1F=;Hho1bv8x# z<>CyF!)MeDE$|N)`fA6IcC8&;7%P>Zt3-|?P(B^%;Jp4+#-fM>R=N&_1Cv@N>;Vo( zeaBwINa*SR#F*0=O>eRBG~p~#dHAjOI%%jxd8wxgX?<0|U>No2;A(uj)B$<1@+U1` z8)IWK@}-#HS=T(;G#JO&Tkvk-ozYxn#m6Gd?}c6!jKmstcb!aUjRd~QfOxcMkPvGp zUp4Ceg>w6{$hf*yM*c0SWYQCMgI?=briaazu~izb&u;AT4a-xDU_a4 z*+FIS#620^=1=j$X=Qq2q0f`I2R6kyI@ELeeA2dfM@LZ~>U575ZX-p|Kg{ZE>Bua5 zcTiyy`>jU&3YHZ$C}K1)YW(^NO0WOL=2DIAvn$yE~q65 z^}q0DpIS`4oEO#S`^0kU0Qk7=``71Y8?!-al4UemT$%wtJ+<({gug9QAUH|B+l z_cYbL{HmC=r=!4z5Lwl6F`IZOV0`ndVjV*2uIL8*_JX$=4`SbYbjY`!<2tMOx?R0l zyr+ABK|MaGOCWE#wm(?NUF}&`sh~%*9DXE)y(YH!u`h7>Cg}266E4plC|ALctjwzZ zP$)%?(21!#DdfDy6VG|E&!Z;8o2pQnW~J+qADuOlXU9F9Wf-scS^3VDn!y?G=$>Zk zqoiyPx>Hi?)vRXV^OWO*a%K1GMu|0twVkh6mt4EX-66)Yu}H`oFccf&Ketwd&_Xy& ze>t?db$9K{DpTe2^!~UIxBRy>=E}1Bz~yZRJnjU9e40hLC0|3b*%(_4_K-t(kI~3ix}Y zfBIkiUCrBYEq+ANviU=}sj?ZhcP*LfMzq;0@U zqWk-LF~?@VZ2$L71TDh*>;BHFhdi4s@%`6F)0!0%T#_RmoqOwkG6Hq?oWQL7i=%Q? zJ{n>Cotg9!`?q&W2A2m3a@#iD=hT}D%Q;aJ3J-PUg9nbtDpE(8@#ao_iJ~{CEv4*<9igLHq@bD>y!)6p%e%+;t^HbcnSj1J z`{gVv`f{1!#mXFcMH0jKW~fi>Yx>^$DxuhurQFd2#e8J}jG@PdLyO(6Uj$Ci0!~lZ zF`iu}_%cbbLpAo1yx7teX^rFCx~Uz^`qYYj)XLGpFmJLIV=OaPIP*it&HN_sM%q(v zcB40R`I;x*3}c3*r}AT6l4){=&#>g+p*E>;!;=Gd-pT4tc>TUg^{UaDGi7Pj4&!U` z&a_x|4dOo!*RZ_!oNiDb*dJJYF2v`=wp+{i?<>%EC|H^7UVT{LtCrS$!3FD$Ht6s3 zpY>u_AS?xMi0$Gbc#pVLot zWy*N1icN2|=o#&%mS&nq-S4s)XAn!J>E63FTz+`=Gd!K>b7Hf@-;WhhibFEU#ay?_ z_u@xstE*-R)xjl>~DEKT`v9gV?NY8vp(HrAFP!!pVeVr`)#DS zQ?zUaV_Rum*v2A_Vlv4=#Vc3ZsO7h~;tWxP1+#-)XEbiTD&Sb@CvVw$~+!=vekHE1O{@fp#V zQr_i!d^qkzVAr&txhe7Z<1EvCBknC8{^lf)WR19}s*{$^>>o-G+~)jlpkL^_*sLej zO(L{mW~eKxThv`FYgYVpMq35D>4^Ii&U-#RoV8bi!G^7?&d7_quQ!xbb3ark8dCRY z=U3Hj8Wf+K=J#8u_AC7*Z)I)2q)Lq@j*nc`GJ5#c%g3{!%^0V)#H3`wI z7|LtMR=xL-*4*QK$M|d zg__oFe}4y0xpJu~e#k1L&zKP%+jXuwgL%jI^-!*shVteVf?AuNw|sEynl&O;mOX4* z?4B%K52;#BWm`mF=pOg`qr$_4voacLw^y!W8Y_#+CuS=x7wFV(n$y}oV#tBiODT#mtT-9_r(^AxFLURF_}tUFX)p6G=VrzM zsUKI(J1=IJX9kt2WwS<n^kGwm!-m`y{s*K+E{7i@jLau=`FMLRD7r^q8g)jk;(gyCgWHQqp=+7G%GE=22H zYKf0^ruh5$N&Z_JPlh{wkTfAgd!-q!32xkU_mJ0UbB;}uYIK{Pb68gpt+_R`Kcy~Y zQ$SO|vBpKAxUqzo`&Hbez;V6bm22jN9Jpw6Q{?6!d^J)7DoPLhSCbxoI-_IT$ov+s z>Mtp_a_vgK8oZX=v|)ivgWk1Aiv=~_M5rgf)Qc10U#X#cq;g&AuyNLOPxhndSv^5o zmdZz)x=!U(?-A*R;~8=`rE-tFR%BnvFderCWAWMMTwoW)Z`e7=T`@f@C9rQxsGCfJ z$n(CX$K&6=x#a3|yLSt#iHp`ZbZ~g3MivOGD&A+eo|7xn-8!kGaRa7?gK0WUJ$A?-$ z0dcqGxfG&HhA&;6qt((D8CwW8YxeNCteNO?d)YF3YO3LyXT1%@eXi4Qm-;GAYb>e@ zc|>#E5uB51cw0uRspM)BQ;R5eYLH(vWX#^HX5-wiYCh`)>Y5W(RwsY^#=gFVeTMBY z#%TF!80;e(j5oMK5#Ep(J31hJyv4%k=I|SK!U~tu2UjgQw&Yy%eo?R}3AHERR_FgWm?nwZ~Uj8M~F4Z^%&%J)h4kOd#W-&#;X+v;Fp4j zj%Cmh(lSO)#XyCi;HhTo?R7J@cAp*WUJ-KYB-{*Bq-OVoX^el!w~7g}IdiP>>H4(X z?e$9&RO&*^gH(Dri?X7;yj&Obhj}-;`Yb3<`FoEfa&qJFeJ&a5no6|aUAEVcJX9$S z*{PYw+Lx6pNe{k(w z%tr>U*Guc=jhU2|7%)%OpUZvyLCK$z#`22YVcVE{y=i=AVNo!JKK*R6C*c3rpB zaCe*@loB$BX-RCZa4)M^%UvcmyxV_!nPuQ9Matvg`XeN{9-m&?m~SI9#o$5NI#bJ# zq$b*>rtt7%G5mWgk`04rKgiga)0+7ti~o}13=`;+?B=iMXN@$UEi+VC-D;-1Q)w3J zlo@!ZnjvqEvocb#D`Nf_s515s4-MZQTt-@OPj);dYvkgBG;7~6{QXt?7kTk{e5JfN z$P~-#Bi4&Z-rKc*!^z!&VR!H5%Us`Dl(6F4l|%QPYeQoX9V?hyo8;87{PyD4X?9<1 z1NSC|HNIb`Xl$BNe7&12JVdGMOo?IUJ+$oPa1wjImQ{Dfl)81Nggo&&|C!@##bMMZ z&=)c)AC6VhxFf$sch-MN+j&((I{kKcgn(>z3~s|Iy@#ok&1aTuf4K56OS;UUJK>Va zsg@(#XGl}MEd0{4O85#}lLTe6PwesAokM%}{odgG!%A_BH6>m57aUo!=Ea!d3cf2_ zPVmcm{(i*o8TTcBB)&o{?mI&mClui{+i2G3(Sl75*Ti3p-8aBp&C$Q-q`FqYQ%}_I z+2+^NiVf=*#2roV#tCu1&W`(I7-u%FZ8*`4d$#4wlFeV2#4S*I_~Sv>yc^snBAV~? zseDVaeh3x8c_B*@b(KRnPUGcf+NLZ^QWZrB=6?^ZddD zPx6~(b&28bJs+MPxk6!4+=A5DXN;kp$7!)tz72IXB36y(`Ek1mO7pB(7vAD_o35NA z>)Cw^ch!$$TP+f>S^*!7dobPL(Q)5J+Co)x1*IL-r&+PO^!QD*2dl3}Z0owRM!~cG zDbDWON)cI&&gp)Er`GZwXWOTTnPipe@p7#>UPt za;sFv3Drjn8EvI0;x^o}&VK!^_L*t&j^pE@vv7-hEayIP{qYhf8EYx{WUP1vZg+Ot ze5>x$7l7qCSE?n>v`W=XGny5dpk{i+VCV71Z6a?vvg^b)4ke`+S?|qj=m^qfBBghgQr1(?iEiOwU03%o6(GJk58+ zW4KMJ*Kv}jglSKFGxKn}rAnqnp3qnkx8Mn}g_g~9JJXt8!uP<-YfFxHy%gW>7_Zq@ ztR!X4_Az^0t8kQ{(X1N7>LX>V6*Ruj@C&q)nYJlP1s`Yoxd>O3w+ScBX*uARRzwth zaOM%GBeticZpU%jcWq#+yMeDR&O4lHBd4ifC5bDF&z@`al>vNLxrgrx>mH6NlOq{~zyT?@g#gjH2SS75nXx`!R#ys5B53&wh*Q-;MwaFVb_#T|;C@2)V95g=X7Auw@ z_#oAGMviuc4Bu{N^I1{H;EYQTUR=^i_c`*u<_HQV9}L2%`tj*e^yTa zhedHO^yU51I&bn{dFjk2t8q_KQ&QoFDR;*f6(_$BZ>Wj5-QF(+%m^pe`jtm-7l^!B zwIt55Z5@v3@36e+**=c@e0)cP553%p)E>$8}D zgP6WT^WC;uz9om9<{FWXi1@YKbmBKsNfga7FRMf5cD(K6%o33=VsLKP^j!S#CPo!^ zC8H8=#aazkO8ttNvYs`iIJ;QkX_5FAz72e%2fBw{1lGQA&7J4BpiB6P?;Eh(I(ufw zDpI6159LvDtv%JEa1{h54(T1YZso&Cazv(?jenB$E3Xydi#*~gty%r#EnjQB^0c@G zkA@znH(s;});?~{a-Enc`JG_%czlO%ciuf5IVhN4I=$b^kF`Wh8T%r{x8c<2!x1|*ZJ`Lk$;mxVo26YOWjWjEYd6U+ zt)81b7?St+S(53~UXkB$58B9cbKXRqfYsJ7^HtZrC{FJaSQIE;%U!o<$*Ci1^Utoy zKWC_IJ%1#`S?ku!z;LNMPiDR;JhJb>b_MfzHhq}{J!@6?60PxyMW9xu`-a&Ua}s?7 zXX}R6M&5cdbL_q6Eu-o0KBez7n|`Y(Q`%&OSM(J%rMaL+-6&zvqq#~)t`TS&>ug-p z)y`|rw=FZ&15Hu!J8yl>;h1cSI;DS6e5LZjvukz*>KU0$XXWP^NuM6beh$*z8P(E@ zv|hEkfqrIt3D5p~uA|drIE5N6CA&-~bzC1PS36R$)6k}TO@4ecKwq<-OK+Oy$yEa# z?Hp7C9py4-EDDVK(k{7ZiB_Z{{_OAkNyaT{FNQZpURq7J;RAyZ8($8%Os)z@NB6D+ zY5LQ7XV*xVTAq2cW@fz%>}TiQ=G&5yFJ|_?tOphRH1mU2 zA`iwrnWTlc;X6&Vqk{aGL;ao~CjP*GzUd)sJw^@&($x?99J zU^<_TV62U)GW(=YH$T5Bz3H^?rww3esRmaWO(~D%miedmo|qWw^{jVybsLh$h0p%3 z^R{}hXGP#3J?F0OymiT1x4s%tPqqljR}V;mChM8rXQl~ zfUd@$)t(@#! zq0e3mf@bjPbAbgdrS4@9y#dW6wFPOv5`lB;r59LBpVOx8S~~CS z;yquVOuMzM?d>tP_1f%3g_<9Prt3;eKX~$ek7L}aH>aiDORtklqR8FcoNsg;&bAE`fgc9_;TcetxZ#T1umWaf`~y+}_IjZq5|%Dcn#_K?c@;ALyU z8Ts_?Lb#A2tuLofzFwW~(0}~?&>5+a?vS#kkCe>!6%p5^qM12Ogf^-*fn`VY9u52y z5aUW}Y>LmbNaN2a7-WT|mo`;xYu`p4&)>o=?5hZ1eJ3*dOO^=Ir2DR`*ra$Mp6lwG7jR zQl>3KV{G62-2*Z zrU@-x#!M@FUtpJ65fQ)L^yJLo*qGiQ5zKb6vEmTLmGbm9mr;?tWNI^QuB+PB2*!zM z8S1(AO1-Zh7v_kuztG;a>(G^`8)T>l>FpIEd1B8ABUFoxdCBIr=+AR<+On_;YTQiSN9jkn>RM~(R;qwx3{Zx`44#-;4PA4$X@*c6z`4< z%Zdx4U(J<2^tM%zg$-$}H)EeJChD~|dQGpMQ6|P>X8W`ij17!AFq=Mhzqa)1&sWUt zYs;`?wpSeqDSjI+Rje0svZIc&k#ePdhCS^TDVv~VJe(IJ>SZ3`XfGl{Emxx*x#GK5 zur7&KEnY3i7-{Dhp&91_=Mqyn!r6moH2SVHNGj~|ot*N+%UF>~wCvWe5e*+*ItN(| zDJ<4&k&?G+ou|WvV!M^?V`)0$qc?uUGoRGi#YQ)!7+sc&9d(Q{zZnriQTfmsC>TSs z(`#%l$H$NrHPVU=r9=)p_kN?EYO0DM;RTgq3X6PP;M}vWGrtaY5R^oyj2j*wP%A+l` zTGv$XA5m}no>(eT8!AGAO+q%$jTzF)niX7Mx!9{ctu(xdP@I7g1SWQgEq_~VW*t@|d=)E9p?D9$r)sko`QZPZacI*)ojiqJ7H%lo!Q zX@xOk@J4;+y@JIRxDc8;157^4x!x&AkKE|>1@ASKUv{=e$FP-=*>e*gaL2>a)4Q7SE@t_PfDu8)iDQ!d5=vk@e`xH{*CEwya{DZV1Nw0x#tN}RZ z-4_CikvZqG+k(xNxutKtBd8~X>$P>?`*uHfBRN?TUW*1Rrg`HV5%UdhTxv4)9G#|=(Uz4O)X1{&68~6MyHweB zn)>`+uk1B&wfp3#gKmVTCw2Bw^pXbL#W+J^t}z@^Hf@yA@suo__tv{{;8Yoxtp}I8 z8{QFF?!^%?8>J$sZI&GS@W(W-e2dB!W^;KgE9~3b0y|nAH>^b<+axSoL?y3B9eQyS zQ(Cu*^+k^|{4nCC`H^HYsl7lg?P{KR*B`#c2MBnYO&(#7A;S%ykWFSk&?!@9e#A4{ zAA*Mlanvw;<9$9cj(2I}$7E(x%J?@g1<~LD&MEtlG2b%v0o$x}t~#>Z2fk1TC~7Ln zRU^ICm1|e`wq0O;yc2z&m=UWsa7JDvmd>=U#Xnp>TtorO{aYbA@wGh>T%mR2YI#Gs z$~3kte(e6~CVLvKU6V+&d@w1EIdO^M+aX02k@@w8l* z;Tge1pF--&2Coe=J((U^1IykY8M-m}NC<59gtxq#y{E{kXMb+?efAOYtZh}w%wAUe z+wJ&R%Q|*d(|dc>xS5+5(R&p+PpGBSa_4ehw}n~ixfV*xx8fdLVcxu!k4yg-K#(I zvF>99vt8wDe2!b`^6M_TV%8|lfA)gxmj?p1!8TA^ zpP1F*GZe^aZ$2k5KqQva>o{EQB@L>%ePti{ciAmn7iY+{UfJ8pCy@L}W0_GFTZNQ+ z^tPpvam%Kb@1Hk)+>tZi7I(TPt2|&!{{w?i$+y*yFK(L`tb{wdqL^)%=2jsclpc&x#pWxEHu)p-zm(@5r6N_up{i+ub`T0=Xn3fmY_1m=6MEjxChG@Du_R%ar))- zvu8F(yE^7s%848*PhS4o)e?Kzhm##jOuaP@lJ zb(v2Q?PpaZ^oJ4~B%Us8ouAiWo^X|3Z}0<#Rg>=xzH% z=SA<~2PUoe$yl)n=TAF!GM+nfA!)&Z#>>++HTSEq{S9z3Wtswy5RJ$d6KXL_gYp{RjuE47jPf4%c4%cV8U3w$_eojtXZC7xe zkMED9s#yeg7pBBJas4;D!)}k}E<6!7p#N1#U%@|I0vC2vQs2CBph2ief-d$(|Ms$# zPjRhBeJ)vIA6 zT*QLHci1Q$<#Rt8og|ap{hd{>FzD{jR4l>G+;zi}nILJb^D{K>MT%dz+7g_Rd;4{)H+$u|3cq7u8SQdEc3R@#{Q#N6>k?yR!4TwI z;j9B!5YZ{SqxRI{dh>p0sI^OQ6OP_KVi$AfvViOKY2s`6WnW$0Z&_20y19ni=kSPh zP}yo;(?IKi+Bn?O0r}_ld1;9jl8@f3o#p3um(=(<8fU3UY|8NIX;+Njn6xS_ORAAs zF{GMVVk{w`oFH4i>D3db(XYoIx=o)JDKr*bN68dAUwV&Ept^IQJ=$Ww!k6q5HIKLK z4w4yK9;Im=`bbX8|Ku`qTKXmZlktiM3xXOY&idUo(_gT$!bVZVXE5HRY3W(5OUwK| zrhi*S^(y;f(bS+byxnmBoG^(5iS@5zzbHzyQ?EkTn`01$gI?s;Qgk9^Q}`HVrql&s zqiK;ByCOSRq@+de=3DwlwvX;ehVGC(Yq^xs(!0MW+~w2vC=xv< zQLhcuMNrx&<}oU6G-=D?#?1__VO{;B6dfPU1Fdone>kr^c~E zc7~Z9svEx3=sofrOwt?i`OEyCdPKY)l#0GAp>%p_)GUQV+y$>V=fSjrt@(b)`0dF( zVd%#fX3?K}LgwIcDL+F`wI<*33q=MpwWB|By3}Ve*!$)gTwdDz)DP@7 z9jE>lMzCH1r#)=C=KchU3mb_k(TCTunDY!4lr)^5AuIY#&QO)xesseOt9drn!`DQ@ z7L^ReT5hjMH>BTJB*YHijB}fIR_oFG-OEE2YB{AhBsfMNzydnAc8R7`W&1$RGEo@~ zw_H}vBLA7YHq?0QVTbI``v&wgHP${ew&plMU?`s^36OhyNaL@6{L@B3sJPI zwydH>>6cumPk%Fi7b}xM9<6_$Ks%}!oO8JP+I9OAe6q*ZeR&KX5#HY|itc_^O>zr+ zP^k8OUh%u3$|%ckZIgXgeqI1~(-n29t_!Q)gSEa}PAhC#X1Qd9u+L|g{7*`Aj|=Fn z^xt8>Zr4$}TDNyw9R|-hfQNxxh#78&Jx)JMaSH{qo0U(z4(G6iEZH5d)|dG z+K%sEHuGMC{|tf3;ldFTna_MG~jKXZnv4$CgC+aAD{9{qi4^s=$DmBOt+;R_a@fK7S zmMYV?r}`<4)1cL4X4>_bpwup^zAtUS`|0pTFOByil+k*f`}qFLD!q{*Bg5=1v4xG# zTbl->LT;QAW~G4r1D|NfAgHz8;n2E9HpLdkIw*hY_E@bPIQ?Mt$?NMv7Yv?Y zj2HC}&syJ+cG83umBsuc^7*3E6|c4Tw3vxfw&)qm;rza$!HwHoXcLnd!%<9$F*+fU ztf;n)NzA!Jzkac5cs!S)k^lNY0ChvX^XT)$Z-WP}sm87%8F3pDk}0Q|G@*>Hg}F{d zqv=EQRel(IRMrzTisDJlR%>pDupg{VOA{_TJ7%@RtL&T3H^v4wU440_eS}^@06~}8I%5YBDuJ~puvK0)w3++ zk$iJ2`%1`-hl9=ZvXag;VxnQsn8&pvmRuiF@o!{T1NQPGi45PvN=;dHrQRpP4A(bU z2ru)^&-M5cGUze>Ej-J%yIyJAz&%1P7%!n?p+@hx6IDl}Rfc0Zn5-|{ALS`Ftp8X~ z_!Y-i<4(+=-Il9lqW9bm26OA@-moNUneR@Ge%0?QX|6>7;o)scqaW*aT!)fH!1klqh-Hk-31D`v;kCKM<{Ig>C0|@S5?gsgTJ$}=8 zwbst;z)%-|>;U;Gv%ySMr6D6JhChDHU%2dIXSMzF#dA+^hT7u8eQmQ!N2xP}x%qRW zILDnEn(K$Oyj2Q_rR(3(TE?j@p>A!D^#(-@*gnFRhGnPnMSO)HuxXmHzBfvTDjCIw zBR*zZ`0QiLo%y6z9l=F0ZVzTZ99?A`b8JS& zaCQ{IWvdL!V)T1N0OgQy>7C%_rl?lC(OaY&5ob=DtKDZGEY}q^=)asD9-ZfVm&mB? zG5x%Z#PlxJ8Tfrlr899 z#d=$CA*}QC@E&`XiGlK`rM@ZozwH?Q z__Szm0Q)7gK$*Q@<9&VuHtGK6TQSOvmaL6?v*`rCiq|JsDOJ9sz8>!@yhXGS&B&(1 z>5k!q`>>A(HFp&}J~l4oH6TOoW7laY^$14uD~+1O#Eiaz-d!1PDx&R2o zp30`w!ipIA2U6Vk5r!dq884|Vqi-`_$hek&JimcKsV2BZhlU7S(XP0U2tN1S>J?$w zQp-&2n3I-9XjyyAwq8Yw>*HJs+?%*1+xps(y5JhM*840aDQZw+C7G}}%8eMm!sUAN z+VN;lW!v7>g30z8l~o}w(F2m?Y^IbBv16fH^Lp(DtytEnVVBMoDy!)q^@qnC!~Dk# zltV^$^t%PGbQ~?93D{Gw?`f%tu=HoMGWKrE*hEjQ1s<;K|5l{?MDKW(fW1c8em;9{ zVa3Jlm{K9Nk%)JnUzk_Eit&7!L37VP)S1&*pCr1t>UNW_(O>uq4e4rcFOK4i7Q60GIHJxFAvB{dN`h|PcO^uY*(JgI#tGZ zpkAac+^|AFqE4|ukK|fPxc79LfyyhKQkiZil|oOhXZ9zK+IKGLRT52;$q=K-iuOj5 zlh{|{4{1?*=$S*qt??D>+x0|NZTUjYYC8#39Q6nyfdD$rRVxCETVgE(Fb*y}D znQ91YS9WQ7eexrI?l#3_hOcA2iaq!Dh3uN-%4EF|LsB?hQGMi((3?X-2JBJ70NAb7 z=Yi9Qq`NZh&A?l-VMmS);d^~&y6*;je;-&OC7JZfb={}Y24~VK@WuKg@)L!)FfPqu~$E@TYf(v`K{X<;5N0*9g z!bQ%j=(=+>4~yQ=5b0BSYDd&ZU7QP2kGEa!zbMb0p~iL@%%p!}(murHR>$|ZWJEaD zCM7?!=f&2HQ)e|V$nP8^-NO9 zisv3eUWyTgje?`I2Q5ku#P+{n%;_k|?i*w)#xr+oP<u#fdFNw8#jH?dl!TE&M4Wn>hBJr0UD2_f((H=kfi0fB%hp_wM_BU9ao9_t^D(z4dTvYT)OgB+sFX z;F}IB)z08}%>C4z1a)T4{ZUcKG@3l~NM>noOi* zW=(BoenFS9qBh+XJbZrg$jwc%5AIYR)L8M68#dDsFoq$s`{4qiN84!+7KXK#95VIQ zC_bbOpFPvtTTf|kw`b~vnuOU4B!u001Fgn=?q#@a;{&BnPCP?%y@KfV3oZpK%qc&M zl#Rx4t$K{Nuk3tilS!5NxE!_=A(=v;Guk``MhPyBRmS{c)OZQc8hw|@vsNCc&va4Y zB)Cx1)^q)05KnDJmvijPyq|JlPk3$d7a!g~x{^VBBN;&cwx_pmm#m4*w#w}>Yo4>57lyo!Xm)x)1~^gk6iJZRZj7W4lVL7RII=!WcC#Hu&W=xF7?=fGQ4GD6&u|$j!K3au!pkN=P zP^d$rz>$myGAV##N`clntQbxQKiXc_6fk!KW+qdYaW$l+zWSo=jBeDj~7~{Eo zG`EAOpUDYjn+=qcujO9+5Y?`~&SlVcZb_tS5j*!GX8)4|c0-tF4N&Oq_uc9%iU)&d zV#V9O>fdzD>%*S#jd1RjT%kgKk$*Ftt&TSKjiFx_Fhgpw-`ExBcab02>YkOrq_eLE z)#aC9b2Aa(nS(b@ilqglvuhMnx1zo`VB^&|kE?f7L`|(n6No;$RIpdP%4_s`JpIZC zepOb`v_=%gT2JMAIm?FT!FP}#tb4`ENShYyjvYv*S>jA(B*i>;m#hj!PEogHr*X-i zWdkORm2K0B_}yp2?j8|mXe28_$(j$lqhJIR9%r<55U;jH(}!$%P`Q-&qp}?xu-n); zcFKMt#A}%m`KxP&H~%(_OUHB~>g%Zv>DzH>J;X2slu;8AZ5n$hfya?~@w~F+d9J%# za9f)0p(-fUPO+xJ??qpi6VG?h@+w=l9yJ9L?8 zO@il3ukQ&7fL-j6Iv=yiL5x!>E+bABYmZ_N9eKt;%ruT0b>*70y!uXlWL`%9`Hw2| z7J?(n^MV*-rWMz;2O;TmUdZdY-?Euf#_us0D{K->(5RPI!elBk&Nz?J6_>;7{fw2@ z!~uP7$TIiY|K!$MzSE`Vfr^y{3Xun>f;P7o;eLKGo04`0rawk(%v}us2f_g=Mw#C1 zZ2SokjdL#d>k3p(TJcTaw_}Z;D;bj>ZJXZRfH9))E>{i<*`>nU_h2e|!fe;)Jc#WxtE4%+plru;nCh{e1zqX>)d z(@RMYM5@d^-Z-r%A0hUSEScNji?SqyUjA2>^g;W>hav(FIa9vP_+IZ>Bcr0|aBY(= zHTot7Gk2zFK;=(t^aA(374KOr*pzTku=w(s5g)nw7X%>8ved1aUZ&6jWvp9ER(N3q zGz!J>!@stl^?|2kGKq;(!wj{~sWl^bT+FQx+j}iiU6h!^9;4n|jNIUQ}3a`8-A+d<R5yIaX7@>xaGD{qSX zfFe1SMxS`*7adpY$$mLs*{KFapqzT><9K$Br7!3gg`ISFf9y^YhE{q0Sv5o&QjV_I z!TnU^q2HV*u0_P2SzUrmQ(aX-+&s7Gz*1t~w@5L2J7jO5o%}=4t)v>+(mG4^pyxre za%lvjsJEXf)O;T$rig8EjL}+@rIm0nzXwCkAUN49$};z23oLNF8~9W|s8dnz18-;E^seFXhB0yXIoZ}`GTB(jLl#X;SI9r8s%A@8H)uCcqmTaC=zQMZ8-X4AF^@;~hW~mINSgf7c z?mDC&>m?pUei7orDi9Ux0=6b_@B6-*w<(P`EY-#prg!v3qkLu^PETD9icAk4#@{gJ zoWL^4Jq0F$85 z#~-{XKY_TGoWazH9h6n6a|;O?J(A)cus8KTEt9kGV1j3CZs(&9z6&Kg*C@BHJsi9D z>pGh)fo=y@N(9+#zH#T}N_DwAc3&SC$lv&K(^^YfcuZ56as1Slp~#!tbRI6(JSezv z$Mo`LjRz~=>)zXP@MFeG$2Ds#q#i9@#qG(bCWp?HVs?KH3TR`e?q#I0j-^_;bgw0T zWB7OA&<0tLYuh7D!&LEp{?keVyR#E6$OvO@L}Wns9^AXh>(2UqITvK&BF(q zHogkvusw69nyUcNqP#e`Ex#JPe~y79SMjcbseK`Pn7z3$S&dp(pwRE`171tMuWx(QWMA#6+%lEas4lECNTqT5=il+7> zhI(PF_NvMA%B0w*l_7xB5HJ$67H9T;cJRU4wBgTgx&G&ngF94^yo*)8$M!lBRw{l& z`cdY%)XYo(ho$rV6Et5d|DD=5C-2pa9sE40-n_ggh{m!U2VW_)h>1e$uov1=e~68R z6^gOo%rQOpu_h#W5!v9^`?w6)yp~VpCD*Y#g3B8iz14l!ob%F@5S(NjvKUIzI_foW zV|gB0#UA!Aoz>rTKMyxPfJmj>j?eNn#3NOFQ$*NC*SAbKnK-lRpRxV*^I6y=cV`h> zHd(Jrs|z!LG1Itz@GMV!WV{F4H>Ib$psv+wA}PyI`rq^i24()A=?BEunH+oPiN@-g z4OS}rs^NI-i0%Aal&)8&n~2)jAwsk+QzHa>x zjLXBl^OcK;RxdOSAX;$Fy6h50yU2boahYUP0O{r0WfeBRytink|#!`e@DR( zZefTHv_xN}=!gNq9{a2PleuYMWwVIS+9vrr3>~!y^b(D71UBFR@#hyk8 z+K${stmSvjf8@&4%LsX)=MZ5^1?gm4%E)HwAl1U;Fl&q%9e&~?^qWcY0`G>B-Uew1- zb^+z{@Qc-2bs-ZJrXS2m>!cTb0;_LtX@uZU3qXPfqvXjH<@(=U-&`2F$n2EPyMewh z1c=^*gZ5(K3mDJ;BLU-*qTdqW2Qhg` z0}-^Ce*dTO!wBOI%u}bJ-uxltEFyFWmWdjCi<-_vI%9G8=}H#!ogyirC4Hg0_dC^z z@x3aO<<<4xg;#DEOU&!4>r7u>jl%nmTef>Mpd$XP4c=?W1%zJlubI)rO8_-R5I{3C z0z4kubNtt4d&cyR5>|&u0*Rr_WbgT@(y(jZ|Mvd+P}qgow$c8xDueS}tiS*Ob(!g{ zW}=6F;OL`NkFdaaP5cmR509_Bg5?kk3|#HYKH3cc16TEe{DqpG`TiG^x7g}d9o}+R z{qFO$mp4{xoS1sEw7aHd-@(qt2Cd>p8lT(lHP)?3ey&wqoV=wf+NT$@j-uZ?on6>A zl07{<*UKcOb4T-gF)=u<117=Pf;(`|aD!gSVcC@Exx$D>Acu3uoE{R=Kk3A-0 zMfjZ2BT3;&TWhDrcE<)0}pj{`NX!u%UO@Rgn_~9EG6cnmkSAVyR5GS!>>+~zfifAi8&VL>nKMhR!})WqU97!m z^GoB6x?<857IaEkWaE$h_aQsb!BAIW%U8%Y776 z++ngV0CFKSdr6X`Kw>ekm?}m$&h*l-yRpQY@c12bIR`F76A)*5z-jO*}z8BuO`aOcXHTXaZ{W|8q@-Z_`Bx+uc*ldTRIyM3b*0rapJkBb>OoQ(TnX1wX@E zPhvX6G9XFWrLxifKrXLeL$+By70XWgxYwjzyF~oEWv5I==~rdQF?@$y2BZr`U)8Yc zDZWtG#9}T~%&zp4ipgo}Itb<%45H5JUhO(;4;2$7w?oYrTf2E_13`aH#+O2IhYv0u z;dzr$65lUF_`0{%n{-HM1rX~KPY~A>O*PM`z^wOkCBupSYTz!Z zcEZEKU@^lGYa;D@IL}2%?5JJ3^!szxYTfV0J6+fQqnuv*fbKafMXS~Qzv7-iDsKaEx6y$;t6!JZ1 z{ZebB&&rA)D)1%h5U#C$$Sza%e#s0P{~1JcKT$E`>aShlM5QQ+$Hu$FT`#jAfh-iF z8)VSrQt4+rGXgkCEdgOH{cNo#@EvLuEpZ+H_yIy^p`q_TR?9#V>Z`@RqxFmKd&lN@7`s#~HDfIP7*? zZPH%J760Clyuv!{dnn`>x=dv;K^icil0a!tET^$bP%x9*YT2J2tCnA z{5^*YO3v+~_;>Hw^`a!iIbPiS@mz`;q;9+MvR#F9%@8^abgYi4)8TdP7|38VLrmJb z5IrWRpS&P$s%cQV)Ov>O6i)PFL+Xq}m$_c<O(H^J!7WXrTrVN1xC{zIKJQZn$RuY00n{I}@0*;*@ z_Z5H#icVpQ{696f!8s#owheMyP6vb@|7QK56B7AOf{=5S9lh)Fsv<)La9wP(rRZA5 zx`)8ELidZ@WTzd$XVmr<0H4pALvm~0$?9d+y%?SK+_fPhIMfmRU0N_j?gVb5BgP$K zk?+j9KY8@Ne_|9MaueC>9C|ilz0i?>`c8X(G3mjx*=z0~b_yRv=Bdj8;3X*x2EtoB z>;ht=|3M%8n^gppJ_SvWkArf7Bg(RBgU^;u8Hv1mFBitc!F8bco1eE#U<`o8 ztoB2u=sNa>&#e9W^fj1)2HUm7xi0b`8b$u}L~Hkeujf|`Gu**jFD!Tg%`7+vfMZ># zS~|n^#}@T4mdKYy<$y&LMF#;b@U$4Be0frUEv&9K6yzX`8z#yZ-nM}~`A7&tcL}>0 z#Kpz2eXoS*{$9XM!Ts?fMCqzc6s6fP0!XI3b0vuC>HTXW4_R}{6hY|7Z>K^8{_8>L z%%VVsc^#|3g0FLq08^b)FK{CYZe;5-2s9zP5}zUAx|VC|YUKP+Kuh*KAaM{d|Du!-2YQT2<7#qw7e=X6SIC>e|X zxpx*p<%N(K2yQ3|=GgQ8l$b@^8SQ&YagW!FTaTZtn8Q|oZG@g|N{tMp7V%!1C)@y7eb9CtaP`xNaYH)R|M zWGTyWxLHd}<*0{z$lG7Xj^ZWxyFag5xzv0Uw)uvQtG&A74KK^W749CgyAA{ROe-5s zA8p-j5xL3=u)lY}?b@5IkXO>#ouCMoj`kzT#L*gZ4Rx-qOB0AV;|yk~nm1Nxi5++-krBu}e#s^2{}+G6`(5^+pKB8G99!|XS( z25?J|Eg|A=Ozr-fei3wb1Ewv>S4`w|#BT?u18{R;^16sR8~e^_pY$KzVY7DJ0wSp@ zg)NY)Xs%AzEf0A;6#AsFROedR_WqEtHMeZPK=pU({`g+#BYd`a5t{U$<8F7i0 zCf8TU?5c5LQ)B%tdiqibR>7a#EeEPx+%EqKexsGfZD;S}e~8p%wOT3FWpb(?@)QMg zAMK5H>KztyOQh*i&LW=+ydyCtLL)K{En zwf_-fxH?eDD|&-r}MC+>~3`RL784l={JTH9a8NdQ*gjPX+>+9r%z?yp7>ky@*E?8}FwoV^K4{^#ymC`<9{8{mX8r*?tj=T6@}JZ|~>yF^~PrqqnZC zIN@4IDWj%@4<&7MeOUG+%D!0Dd*Vys>#~ujjkE9V8IsZwC#RQf{206Y_0*U~?f4%U zmG~P*y*;3d3jXwmOI{V;RLoSGC+FA(SVo`#h;M;B68An%l@->e}SFD(Mn<#9_?>CVt^ zs%sDb1yOUG>E>D6l}P|Z{Y(QOs`Q+!mAI#jc^~A4Y^%|cVpisM*X6gF%TufFK_qo* zVBOv~>CHCVCaj!9gt~*Wv5xfUiQ9{W`e$1h`R2Rut&MiY7xWx4;k)-#x_F)qwSWsl ztbS;U7}VbaAiNCWoRzb!6^mG3b+`KJLsjpY$^kk1YgYhn|Ar=~`nG9D8b9kAfq$F! z?Xn3Vja5_)_8b5d_g9iq{3uZBA3p-}G}yy!PKdfaU%p08Ut( zFLl*#0j$X4w=BJJKy23~_t%sdsPN~h=Q|V+NN(DsVI1^PuA;u*J{q2`0e;h_l=SXyzZ zdpf3^PjVAc$t{3NrisVhN>Ige9jUDggfU@g=Jr;#tA8=|sRY&Gqgr@wHa>ntVUffg zjX3r}8AA++`2B1cmRIl;1^LTs;^}>iZ;9-UOVz9)B3V8>S+@bSgN3b^QdKurty-QT zM;)G+Yxs{GjuO0+B|A38REUWyy^Gqyn^pU7Kd|o6cSk7r{e3Fj4(w5Xe{4fcxQU*) zg#raHbxO?K^%F<_P|Dw@Lhi@l{_N!p)v{kAy8-~}9qvv4*eM^<@#Um1u>5f7lul7Y z=zt&Z%H@4qb<8XL=qi&FhOZp#w3WD5G9j5_BJ|qiqk_e`3q1i}lg{@R^#NKR7)1`6 zLoCJ~pChvBcGtfA%OiImS*^*obyjDf2lNhw zJgoBF+7Pmw5$fG=kqC?m4$`AB<$;Mn3L>L|2fu?`qU!BaH4f}4Vqd%d+Y)T=U0}ef zffnZFKZ_9cR8{$hG)=HDmu|zc*7Ot6Zfln8J`6d`bUF3}I<8#OsZp6Z?wJnWwfJl> z4sf-4M|s=z*Siw3&7$}Ot7WQgI-`k=&KHqEKo9IoBWO`9?>Fx-hF ztZbW;LQa2)Ity9g9sf0@G~xFA+J@^dd*}kA(DBSf`{cxP-C_bSV#@rAyH&AAIwSdI z^~7=%UsD?Y20l+A=)z}V{7w_9bB1-3E0YtIWbV!XJRVI~Z&ZvgOC4xVxb&3G}N+XJ>Ny=Gwk zHn>;I_s)=_b7Yq@bok?I1$g6GuoLEZdk44=nrmDKfoiT)+n^61>I(Uux#8AeN2YAwSEtoc zDh&?s7I}TOe?U`4VL>w9)O|f;F50d8aRlZ^ljGZT#w+AA5rp@VaMnO*qKQ`qTk}qW zt$DBaq05G(l`E-=#VcY z_LeB+(z;4!y9lKwA4cz~*7QFW`WEF=XM63P+I&qSf<*KlvK7AG+dX@HE0mY!tIWMi z8&K5$InzuuR15ut*PFh8J`fu0Vz=65&=J2E-xMo|(E}@SflvHGybhXj)6Ym3z^AaY zuLeuEGb%~hS+9BAwU*ye<2k-<20pWetSlTL@Md1hbLzO`ywHU5ws14tvn`}d7Ygr< zichu&^UH-XgxmbJ1x>osC{nL2QdWFQTk#TY>s&LI zNT}#nA>N2rao#^Hx$v36b;?Mj2ri9n zy-7TY60TJX9ifhte5&3^tRG}={m$}{tUXi zxEXB6dwGE5=k*knNMg?gm7BK6%lSw8E|{>NENtY}0M-=lJ5-saSeh=_$uIU!7N|7k z-k&=>H`q`lP z9jAY_Bx22s@Z2j$dLqmHpkyF;)Zjz}CYqmw%T+VZ%NERiod)nMw)~C02svG6PY}$# z!%vTt7rm?#!PRJwPf!tDUDjLtO~u}he+DWWIus7N9#oOpaR#d}Y#!XRAu)0I%R66f ze-%aMnX;j9c5x64L4#ku*<5;DIyLmntV2x?yFMhya;r)^o?QROL?GBXbC)T(V9@1EbH+=~y`pbQF@is0(*8>CE1 zXh8EPQJVDRYnz$

fitemxOLtvNe9QCQvSb?N)DFls>#VeoCxo@oe{iPg54DWE-!b$wb912Ijq#Mi41wfU^25E=YOC5*f4pavu(;a+h0^KRth^Nz& zR?^+3#oze)6UE8-hP$IZda|de20ok% zy^AgabYfP|Yd>#${u#+dp?fU~-SmS}!!~Dj1M4w6Z%$;A$&1cn;`Z*`wB>&Q>cIci diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.log index 8b4909e..abd0c43 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.log +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.log @@ -390,3 +390,39 @@ INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Pro INFO: [VRFC 10-307] analyzing entity InstructionMemory INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd" into library xil_defaultlib INFO: [VRFC 10-307] analyzing entity InstructionMemory +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity ALU +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Pipeline +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Registers +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/test_total.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_total +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Pipeline +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Registers +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Pipeline +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity ALU +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity AleaControler +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Pipeline +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity AleaControler +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity AleaControler +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity AleaControler +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity AleaControler +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity AleaControler +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity AleaControler +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity AleaControler +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Pipeline diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.sh b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.sh index e677e93..5525f79 100755 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.sh +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.sh @@ -6,7 +6,7 @@ # Simulator : Xilinx Vivado Simulator # Description : Script for compiling the simulation design source files # -# Generated by Vivado on Tue May 30 16:24:18 CEST 2023 +# Generated by Vivado on Wed May 31 18:24:50 CEST 2023 # SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 # # Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.log index 93de205..e0fb715 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.log +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.log @@ -4,4 +4,25 @@ Running: /usr/local/insa/Xilinx.VIVADO/Vivado/2018.2/bin/unwrapped/lnx64.o/xelab Using 8 slave threads. Starting static elaboration Completed static elaboration -INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.std_logic_arith +Compiling package ieee.std_logic_unsigned +Compiling package ieee.numeric_std +Compiling architecture behavioral of entity xil_defaultlib.IP [ip_default] +Compiling architecture behavioral of entity xil_defaultlib.InstructionMemory [instructionmemory_default] +Compiling architecture behavioral of entity xil_defaultlib.Stage_Li_Di [stage_li_di_default] +Compiling architecture behavioral of entity xil_defaultlib.Registers [registers_default] +Compiling architecture behavioral of entity xil_defaultlib.Stage_Di_Ex [stage_di_ex_default] +Compiling architecture behavioral of entity xil_defaultlib.ALU [alu_default] +Compiling architecture behavioral of entity xil_defaultlib.Stage_Ex_Mem [stage_ex_mem_default] +Compiling architecture behavioral of entity xil_defaultlib.DataMemory [datamemory_default] +Compiling architecture behavioral of entity xil_defaultlib.Stage_Mem_Re [stage_mem_re_default] +Compiling architecture behavioral of entity xil_defaultlib.AleaControler [aleacontroler_default] +Compiling architecture behavioral of entity xil_defaultlib.Pipeline [pipeline_default] +Compiling architecture behavioral of entity xil_defaultlib.test_total +Built simulation snapshot Test_total_behav diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.sh b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.sh index 62a1c82..06902b4 100755 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.sh +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.sh @@ -6,7 +6,7 @@ # Simulator : Xilinx Vivado Simulator # Description : Script for elaborating the compiled design # -# Generated by Vivado on Tue May 30 16:24:19 CEST 2023 +# Generated by Vivado on Wed May 31 18:24:52 CEST 2023 # SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 # # Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.log index e69de29..2cc3412 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.log +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.log @@ -0,0 +1,2 @@ +Vivado Simulator 2018.2 +Time resolution is 1 ps diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.sh b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.sh index 3243763..bc7c372 100755 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.sh +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.sh @@ -6,7 +6,7 @@ # Simulator : Xilinx Vivado Simulator # Description : Script for simulating the design by launching the simulator # -# Generated by Vivado on Tue May 30 16:24:20 CEST 2023 +# Generated by Vivado on Wed May 31 18:24:54 CEST 2023 # SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 # # Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou index 00a9a20..4f85255 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou @@ -2,11 +2,11 @@ # Webtalk v2018.2 (64-bit) # SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 # IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 -# Start of session at: Tue May 30 08:48:34 2023 -# Process ID: 5876 +# Start of session at: Wed May 31 16:13:36 2023 +# Process ID: 12761 # Current directory: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim -# Command line: wbtcv -mode batch -source /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/xsim_webtalk.tcl -notrace +# Command line: wbtcv -mode batch -source /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl -notrace # Log file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log # Journal file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou #----------------------------------------------------------- -source /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/xsim_webtalk.tcl -notrace +source /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log index 1837e58..0df3749 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log @@ -2,12 +2,12 @@ # Webtalk v2018.2 (64-bit) # SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 # IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 -# Start of session at: Tue May 30 08:48:34 2023 -# Process ID: 5876 +# Start of session at: Wed May 31 16:13:36 2023 +# Process ID: 12761 # Current directory: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim -# Command line: wbtcv -mode batch -source /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/xsim_webtalk.tcl -notrace +# Command line: wbtcv -mode batch -source /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl -notrace # Log file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log # Journal file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou #----------------------------------------------------------- -source /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/xsim_webtalk.tcl -notrace -INFO: [Common 17-206] Exiting Webtalk at Tue May 30 08:48:35 2023... +source /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl -notrace +INFO: [Common 17-206] Exiting Webtalk at Wed May 31 16:13:37 2023... diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_2956.backup.jou b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_2956.backup.jou new file mode 100644 index 0000000..e8fe47e --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_2956.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2018.2 (64-bit) +# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 +# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 +# Start of session at: Wed May 31 16:08:55 2023 +# Process ID: 2956 +# Current directory: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim +# Command line: wbtcv -mode batch -source /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log +# Journal file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou +#----------------------------------------------------------- +source /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_2956.backup.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_2956.backup.log new file mode 100644 index 0000000..4572683 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_2956.backup.log @@ -0,0 +1,13 @@ +#----------------------------------------------------------- +# Webtalk v2018.2 (64-bit) +# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 +# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 +# Start of session at: Wed May 31 16:08:55 2023 +# Process ID: 2956 +# Current directory: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim +# Command line: wbtcv -mode batch -source /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log +# Journal file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou +#----------------------------------------------------------- +source /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl -notrace +INFO: [Common 17-206] Exiting Webtalk at Wed May 31 16:08:56 2023... diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_31637.backup.jou b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_31637.backup.jou deleted file mode 100644 index 7eeb0ee..0000000 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_31637.backup.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Webtalk v2018.2 (64-bit) -# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 -# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 -# Start of session at: Fri May 12 18:00:54 2023 -# Process ID: 31637 -# Current directory: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim -# Command line: wbtcv -mode batch -source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace -# Log file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log -# Journal file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou -#----------------------------------------------------------- -source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_31637.backup.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_31637.backup.log deleted file mode 100644 index 266b444..0000000 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_31637.backup.log +++ /dev/null @@ -1,13 +0,0 @@ -#----------------------------------------------------------- -# Webtalk v2018.2 (64-bit) -# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 -# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 -# Start of session at: Fri May 12 18:00:54 2023 -# Process ID: 31637 -# Current directory: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim -# Command line: wbtcv -mode batch -source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace -# Log file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log -# Journal file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou -#----------------------------------------------------------- -source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace -INFO: [Common 17-206] Exiting Webtalk at Fri May 12 18:00:55 2023... diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_32017.backup.jou b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_32017.backup.jou deleted file mode 100644 index 680239c..0000000 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_32017.backup.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Webtalk v2018.2 (64-bit) -# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 -# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 -# Start of session at: Fri May 12 18:03:10 2023 -# Process ID: 32017 -# Current directory: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim -# Command line: wbtcv -mode batch -source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace -# Log file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log -# Journal file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou -#----------------------------------------------------------- -source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_32017.backup.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_32017.backup.log deleted file mode 100644 index ac35d6b..0000000 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_32017.backup.log +++ /dev/null @@ -1,13 +0,0 @@ -#----------------------------------------------------------- -# Webtalk v2018.2 (64-bit) -# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 -# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 -# Start of session at: Fri May 12 18:03:10 2023 -# Process ID: 32017 -# Current directory: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim -# Command line: wbtcv -mode batch -source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace -# Log file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log -# Journal file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou -#----------------------------------------------------------- -source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace -INFO: [Common 17-206] Exiting Webtalk at Fri May 12 18:03:11 2023... diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_5876.backup.jou b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_5876.backup.jou new file mode 100644 index 0000000..00a9a20 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_5876.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2018.2 (64-bit) +# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 +# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 +# Start of session at: Tue May 30 08:48:34 2023 +# Process ID: 5876 +# Current directory: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim +# Command line: wbtcv -mode batch -source /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log +# Journal file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou +#----------------------------------------------------------- +source /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_5876.backup.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_5876.backup.log new file mode 100644 index 0000000..1837e58 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_5876.backup.log @@ -0,0 +1,13 @@ +#----------------------------------------------------------- +# Webtalk v2018.2 (64-bit) +# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 +# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 +# Start of session at: Tue May 30 08:48:34 2023 +# Process ID: 5876 +# Current directory: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim +# Command line: wbtcv -mode batch -source /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log +# Journal file: /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou +#----------------------------------------------------------- +source /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Pipeline_behav/webtalk/xsim_webtalk.tcl -notrace +INFO: [Common 17-206] Exiting Webtalk at Tue May 30 08:48:35 2023... diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xelab.pb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xelab.pb index 3020a605746522398d5b8ad178fe1fecb6ca2ca2..10c3cabfa3c5e00771b4554f38f91003eb26b746 100644 GIT binary patch literal 3663 zcmcJS?~mIw9LCqX(Oz7IAN%|w>4fpHCN!@npx(ZQ^;Kpw2;o8A= z?$YE(7*O^_zFYMi9c^YLSU9S3l5dr!eV1$KAtZ+lJq zi+2>A#|&v9oln8;ke(wf;PchFJD5yR%=F{@z_|}@J9e|%aGde@GVJh$%pozCo5 zw3F<-uHD+*0zZK5OS_`e0DN^MqJ^Z>nTAcj+paf#-`|IhZ8z(wI{cb4%9DL~%EJac zU<~%tdll>xMdUfbjhpU8)4#XCyZxAR%BPR>-ny<^WO%&={;659k`@yoVix5JS($BP zcse{der9E9SXpa0f-T0Aw$~6=LKqsu`h^w{k%`+jUu0w7!zlDg+irEo z?N-~jJ7|Joy-s;3%?~Eycnb9*qQ=>@r4dQY?_9$!toL9-S+ESAQKR02iiEKwG%`0S zjv_S^8V-okffgFEU`%G{{EfdguCBv66H}Oy3W+pnq^+9)X2a)self`bxcfqx7)^>$ zF?3F#o=JjmHiO(wr$^qWL-63aMpCEJN+V6f6(1G+a(cR+QxIR$wYo)> zBk=G@%p*oL!Rut4ZgG}pGOph)$~*y|U%_N^-#|c&G=dW*E+FEFEfigL+H3v?*R@Ii z6g(<$ZB%qR{tZRfZxsp!m(}lImGWquFL77kskDDCUYzIVn3f zXtUQoxOdHq$8#c0bSA`-9r~%Z*~L5{kPK&3lTe#=4_>Zs)GS^MiU}lKQ@wa|xjQ|B zBO2xF=F5^af0dw-;M?jnTxl7HX`wzLb0HT7?&{S1@>EsU-%GGgK)*7pS^K6W=+WSq z!jUOw(_l2f=94}A%Vzm&3BECSTA8m;rc`Mn6&%U@uqxZz&F+{6CrO^2363OH`F<{u z-4GmB&hDW10*(-itMdF&f@cN>m3gwcc9I09D)PWgTBabX68=_#5QDSIgvaKopOInOXT+o3@hh%zz8}LTuZ@-Oy?d!Vcx9D_)7`K??A6I z;~9;J+2NQ3qvcUmvL8#3Jp*4>Cey1;eaQzH1rHBn%5-rnxOzocZs43wx40oRFM3z+ b2HCsAIy2Yzio3)20XX5vGn0LTICFhIm#1I8f^$YLVi+~LRw}{YOz8|zCv%P-=315p#+FBLJ9}Dp>#k diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/obj/xsim_0.lnx64.o b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/obj/xsim_0.lnx64.o index 5b1f1053b5d3d12823ccfe77cfdd399f67f81291..a697b16323a36448a194a1710368819f662ffc56 100644 GIT binary patch literal 58104 zcmeHw4R~EuneGWG5T)bZIt zws^v6AkI`R4oc?AXq`ccP6xx!Bw=9Es*HAg{E5nFu6J~r32H_itRq+M`+nbAdw*x2 zecJHz+3>?0wFME^EI0xQdF(ggYt{mn15@VAIcrLPkzA ziR#2jiFaoEo*IXo?SCXSBI*9ru%vrZ`;bm7Z=IJd?3D9Dre(*GivFFcAzVoA*_pZr zY0vxp1wGmj1#uSI2MKG1G@{&4=U6N#DBx^;_^XRshts)kBj43sseTKX#rKwyu# zlJ_4>-mjHh`e^dbThU&$DD+oMW}&#nc-xxoZ%$>C4`f<#p$mVV1M|j_tTlPSb#p4C zq&KC~!Id53sB2TI!2<N<@T8q;Y3c&`52*3N@=%Op)uU$!T^*qPBxHI zX*vibK&TaGn^Wzs?x5^*(098g*}lieA-(6Zb#espmF?f2I+8_m%x3#nrVdXmU!EBG zK7ud{YJoSUCduhx)L3HT5aK2S^a=bO)VO)vXJ{lPBgoK*i~I363>}Xny$^r;@OLkB zPNI44RTn?wOD<>0y=a`zgtOe;X4cPt4I@ zG%=fd+&A;MHuIQoQ3;S?H1k+K+gJpmnH!~<2OF>D43g#$h8d(m(*3D=N%y4ckRI5X zI)q5(!A?RbyJNhQbHS9s3Ym5wPXa-K8f3yhj;2I#VS#E|=q~JdL@p$HYr-tGxHfYV zO7nyV%}pUq)~LqhDS-#DwrkwkyY-Kpj)Cm6==|SC30!f>BqUMF6CQ;}QanA(b7Xr0 zNrl!hyGAdOh;hJ@h1HaS9qBN@!X7C;N*A;J2Q&}tlNRq3nlB+v@kE`v7iZAml6{cG zDW34~#43qHKtRJR5}JW_A}IbFB)ISl5?%m_Lr9cFo*~N-B%+!pJV+ijCC}cOVky>= zW?9R>skfLP|=0?KsekZ z*^Q)e^gAf{F!j&8E_p_VIm$cz7{jPE8%8#&4~i8Cp;nL-~}qfa~&+MOFqz)x(@nVkW<35Db)-yOB19C(??db zuJBedIrXw)X;cg+ZhazgqZO<7ISUdN$dL+>-B1doj@7G|>ZKqqWc9cVsSR=tOS^Ta z7NB}5RL_+N7A{ z(L3EO85b4W*MeSw6*s(*0LbOl zii>sW9q6}`7LQI6Rr|H2_P7jwRN^(b${vfpdL()${? z#}h=bsOIV8wRiGxq8 zOcn%TpP-v8Xn>Q&6K=B5it5bJ>SqSIUz964fXe7A&5>hc!K)@;(}Ip-O}t&iFf9XU z6EZC00GfeAjQSw+Fsa5Akw&mIVR&?ff+>QTQD&beLrAE#1y$fV#{Ff-VV$_7`=s3V z)RGgDyS^YfT<8pBF}^gXFxqEuB15{nqP38rTxyZw?c9REs~kxIQbS|4QiTN&=d%hN z(SwLBbZv+8Rd9X*oOjuS34luH_0Izduw9s+nwVF0-1P6E+T736?@D$5#N@6AW881| z?xQt|+z%QBvm7|G;a2c9zN}S`u4B^8{FtUo;LF&f5zTSA_c)Cex75RLI?nQ&$Bf8uJ)V3T`JvgV_}IeW|sO<0;B8qA}mG zXmZzYpV9eFV>$FDH$uk}$(FQ5A#CO`5}kYS?+(_B1uVjZe79j zW-^L6mX4`ip?q(aC@Sj8wS{AZQ1*6s-Hh(BM|!kd9l3_{?b2SzjnIvN+ha!v8Da#s z6mmR`M##t9u8buo=x9O5^1B=u?rdD>LWo7(`Z4fJ9LDUgju+j)U@@63bi=zsS@>tXyQFs6hvCTou+5EnYSV-u`@O7=e*D@J~Vu05Ci8Z_(U_#5XK!EKo5 zbAQhD*CBd`_18g3_sg`I#rjLU9{50J@zW2%ajn*}ik|DT16Xlzdr1ah$ptsM7`-AC zve-y#y?tHamt34}O5W3y`sS@K_m`0w?SReg8Qdk95|f1t zyWPJ4`xmZ&%eDWp?cEJ~AAJ7y8n4qG8M&1}n{zKD+rM9SRM_TRgJbzcR(OzoLWSOT zWd1e~mk7J)l}`XN`y0%`P#Q-nS$x-E%2+`6VV>e;vsjS*9k*Waa~m%tYcj2V&B}BZ z#qA1x2y$R*FE66Avmh3+5*LaEn+(*g`CZ+(l%-NEWhI8C>_A?|D6_+$zBIOCsi?!3 z*Cb@)cs8pXA6K=mjxziXx^tUj)d;O|1WJ}j$ zVB1BDd={}b6xSm5+u0#v_8Xpn^&n^0s-x^TFeenP#9s@Qb)grw=-$EA+-A5M7r0Jr zN{%)|z$YGypB=42p>X&@DVhF|D@~)UAGD;QW#>3oqRCxjZf&6~V9(qSVwMHvb52BP zlLs}Fk0tU_XQd+u2}Tt^_u)ktE#+k`2Dxw3;XRT^`3&agxv9{UMS|Mh*prsaBze}mEZbU&1X3$nF zWnK1QRW2)eWUs;?4wtz0SedGF3lDK3?7LC{zu7_Rz^m_IaxHFKMs$RoSVAP1)57dE zSf)}(a@U|+rm9hL5^T%hT!YJ3-7iJZXLNMJ6158>PR3`@n*iq{6OM6`77wQ~+3nZB z)v+|(fWWos8$kQm?0qO-=|}hNsru1tpfT(QfzjUH=Rd5IKwnKate0$Cht%k}A>k5? z8(L=<@7gD}a~+`{F}pB73^Tz1p`mwdnlVPbP@2ULY5Y=}vHH>NPxQz`a8G>1IcN{( zmPz{E_c&)-?0Yq~;WMucfTs=;ca6%acSK~};SucJD|+{f3bp6*!5 zjg8z*0dR%7gU!UT9gThKtSos$_3AfOl>0H$Q-kSjaJ;l4~3ZoMGaSNW3q z@hJur-d*w&=~c+Qk|PL*l9nKwd?MY95o8qx2bVn7d~rls zEB#BuKb*J?XzO3S&&th6xBblPh0F$sbyQq#?^&{St;Gm;%#CNNX@Gz8)xVR{O{upe zKh~6bX>wN%#=H-37u)3ekphRjMw26?2lxMf)|}cbKjon!kxhV(1;JOnV?r3M1l_S-~GW6_}~5E zzj%L0#P){@)63@8ABvyfIQISE3%vh)XLiR^h`sF?>o6iU;8wRDV?iAk$+aAQ_`ptG zcHohU^a<#<$XCN%5PlL?dl+3ffltY~vAXkZGQ1;yZe;N-xFzht{V$dF9T@2i=0v&t zr4JTePD2z;Vl6lFyIj#_F1+mJkM1hETno`&{-2n6jO|W{_HyH$MVAlWQGEHUcNJZ3 zfheUP-gi95OV+32$G-k`U`9D`GlU_lMaWu)onx+JJy+SvRh&|kJ}I8<|FLtggxE2$ zSxK9=gk}fl4cQ%!XWsJ|))Ts3eZ+liwqJJ;G1DGF^JELTeQcRs<8(5U-1!~c-Prwy zXV-FT@DaD|c%Xjq(~G~g_^V=NSw(W!-@2P2>YB*?4yqE_u?z73iTHD_drR3)EcbKE zDk{0ta%s=S*|7_w858tnAb%C^Tsh^yvirQLkW;L$76xZFXU8sz7S-Cw2RNt`u7;L6 zvSUliWao}sAt#$00}Cp+lLMRj$zrxJ55R|MkSk8nKQP)#5Bnw(Kp(1tQmNzgJw(US zWsFP$FXX21KYTh)YMUdn2 z2P4>Q;zWL&mMZfjNRVfKHlRfxXG+%0t4h{1SJfm>xqT3nBv1Kpj?AFnsgI+1D(IUa zrw`TPT>O#t;aO4pAe6VGI%pr6q3~bsAeHGDSCicN04=!ZZ85%K#@ddoeFqLgQ)Dmu zwG4Y`$REPBLXOV(aiy9aIVl^)Np4FgF&y3`PNT#`?iFZKj0qg#PR5`3>y%|13YoN0 zu#(ngqg)1?vp`im`i9&n^)gG&`)hMi|bA1z;h(ZpHQHA*&z=CXy z#H#HzYI{{}dqizIPVGKXt4mrPZi}kF*Qjk#wYi8|&Mn2>D%A*CHq^k$=kk`MKhGAI zepD|Hl!W`~eNP>M3ihX2N%!mXCx}!w5n`o_P6YGeXCTGRhmyJP0LSA<$jIlUs!K*~ zXhbF-HJ8ZnFHv(TqvldX%~euubzma*C?o_9XNGG0Q4`$q?&UdR6mn^!^3vq4zhj=U zH{<`JB2vSF{PkbJRX?3lU$Q3m4PePr`dYB13u#oPj7ncriQB!n_Gy*sRHCkAO@5Ok zgSSeu_YTQ&R}HhA^3jAO{j;bCEKEt|9LocvH5B_M4kB6ntp{^(W}0=$j$Ise-ceZE z@lfBBr3lB~qWZE(Az#CbmH8?rtaUIrYJ;PwD9ipeO+oWV1J z;Xo-*V!5$WH2bkK%L3epj*gW{z|pa?(vFp42?J|Jr?~ReVaS;OU=`F|ws>5u=?bTW z42>q4-9-1m$r+v9800c~vNNEE*#04dCHJ3%K_b zW|lH+nXS;3F33mo*~*a|^kgf$8Xn^M?PhipZD_I1?7$xRkV|MpQTg-$X)_9}!~|E; zXw8pKvC(J-8$_;x`8vjRrL0OY5H;2ppk^nv64r35h_~_81Z9 z>m##uudmE=jzHlxQkkOJT3jj2tHR3o2>Ll^X!UM%FP-e0m;xHEj3vexP*~06P$lGe zDn7n0!^P(xU+vNOYJD-{s~t-x?C%{tzOZF2PnKwWb-EG3x5t0SSJ4RfT;gjl1_u*g z!f4+_4(QS2D|UV#yCNE2G9bo{Uzf}HwW4C?Qub12)8Hx$4>N%M5H`FIQn@I*45Qcz zjAAP=iv2V41*4dsgVKR+d8`N{0M*6KK{8f|vjehzX1HNgz~jc4oH<-X<{+#r@u3(C za1Ki0uMU3;Q6LpJoGsMhOxEeUF*5Sxo9RkpB@@0@?og zxZm0B*Ha4hF)LeBYchIRM~gb3k=E21$*<3`{q+CcdY)5)@cUw|=Svj6(Di&&;fu7M zFHyS>Oy~nxK0=<)dcIigb6d|}!v|IxuW4M#2bCsqd(V~qAxZbA4l*6P98T7RO@=KE zz!N#HR*z#uO0I#?gUEvU>j)-tZQQXH@dddqwgnR!%C&%9!EAiNmPo<07EFf)IY`C0 z+7&6t8w$AE8WwzOTtVEnvYQnKXYv2-^H0g=2T{UQIm1Ou-$ZYy=Sr(*>}TxF_?TGv zd?Y2eM(FHhtT3EM-fZP?4oTRB<*ld<(3{+Kg0SCgH9jmmT+kpI`7i)hI&f~}k}p!1 z!vV^g!sjdG8aQ|i)WF3;MwVL&AX62Bn`(!9wMIU+h zB*+!=Gzc;!n)%2RYq?+aW7K2WW{hgyGvUCkKzEF1xK_i)P&2o$oZ9|*DQt7qbwC}` zs?|ubQJ$)WT6t8xafFaxz{8nAm>?s)$kB|PIi?8bJaFv9QRKnO3Y3}0o)e00Kh9sR zVkC`R9$_{|BW3C8#nph>?xSYyl&p@~wanUoU+OS6w#nTrQgBBN;Eq?xowu#rwae;i z2Vdp0+)+ig40lIxEuOnpaR3~GB(}g_rr@$g@P7b(M0l|BM6s9Y=~FSFPeq}R8(|t) zcr=l!aJNtoMOXBHTl+dpWPvvi+?VPE-V6>h%m;&$a8@jgupxAh6E8)udZ0#fp^=#@ zyeWjbWh@RKNfM_~s!!Hb;IXpd;@(@Uy>~Crhk`)^{&u0D8;FWJU(o8>@1!$V-t9W~ z-Kln{8@VbHEiJTBHd;7-1ku7yUp{MtWOdQ9idi3KW5883)7`07u)w%!M+$PN3vt7I zj2rKT#*J=hmh?HQC>J-KxE3Ebve5B;4t=c#CL?`KpfFfe41z^P?Q7RY*h{dUW!alW z!v^d%A2sWgWOeKN-q&U{YnItn&c+q||+XYRFw@zGMxS#F$rb z2`(K%!cA)1le@?udU7wWi77mOa4|~S&XapYAgtei%5FDS(v`^SM2=H)rI}K@=!lt` zr+Ywju zThu~9zpn@zr}92G+TJJC2qGZTSQrNn!Hq~G2^6xZV!)z`M{OiD4!aN3+QtzmRI6g3 zR>hLWnI-A&5qcR0AUF5l8%*$na$UPA8J{#_L$SVP877rg!moc&;EnN$F+ecjssfzg9wj)p*&zEBrH_Q|b$uLJvD6)qzVgw3DHx+}?O~uHd=^lI7of?N8&Ory6 zVq9xg^%T8&fkO2v2I^HT89aTnbV89d3tkY>Wn^~DQ;^*Gb$`_lS-SCLmy8ElNwe`Z zEwg3uOg5Lfh%|UBh3H&_*YvgC?AgBF0)_QfF{rnS5n7AaeOOX-s#?3G5GYiuVxU&V z5;|w;y}P>>IywB1daf(7yoflUOq|Pqwq=9EdHuzni{8w530h(L87#ub{t%gqGIN}Z zI7TIRQAKv_YBYBZ{-lz@BVRIcpk`(Ih*TKeT^CY2<#jyt5sJPsYruh7Sg07VuA;Dx za=a70ah z>{4MYk)pfh8m#*d`@D70j2LF@OY`>g3r2Vo2R(G-uHXI+nmM^4;ie|q;sPX9qBmSM z31?6=Za2-GHcNuX&niX=k(CR zV!0j&W)o_~b^}XryMX~fBP{ISN8yerwnh2KA3dk0{s}g8Wm0qB8z*utksGQs zoWUfP_BT}W!T%&W@_QOrHNKaxH@)|(n1{>JzG-qE|0as9wcDy^3X9 zW-k;;ea(>Uc}VW;20KCPNDm*#-$te}_8gG_!+-@p@NqF=Jd-s{Khc4S;z`5UjpUH& z=?Xk&8a1%Z8PNGEFhF>Y2ByD{N0G*=smFZ8wU4BAY^av`CsPRt@s{iLe5omHSvy^4W)70ZT1C-g=`!t;>ad238a zeAcztq2iDrJjWKxj(H2yzd+givgeq*OmqX~{0RBJBd#e5SS z?|3nSgPcWzL!hwrR18{A#kmH@xT?3oAyBAZ#X!A^&nY-O56PWxhzX7f*LEZDgmbqW zF9^@M?YxEQfAX=(wsHf~1I|DsI0(<#KoEWZgz;%;ruASliLS-T8G^&E#RUpmPsO11RFu|3x#zkT_dX|gZi;EhpE;iQ6*nZ| zIrHo-Ojlun67A*Rbp|30NqEi%f=H=C4aUx-M$?e9Y#Kz)u^RFzX~-W*L#})=8j_qv z8d9LJAyo_-QpM-gkjwa`H=lr^LAMTu=jsMlyT3I#; z8;{l^jVDmpcq#^sr($X2x$2-AG6tf{^vZVBfo%Ub4#P-7nvh*5x$ExIKJLC=P)4_M z9UR|XQ`EaDdq3seIVtDf!?06(59OUN?a|=YyN5?pH@iV-dS0o2`fh*Fzsk9Y!3Q-g z^3p-xQ7;CBN`Xvycm4Ypr5+cJL}B#5x3p`U=s#1UU%po)SkMp)LSLsCJXa<+K*#eg zOCF@j_J1?mKOs#S4jj|9N9}5-xvmzO770H$d%nL-pDp&T1Iae**8qHr?eh{Cj@=Yzq3!?)zZ>MI-JdhVil`-JCcKg#dY(ol3Sa%fix)?d;Pm<#Jj z5Vn?D5rjO~iIB37KsgV(3UD8L4am+TAQVqWw{!BF z7e5?7`z|557+cbaQ~)b-21Wo z6$Yu?MDD9N#rSeQ{yznOhw-PEvW4>R#~zmQe6e?6bdm-7Cb*;stE*o=P@=Hx^Ypv{ zVN+5eH{qa=w;xs^-{6fZ%;x|e<^5P`Ij6Q>)z-k0ReN5ETID2ok@Yrxk(Ev38qs|} z)De_C%KNJlk-__`+&VqV`>Uiq(xmqN)mf<~4flsubdY^?8^?!Ad=!jqe33iD8x?B8TiTqgAL1t_PIK@|NmhwY;5uF{ zWsd1H3CVXw1iHPW=+-$X)J8<@{P!~ao>t`hB1(z`9~K?`rPA=D*F1G$HW*}znF_D% zI3CKqbT1V~;K*xy!Am^R*ZAUIx-WXoiq!BGA@F9uD?#9aQA1Rr^hHwk$dam?uSrlP zC(CaRn6F7-kUX|-u_}hx!!ST06(*3C{)3G;#zXhJiV6CWm@CElWPvczy zaXI|D(TlQl9NG32WWlqE-ln`iiJR^Q zXC&>645|@|UaLUDoKQ7?7kni;dBgpb_!-ifk!_Xk~}goGR} zu?`Uu_MtpU7-kB8d(}URo&yQCD^*kyZjF%8MVnAM?u;CCMmp69MNfi2Aqgr5 z4`-=ZLW0x@By>WNkbpJ#jnIu`7|Bc(?x%RdMLAP^-9s!lt+*D$P1?Dgac;LD8v=x) z=SHBA8x;d?R8($mi*S>rO>ooVjI=r@PynjEyqm*uElV35Na7WhnS)o^~eUdDSB=MqIar5#ef?Xm76;v+|>Pabw*}^!w5yMRiKa? z6$5TmEa67#1a4}fC>&UR3GK;UFOM5orsz;GummI=P69@N%rZq=PO5(tJqZGZB&ZmW zprVrS=?DpjXcI~kj)W>l!a+4c(UTxhNP>z12`ZM5Aaw!>`{1n)kOR<-09k-Wn>iH& z%ipl|rup?T5Qs8bn3n5FBXqiys9r}@&Ji`Nb)e{p5-21}#egUkm8j1~h}uV+AZpMV z+3So9sS%1i=$1esQ7Q&RsaQgk)CokTp~w?;4|Id5Q%Mv@V4jd0rscR9q@ShSR5`b6 zoZESIo1*7NppY9C18!7QZoU}dCP$m#rp_6ucSd^E2t^)rOQ4V&6$5TmEa67#1a1yi zhuqu@-Qeal&kaxb#j8w#Gp4{46EMXa_L4EDlU{qK^0N4?lrPBQXAOH{ik)tVDXP}4 zRiWtl5-8+L#egprT~*v-K^EfJ3XwY(7#Vg(Mx2pWHA2xF5hygGVqiqY627En;Hwpi z!jb1XXzzI?!e|>#DyB~dClL0Nx9QqAg0je0mY{m)dxP^mqrOx0z6%uku43T3iV=e3 z4x%#O1$WpA8D}KxjHJ~FMQ=o)(1?nG5fw`alA3{_!+2fBceW+ajldx}2qPwt$PU7Y zNkz}epzCjWHvLAt(NPjl^(Ye172Z9M@Rb}y(l}Fg5@f^lLebYjps)@q26a$T>M-&^ zr03PrCX^m>Mkbw+8Z|I`nFU~i4*5$7g(RpLkf5THFd89Y7RscLIwPIV$YC`?(UTxhNP>z12`ZM5Aaw!> zRZ!%k9+7%5E44b7AEvy_|3`G651Q%u>G>NZ8sjX)tcDhAxBsN76M zxH(9hP&(_39C1dtn~w&d=(!On2qkvf5!y-?)2;ZI9|oAW(4JRvts%W*SI zKTEmkbZ&P!xA&>r6g@Wrh1{qZaHFDf^Nk2ML$nEQa?VKJ8QH5wD0*%L3b|1+;6}v~ zZlq4&rXGqsH=lrRaC3p@h9~5PDY!WumrDkgJpJ_C=+A_O-}QEc@0uZc63+RYI#1Cv zBv8nZiUC6^Dns9mFw{%SV5o-5VWid>=~5#UJwpP845=6}q+$s}QZq0#f=YzL%Vua# z?s`Ssl+;d#%2C1h?C9knQ+VB~UQ+Z_2ozGGVnBt8O2v;NRJ71Clnyx~`<#)i8lmW^ z5GbTV#efPGOQ?{Vfr=~?`CwTA-3XRFNMxaWA%kW5-4HPo5oP2sMdVO_N#vw)tt?%& zt|oP^CJo4pA%UW&OQ4W06$83dRJwi^p{t&jL05w_l6FSw)Cff$bWWg=i84@UDNX395 z6_uf1L>NlYGL()xBL|s6K7xjX5sEzMoIoK%Dh3RxSi+Fh3=DNbkq@ZfLN^%tI7|ye zJmG4GDR9OY_+kRSVi(Pap&{f;K5MGXAEBREuBBa7GOj9o!U@)A21@k1e5G(U}#V9|V$bi`UfC0*_y zz_J7#gl-1ZA*O75WClSLz3&2rzN;Acu406sUYZOS%~Q_EVP|ASjZpMP1PYC)7#LBp zgdnLI2+Bi|52&v|Hv)&`AdHwmB0G?nK;nuSK_v@kSwcS`OXzQ*y-LX22L%Cn;p(x~ z)u`RoXb9Or8%19ufx;T87}Q8bsnN*Ok$$+BHqjPc&PcB_GN?u<@}OG+h5b;)pdYGO zLYveHwADgUILF-y?PZqGIXdL$xR8XyRe%vYvrOS{ulh&PlORw?f{FnNDk=$oh>*}l zn^2l?Bvd&PI@Jh8Pl7-p2`UC8s8~XR)CnZ)gSUsN34w7VbR+pP5*dtm!bo9?;U|87 z8P{UC=_M!NCdU-jXhAjv2u070Kp{6O2HdEq+?+51CFTQ&ENw#Rpfj@98Of*-iah9+ zKp{6O2HdDv!c8mngPSxI9i}Fbaw#w*e}hE0;R(56T8^6rT#Mm`_Q(w%&0sa^kqz8X z^xOy(a-(9vjf%=mb%dKb+Jw?NXQbX4sZ}EsdC)C^LT*$HxKUAz*birmP93Hu zkiv}%B>RyFH#{LXOu+2FjKL}qwN5&y(XfkG-&45(01sd&u@N|=sxh#J6e#u>>vBL~$8MINL=ppXg` z11eN3p<;@KLB(M_$9kBW5G4mR;aWzP(ENR_#PpR|?_dc{l##;}kwg6@QxfVR9>vlX zeTQNgnL(F*heDu`E)@g1R8+dojL@}@mO&Svw`O=w%Hz3+OEp4~2k9C@ibwKDU?>K3 z>D3atq-LOtkNtYO?tuodb}E>VzQq$V#1stuZ%8E!4FaUqScbCB`4;DV9+|-qMenUZ zAwwz#45_FLEs8Ldqh&DE?u>Mbk)~8HEbyF1wm{i)Bj=77IWJ*FY6DiPQ2sC#;NirZ zLCI;J5}t5*!xT6J3Is6$L9@6PA;^8zx3a^rl+VI3!Gf7zV8N!HVv6TS+Tl3Ql^V*P z7ddyN$hmXe)6NOZ>@3eZ#r(PtD(1tbJok!^^>Ar82D|ST-;2URhdxW%b2`2oeOtx! zuTfugqz7Oh|5*7h=VJyw^4$A~he@$gL>{yt&V$P1JW}~yt$deb zHRlxPo#HeU^V};=8^t&e6yrP+4&8V<7O_x|!af$3ARe~iDb;OgdsZ8fz=V=6bY*!f z3*E2CLU$^T6?ue8SP36YKZ5M!BjMbxgWEj!Zr2&NaUQshb8&m*qN47>XJF7bYN!ob zYn|2_XyrK%GFtg^q8mSlr4c!i%V7CmIg=~~ zUdmV&(O(2{ZV5~(Pkr^8iH z$)BVek=Cyq=|oO>Y)O7tzUgmkk3aq@qO2$vL(a8!BCfPWsbZ7~z14jyH^?e8;;{I?L9Ina0siNA$q) zIq6}1<8Lcse5>}CZmRoiM4iOf9NdDc75M9hx^7k1Lv>$_s7ue?JB|!JP}igCwo%;! z5p@!5bMuaa!#1efrt0uZEsdk25p}h5_m1(Vzu2PVdM_er5^aW`yki3vj}N@qj#(5x zk0$eLI6mNtgxQD-vtEo3vLM0Fqea84?{Nl|{66fCr_vnH@e601(Z;exku@Q;;^Wk~ zTE{BYA3Ln%hu+W7x`5S~y41|PN_633UMf0hE1E5=p1k(BSor%N|Ji}Tcvtdc4r`q)YkgD2we zEQIx039W1WL~buWEpc^qlGK&0QHNO{t&u~Hu&(LHqU)#k*!t0zG#Y&zW$~kO_}{-4 zagn%><t48j&nA=QWnRPD2YV5>~DF-&;77KOAn* zoMXrLV@<>KM^M4hKUnRW{n*-NwR=X7v;?&mwS>GR@*1|pxzZBn@+aKRO>|!^t|NEp zdRBfA1Bzrgm~;f<=4iB42^=pau=O#jYe9pa@Srv*dOStamf?n+Kn8tY?eJb^TxCN!SLfIh9X`|{zLN*$`u zeJSBKNC(rLve)jPERmrq{TT0misl2})gY_8|9OqA%5&sHBBG=!@?*BAu_|XtRnB5W zU<8sriMM$2XWAx2@tgvEwRjXuS6~EcHEc}<70t4>-zS`~@yFSDFeYM%KKgM->?DbE-4n$2oylJF^3~*2C8{WXXetpEX1^o%{u8fTKgV zRk%#z^T5gL_>;B$`6*nK#_fF!XJwDedp514s@vMVy1Qdz$ENO=Cc^CNH$A89t9#dP?AbVnBFrtfxDnYV|C4n>O&Pfu&}Oyn0D9pxs+IQ4Avflbvx`sE~TBkJAWBF z!}98y#_C#_J8nni9mk0;-p;S9a9w;EI?ns@xGU@R(f3J*ort<<{;9zC-?(dQT}t1p zm=A}?>Ttf$VcQYX^l5o@ZDV!ait6#>cFen@^3LPP9S3(FCzB%*goJo&3{E>7+<169 z^mV7h#+jmSP1P-|n&=sF*H)^8y?99{TT~Qs>@iz{CmHHg;G@q5lVRdk?fXAm_i~m+sPo#46*ZmHgQcUt4bl7Fa zpC=u*O0jn8n{`-HF)2H59u*}L{1AY48I!dRds49+v&*q)OUH)Qi@G~{yAz9U*t#{L z^4itit9iC)!{#-sHzXEq+T7i-XvJ06ya{94nwwmvj?T7qTUKxENG#ggxwU&s_v#yP z=F?j?io9hrRV5b5|K8>cNI9I)cc%8pIAOz(MYSC)!m^GE3nva?+T^j10f7Sx9dL%4v$`>B%J^5;zXj#bnr;=MoO z|CmQLk^18XN_-{c^|s-h_Fb3bB{>cbY5Gx3g8!M<9%n*`V!ZyYzp%N&^Jrea)=MmE zzulE@h*ZwEpVxR1P9j<4(zvUC+FfKjVHcpJ{+9uZwjC7={w4oUBsOXi{2%q7<6hKv zX3l+Hi*SRC2iBR=2HtFz|c6e^D;s-QI zJmBz_yA*Kh5|283*5Okwf6C*V6p-}i2A%2Aq4w=7^e$U}`cMF`5IUJ`yad_@i zipxBX)1Nzh@Y9ORT#nNt9=}I%na^>`NGAXOkTpJp4E~4@qy2g8(S^6>bFaZY^P;eKsS8i{A%7Y|wQBmD)>shqWlfK3{F)c)Z#hFV$~qpWQ(n(&i}4ca^~_%HaFU;O8PPcreaShH)Ietc;)UE2E!};m7G|K>WtxEoE?iWqw@#-ZJ_>RR*st#_6G+qStYw%FoW^t@Q~{8;pYSoA`1XZ42lH*S)% ztzA7^J3o-v*s*bIM|X%2SkrZj0Bf1WT`J@4MX|P)#G+|YC4_Wr!v00G_sW(`JE=Ci?WL^x4eamd==-Rvm z)sM>-a9*r5AbstUHA_~nZCEF*z4(GeHyU{BYT4jJwR>&bhRrv^gVkHGH`&&+iCH_=h9_Mc zdQ25oZ`rc?mbNvUH=-HYS|3o$Yr7CJfy);Jrx&ReA$(KM#*Qs8M3aG;?#<{Q-5oa~ z9DMPM&=S7J!dQ3(vF@)Nt(sdmY`!@xq+LO{DfWI-$NPJ*aS_`2C?>)W4G1Do(*$Xt+gkib5Oi~lZ%35 z=bfw9rk2<`Y75;di53Kj4;UBs#|m2b5bB$g%Ny8JeJCtBFFZXzJiRbH4FhCJIzZD6 z;VH(bIWY@Q!`gfMX%jHTFCn$~=w~|Yf9cTWbQs?0(EFvK%S#sr-Y*UHE)DfA zHQn##)$6+xn{I5t-(viomuOqjeD(6i=C-RZzx|7KNZgs@QC{Jn=<%+ z;`4Z)e;H1^p3~fY3-wt2=Ni1x;XlfTE{HvdNrZuS4x;O{be zUR@6X2m8xa`15>TUIyfkl;r-cfaO=-Y+`e;^pB95#{U0{C)iZ7IOO4%^z7YZr>bL#n7K2;;lLlXj zGT#5Q-$X5??Rb;Ht)34U-1_;5!EOGp8{Fo9`J&LS&Hq}1+x+h{xXpiy!EOGN&JFe3 z`rcr0oBv~F@ON->!Vzu9U1ji7-RA_%Z`oj+~(hHaBKI^4Q})QFN53szcRSZ|K>Nx`*VfCZT|bp;NLa)d!W+C?N1GE^&~m? z;$Z)>`Cnsjn?GxCoBuk4+x&e7xA_MRZu5WD;5PrH!EOHeTs+~R|8^bn27}xDO`N=O zF#kL8=lRK9LbMeBy}{px>puUL3|1V}^LG4se7C{hZScJYXH|Uu&l%kMIg&vp98o`i z$;Dp`ejOL(4!3^(y}>WTb#M1O2DkqF%HYiNu39Jkb`eXe+)!^3d9}I5ouD@K=h#t$&c7t2}cNyI3f6U;PpYIvm z=KtD?(5`K-H)TVdRrma43~v4TsKG7&_Z!^W9lIjbZ{z>>Z;i*ht_*RWdVj_ZZriJZ zoD+A@BY9H-p>!3pjb>V1C<={(!-) zp7-&l3kUTubLnf8{GOiU~sGFgWSY%dTgBkxxsDz z!v?o@pE0=2e+4%+oPL{sx52HSe`Rp1=acUW_1JbCF}TftJdNQX|JLp+3~uwUGq}zF zd4pR&4;b9)S;L^gLA!Q5?=!f~{|$p%yFW3w&3`U$DsV*WwZ-7p&q0G*J#Tz(sK@&G zy)yVO4Q}-;qcI#&f37sR&HrZxw|;)f;5Pr;+Cu%-&pQlm^Z(G`md}4PxXr(yJ=9Oi zeLrn6xb<_B!L6Q})uA5i=luq6GWzihuN=`h*==yE|Az**_4=K`ZT>6Qg!*m%^#-^3 zr_10MuZ`F91B2UoZR-g0TOK}daBKI7!L6Sst_$_p{AZQHZ!x&llQ+24^A&^JcK^P? zZT|T;hW^<6OAT)G-)(T~&k=*$`@}0cL;Y4y!QfWUCk<}%KWT8Af5zZ8|M}}fyEgxF zgWLRHH@NlxweJh{*!*uVgKsxDyQc4VUog1UGgSt^{-)6GrAE((49=y2*Z+jUIW&6w zhX%KH&)X2{xA>Ulo-{qgwOTjKG{w#MW5_+Ji=pH~06o_PGuZSnYD z-yDzsus6h4;MDv7%q{Ww>;ELgtv_$r9**5);8xG^Lt*|m;neeXw!y7GX@grmR~h`h zMh{NqsKEa(apz2`+_}fkb#hGvzuw7pE)jKk~q?`iS(ZY}QL(`t&~gBNMORT133r_~j~{d-#XMsWY0)<^{R?`b^| z!Toz$QxV+1r*$NP`}eeJDkJrB>;6;(pWUSSmPK&?{#Hu__wR45jo|+Mt=+*fq)fn zYy&sk2IE+rGQ((|GTL!E8o%iz;dW8^CYAneUtP<=%bvZ?C=9+H0--=j?ON%?GbAutfq?2n}76-XKa-K^!?>=5OuqQQ)g5#B^bpt<3EV3>CaW5Pt;ZA{g9AP2(6)RrhqgI^v%x4K;7GL#@&oBRSWoQMq>1G%oIf$mf)w=1J@`$(d;Fu2bxl?S)9T;RKz6W=IjJy*)r$ftKb7w$_jEeIyLY>s4-JXTUaF+`Mn3Qp{g(el( zAwvU*X+_zlRGVu%O!isudq9(*@2Lso-u>hzIl}k~26m;62XM!HFt9E)Gr49>V)PH8 zM*!0TZ%j?mrZZ@<#KbYgO&aLa_#D-^dCC(s&?KWEXh7j1d`7_IDWnJSIe^b2q@03# z9#IuP?Q5=K&HZrBr?bJDJaFoP^cpzwU!L^+p_sm(`cJ0sFa9&>+mIM-{ELOf16)jZ zPk1*^sGG;VM7i7ur2A4eNRRAE9YZAZ zU?(9I?4Ic4Trh30T)GX&_kf^66^QW1(Ub@ZmMW(UUBT|dQjqAaib$$aHg`5^^MnV* zO(RWKDaYiwp$3rFKjGxv`}>Z^U~mGR|68bm5|>ONCro+5BX>B((;1$F>}e!r+QML? z7SRwBfQ5w3lz|=T2*BJvsXj&(gMlMT1Nk(ISLz_jL@A!AQjg#a99)8URHb;r!y8tO zI1B`4m`6e};7$b9e~API&mmy}j5v%$jmR^Q97iJU`Ac}TS>8O<$k2v z0@NHLh#8J82?iQ^16WG2Lt+86ob{C6wK!R;Oq1tkGE&{e$6$6Jv+#!4&T&mv^^pq1 zQVJd{l^LE<;*AgtW<@S)kFb2N#*l!h;{uAdWes70d0y8lNQUzYmH^>!k7O^BhOxJx zb3IP}bFWTbl%{dXsa%UerF?Z2gjrv4=s?^}{KGiq#DAt+%dpopm0>{lM)Xz)nmN$I zl4+b^1_@iJtAtLU&!sz2EDHi!KbPjD)5ad#DbqTqob{Z8+8|;I(!+{fTQHThU}kT} z%3B))Wx@w<-s7Sl0(7qP-3aq%HjRZg@t5RI7OYcCx zl{9~JlBhbQp4#UK{HVk-sLCFTzI+UcbY7ev@aL3B5X%+L0q<^jk1klk^$KSPj^-RL zDkMFm!^prs8EY^cF=}{_*Kwpeh#kV&iK7rt^Y$)tc1E7Ee>L?OcqJ}*0)3o$Bs8cD zTs+|cHF-+yXz*bq7^o?XLl}#S4`HCqq7cIsX;wUIN9jmC#+Im;!Ab8cp&m~VQN59F z=(>pVU2_#juzo~oUJJ1@M17bT@@QHZf*p+qPU)!=I95!o_~vEnQeD#A)qmfcybLv@ z+3d!76B7q&GU7lzjWpP}3oBqO7dyoS>}PEppVU_irq86+TOj)PB&Ocn$opP-v8 zD1ej2({8fRhU(1F;%5fAUX&6YKxOn5cVy@+Xw~Rjn$uCNk(Y}Yrey$a1i>{NK+{l& zQ6CbI(A1bB>JcoB7#>}vaEc%?>KxEy7zw#HqX|66xPQ$etP@vtpPkv2T6KD||F49? zh0b7r@uew+(LRk68PZ*ewnBz-X+@fsb4x?5GBgU1DhjKXCM-pE37fzXJ&f2~*Dfeu z0p*uMc}E^j0A#v&U@?pU*|{aD$;B0mW`6_L=6arbm#g|`B>NvLqJEoKAKu7QKXeLa zIoQaCTh6xt*Nrq+;xZRz2p=|rk(K8MX-6Z5G;%>xT)k8Hp~d+D4J)5}O{m^rmN87z zw`rFyi}o)J(Xc1VWgfw)YXTCDc?>5xw-chlY^wUc)RN2a6m=NUnD1CM+5fBObiUJA zj%XMirX(JXeUOS_MbSzY2Fp_W}O9U>GjPH1?9P7*i z<@ID%ocsOcMU7IQxx)U-EgrQ4Wi$%)C39RTZMzv+|2^$Zel-Q37cK`P@TRO#Yn7d|;49a5+`KjEQ zR|k#BPd28$aqmlfF^HQ|6WH9I!&QQ*F_lZR+x;`Jf949fl>bjH?_tRMzzdhxaEtE9 z$fX2)&b^Re;E?R7(C1u(WBEm^@Sybx<$Bvd{4F3Z5$mE?J`Kq1Z;*naG=Wq|eAi&g zSU~q7P5!c3B*^}bTQB&zjRnc7bcaG zYa5rcWQwJ%#IV#I%*q&Lb{JHbh88Rpb@(#d3({=IE*N+s*gc!!DpMNlc8szahyaP$ za8GI*rPW5Vq>sO>Zc5dF;z?_R&EjI5>WF<9G0i`M4}%1DASm z*)zeFXtICYtu53F*faNon6-l1b3uksmxnEs+Y(u6vzj9e2}Tv~`>+r~3+>X5LGD{r zc%RUyJ;V8VA=YWL)>(f_>qz{nb!Z#k1_T1OXLVtY6SpvzZetea%wyq>;uc>qm)?bJ zK*e<_(t>Q73tDoK^}E#S>P8e)VFqo%Qr3}&t8!V%gS-NRI8@@=V_mAkEj&btsP9Sx z{ALGD2fO+XCS}F8WrRo6i6ulb8LiA-gJmjtB>RWlGF64rCgHXW&NaAv)BREeeMUzo zEK$2K;-o!+UIaKDnQ)AgG=Dgi$?lK_u8yVA1_a7xZ-d##J7opoNB3Q++OeC!G3o}P z(B9q`KCIJ#zL{=VFW9z@Orzt5giAPXXq#QUYoFM~b%fqxc40mPG2sBAp?7LCV~l#S zW)?lf`Gsc2>PNRf(IbyRJ<$>82mLt>JVm|xp5jc4eXoXA-1ABUc;+Z^=TuI;qmtJ> zj>$D$(pIsp+vyu-IhsSv-M&WibjM0AY~*SRfUDFUZYJ(c$<6@e&KM1ddjc~+Zw#SAqZ6oy2*unIl24|%s{Q3l4%Yg zFflp+M9It{CA%k}zDyQwy&&c5eNDZ6iUEaJm%Jmr9>nW7f^aBl4$0(>bQ4C9^%xu+ zc{qYJgOoyWmBb;qDb=Wx$QyliL|F^h0qSC#TyH6Ghz*_XeL5tXaV^1W zNa!Y08zzS{cw0dIi4l%fll_(MGE15++c7F-GTl`+C*yTgg8h+nUhtxmDD?yBE?fzG z0UUAjnhAQvQ6%UP|J5Iy0spH%{3q`ZiK6|X%=EH_^@sfDH%@&$_#&@A-x2J72C=sb zV;x4MI$Y}3Vl1fPBDtEw4NZBzXq038@L(57^_)~bq#ioxsLU= z3d+}WN>TGk^_^J}*+;PigWRqiXX&HBNAXD!w2D!xmK16|BaSH#zu@-9B zH<CvBSUQOr=nsw#6r9U; zlDs_I#st$Q8yF0_)e^mpJsHjvG`p+{j-(gk)SoZmtulvKQ0>vL%N0N*s+KF(3DyAD zqfEiI23&d0gSBhG)l0zn5-8(w;mSY}-4T&#?gF;VNL8?^Cg1&}N2V&k9nC;EjZz!Y z4mj3l=nui*u2c|RivVBZ?ZC@93_E8A1KEZ61?migy(%&OTAWg*W}Rgb|16kV@PI z^+9=1$KKRS7i3U{E|3K(39vX!v$Te`t;J?qI(aV4;ly!hp(E=>4C-BoPc=SEL46@M z2u^@SLt~s_HUlsx8zV*8_8PXm%C;@Ww!RqK1Hx99v^w18HGjWh+pKIeF}9pr^0ie{ zBV_5Q!E>(QCCNaR9+!SpD-V=J`{{kp90v>br+G;a>GLOuRJsVULW4*1;ir)+HXjOc z-;sKX$-n&}_eqskHjUz3Ccw9knrmhFm#DdpQF9%l=B?6fRbVp1C)5!*bW0^ZR-a0OqaObp| zWt~B{2Z&KjMoHo4p9zcxN_i5?jg`XLkCg!{a3eZCRwe<*$I5a$R*EDHtZAL%N}CQt z#*)V>!0!4L6CzCqngaW2G|B8Hya&%o>+HrLm(i1*0X@X_4|W~df07jYPh#xrK$yp0 z;9T|q@X$Z9xVf|E4BV=_t88vH!mwNOR)t&J&Y+B}V)-C8)iwVByXxWQwc!Qei* z$t7k)Rk?eBv zGfWVHqfF6~3CX(%6jPr(0)2aAw(j+fdEOBy-ikFQZ?+aya*HdlGCm-;gIs(Jj&;!j zeUn2#qm{A57y}BcnGBi)if4+)*Bepz!sDwg9$ziLi}-58(h2)}Cyy^|S<90p8eg4m zMDYIjulULv;hs-?1sEJSb7=IB?brCK1A4Of+WpLbxb+{05b2S+M#;VC^>L}Ck>!D;- zlt75tlh55Rn^Vk9%Orx!G(BYM3ThKxJM*M10<4`HbI;bzo5L z?RhxWMS4t;v{-H=3)-N3B!eXC!15N&7SJX8PX|>n{$|VZaoG_82k{VZ9%E*Na-*LY zNe%}nV}z&6W$L&eH<(@W5+oSDrBxZ`Kzfi?EJ->{17xa|^kI^6M%H~5RIZ?oTx|<- zqdYwTqC^uPkzp$j34d%Mv2GK#ue@er1Gi$?J(1?}0UHTT-0pB}2NtKWEy64L1k#EP zNU^b+ss>wmw7FrFkYDgYnIVXvMS4Ne1j-CkgmV@+c5ayE!Nv-dUd)~oW!?UbFRftE zk3Jf+Y=%NgnyVM3Id9qSlah7{sk3Z5Ne2$5X0Q=T+sz||?Z^SPV<~OtWvlIUHr~xw zzpU-ZA}C?I<0va`yB1LZHiVJrf&ENj%Vxp<2h2x=2OCcmdtcssnhnjTSur0sP9#>* z7zQd=m$hJY*}$1WrL&kuXX}=$;sMbl5y_6Dl~FRMABQ z*j>Zw(2+*seks`}tIF^gQg43mohD)5WE;>gh2tCa?LtL2p5%4D(Cgaoq%)U4>;}t+ zQ*G$9qhqmXX?8tcZ4mE=X_SW1!cHHGDCn|vLh7PrJxL#@GoUKm^l+*LR$$z;A%$_s z3voj_#*NoP<3=~Y3i=#bl!}{9logMg8mi*^9Qs-n^0B@qP!ueh4TD9q+Sevy)=Q9i z$-XuZ|Ap3TIw>h#9gbqxh{_MA>YdHflFq?wWCF91fM)aJ)odlY8@ZG+TTs$$#~6Ds zn{+qC`~>o`J|<9PHqC}+(`-Q>YoqD|KMebpjJAl65z2!lHII~S9z>s%wjW9jyK>Sc ztFUW5$y5-7S6N3K-V09j|4_Ek+PYd$H^vAc7SC6ueop@Z$cx&ZvN%`iFAg(kXAXx za?!Q4&L)T4Y=SNZo-V?r_o0SB{sx23x_b;h>uw0R$sa$o;4Y;Y0i3KjymGRtQ})by z_5wxhH5;b2l-?04 zXi2J*j<#g~LPy&?4~6}{EOJiyK{whSlxBnx5OWsB!DCP(<|Kh4D{3~hqGnI(BshoN z2W+i#1d7;dHe{>W0_V)r=}ja+4=$s^y50!3^!8?x1Gfg9V{8n>behuk`X@Kp^{_)7C` z$VF%-9M1AWA7YR~t!UBIMd}3)wUcLqIvi?ev&fEbijAE#k$3FL#!gO#$^IK9D&Atp z&SDoKRCDy}vFTf)92U?aDZcn=K?sG@Hy12asyJz_kebEMjbpSE$44%DDyZX^E06;n zY=1CS1*C8pK(M_|l zLDStP-pp`lweleuF~fl% z?5Q%Ap^;r^61_|d`GD3Ne|z=u5v<8rW^VuKyz8Ip(mfh6Fxbf4Y=)s@hHWmokjcS^s8TkGbcAB+|-0G zu0&EHe4|y9*bI!OJtGNv5<$Tl1}5r{NTpJ1jsqwSr&GosEvbseS2Dvw>Xs*$lQeIS zw1x(e=epowkz5M|vkAFkyMZ;h-M|2#5LWi@FK|Z`+oF6#jGB|vK(YB1T^VJ0^K1C5 zB$~RPEMcoE5EagD)nto{JC@3<77Tn}&0n~iMo!ObVypE5KYIz_0{k z<8On>7CL-}CYyp<48Uooh^GoQ9kYJ;6eu#CW<%3yHs+CVE}VDlk304WWzVcb222@D;W~BRIHN<6!}cEq0cm1DkOB(iCM(4pHlX=vkDZk*KEjMvn4~K6MW+# z;q8#zb8nH5IR7u%Q0!*phXmmTdMp_C5@vsj$ce|?=}tf_BnU59fRF0gpQ3%^_r-#v z3eECE(8(_Smzd!A!{0@4&}OmV5GeAVW<&33cA>#Bq3msN2o$l`Y{*`-&nq~*9g=%4 zEfO3no$p4W3FmG%UJzce@4STBzxT08U%3J4;^M(Uc)NZ4!af?DDs|WL+@!;yoY+vcP;L9PVU)O z#E~~UJ0E~j#*u^K zk?;6jIFdGtIZ~j=k(v!1soCe{$ZPl`DSr$>$^PYNd_l|&qbO{Aba5gxHfE~~XKuG3 zhN{f^6~=yQAE~O@P*u%(RrydK4}1ML%sj3+>QOesLMVzCqL}dB*zEoI65RCaO~r$O z@PdQEM_b67exJ;=K#fJSe*&T7pNIvBtXCEgAm2mfWdB|G4#wA^B^*0MN`cjN%JMr? zC42fX7{mfZpvdZ)4Xv(OZ}kQBbQ2&O5g?Ol{j%B9VGox{ME54|02++vMZa;+oz6X3 z=xqiA!V7j!0q@8?b`vZ9DADY1esSW&=x4-pZW2J)$`am9FS##@TIFBNKs$MW$p5f` zJVW%fIPW(wK`?$3C|m>UhF6`s(=jdc~E7igATPJzFn|ZINoI54u+-n$hYOkTZBcweVw)N`af$Z&W5Sm?F zsGq(IzJM_(gBIp#iSdY#SJY=igKBAMb^Y`Dg&OCLL{aqLTG+Ks^nYBUUw(%oT+k2; zLtpzlo-309<+SknCm8rfFfb{uj0TR`>XUZ0`&?IxEq)0ypg zChs0?<4>Gzb5phNAl>)IhR%@XVD?e*AAaN5%nQVxpY!qtW^WFiyUXW3SX%mI@#rD^ zJ5vBp+J+rmW~bBA5-?%ko^AOFUM-8g|M^Z>-t;#-w~41{t6=%uP&K~gDcTzDH{x9r zzVLZ~y`*n4Tq(;tYSks*UmSmz<&VcgmsS8kTIJFuOoJRAh|FbWAzYrFfd~NRwGDm2 zumbOFOgL5eg5fZ0_DvoD6g`hC`rw^8|9&LeTU+=(WiMM1zE9bToTs<%Q&K?e<+$*D z$^$41UmKD)!i&96$zSc4#!Y6vf>VqyufzAb_{`v=MZrm)r>7}sc{X>775XN*q==fU zFRK?YEcraW1cqbCO*k;5)h)xTAScf7RL|4bva33_waS(;WZAy9fUVjjeDU!kaNE<=I-WxZnWJAQ{PL}w$j zydMoX4}f#5zni143}+?`%j+5bI`Ph#+K9u8DFv$4gZ<-e>fN5v1pxtkN-E6B5!LJR0(eu;f=oVH8xez zzJwS(29zZ)%mzbDF;kh>4@WP0~ZD7N+Bu!+uM>A;Yzz(%?BN zE@ z0^3NNV4Dgs65B|Cd;#2({Z+*RWS9yS8exjVECOVjDfHd1`Z4Q`AW&ok&4xzMtQz6B zF(V97CYa7UA;+DNtO{Y)8$qDR2$~IzpxFW=NSj~;&I&$2?gwuK$hVQqoreH3Ob|F+Jr@K{FDOHsWjTA{?ek+yaIde^HLA-sstd#jA7;Jf1d1%D z+0b&Db&Ybvm&}R~BFhK}$v7cdC!|w_FzbZ~6baF6C`7XbmXnsjaOP#5&0YYTAd{j6XRC`Ds0DN-4RriW6e6?|PWNWjomsEDK#}g64RzOS%s_HERi5pF zI`l#nnL|jm6B4KpX1x%BA|aX$g=n_GK+-Z8XcUd`p|uvg5jZps!iWiSv<||ENm4(6?%v80M z38uqN$N?v$MujlTgK7yB^+C;seNeLnhLSeHPyrZ4k#rHbmzb%ls8Bc%MLSPUzZ$1s zh3dzwH-bQs5i}bbL9=Rv%9s%nlu64vA@xqkaYPX;&8#tN8yZ2g1xAoI!3a|o zQMCLDyb&Lb5G^wmPZ%joG2mQ)q#!_M7}ef3@gH0{0Okz!5vFJicUGZ1v))1iMHbR* zXd%t2h0c#zXo`}-bixTa>VzCqASJ1Ord+1 z>dq|wq@F;L?wSpC*KEu{A~Kq_x}1<+C!|w_FzbZ~6baF6C`7Xb1`;taP&K&t(7GMG z5jZps!iWiSv<`AikmHnvppuzO=CF%P&tWZK5E?ILw%@&wV+61#5fbvmX zT?g(Z=CC>{P8nhlMh*#aX-n_z@`F!Hg(6(Hh+zcCVG2SPAc&Zhn!`p>AqpaP3j|RO69iE$8-qsiA%AHkh+#Qqz2!y-MV8ZS zXgSTgMwOVu(oRU=gd9*I%z7aLMM5+i3ejwV<)me>+>C$zOXjf8g1gLNlQ;?IuuW)i zi8*XPN=h1Nly|ORpb4fNGC&LiG3#{~DAHZCq3)WE8K_si(B-^v%n6xtLb57^SuaGO zNQh=bA(|~PkhBa2>II`2@MOf?uL+HVFk%9b)dnK}(yX7@@h*i84&k ziKbv4#>g~N+$G>EAUyZl1Y!4Knco$ab8YWiW9?0|z4MOiamTeDTzSrema8}I#d+9X zoENmWneE+=${Gdu4>fQz+X^>Jlcn+Z0VvUUxg}I|?Co@Fb~!a`peE0K<7-UgaUMDw z=i2z2V~xjqID7N~LYOq`xK@EH&v~%%Ri^Pc4;zp3g2vaf@wKSz8=pku*;Yh66XY1+ zFa?uDxBMezwskU-22ehi^qyBhejAJBxVt;V9^5w>a+ljE+}>kz-JYH`EpgF`}d?4ZaJnQRDVF$-?ylkd$})UoAK{h@vVjWGt>3B*~A|% z=LH4tDc8d~Bc$n@l2pOi}crLEU)T?>t+0PF>AHqiN4R1 z7v4Rr;9YQdBBMaxQCNQNQsE89F~oBj-5uo5SLZv9wCr$n+^{;1`&fmJv(H!H{&yC` z5AP3VB;}kqzB12?k4i%ig#?|{r#Ck$6L9$`=i|~H?{?`dJIm%8#>QfN(9Q+%VSMAW z6EVJ1`%5?3O~%+sd@VpNuv&{xH`sM6yB@OpdW@Y!+QQgzWat6A9%XkY*?l|4PJ(S= z>^L~w33hiXJN%My!`Sy@>?Gb6#*Xo(zi6!EdM_d=3!mjOL->x34=k)YjfK_tw&OZV zWPIr4J}<%yOQ$ipTIic>29y_OeNQo{BI@A}p8T&XIo% zY^A7=<N=ErKM)^vL zmN(2X%7aZtfxa0ua_$B`T}iL<=}MPk{fHWDmHr0^Tx%Q!0wC|{O0bemoc3YT2N zXg%!5=Lqt;rY^an-&^1PObh#GGb1(###^jC$If@QlF~F42~NEpm3Y3cyGF=it9fcH z59Rr>rf&9rU}LYe+?D*)+-0?UQIB{ch0nx?;a3GL8mj7_976xin-ALZ>~XDa%JZ~`L_|ST8FhC^XlV7kTpH3;&t}-*!qwiG&SleZ!Ax!$$zc!lvHMhrR4?UF>h2 zbQZqkUpctvnX9|2?0AmnnXC0bYe2S|a&*2G;>xj25@3%(W*P91ANA({H0OdcC;z5Y z{+H3ZRE;~EfiX~@M@Vd4d z*oBVfzi~I9p|Wai<*~BeSXgs(u6JbzTuQ8|2-a2v>vq@&T}nAwcYYqiC$Xlos-dzP z;uh^Le{hlL;^q9J0_CF1@FK6vQ?9P(N8P6!b_TsE^wXj4zjS5oE~W0=hv(t38k}F~ zuwC53flg~Gs~ak7)>cj|+P(O}@((Yf?b43s9NLHmLPDJL2oH->PM5>I9O}BqVG~TD zYhz_In^nW8-`CVg!YoV?Qx_q^+Xt#erVa%9jR*lkT^&6>*EHI;P@mGx^YhpKj; zvG?@B(;i&>;qvcWrsfVV_{SwlZwX_ZZ^@_ETAGcz8Bo&jo&p7PgSOB?fBNuW! zzRR!1$_&ZnSiYlU%ZBCM9lhO&<+ttJnb3UuhVBhKTfSxc#tmB%%eQUs?pVI|tvCGv z#;%QbIHHcu)=fJ$Z0$%a-`Tmddq?+%+i>R7ySGYy$9A$xESGOD^F^c_HmEyOd!$`v z;G-5*KUjoyohdAw7&)lf^s6<+!baq97~&x|M0z_uK2oK%*pq9dih>|pXVDhah+H;{|%)GG)YiTT$zZjggjqN$s*r%Ef&c!K^`59NgI@Y*&`&XiFj6?+0ACn|e;|ke+KH25#`}SWC zEbcqj+`pgSiNsb-!tc2L9QWe7lQ{dZt3shTu&fdv#O3pcSc=Kd{yA%5{N@~Hj<|eN zly^$H#fkrWA`e7{|16?RB0)>MK4&@H=Y3k~aE?tpJkIm-qMj#StVP6&d5SnAv+yXF zdoaatt3^~^E*_5ZJlhKAoCiIJmnkmuF-~isBJuf46_@!KrPR41g!-pg7( zKDRi0WRKFzyo=KhI{a9_;xhN*^Z|!woPsj{0{@u9(*sH`b1+W1Eg*i(DSkwg#9unR zYp()+*m>09RfCF8JNlP7yvB8GSvR2U35U-+dYPwzKke}Jhn0cM)j0i*!-t0ym-!l} zKXmwr8#rao2L2O||B=$myp2;14{Vn|WX+CD8Xw|*-EhDW@I7_nZLTYMe2c@m2g<|a zAHq55CminA+8o}9r=b@QS-&IwDbLBD111hxwn)sB%k2ze{=qTrFs^s5%k&x^98>iEr&#UtB9*56!0>$C^^GRFs>3U5*Ug^ex zX@^gMj>mat6s{?Ve;yw{<}vS&3(y~n;r|GNVt6y;7Q^2Oe-y)4mf-*D5`2DCLhe^f z;G-q*hY?T3^l2!8FDs${JtgpGOYr%N67(s=WifreT7u8}O5n#z*ln-`ep?B-kCpHX ze>kO>o($t+_=*yGzPAK_-ZU%5rw;L33~w%h^Cv%x(f5|%|7Rs|KEP3o&z=(a@e=mr z?+O;<^Q{tgd$I(c=-%G7Wy_sg6Pr6aI$FD0S8rI=v1!G|+gf+_+}2u`*nG$4);l}f zx3q5T?AUlm>y8cEZtrN_zNvLHc5-@Kw|5n-wxTHd+M?*|ilSE*MXwTdHf-5^`!+e- z+10bN^Pa@kj;%X8x+8?Z#;&^sXeWs)DxvMlqOw*MMeBu?7^LG)Y*@AKgf1Jlw03Ra zbzgVKj;MUghMnE5cW&6y(@}&-ZQWkC`l>5ex2vsJdx3fA3b>#M@d}vOduB&R*Y+J~ zelfDp=J`xRqqncxxN1Xt-6rw&iYpV{aPZCzvSA13(~eu=Vo2Hv|F`#SL4@qszOe(B z#i4k$11^fU+{P##YOXHcNE$c5LkF?r6OV3WVx!-nn_(?X4Z{w|A(d z?ycRe+qZ4myiN0B>`=UA>1@A=hDHETyaw#f?)KI#+i!;k8+Kr?v9)I#Njuu3lddg2 zrU@H%?AUO3>&ER{;YNDv9+lkQg@_4NzA`*rsZzw?+j_Qk?0_JO48?SBNB`*VxEa;jFdEwBiC7Z{Frye`?x=YHeDH zeWBJ!oq`ZSWcVm?!G5Ypg$@zF1*yD+-P8Mj)r#o!wb5x5%&VdRS+y!6SRJ0$>zKD7 z(NXKY{CX2<`GJ}rr}dGZ^^ut7zk`LD!1zTV(#44yG~tHIZ}OLFpQF!&CGzsKM&#j^lB;`;ou1pcpQNA%n?@_L@{ zu2aaz^1s61X+wW?3H*l!w{|#<8#g$}-`e3~gIhcN$l%rvODctp@UeQT2Df&5$txrN)^4i|Ztb?);8yO>4Q}6aNi zZS;Iy3HL9z1`Lt{5pegDuMTxz#l1rKUo6* zVF|o!S)`}cr^evxjGlqPt^IE^_%(*U$KaO!BL=tpe`W9%!{_&EBR$_@@Ec0teI@Xb z5_s7q5&w4@{x3DSl^c}6Yc7rWG#NhcF?h4VA2PU=`{xFKy`ev3aI4RmZaMDdOrRy`2%4ie5~9%3~u?{V{l7<*x;7_ zYX-OUFLC?l)Zfy-%HWp%y#}}RcN^T&pUp`H2l?Cf-ez!1|FIJI+v|i)fqnW*;4fVf z(OZA5G`Jn#b{qWNsOtUlM+Ucg{=5YKrq@Pt-)8uH)!i;=|TRktmD&k}1zS-bb?yn4P>8n>pd@TL@4Q}%C*Wi}^euG>3FB;s^PZ-?N|JvY|zT)*!yDa@R2Dj^hcNyH$cXQE$gX78D z@$vQ<;pB=Vj$ihM2)Faj`wedAox=uyC#rh>M-5KZJpLVnTRmsk8F0k)xhO4g0e-*1 zt)4$Ocq6KMxu zo|nFn)JkvdxzgZP|LYBIvPcHmj71_Zu$Sh;MP88TrXm!y_Wu8 z4Q~B)>kSb-o8tB9GPu>}34>ev|G?l@?$6dn{B8VSc4KjT*x+w6`pg^L`fCM=a4g2h z`s)n_xB533-0HcCPQpPxRN33%CWBl04;tLs|Dy)C^!L%|ILMzQp8u@Dt^J=fxYhqH zWQv1)to`pWxRraxTZLTc83JDJYYcAbpEkIiAK%1D7YF59{G$ec1Ij%A2k9gnq_=+m ziovZP&*G$ugY?#q=NsJGp~m1=e-Y;RFeJS`FQ*bX$lua88r=GEufeUIKWA{u=TEo@ zz(M{2K3?vq!7cr1yja2!Z|}YF2a zEBA7PTl%{VZs`vj-0Jxc2Df~^dP^kN`fVTZG6uJL ze!}3EPr5adYyJKMgWK`^BW=a$4;$R_KYK$&Z`*Z=!7cs!4Q}ZlGPtFG*=-Sji{D{z z%jc|(5xs5KXAExb@Lhvj{iul{>#Jde{`8;lL%jc^GxAaSHkN8{qR~X#VZ#1~2-(he|{~Lo_ z{omdh$+h%-CGdj=XP5H*?w<^9`BZH#&i_G!Uu*b$!Ql4#{W*i%eUh`^Tb%!GCGf8p z+{*nw2DftGa!179+WCONtv!EjaBGLFw-o2|CWBl0y#}{(|J2}?{(l?X(*NAxmVV9F zNFR&WZ;S97aq9j1hV8}icXk!W-}AoW`2HOcegjTD|HpO~$A8!z;Z~o2=_!t%c~^0K zX>W1-t#?Pb)o1%X#qrO)Kf%TBPH-3 z8Qk(e^TFc$HyYgXzx2Zqy>0J14Q}=Pu)!^#pOnDw7%I;HF@szFKQp-Hf8|FaJ{Et( z;MNXbF}US_!r+$Ar~WA7Z|(3igIhkY`DjFcIZplfbECnnJ}m~feC{wfFJ(L*<*x54 zo#``3E8KY*QsP&*d{qqR+K5MueCs;CnILKlgt;hWqF8<%i8swg?~U({_Op*3e)$Jnr8I z;O~-H+`kXt-@EYiZLd3tCFXI6Qy{qiJFGeidXq!aZbT`^IsTXtb;6V_jB1MA*duS!17a_r` zg_Qrr-t=USmPI^xQAF&;tDqpXw}M4_P{^U8?{(952j0xQ-~8XanHR;)Vt0fZtA*0> z6O6HKaad#J9h*PMJ0HFI^#{KQZAzf6M*X`=OQHAp7X3_pyj0z!W64KCk1f?z7Zj1= zL)1h$HbRTI5vvi$TCAqH5iC;{y8?U2#cK+)aWg(c8(53il8wZE6V||1+)Z{f!EMw( znRrV|B7*P9)8ao$-BYs>#x&8&^xLTgLYF((KGs7$<k*D&i!b7?;IZpNtYsEWdEnv*DF(+s~M9<-tpw9#? zhv*nqEL$%Qhzxb<(21GYusC)su3p_Ivx4*m*)zGX4YeqJM&f~OVqG-vWu5fyfXG2E I;Z64be`~L-g#Z8m delta 557 zcmYjN&1(};5P!3#W;cB;*^gusq1vEGQ=9Ihwb^2uOz%~zCPuRg0B*U#6NE8i9m=nT_nD*gUT<&Hvi>_s-Ig)d!stz`x#A-1vKm8WTB z&K79~_c%t-;OJnFql-+ms5FzfA1zQ5jc8FTsiD!uel)Mm_lB>GpH;t;a;V^!c9}x8c|MZ0LkY=5cu6Ul3$`lR~c@uO8ijtm?V<&*;p{C!)nqI^oLqauVy1;w2|Aog3cN7uM1>_6|uUIu_ zTtZuys2h^5$&g!Ch8NnG!>s=gk_8V8^u6H#)jrps;Pwbpkz>jiH1j z6^*2gvL!KY$(~dw5rH&|hpeeFI?#b$!FxvEF?zgZpv_^+veV9yE=c90I$&KKvZu|S asSfn2pG)v}|K!ojNl7JaBrm?Tr~d;!_mOu1 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/.xsim_webtallk.info b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/.xsim_webtallk.info index a8a0d21..99c4d45 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/.xsim_webtallk.info +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/.xsim_webtallk.info @@ -1,5 +1,5 @@ -1685389741 -1685390103 -147 +1685542134 +1685542416 +171 1 aef36ef3a0d94dac9e6058b656907afd diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.html b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.html new file mode 100644 index 0000000..0d26e76 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.html @@ -0,0 +1,53 @@ +Device Usage Statistics Report +

XSIM Usage Report


+ + + + + + + + + + + + + + + + + +
software_version_and_target_device
betaFALSEbuild_version2258646
date_generatedWed May 31 16:13:36 2023os_platformLIN64
product_versionXSIM v2018.2 (64-bit)project_idaef36ef3a0d94dac9e6058b656907afd
project_iteration153random_id6ef722b6-53ec-42dc-bc5c-9d79054a9923
registration_id6ef722b6-53ec-42dc-bc5c-9d79054a9923route_designFALSE
target_devicenot_applicabletarget_familynot_applicable
target_packagenot_applicabletarget_speednot_applicable
tool_flowxsim_vivado

+ + + + + + + + +
user_environment
cpu_nameIntel(R) Core(TM) i5-9500 CPU @ 3.00GHzcpu_speed3000.000 MHz
os_nameUbuntuos_releaseUbuntu 20.04.6 LTS
system_ram16.000 GBtotal_processors1

+ + +
vivado_usage

+ + + + +
xsim
+ + + +
command_line_options
command=xsim
+
+ + + + + + + +
usage
iteration=4runtime=100 ussimulation_memory=122672_KBsimulation_time=0.02_sec
trace_waveform=true
+

+ + diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.xml b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.xml new file mode 100644 index 0000000..76e4ff4 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.xml @@ -0,0 +1,44 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+ +
+
+ + + + + +
+
+
+
diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl index fe1417b..cec9ee2 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl @@ -1,6 +1,6 @@ webtalk_init -webtalk_dir /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/ webtalk_register_client -client project -webtalk_add_data -client project -key date_generated -value "Tue May 30 16:24:18 2023" -context "software_version_and_target_device" +webtalk_add_data -client project -key date_generated -value "Wed May 31 18:34:59 2023" -context "software_version_and_target_device" webtalk_add_data -client project -key product_version -value "XSIM v2018.2 (64-bit)" -context "software_version_and_target_device" webtalk_add_data -client project -key build_version -value "2258646" -context "software_version_and_target_device" webtalk_add_data -client project -key os_platform -value "LIN64" -context "software_version_and_target_device" @@ -14,19 +14,19 @@ webtalk_add_data -client project -key target_package -value "not_applicable" -co webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device" webtalk_add_data -client project -key random_id -value "6ef722b6-53ec-42dc-bc5c-9d79054a9923" -context "software_version_and_target_device" webtalk_add_data -client project -key project_id -value "aef36ef3a0d94dac9e6058b656907afd" -context "software_version_and_target_device" -webtalk_add_data -client project -key project_iteration -value "146" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_iteration -value "170" -context "software_version_and_target_device" webtalk_add_data -client project -key os_name -value "Ubuntu" -context "user_environment" webtalk_add_data -client project -key os_release -value "Ubuntu 20.04.6 LTS" -context "user_environment" -webtalk_add_data -client project -key cpu_name -value "Intel(R) Core(TM) i7-8700 CPU @ 3.20GHz" -context "user_environment" -webtalk_add_data -client project -key cpu_speed -value "2576.095 MHz" -context "user_environment" +webtalk_add_data -client project -key cpu_name -value "Intel(R) Core(TM) i5-9500 CPU @ 3.00GHz" -context "user_environment" +webtalk_add_data -client project -key cpu_speed -value "3000.000 MHz" -context "user_environment" webtalk_add_data -client project -key total_processors -value "1" -context "user_environment" -webtalk_add_data -client project -key system_ram -value "67.000 GB" -context "user_environment" +webtalk_add_data -client project -key system_ram -value "16.000 GB" -context "user_environment" webtalk_register_client -client xsim webtalk_add_data -client xsim -key Command -value "xsim" -context "xsim\\command_line_options" webtalk_add_data -client xsim -key trace_waveform -value "true" -context "xsim\\usage" -webtalk_add_data -client xsim -key runtime -value "50 us" -context "xsim\\usage" -webtalk_add_data -client xsim -key iteration -value "5" -context "xsim\\usage" -webtalk_add_data -client xsim -key Simulation_Time -value "0.02_sec" -context "xsim\\usage" -webtalk_add_data -client xsim -key Simulation_Memory -value "122664_KB" -context "xsim\\usage" -webtalk_transmit -clientid 2613593652 -regid "" -xml /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.xml -html /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.html -wdm /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" +webtalk_add_data -client xsim -key runtime -value "100 us" -context "xsim\\usage" +webtalk_add_data -client xsim -key iteration -value "4" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Time -value "0.03_sec" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Memory -value "122672_KB" -context "xsim\\usage" +webtalk_transmit -clientid 1544091380 -regid "" -xml /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.xml -html /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.html -wdm /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" webtalk_terminate diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.dbg b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.dbg index fca23f894577600c5ddcc05aca5d7ae234a9a4ea..14752d58b94beac8b302da2d97be20d8e97fe570 100644 GIT binary patch literal 19716 zcmeI4dx%}vb%&4NiXVy6#EEJr@mTUhb}Ze|XhxPFvFFZ1*2p7iG?Hw^c5-z+bFI1d z%-r$4Gx8{I8z(WXlm6pEQVeZDkW$=)woVH{P)Zd_Q`1l|E(KEx5rq<$w$#uT+?JyL zzH`?aZ?BniDySrI$inNaefIwLYwgF`=bo{SG-sRh%ROTUn{z!QLl5=z_V#Cgv-sTf zzn?vO_EeT-C$G%1GuLF<3q4uZGmvGcKet*>KA2@Yhq7#WPgi>$&a&5~?~Zr%PL+ec zX!?X~l)t+q%f5AKmfg3mn{vGjs$z0ca(0O>mvG6@EK?KDVm-$1i9@dFn*6GwGZcZ} zX!b_4GI@QM%U))2>TaIrcDt9!g?bRmxlao3ECBWVy!0-q+?Rb{O1Z<*v<=j~T?%tr zN_)Q`O_@*W{%$GE56v#fIzzdimJUi`-jrS?{kqf{+Ih27!;v#*WO4IvN}Zt(8>NS( zl=IKh4@rMp>I~)FDy>Ul{!@CjlzHL|_BQE^6y`Ez()7uGBz1;zHcMMlm}?brt?ZAb zDRYPJk4s?=in&hq9jP-4=5v6tfVoS|hh_guTEz5;`H0dlmn~xMRoZ$nA1+}YP})bu zY$#!NEA8jRY%F2MmG&_)w7sZ36H5EIm>ng|<4R+_43;oQmG%iSdrFuorF~M&p%P|B zX&c0RQI`4V!Z;wzzg{V8;8+QBztV4zE{I9jYPXcLXPI05Qr5`{F^sW`Gt7xTDeL5` zVyN528Ri7*lXdc|B}`T6pOJpEgc()(P14^gVaAl+Bc;u@o$2nqxyt;b%{NPbM-1!C z#oNsM8SlCu6ij(lqg^9EnxL-sHgnYR&(?-)-{r#$8m zbz@2Q^1U78qYuQ(WP?)A&)h<{n8l|&pFEwlfPbk6%eYKSJ)JgEPsJ?te_873_=~xU zpRweBS?cNJXYP<6Oa7BmPbWX&L9?{)yA~!dZD*`$KbHKbrJkR3#u?vZssBxBn$9?o zA4~qfmwGyFX6*1UmNgmui{IJn+`nt3vqzVuvp<)mvp1KevoDvWvnQ9OvmckGvlo}8 zvk#Z0vj>-@v;P*QGlsq9cbS}nW!@pAv+ow=_dT~LopSn0^7~#}l%MqK|B;_Pwk-cx zNjmGItbNSYk&^tRv!@o-PdfW)Q9A3Y%>S$_)^|~U($oD14)O8x{gXJMc{ypi@135$ zlLaRkG^?qT%fAiG|A_^2vy+J-88jO?zh9W?a(?xA%DYsNC=%=S_}y3kSza%D_5Wz| zTfZ*Hvkhh=$DRro+ZQ>W18}FToYMv6;Rd*u*$^eKn2qJ(hP+;TU>-3WIjVu%Y!A&| zvyr13IDaUvW3!e-j%wiEvh*_r9M!9uC-&&1NGB_Mgt zY~(nL!@WR2@{-xeaUO>|LO^oVY~*fK8eA^{$xgG8;|u_|j(}vn*~oD=hdX2GZx?W! z=ip9}L2}w`Ebk_z!M#id$tz|f*P}GJ88S!~%tr2JrNIsMKxsboF*YBoZ;YJr(nq&W@CA`Dh=)=1xsEv8@bz*2DePXk{8TI?slcYO;E7p zh}p<(RvO%93YPSmjockdgUeqIWY$rEByx8u4(=^WKU2Vc*0}hb;dz0adH!qpbLOt@ z?W+Aga$Agx{f*pK<6?g!S1~U7IdYs8koeq++_o;3y@tajr_4sKubaH8Jf4q;`(=Cg zcGYt_a{bPQ=V0V`zeZkSps{0J8@V0b=xk>wkLNPa(g{nyyM*JJ;oQy=j;+wSdyI?o zk9ra3?(N>L@=N46qapFRAG!Ox*sAAwYvGO)s;8nAcd)PTUZ~D2DHH5gI$Gf+y&UKO7Yg|0nMQ)#Qaet27 zelO1^roVqg&f6K!iIF>C<;C+~xOnc2 z+@r?Db7$m^7#Gizk^8)H@jMy1$Bc{T#K=8vTs(J1?g``Kc`|ZeI7jY_#;vo7y}@iO zuV&oami|rwchtBz|LpO!vX1J;#q)jSp7eU{J;vW>A~$7R+=n7JZCpHON3LO9JU>V7 zDdXaKJaWg3i|1$CZdT3_GX?cVu4!C6w@2=2uh$0D-_IlWjB(L_k()Ixp6??!XWVJq z2v6IBj@-O)aXhZIc;63Nc0btD4eT}>xdr3mctq}5<6?g!*ETLbrz6)fEP)#rKa`FRxn2N!u`AH5<7Xa!T*BwqZ_~joep^d&kn> zE#SUt+$%@Bfs2CDeiOgdIDbc? zJbw3~yz8Y1CQD2M(796p0r-}IOj-1*5a7k z&iQ`676;>;@0V+F{C4V`??-Ti)Aq4{IQPp6BWrOSMV$M!oLZaXw^QeSBjKJ`xM==; z`y9FNo+I~1=g9r>IdXrVa4#vG`j5T9`}?C3?&AsfW(mih;^n=Sa9>xr$bUahxNj(& zwvV5Py}Y+eIDQ&-?mtR6o+HkAgP1SBD^2TV&vWhyYxr8+4GDKm33p?{txve`D89%q z*C*WXiA&q}siZvqwg#bnzb`E+@Aiayt%Tc_aNiS`*86GQyI=SQjZp6&N>lDLx_54u zaqNk=O6^vm_djR&n;Zo0Hfa&JFX3)4;rM$ggz`2^i^_W>;qDNZ_Twhq(+`lx67J5x z_2?ds=UXk|w(34D?`Gq8-tdhZxmwC|HRX7gdcCYE1g^|~d=p3D@L!MApM#qe_VzuS zaQQP}Cyq){?gd;vJ@3RTV-$x;|kNA|kOZQ&hw~gZ-|6MNi zaob`X$afMB|E1j4g!^8?;lGsQH+kv-;qRpo?(tvBaSnCvPZJLR*{wqFU%&j_6hgk} zKjxX2_rrw4e?{f__>vF*6>KuQ& zg>X;)7o_YpL=Q-bPuCG=nz)Ym763;cUq^7aNYnQ5ExEFX4P0!NqmNIi7w{p06XgxQ;lhJNK522WP_Qmj{dk z`P+nxet9tA_iyS*V|^5H|DAAK3pkJ~sa%5ps%Cv(8`3@gV|`qmaKx`wZ@P{?DkiR@ zVa54)_&S1%>u4n5e0{*h`7)YtzCPfXFKe}rIDgK-#rd*JakP)F`f~;@&X&2{`x*nd1d>C`wx!(7Wwanq~6%yhpl}e zetw}m<{t}F|K;V5%lf?X^9%1dalY&|j<)!Gfs6jzmvBB`;G+NbC!F^euFQWvU*O_= znXvNcug@1a{6}AX9UV~E=atVFxahyhgxh3(=ADl7ZCc(zm;|X_jsQ0miJCJZ21NV5sJ(6(DEBfZ* zzpsHjk#LOLTHFC*g{oAC&jvIdWHtO@+4mn8f(Y6;Z?&#WM#}q5K|26!AZc z@B05|e)wSdp=h4_+&^o9eEsY4K_6e9QK_)5*&ohV{Dt<9x1IM6gmK|4d!g(7pqKCd z!etSz<{vO|r|q5_Nc*=(pWQ$OBpwH2Juf6ax4{{QYq zHay#!dZxC}ZcQ~hovb-8excQzU(CiPvb~MDF-2rt+DlW5&DOl4TJ00r!NvNq#6Z zQ(A3O?LM4UXB+k5*8F0-HQQ)s^rlwZ-rKtp+To#X{VSoJ9_m{OZSV8A?JGI@@AkNX zmDGU&kK5sO^m`#IF)R3hGXvW#+YZma;~tB-dnL)sxyN(ev(1=(hX*{+&#!Izot%No z>Rnq~XtbNHX^rMHS-WwpR-c}3=Yiw$^w>nLwX~SEHIn1aOe0X`vVVc=5SL*t8nFCk zS988T+l}taG$vIpf^{9@GMrx)+q1Rm_!8mCMyFPt&4ZTrU~S*7+4`~UU~T_XT{~>| zkL7mH(%eEV4>6ck<{33$hVtv=qq(Wl3u2iQwf*V?H&)4HLpgO(MqP)vJetvO&G*{V zsv*lS^R0#2u;$2Ywtu2FK2{qU>vq0dOg23Ja5j1HP&U55I+Bgefn7rMe7p8Rnwu)iA|!9m=m3HJs;H3dJZXH;;DBaQ0|E(X&Yn%7JQjU?@8< z%$n)wH=&_yICrmndNA9U?bm1d;S8VQGQ8m7v3ZSgCwmGX=Ppv?C!gyE>BIg7x~uEx z5w3JVMvJFPOf`?Faz6w&oL}}GnjFvST3Nb-^5EXly;-w^2kY(T;>=uQu{lMAs3b!3 zvNF?}YgF2^^{IBNxm+1qYB%ajmHuYC(m5edHs_yeRVLc4ryGk~4l1HC*XV2+Q)GLt zzSw+rsnMxCvU_B_qU{0Q+G$U9DxKzBZCho&@mytbWkcI~d}cbUJlB4vvOF_AGdMYNXLEW=b*HIUIfL4xR7pcB(4|S9nUA{;) z@_@)60~g$v-M;Cxqlf54s!}!S@OzOe>-JAo>Ns$bs(jz^J+CuA*YaMBv=_mAq3i>OiXJyeN`mqK5zUgND=!?E$di9n1_9k64{fR7k zNH2cqUznzCCZznk6i20eGvn`N`o!~=F(Bnz zJm1;*hR*kK>LYEfbT}Bkd-F{jwkjQ!^383nJhb;A>270aH{Z4RX2tg?zBTb3iElrA o*WsHD-(wC*`HsRj625=%ErRb1w0*nO>q^7k7ups%z5z%1UprQytpET3 literal 18988 zcmeI4U5s7VRmV?0nwW&9PMZd|w8_{c@#Mq4!c^kI-gxFS3Z?xv%9kF(w>%#k7U^uNypxnJg%b1 z7fg;w$MBuovh3yCv+UV*t(=caA*vz|%Fb@n;WiE#l4a`RRkWx0IcbCsv{Zgn)fqy7 z-(>n{O-tqN-6;(R({1t1b}p3%+9AN~klZ2JF7f)&ACqv+GGF#566zh4I73<5?vlWK zQ$l|~D@mD8=)6|~^M>g~X=iBrlal8w@2{ormi&gq8QSWQXn68^{!SV*|Bl2N>e(n6 zm(bQfN`6Z66^S#{^N8dn3CzDq?vdap&Y&Na9GAfSNFw*i{z~Eu^T z*3EY9lh2yjF5lP7cKOU3*2BzBW!d6 z^Kh3~$P`{P9qYpkaOZGjh4ZE(N4MdQ+70iR>B!L?xGuY)?KB-Zx&yb)Cfa(_k)u0s z?^ym1+i-LT?lKcb;dRroKDq;Ufr+8;s_Dql4BRXZuCQo2ax??ihodWun2sFHz-_?c z6*^2uj%MKAwfrk>IGTZb({A){nU3{Q9PT0$LE)0=$Wa__nN6?4In$A&INUfJUxlNl zBS&$#PBy;^U8W;PakzW~EVYh}utMZ04)?a@zte^z8SXVU%LBx}`x09Q=LZ9i#<@b1T>v_mj*kC$xBvaoHE&ts%9Q!r6*NG^+X*$;T zu=3zuC8BWAbmTge2e(K>VYwq$tHxualHo>(D2$tq^=(ofTn7<_PScTN?*wS??HPexMOnGqUD4=lObmTTG5AG-h6popWT&MEj;(dX2 zn=sx|`JR_(T<;_Igz@ouBlo0n@p>c2UX>8-9JwtmY}I`$a$Ak-!axc;O-HWO%3f6; z_bI|VKN{JK?&6>j?H9RiEo{|&Fmm0-tz%#m){V4uM-2wOMLA=VeUPq(mD>ssV?85h?fID)s^m!GX(*CN+%T%5m=8!#^VbL0k%i~FI- z4H*~rIguMS?vnX?=V&V-a=V=i`$T-6;Oo_%*6FH!Smdm^Rr|2WS$9^gr;*DS1+^XR z7rA}bzqqf9+?a83zZSXu#>M?#ET-^Uf?x=CCr!Vby%z+~Jf^oCvIE$tu z_o8vpukNvQUpHQ|^SIBB+%I^$+$H@)pCdQp?b-zM=j6y$ygs`D`SX6{P8b*W*^xVGT%Ro< zeKrvyH)~uR51u;-?l0BW>8gEuH1<%<8_m{=i>8ke;k$c6sxIRa&Zd|lqMp@a!bZVKZx9E?osgvMa?f_o z_X%rp+{2tRH_qFQ^|7Wq=l8F*>SK*_&hHO!%>A@~__lMt&aB13IQIp`32Sk@OLXph zPPI7Jl6L-g;X1ijuamoYo!q7C%CmS-JfvZD&RhxaNkb2Usb%F z{l1%UzovNFKh_lQ-`^H+4CZ+`lB;R}@d%eK6tvy?|pa^Zs2a;5H=Oe<$2m z6>n#k+bsh>WvtWweN5-x?uQcY4-4vhAmP4Nz&(_3Uk~kmJZX1x!u?U;cxUVV>oJbC z=~0QDDt2uhC++Ekdrar;xMve?a{BIQ159QTp2 zgxeZ8?rCn9apPD!9|_#U#)0^K6|TsBhm-oSUx$SI5Xr=8m;UiP5d`Yv{!1o4M)F39 z_wTrItg+nB)9c-290=UAuY>xXyI>sW*nu%aNTz<$iT`%OVTY8X ze&>EK;kdq(dn)0+ns7z-`-6mw_S=%w_w|J1`s`G(AD`zwZuFPyYsbBo)EDiyRpltp zxcyneaeb+sc{lFdHxdrNKPS0cLNa?fxDQC&@7W7Pzo#4=W!&%K9+9N&cI(_Z_j|bL z_dN;ceh(M@zBl3AesD$O=6(+s$BjLy+r|AJF8ckBgmb@#i+=xf!nxnW#c|u2aNo4? zU=I@Q!aH=g-(MtLvHhl_sDmvHX)aQMMm{Ugo&9xnO;*X85ueh(M@U?Ab# z@8RP77)&_7pR)&!*E?h!{rd;&I9$Bm;e`9=ge$sUUw5gmXgzTI!EwD-y<*qKm$ZLP z+Ktz{TlsE3-$zj2RtXbMKY6`-q~TJ3q5bGDBgWCB`wLvO-)O?QzraQN?M*npUUZTD z++X0LzwER6n3wJ^aM+J)y;DNp$0TkS_ZPTmzx@e!#q7+p3;Vsaz5~XA{7(UQFyZc` zlL~9qH?DO0M^*P0aL*^){R!8h^t68y3HM+DH<@ssDBuny+{T347}`CYaE~S2roi!j z$FKLvgu}1QoQhrZdys7j$GEM<;m@DCPHrUO+T9nZ?}h8+?iQN{-hnYD_)Z!~?@&TJ z{wL`zyZyut?=3sD^KUx7x}xLysV-LZ zceIskaIQ9es=QdQO;;L?thyk6u~uDZW~1ZT{>uEQ5;6|;rRiq1wxFb1{cJYboH|+A z)>7rMYI&&IiceHdRvXPqy^&pu0XKLv{+Bq4v%f?@>ut^Sno>ON{OCl zJgs*nxA$pJ+wN`jdVw8Y+e+5sQp%$W}veCSYE8u ztF;-8-6;)Ixwh2I>Kdl8Y9{mcb2zxjae%`h2aQI4vb(x4HP=e+&Sda@4uW+Y;4qjU zn)SJI|JV}oiAtl~KbJ?X>}2`C?zyRx*<|_PiIyI;^A~fycWHjHoW~fcew>IFPy_jK z;z+LgXJ+bogaI!f)HQ%uBNG*s)IkMx9N=&yn^FlmbNOYpkmZMk+G2T74ltJ;950WJ zmWM`L7vHKT8ywr0O-vrj#t!xmWy1%Qw~!5Ct*NEuEN>sr&h4kR<>qQshXD?Q9Mrrz zrc{mt`O%UF^YY4}8fE3`h(6eIHQ9tl6;`SP~X zLgh@Uxw0^AJv}>pm6m5`W@i>^$4@OSc9-UBOAFQMsnexp6>8L$>eH1xS{@nh zA1ckr$|V(?E2-X6qd8OV?&{j9$kf8jRDI?~*4eBqYvH>AHC8K?ig$CSJXbqeoi1cfSD_dd(jfmgaS{nl5XOQtyp(^rYfj>gD-% zrnTp38S17F(8^Zo7PZ&9LhTxcmv2#v+#vGXz)iPh>)N!j(S7t5HK~@giM>UQwXRQ1 zYDaL3n*6bCc;05df#CU;VB6PhUJ>LClaJ_u5UH8)y$ji;_n^onlLz&p1Nn86tJ26( zy#ztNVbZCWsK~1(_uQr5ZICog{z)1+qz5?UFHO=u@(R|^+i944B_EXV-ZgUkZjmly zRXV>*#Cu+TCx&OpO?JLnns@blo8-ODE{VFC4cj^2JgARvrhE_Oe--fm2>71^{9l1S z3I88}{{b*5;cxl*d;MdQZt*-n@ST@$xO|`Wdicgk-dg$aDa-d&`Zd^wr?0yudn6+g z`ph>NzMJq(gzp`E%iuc&-yrzDz_$aw3mlU0KA(5>FGyaL(C;3J_brY4bzrZ^@trgc F{|mRfK$!pl diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.mem b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.mem index bd35d3a8fcf5434e90f819f8b9644c22ea27df20..695ee5ddb88204b97833d72fd5ec1825ed3ca55e 100644 GIT binary patch literal 5192 zcmeHFi8~Z*_a;jAbtu~qrATC7#u7z#*@_TNmdL)0b;w@QSR=-klzk_LhO9{#%91t4 z))>Y*X3Q9$_v(A!-|zdrf1&5T&UMat&i!onbEv5Pb3_*Y&ZYnD6UzUy{wMpRz#j$v zDDX#t-wMEwkB|SdzxCkyJNUO}6QiP{JBfKtZ14Z^{)_fMX~}6Ssu~9>D!G>5r7V`; zK8Sk5&g%)kF5p2vnp`NEt|d&C$dOCp%qBeP^Wl7cS-6SIpzxlNVcA~S^5S&2!4Sk5 z2cKLtDYp`5FZOr~eP+tYu2m*Z+n}X&BkWm8NsQ*HZR%K)n_IE0Ld!H93!H89n{6Lo zZ*R`d5d((dyumcygoh&MIE1O}@G(|C$PJZ(~iAXoPacsHQD786EgQpR? z21bFZjdmuL6EkmlCcX8eO%d===;S_=5arC2y>OXBec}?y<=R{D z4N;YP|D5*;s|j{}>c??lhah>-I%nDKmH*Uw=dR`6($!@dJuvb)l7(xF_msA6Zvn0@ zxL7b>&8GS(i&l|jir`?m#6hYv=f+4I2QKaKv|Nt1#k@`a-Sk1dsSvs9B;NQHN`~m# zg&;$I9i`apn)eP=bSDRc@qEv!s{>xKat<@9V`xLT;@2sZ#;JMh+zP;>QF|%bYU8_? zY=$cCHatikINw2?eSIxUX@*qp-ISwU;{*rY4I1QU-Hk%+uak(~>y1O35BLJ?pY3WU%a7a7H8^#OU0b#ZjkWgDo?6`<+Vn+`@C5qMP*<_aF~7fsw%h{CnBZ zSt(mZ3O%TUf~wtyZL@+3`c6+6g=G<>w;iikhv-xkh@Y0|(tw6g)b6PXBdGN6R0+5? z`FmQLcw?B+R`GAv&#(gUUuhFd#>z zDEw$xb&4>&oDOIt%_Sp)-g$x?{y|NlT7k!18!I%vr<@YMAt}#y22K4Bo+d3)!_}@k z82{A(${+N|xJksa*kor1{<=82y5|JUm@-}svm&t0uqWUd}8 zzfO~&b<8x9)(;~PzMjiKU3+i#rYusO+Uh|StA^8I1ycRk8o=H{F`~)&K) zRBR@KV@q`ON>+?lSo^bI``^eVa^zPrVo!~kFsyB<6BAffMTD2R;REm;t1p8fHIVP& z_ibZr1E%|&*8qyccLxWwQ{RzDR#mI8fKkVrN0sVUH5y~(46;`&tb zd)w>Q3J;p_X$2n-BXE!zzE586?AGr0DnFJTKEXTu#T+Z8YCMU9V4k}HwpH|81T+j= z4>1L72Wtr)&+L#+RSChSyANpPXSA2$y^sb(4Yp-<6@$NzU)$Oe4t4YO_5G}Fc5NE! zH;9L4qwW0lwJF&e`a75JMNonBa_sMBbugd#!lA|WjY;#8zo79&VUv1Wex^Rk!a@cg zH*pn1Jd+RRkx(stz92=9J>Yh4g0&Ljfo;NE-*e-A9xmhK$I+aod=-Iq^A_Wy=2=Vm&7vGJ zs6?C2@{z?Tq8&VQQM_3fSJ3IbL26c&-^3xpi#m~tw|~-D)YW*2@#zwJVcF9M){gTz z-HDL4x*D8gKQb1m@Bz2miGhZR|ht2jU@!Iy} zVd^SZqTUCbUpFgBP_#?kSV@v3eXUO_|DtJHz-q?Ic4%}ETRZCrm7YEC#67yP(o7z;e;*&2|5|Z8T*le>Wvg#4D+U?-}_-UiLFhk4xDshu7 zAO}Y0S)55!=>Z*BtuE%ioG-OCYefvwg>HvtN7Q4`z{6K6BJg^2*iXIZA|O9~l1e8b zXtUe<=mRF8d&3$$E2aCHim=Rep3Jt;K#uYWK4w!7D{2~;w>)|?t*8g8!7(vMhI(`3 z7nFs%EG3VB;f>fuuzvm0IVW?o1|jD*+X@a%+S}(Z=q#DQn9O&su@*QUsf;4J+^Pv$ zG*hA>PSsVAU#G{sXXaD-yd&msC{+?1E1NaI8lSwEFUmtvupsA}MuM&e)~WgY2n_zU z#f@}1N&C!s#>B}B|^)j2; z5QUEi#QPAD@w@A64dGH%5^$ibEo`liDA`pjAyIuFo-bpli*5CR_SbA}>IcJtGFOjm zHdX^?Ved<6pkXPO4y6~ak`w%H#%*K+{YiPkCoL&{zA5pqheIU0W*`2s~mTXhl99n7v> zzAG038-ry6*FC6fze5HFaCi7l5Q`&A1&vEHJE$H+$FTofWLSyGh%p=KX+m3!&vw; ziYgt+hde~Q=tv}|E(cWLy7r(|kQ`W_2M=WWB>-(_DcOnRhtqXbhn@x7Ay|{bFJA@$ z9h8bH6%T#XhrLO4ysT> znLItP$&P@e;9L8}Y_2Vp%|tTTFo`$V9r z4Y&KF#!$`zl6PICxVYOe73SsE-<-;^R&cF_jxF!oNwV@X+-C7vyv(IEMKggy>E}XU zOtZv&q+|7+Ce$ojxy~_KelX)n0)qqtp#87&-#|ySMc^eo!>S^-Ko*RN^6IS3?6%ts zRFe%|;YzM?4aRB;$+!)21;0aAY)KCjwr+O>bYx#1Pka=UEQoew5o9^nTTvuCqv*W6 zTDEIEC&A!@O-o$fnjuSMvVo;nK^c~U>Slq@ zb;~mlcO_NxaORIm13>yubg!)TKZ=n>H5a55RI^xStVQx(qos5pdozQFkUtjFJuA!PWiUrs!q%yLe z_H?YMDVZ9a zQ~GZ9pSg(V6%!7J8oIwoeEo-TGTw0UPSDh`k?}&BCj8*~mAezQ)Qqjvl82~$b(c3K zZOuP6U~^GtM9zif%8HMMOhaw)$fxXVD)N=n&|<^}?&9=^Nd58wpslLSo)D59=PE)7 z$5X%GC(POlI^dyGM%nxwl#D=h0lbKH@j>XobBnzl*OwMlnd&D6&)?@=H6tjeJT;XvYJ7r+2O{EMSSb@&tf?Y7!~MolZY&K!ive>}L?(w^H6lB+mmc+m#@bvk}h zi2&s=%FOKQVi*O5A@TQcXJ16dkN@kc3Dr6N0|StMkZfkx|D<7KD!l(mAK$G6c6A>G zRy4{jO8cFm|I`1|ni%b6Ng3YTzmL246rU-y4qJuIF-P61QknCF6zZR^OVRYfQ^9pV z-Y!auk!bLr@xu>a;c>7rSv+`nkccpZ^&0a&T)?R;$(|d2avK_ATncEq&2WwXN9VqB z-D{T(%JibGL;|5T&G*eKh9;pXv^e9FM2cpaEW}da->Krh#-;;qsyi{HE`7k}ZeQ z)sH;Jm$3GJA9;8}%j~QqpD(H+p{e=2;-M<@j2&G?f{BTLt^E2trW6Xg>XDGG;*xK0 z>1u3;1)CxIhB(sPy@u1AqbTRwAmj!hv$ACzYPc&l^lpmdvE;M6y5bLUbLK3H=D4b< zH!d8-7gTzuycX^fjH9yn7uw~ko0X-%9CImT<+y1AYd4NcE0xDwuh30)LQJC`)-$s% zy#|q<&7x0uB}@(v-&#~uWS*JrgoWV_B{hej%&7R-~1woi^9NCA6I&SD-5$>uF2hnwHEb?XjUFL{m5VbvO=b0+Fg) zX)b_YgV?MNJ5RgIOnoUY!BtgZK7T7JD!RO9+w#}#>6@(q(@j5b%4)BCrrxR3W?vKj zScs|l8){H-p3abIg0l~zJ6d-G5HQs&wr`p9#TW*GCH2gsMfX>KziugA91qh{rn%%!Se|i#A2%L_wXD zNY2|oUQJ>3l7;BC6Z+dk2$QnP!t7a}RgbEER&G9du%_E;_J$J@)N@n;xcu4OCD>5* zyu}X^vE8F1O0ygPA|`=LV+-A5w&`UW){Mb+nKA`7n4~Y`nH`V$2rUguIK3WWtJDq~ zQ@tK&lfbf>c3|@oa-3-R3aw#lveDu3HH2d6LbxTp-(?0}e3}Q#H90Je?`(rfCBu5E zwBp#mf*xqGoF4fG9s@PWFoZSZ5usjujnemrYue-84DsDKG1q_wPukbGwi$iu&`j2l z+NWJ7$BK&_r$`(JpS*8_aVNom*IGmi;~xEIFz)Yj#8$l?;9faJym|@u4A${|Bidwx z67nD{4(|w?EZ!b=?|LDH47gp(`I>@?<+AG9fFDU3OZ>@1#H0-L3;AC`06)CqQIPwcZ zeROY!1w`}ItjZigILdhE#+R~culJxP6lg>%=8###&Js#Z)D%udW>`JD9~t8x-$nOKygBX@A}-+vikNufGNH%$s!b znARB6impYHp}0U8bGG&6*~_DqtZX@fC?^@X#zOgZ7Dm?&HINUo_P6XIfYZ!aMt$`l zzc^(VIa+n%EBsK7JCXU-c5HXT-zE^bFuv4Dm5BS~P<#l+2`}?-UQX???2myZYIQTl zBr{`kBUDm4XODK12si)xj>MditJpHrGSweI;W6*L)Qr{OP^RCcW4W?cB@Wc`(u+FU zOwiP2+6=(WG_r2I;DI)$DLvOIh%@IFtqF=mknd4{(8@d*7S^#aVT!tblColV>VOu9 zx~7LGkNq0|w%UUSNJ`d{@OVx{a0<;7nD)^6Q@-yfQl}`zp(Q}^&yYbE1xGdWzGrh& z;Kth<3_SbK^RFM57^)XAwSCFZo08k{@m(FcUb}Tgz=0 znnRJ6S^QDy18&muuiw|b#G>-62Bex-odUS!4WRHcm$w5*&7XgdIh#c_2ipby`L6Qs z7=FR$E36`0@^ExQK3{T}RqtePx`OYB8rCvN#;hRc<31HSW80a;Y_2J~6afLs9ggzz zjYYYW4ou;)MNGxR-}x>LDY!{LSZi1vb0Uka*L`ws54hu3|MvaM#`$uOmVhG5@-nS_ z^+-QD|7v@;Cf`Bcw?0MBBEdG41uwj_NXUJ-1H#XqnW~4fMa4(HRw;8*Koo2lE=#qM zOd}`4T_xiltxZ8BEyafJVF2%x-+ox7-(hmTu9iO~EN7+TK2EgDWlk3e3N&RB-s$iV z#a@h1Z;D*ap+eSPORhmi;f_e8s|#8oI10{~Z|x%cToylGgeHZf6`c20za{vGpSAS< zs@5dodnZF@`nh4o2Z@WUs|`3s@ZKHi8$O!d5s>t-SMI-(Hnw;*G=Lzf8@ldCmd0fo zpZqm6T8r}x1DRUO)%TMo=`JEnjwADuM&$QFviMq(}%>ozQ zIr)gLzbeL*)E~7U60Z^j((-tQeY>|SvkdzlQ9B4r7O3D^F2Dc!JsY?xpd7$gcK!Yu zT?HSS#0!mw>)^Buk^Z)8%F!zBMYmwV4AIrj$rk?6ohI=N-5TN#Z1pNYUZHiK>L0(x h{7|)89`D@0n<{90001Z0lk<#*yL0d$KwYu!0r;1mGH4J0t?wLWy&do!1JV>Y8fk| zf(8Z6f@l!YK@rm#6f|~IPB~@DlqsjMm~zULDb0P)??3y#W373f$vwYw?pKm??>%$8 z?|T>osM!lRhfCPIX7&b7 z;0?ToJ9vKG>i6 ^erk;q?uxAN-hh@El$}X8HxZffG3P&7Q#}oWuU(X0PBST*F6r z2S?ws`YqhT2YB{vvmfCap1o!I1MI{36Q+;g9UQ~SO|viI3SPnU@0h)WGk6V;@D5%) zY4uyUhX*(a%s#>!IDFgm102ESQ>I_R9h||-r_El&4cx%dGiGn$0`B11vt~cSDLlXj z*#C*u3vXE&z&kjBz30rH!WEpud$@qJ=dFGXZ{Y@B%+21yYq*1h@0$Gxuiyb5VE?C9 zFMh$w0Pf%z_Fpvn0&d_OKEefDykzxjxP==yy>0d$uHii#zHIhcZe8+UA2e5xXRrbuYPHEogW#j{k(>? z-!`!J+aA{Y-otv|v%>n<`}SeI?***ynZWv_D8JW4LmZRExf*CcK-t_N9NVS8~W>DonOZ@`*3FW zf5o`84`8*AVfpt0mVd9*p7T9Zd(P_`*7@1MIzL-j=VJ%!d_2NBUk6y{tN)?RU*~54 z>-@~%n&)2q+Uje(4AyvSSp8M7`rE?mx%IPywV&-_#WM$3@r?HyYp4Bc25Y~HVeNMd zSo_@y*80j|t*;uE|244uuZI;+>|wyP>sPH^3Wwh_ z-oi2OrTfVA;_SCqdG_&DZeaP-29`f{aDT(v^|0ch0aiRT!g{{och*k(^&HlIy@2(8 z6Ik!JfE7=bu;Qr(R=l)<6)$zL;;kN5yfwgzr$$)uRPu?tRzc6wTT3GQ{ z2P^&>&+OjX<|BIq7q6K1W*86T?J>Le_^X*}sX9rm4nfHZ_qw{D6>pTi! z#qSZU_`QTZ`d$9b`cu5Jf)#I+u+IB6tn+>Y%fGj<{JV$sKK8KQ#|Z2E@cwS|(fKil zwf;g_>o0-zy;4};D~I)b1+3?*&+N^ay@eJ3cCg~#5%%xeyuB~2Y~Tpq!7-e?Y4tLA z1?TVpH}Gs_^*3-0&%QE!#CzW{EunR?1wWR;DU0b+)#S|uz7TF&-h2UgOxW5sIU2iaIg6g*U!-JVC9t- zs?Yzx^sK)X9MNB{`fv&7{7su-cd8z2@i(Sb4Gx{p?d4w}93ElDybb{lcd_n(|__58+cD?Fs8w{d?lK zOn-ni-X2ySZF|$|DQ~tW52ifX>PfRJFBS*J%7gh&87uEq@f`Bc2A2PC_5Ao}3(G$T z`YX{NVdc&C=u`39+DeG4nE<~3&D@;!Z6{mtQl{v+Axzj?;m5A7Fy*6DQ2!Q=aa5vunH&yYh5BcID*?#`RfmYgp^A zhP6L$=ibG&Lcf7ec|7uR)w@=&gq6ozzF>Og?Y8uz@mpBq_pru~U$piO`UqB@Z}yVe zmDfAKr##+mv&$cMu=04-%Vt;JE_;Rg>@P`Z+~2cu0iW_lcTBJIDu9)@IW}h3^Nz5d zclIx{>v`K(jrY{s!AWWQkop7v6Pnjd@1w6_{ZA=hH+xF`0$#37zkI_=`Bw^`;@G=p zSDdkj6<3sRnq6^3xH4AUVD#Vj;Q`aRFmR8&ndE;XZzuVH+)na99O3GB#0*Z(XVY!E zoSZy-AzsJ>@-XgaXNap4aDF&>xFmO+8&2P_=R3Jz|8{c9 zUhU+Neb>p}O?R_7?=Q()_Fh+K&A#j8Rp4q{{h0mG>3!{OlYG1+x9mx-PR;(}=RC&Ymb=Z@sixnC&hHRIvdutlS|gLlb5VVCr4U)lRUdU-KNXw50~T}>&@va z)|!(u)|HbNcc$BPRr9GW{_lUy^ICknnQRSy?CKZt*~u4{_@~o*_@3|y$ZlCw?@hPq za!VoNymd>VM|MkLD5l$VxusCC$GN2t5P!R+kbgMcrpvkiu5{8gm+9AkbRl|=Pesmo z;@sc=*!0f*(@#ux?%%CVb}sL;A32xbB0HCFKC?Q3EB<1#bNQO{&$;>f3)4F{_rEgP zxq0ti%yc<7@7XV$n}_TLP99m?PVQOHPTsK&om{aFot&`_oxHf1ly{Mu2v~fvmTs2XFWJMzB}Ef%gKjJ@)|#O`e-%Xrpw9yi);U-^_ud& zSA2u+bJJ&htAg*h|J3vq-#Osh@9~Y8vc_-9((1?f*WrERi@jt)^jLE%!RN|$K<_xl;!v>a4;?sxBKW;AnW=K2Q}P!el7bgd#{5FxQBhu>|Sc+9QNS` zUckX4Rxg4pIDtoa4Hu7E{Q_>`4V*k?_6pv?HN1G->>ZrLJ?uSU_9MK4XYZQ+0Eh7W zNz>2a29Dv`H_X0*OE`mjIEPc;>Tls1-oeXnntcy%;1&+PW%dDH!y`Px{tvBQ^puqW z+`=Zpa1-l+bd3g zacTGNUvhQD%U_zk;{1+boyR$>^R|F>-qx_@yMZ;|1FZQTVa<2=!1~vE&S9-*3|HI- zD>&l(&)^;NpTmpSt=$$5=r?`e^x|30_tU-ytNpp`%+Er0>aStlHz}<9W&`Jpr-Z$^ z^*h2nOW%b?qJ=I z6|DQQg>}Dnueb$`YmT0c48wfwcQ`io)pm%?fpa`SI?ozd=g}V4 zc{IXJXyZ7-r}OMLrq})nVeOw4tocr0&G!aYyimf57iw7XKm#it=;0u?aU9?ZUVdcy zfN>|kz2xH+mj!m#dkZUmYGB1Ldsy+y0oHg2SmX76H@kUszWT7v*9ENkieSxG3M>A} zV8uTrtoUXNE52!9#YcNs@zDWR{4>Ccf1=;p_*1@X@dsn|7s2W;fz{p`R(l1kcxnSH zo~mHQQ#Gu3s)H3T^|0b4?~gV<#Y;Y{cxeeM9*SYbLpiK?s(=+w)v)5F23EW@z{l^} zI7WE$hOz&#l|3B5>6@m{VUO?He`0#^`cId9gykQzjoIZNA*}dw4lDkQVfn`jmVach z{4McCg~V9#;H!gcU!|KDF^Heq6yF-#P!Y*^Ap&F5pu<2&=yYR)0CH zc(Z^NZ`QERw+7bvHt2os&r$Dle}J$4{J~F&&VV7)H~9i@=zi5 z_uS(PSnc<`@1yVFQ=W=El-{qz@7g#vk&V1?-%Ho zu<}+7`jR|Ed(~bKceFpiYCn9z+ON@7)r2feJBdokw`=Y&n%7fje zy@yur;8Py#&Sif&tUTC?d;gU8Vm#WvOIZ7F@shO{pr6C1yjW=VjJ(VYR$i=!l?O|% z`b)3+YhJeYV)QkvJlWYwspuNuqWB3OAa|E}4U_Zr|+p6fNU*Y8`ohfjHz z*G;ecXbUUvQCxjrdG&qE*xt|3N3j04*61tZ+3*dkzeOLw`X4cS)9fDgkJwNDBe0kF zO9!9g%(v`)#R17ZW8M2R?rq)k)z!Ujwg3Bf3c@2Y$(`Q+p!XMvLg&H*QnXL8H_ zclzC(>1TSJoU_lJykgHfc^*zb)8phBd&|lFnOw8SoPNXpa`KwJQs!#&iza773cm7&QRz69kO%(j9AOLzt27C+`mS4 zF5f+vex}E{e8$=3T>h9l?QG^;e)Zvvc=J~z{>WtK=4)cN8#gaZ@7#PzZpXQK@v-Th zo422s?A$!%+;Og*d}?~<+RbMs2UD?nXtHzd<>w|l*KWTs*|~GaS>W8cV*fjL&e^w4 zUa@bT9Jzr^kCT1&o0Eq#dCz`x`Yrp-$sPO0$qD;WgI>;WeS_`Q?I zGr7TEoqm09`k5Xld-$l6>oeKe|JP5+e0t1rz`Pf%MacRrShJFKj9FWc^=|QpIi-)U z1o+P$pNjCa?F0Lg{Jxbv{u)tk@#Q1Fo=`UIgMcz;zx3> diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.rlx b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.rlx index 06987bd..d1425c9 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.rlx +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.rlx @@ -1,6 +1,6 @@ { - crc : 4961576604248800900 , + crc : 2677193059207045368 , ccp_crc : 0 , cmdline : " -wto aef36ef3a0d94dac9e6058b656907afd --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot Test_total_behav xil_defaultlib.Test_total" , buildDate : "Jun 14 2018" , diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.rtti b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.rtti index ebeb0fd86d672898149f4616238fe7feee3562e9..4574d4f64eb7fe630e979a20a9635e71b34c1311 100644 GIT binary patch delta 98 zcmX@kdYpBF6zkO1t0m``E3U|;}YCMXT0z~JBi|NrL#@x-G1$-RtLjLefy bFuDRsJ|++u!elMD7pn3ul$M*kfJq$ydE6O@ delta 99 zcmX@kdYpBF6zlgjN7>3I$_G!DW6Yme)T6+}z`(!=#LQ3{NP)q>|NsBb1>(uQj8=>+ flTR?Z0!cn55E;T`E%*?sOb4VF2z(|lU{VJFTpSpA diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.type b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.type index b868556d9d44efe1cf42dec09b672312ddfa54d0..b22217f69482740da9dd6dd6b3db3448d6a3aae9 100644 GIT binary patch delta 21 ccmZp$YOvan!^ik{b1q*o7o*YUhZ6EE09TX;N&o-= delta 21 ccmZp$YOvan!^il4b1q*o7o)-EhZ6EE09T?1N&o-= diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.xdbg b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.xdbg index 02652b1d4d1e9dafadfff93885ca4002f675a86c..dc21d13e8fabef567996f023de1dac91050cdc6f 100644 GIT binary patch literal 80592 zcmajo1-NW={c!P1cT1yQ8U*nY(jC%`2uMmJAl)eqDkUN)N{fY9*no`*24IT`CfJ0^ zyVgB->pXvE`OfpKbAGcwGjryr+S8=Z>r|8ChRBvc7KG z+IeppS>HUezGY;6>&W`HX=`V^ePn&-$olSSYj6Lak?r@6tiL?6eqdz%$jJJqBkRYe zt-Z}BMz%jWvi+%%_0uDld1hoiy*I#V+NX&#d>kG}x7Y0qUu*O^;Cl9HH#WL`^!6un znfYiq4PR?A8;0$*_BMz6KYE*PUzI$$-el_P`Omk}=h*0FMz;_9+?yEgn_p{mKM(u= z|6a!Pp1cn`PhCx{4;Wc{Tb|CL`KO)vzxThM^Wz>buRD4yj6VO5#XNbLbEmE*)}xmh z-9GLZ@h;vp?Ygci4@zCBIDX_(#f|G)L< zTr+U_EACs{Pm|`Ib_Yg%GTS%)?1Ue4o|l?z^N;h<`N#R_{LlAk!}H14kSV85%s=Jz6Wd3R|MFq}@?rk+VgB-A{_w<}`qtE~HVgB-A{_z^N;h< z`N#R_{LlC8y?awn{vGJS1$Uf!e#&tZ+oqee{eQmymk;xo5A&A~^Oq0vmk;xo5A%=r zi8=ka44t#k#QgJKHnDv=J`I%*^Oq0vm(R%8u<~L4@?rk+VgB-oNhkh}JZ0gD`L8&3 zV(T!wpZz=ZI3MOOALcKgk=wQMVgB-A{_%ui%s~&;SWyp!^Zg1-2OR>Ph9_)ODDeS=+|FX-*mz!z45<3JVxci<5NC7KIOyX zQ$7=S#ma}rr+j#P$|okBxcw=cP0YV>%sz^N;h<`Nz4loA-a5kIp~NN9P~s zqw_yHZT`tI|2Q9=f1HobKh8(zALpa^Oq0v zmk;xo5A&A~^Oq0vm(Ri|Rz5N5#LsBY*=FMLfAF~H&+pH0$N4aS`7nR^Fn{?lfB7(f z`7nR^F#ifH_P=mq{>QI-{`}>`{N=;^<-`2t!~EsL{N=;^<)ib@d(p)FD_lQ*{&7A! z|2Q9=f1HobKh8(zALpaZ=3{Z~Hhzw%-K_#WBhYbWNPa`J!o&+r^7ALcI~<}V-SFCXSFALcI~<}V-S_dUR! zo;%RHJ87p=C$^+&`CDxqoiA^5ORb%Kg4(<-TrNx!)J8eE9ubv+^Y|D_;t;@})5=Uk0=CWicyX4zu#*F)R1a-B#|O8?5{# zn3b=DS^3JCmA@3T@>MV^e;H=wQ!p!E6|?fyFe_gjv+^}CD}Om=R=x#h<*&f3d`ryA zx5BJ^Ys|{G!K{2+%*waJtbBXS%6Gu5d`Ha6cfzcEXUxiX!K{2&%*uDeto)UjmG6#O z`KvH1-vhJqJuxfa3$yZ9V^+R5X65@}R=zK0<@;e)zCUK=2VhqI8qCTM#H{=v%*qeO zto#tn$`8e?{4mVQ567(h2+Yb~i&^=Rn3W%eS^3eJmA?+N@?$V7KNhp{<1i~f9<%Zj zFe^V1v+|QLD?b^t@>4J?KNYj`(=aPP9kcQ?Fe^V3v+}brD?b~va%k#lDLx)^_BAtj z=Kf2jZkjY5pN7h(=Q39A4O;n(n3d0jS^3PEmCu4%`K*|g&xTp~?3k6$fm!*Sn3d0k zS^393^5OYWJ}>*u%ICwZ ze16Qz7r?Ci1(=mDh*|kUn3XS#S@|NEl`o1}`C^!rFOFIH5}1|yx^Cq!!mRwon3Ye) ztb9q#%6(n2@})5=Uk0=CWicyv`_Q=8ujO&8>#u-W`HGm8zXY@Ll`tz`8ME@2VphHi zX5}x#tb7V)<*Q;=z8Yrbt7BHa24>|i$EytbAk4$~VERd{fNIH^Z!abIi)Oz^wcgn3ZpdS@~9&m2Zt%`8Jr9Z;M&^ zc9@lKk6HN+n3eB{S@}+wmG6vM`7W51?}}OZZkUz760`E%F)M!+X61WeR=y`@<$GaP z{%Xw1_r|PzAI!@4#jJck%*yx2to#7X%3p(7`GJ^~AB0)?!I+gFf?4^Yn3W%fS^43Z zl^=mw`D-yNKN7R@qcAH!8ng1(VOD+&X646XR(>32<;P=IegbCYCt_B95@zKmV^)3& zX62`1R(=|0<)>p-eg^RF)P0Xv+`Rp zE58l1^4l>he-~!ucVJfjZp_NxgIW1|F)M!`X65h4to%;Q%0GZv`CXWme-N|s4`EjR zVa&=uf?4@TF)P0tv+|E&R{n9!%0Gcw`6n?e{}g8BpT?~GGnkd%gIW2#n3dm$S@~x% zEB_p3<)6o_{0o?se-X3tFJV^xWz5R&$E^G-n3aDOv+}QDR{nL&%D;hG`8P2ue*m-c z2Qe%E7G~w&#;p81n3aDQv+{>9D}NZX@<%W${~l)L-^Z-{2bh)r5VP_hVOIWQ%*ua) zS@};fD}NNT@}FT={&URAe}P%~FEK0s6=vnX#;p7?%*r3fto%2amH!sA^50=r{(H>I z|A1NfA2BO`0<-cbF)RNQX61jzto$#SmH!p9^1oqL{&&pE|AATgQ<#-Mjam6Kn3X?^ zS@}OPEB_Z}<^RU4{5j0ZhhG3GpKdyS4}i-3w{fl9Um&pZ88IuL3A6HUkS7Fl`$)SDQ4xXU{?Mz%*v-=R=z4`<*Q** zYhYIXa?Hxt#H@TR%*xlstb85J%K2YDOtSLzFe_glv+@lvE8h^a@{KSn-x#yZ z^8GO@KLE4x*I-tDAZFzUVOD-HX61)qR(>dE<%eNbemG|3M_^X|TFlCi#H{=%%*v0( zto(JDl^=sy`LURlABS1_@tBpLfLZy8n3bP|S^3GBm7juH`Kg$dpN3ia>6n$Dfm!*P zn3bP}S^3$Rm7jxI`MH>tzaF#l^DrwvAG7icFe|?hv+|2DE58`C@=Gu)zZA3b%P=c{ z17_uK#H{>Hn3Z3SS@{*1m0yWj`Bj*eUyWJ$n=vcD2D9?FU{-!DX60|ito%C6%CE<) z{B4+(za6vk8!#(>2WI8(#H{>A%*t=Vto&xo%5TA}{8r4$Z^NwocFfA(g<1I>n3ca9 zv-0;~R{mbh%HM}s`TH>|zZ0|a4`5b)7iQ%j#H{>7n3aDRv+|E%R{l}U%J0Uk{9~Av ze;l*&PheL5NzBSWg<1KhF)RNJX65%_R(>yL<@aG${#ne*KZjZQ=P@h)0%ql3#H{>F zn3aDSv-0~fEB^{+(Z(vsbP0Y$4z^wd1%*wxoS^2jyEB_8=<=@4u z{2|QBAI7Zw5zNZJhgtdeF)RN8X5~M`to%oqmH!yC@}FQ<{!`4#AH}TvXPA}$9JBIY zU{?N1%*ua-S^2LqD}M~L^2aeN{|#p4zs0QlcbJv`9<%a4U{?M|%*vm@to%vL%KwB} z`JXW>{|jd2f5oi)ZR=x^moLL%*r>wtb9Yv$~VHSd}GYYH^HoYQ_RXY!>oLB%*waGto#+2m2Zhz`Bs>f zZ;e^`Hkg%fi&^=0n3ZpjS@{l_mG6jI`A(RX?~GabE|`_?idp$?n3ca0v+~_BD}NPc z<$GXOz9(kodtp}oYRt;_#;klF%*yx0tb9Mr%J;{t`~b|#UxQisftZyagjxB)n3W%b zS^1%ul^=##`QezAAAwom+ei~-wr(;%r24>}FVpe_@X60vNR(=j<<>z8n{(8*H&%>UB?-0zYVkU+c7JD7iQ&mU{?Na%*x+`S^0Z0D}Ntm~KCowDk6lUe0#;p7^n3dmy zS^2$~mEVV1`DZaJ{~TuJpU15H3z(IE5wr3yVOIWS%*yY_to$pOm46kp@~>f5{&mdC zzkyl#H!&-J0JHK3F)RNTX64_;to%Eem46qr@`o@he;Bj!M=&e@9%kj=$E^GZn3ew! zv+^HdR{mqm%721c`A;z`e-yLwpJ7)1bIi(rfm!)4F)RNSX63)eto$*|${)w9{5P1D z{}!|I-(gn%d(6uJfLZw;F)M!pv+^e~EB_N_<$uPk{4bc5{}r?HzhPGXcg)KFfm!)e zn3X?`S@|=Vl|PGF`9Co${}*QE|HiERIn2tZ{?8j`XrB(Vy8iT-mCt}#`HYyA&xBd| z%$SwWf?4^jn3d0lS^4akmCu1$`J9-Q&xKj}+?bWmgIW2!n3d0mS^4~!l`nu<`3o>B zUl6nMg)l2$7_;(4Fe_gav+~6-D_ta^E9%kk1V^+QaX5|}VR=yEtoLJ%*uDbtb9kz z%6G!7d}qwccfqWDSIo+H!>s(3n3eC2S^29lE8hdN@;xyt-wU(yS7TPbH)iGgU{<~_ zX65@~R=z)GFe^V9v+`3gD?b&p^3yOYKOM93 zGcYSZ6SMNOFe^VBv+{E=D?b;r^4DWlejaA!=VMlW0cPbFVpe_;X5|-SR(=U)<(Fbs zei>%vZ@{eljhL0c3A6IcF)P0Uv+^r3E58b}@~bf`e=}y~*I-uu7R<`8#jO0Tn3Z3L zS^4#tmA?(M^0#AFegkIZ@4&45otTy1h*|kfn3dm*S@|uPmEVe4`E8h$-;P=NyD%%i z1GDmXV^;ni%*x-3S^4`gD}O&`<#%FM{sGL&@4~G7gP4_n2($7JV^;nV%*sECS^3?V zm46Jg@{eOy{t3*=KZ#lSr!Xu3G-ll7{te8^zlmA-1DKURh*|l!Ff0EyX64_( zto*x}l|O`8`NNo%KZ05L_b@B}K4#@Vz^wd-n3ewsv+^HfR{j&r%72Pk`JYA-(yz(2h7UwF)LpRv+|`eD_;h)@?|kAUrzfUzC31i{S`1PUlFtN zmta=D5@zKqV^;oB%*t26to&t|l~2K}d{xZKSHrA)bE@n3cZ*v+^x5 zE8hyU@~tr|-v+btZ80m~4zu#@F)QBzv+^A=E8hvT@|`g&-vzVsT`?=)4YTrBVphI8 zX63KKtb7m5%J;;qd@sz(UyWJ$-k6o|gIW2$n3eB`S^55$l^=jv`D-vMKM=F>gD@*U z7_;(2Fe^V4v+~0*D?c2w@*^-Se=TO^M`Bif6lUc|V^;n;%*v0!to&Ha%8$dW{CLdD zPr$7FM9j)h!mRvc%*s!}to&5W%1^_r{B+F9&%mtwOw7v9!mRvk%*xNfto&Te%3qIJ z`FWU?pO0Dj1(=mzh*|kXn3Z3QS@|WHm0yZk`DK`uzX7xIH)2-)Cd|q&$E^Gc%*wCC zto$m>%CE+({LPq^UxQisTQDoX7PIoVVpe_~X64soR{l23%HNJz`3;zrzXP-KcVbq4 zBWC3{VOD-KX63hFR(>mH<+ov0emiF6@4~G74$R8mjam77Fe`sAX65h0to;3$mEVb3 z`3Ep7zYDYS4`NpSAeFe|?ov-0~eEB`EJ<)6c>{PUQVe*v@dFJe~yCCtjdj9K~pn3aD8v+}QER{k~2 z%D;|T`8O~t|0ZVT4`5dQAZF#?!mRw;n3aDAv-0m^R{juXE{n3caAv+^}DD_;w<^0hH5Uk9`DbulYn53}<1F)QByv+@lwE8hsS z@{KVo-vqPrO))Fq472jhF)QB!v+`G9R=y=>}<%eTdegtOauf?qV zNX*KQ!mRvg%*tPfS@|)Tl^=^)`Ei()ACFo237D0ih*|kbn3bQ5S@|iLm7j`P`DvJy zpN?7i8JLxyiCOtsn3bQ6S@}7bm7j}Q`Rg$&KM%9=^D!&G0JHK7F)P0av+|2EE58J@ z@=Gx*zYMeTH(*x&M$F3JgjxCJn3Z3FS^1Tim0yKf`PG<}zZtXgYcMN+3ufilVpjfE z%*wCBto(Y+%HM`r`P(rozX7xIcVJfjPRz=0#H{=#%*t=Zto#C5<)6i@{BxLVn3aDU zv-0m?R{mYg${)h4{9(+>AHl5rdzh7fAG7iwU{?M^%*ua+S^1AKEB^^*H#H{>Rn3ew;v+~CPEjm&L4nIqiS=@|Z2h%iN96 zUcMwpdvj9K|6 zn3ZpeS@~v|m2Zw&`4*U!zXG%JEio(K3bXR9F)QB&v+`{*E8h;Y^6fDz-vP7o9Wg84 z3A6H@F)QB%v+`XrE8h*X@>gP3zB^{+ufnW+56sH<#H@TT%*tPlS^3_WmG6UD`M#Ky z?}u6W{+N{?fLZx#Fe^V0v+{#5D?b>s@R(=#_ zs&x%*s!|to%gG%1^?q{AA3^Prs&t%*xNe zto%&O%Fn{A{A|q1&%vzxT+GT}k6HP7n3bQ8S@{K+m0yTi`9+wOUyND#C76|8idp$( zn3cZ)v+_4$R{kc;$}h*P{0hvE)n3cZ+v+{RhR(>O9CiCOsvFe|?cv+@sOR{kN(%0G-*`A0A-|0rhVcVkxm zG0e(8j#>F9Ff0EgX62v4to+lMm461a@_R5VzZbLe`!Fm2EN11O!>s)Cn3aD4v+^%u zR{kZ-%D;?R`Tdxce+9GhuVPmIHO$Jtj#>FPFf0EiX5|lHR{kJn<=?`r{M(q7e+RSj z?_yT|5N72MV^;nMX64_*to-|!mHz;<@*iSW{v*uFe~elAPcSS0DQ4x5Vpje$%*ua` zS@|z8EB_^C<-fwL{MVS3KZaTPAQ zf5NQ%&zP0}1+((MVpje)%*y|cS@}OOD}M^J@~1H?e+IMiXE7`PCuZgU!mRw?n3X?= zS^1<{cq5F;r^Bp#dd$jaz^r^m%*toNtbAt7%4fl>d{)fLXTz*~cFfA>z^r^u%*yA& ztbA_F%ICqXd|u4T=fkXge$2`jz^wcQn3XSxS@}Ykl`o80`68H=FN#_DVwjaLj#>E< zn3cZ}v+@^VR{mnl$|qx1z9eSlOJP>NG-l%V^)3yX63KNto%sK%8$aV{AkR|Ux!)wF_@Jfi&^<`n3W%oS@{W= zm7j=N`AL|SpNv`gDVUX?idp$-n3bQ7S@{{5m7j@O`B|8ipN(1hIhd87i&^>WF)Ke0 zv-0yXE587<@(VF5zX-GPi!m#|1heu>F)P0ev+_4!R{loJ%HM=p`Q@0EUx8Wqm6(-Z zg<1L4n3ca7v+`>&D}M`S<=0|X{#MM&ufweTdd$k-hFSUBF)P0Tv+{RfR{l=R%5TK1 z{3guGZ^o?r7R<_T#jN}`%*t=ato&V=mEVC``MWVIe-CEm@5QYAeVCQMAG7j1F)RN7 zX61KbR{lZE%0Glz`G+wp{|IK~AH}TvZp_L*hFST?F)RNBX62v6to&1$m46zu^3Pya zeh+5l_hMFlA7Zn3ewB1wlXs1x3Xc zP*E{>*ShC!oxjfVo#$ES{LcQ&%$e_=z0bXzndh?Gp@$!OBY zWz|Vvo$qR(lRqnn)HUXr}nP-jVggBkRYeuD#DE zN4EcBWcyPi>!(Mq^UTQlxsmHkT~7HlJdfUg-Oup7oZahraXszSFGjZy?sX>9%r2&0 zhVM0*uZQim_CANlKYE{TUzWVM9zCvBow9Xe`$i+{(d&$EANINTKe}&+eePWhkNH0z z!&W2rzx&kn*?gX5>J|U(a{kwg5%u}yAMch7m9u4P?+ego- z(Q{|Gj`uU1U*1_gN5i@1fpXQz;~f3Iqvzo8egE@u4#$a)Z8$bu59jG*)-#hAx34;7 zHL)IMcl&Vvu9qFT&i*6o;jv9-+i;(wYaiRwG+c(+{rdm69-V7Cu7CE$*H7#1Haysm z|30@}&rfH6{e~%i-$`znaPPy)y@8eceXV?Y%*toLtb9hy%4foCygxf-UU4kIp~t_M`KU^U?Xo`RM%Pe02VC zK05z@`(FR&`QLE9iTUsQ*Ym&E|9Sq$`RM%Pe02VCK05z6ADw@kkIp~NN9X@z^N;gk5BM|WzJ(^9|2xl` z*gAUrmk;xo5A&A~^Oq0vmk;xo5A&A~^ZRG$*$YoS{*V0c`OAm-%ZK^PhxyBg`OAm- z%ZK^L`@heB|BP5=k%{^DJA0V_-{*h%Fn{?lfB7(f`7nR^Fn{?l|9GF6(~pzsy7eaJ zzwTVO{QKPZJeW-5e3-v{n7@3OzkHa#e3-v{n7@3|)Qi6T`V;d{I&Wg*==onhI{&!a zkIp~NN9P~sqw|mR(fP;u==|e+V$zA{chUwE^WPcI|2Q9=f1HobKh8(zALpabFdt|1bZV z|KEQ{DIcAG-0er_ALrBaeXZ_)bpCO-ADw@kkIp~N`PNe|e*gU@-9F`b_+HFE&PV4T z=cDtF^U?Xo`RM%Pe02VCK05z6pE~}O-=W<(&BXjq9W$|Y^!)R8aM#T+;oFb6*Rk?p z|CA5=r+nBy<-`6dANEiAuz$)Y_Qa$~OR?B~#)lFuR}2hxyBg`OAm-%ZK^P zXXLS2`7nR^#H16yqn$L<)cKE|*g87Dzr!8p!~EsL{N=;^<-`2t!~EsL{N=;^OS5=< zi%+kFk{@$Dvjl`;FTeAs{G!~QED_Fwt1|H_B` zS3c~&@?rn@8rgL_P0T;X3IEK4t!!&YajfJokPsALcI~<}V-S zFCXSFALcI~<}V-KA5iXl1FU>_e?a;0{($n~{Q>2}`vc1Ty}yi0<-cZF)LpRv+|`eEBEgOR=zA|<;!7KzC32-D_~Z> zB4*_)VOG8}X6373R=z4`<*Q**YhYHsCT8VpVOIV!%*tPmS^3(Sm9K+Y`MQ{u zzXG%J^)M@6AG7ieFe~2>v+|8FE8iHi@=Y)+-xRa*%`hw99JBH*Fe~2@v+}JlE8iNk z@>gP3z71yOufnW+Tg=M0!>oLJ%*uDbtb9kz%6G!7{MDG1?~GabE|`_?idp$?n3eC2 zS@|BAmG6mJ`Cgcn?~PgcKA4s7i&^=8n3eC3S@~-)D}OC!&%~_!EX>NGDVIh0c;7xxOzRhCUod6Ul-HG} zVQJ;wz{;n?tbBUR%4fi=d`8U5XTq%f1(=o3j9K|Cn3d0pS@~?3mA??P@)u!NK09XR z(3FdQ_I?x3|9<~^{wKcn3QN-Vk4$_$?WxOn1Uug{kc$4B{ae3TEzNBImq4l5sy zkMiO8C?AfG^5OU>AC8am;rJ*Yj*s%;_$VKakMiO8D4&CUYvnJ-to$XImCuP;`Aab? zp9{0{xiKrB2ea~dF)N=Bv-0^dD_;P!@&z#~_vgBmFN|6FBAAs=#;n|*8&>z6NIHYhqTu7G~uy!>s(}n3b=MS@}Acm9L9g`71ChUk|hL^)V~o0JHK9 zF)QB)v+|8GE8hgO@=Y---wd!L0mL%*s#0 zto(G$%Fn>8{7lTs&%&(yY|P5f!L0mT%*xNhto-$um7kAU`30DjUx-=x8!#)s2($7x zVpe`JX62V)R{kc;%HNDx`CBk6zZA3b%P=c{D`w?y!>s&r%*x-6S@}CKD}N_uk^A!g-2!mRu;%*r3fto+BA zmHz~@@+UAW|0!nWKf|p2=a`j0iCOtCFf0EhX63)ato+xQmH!5_^50@s{yWUde~(%D zQ<#-Mjam6Kn3ewlv+_S;R{kf<%Aduo{Lh$`{{^%1zhYMY9A@Qz!>s)8n3ewnv+{pp zR{lI@<-?mm%BPuz_W@A3ZxFC@{{b>9pB}UF889oK5wr4{Fe`rnX5}+uR_?!_Yvr?I zRz4eM+io=f$jiKFrGJ z$Eh?=xR=x~o z<;!ALz8q%d%VSo)0%qkaVphHqX5}kmR=x^m<*Q;=z8Yrbt7BHa24>}JVphHuX5}x# zto-GemGi$Mm}KSaU{<~^X63KItb9Gp%GbxNd;`qNH^i)bBh1P-#;kl3%*r>#tb8-f z$~VWXd<)FVx5TV`E6mEb#;p96n3ZpXS^29lE8iBg^6fAy-yXB_9WX235wr50Fe`sG zX5~9$R=x{n<-1~5z8hxcyJJ?q2WI7aVphHvX61WhR=y8r<@;h*z8_}g`(sxA8qCUH zi&^;rn3W%hS@}Vjl^={*`5~BI7UkzYVkU%P}i|J7(qYz^we8n3cZ^v+^r2E58!6@~bc_zZ$dhYcMOn z7PInqV^)40X64soR{kE$%HNAw`TH;{zX7xI8!;SFf0E&X60YNto#nl%J0Oi{EL{Ce+jelFJo5z70k-Nidp&BFe|?cv+}z!EB`uX z<=?=p{F|7S--B8Cw=gUJHfH7bVpe`1X64_(to(k=${)b2{JWTyKZsfRLztC6j9K~j zFf0E)X5~M?to#wo${)q7{D+v8{|K}4$1p2@9JBHtV^;nX%*vm@to)~#mH!O0@}FZ? z{v>AQzrd{gmzb6R3bXQGV^;nf%*ua@S^4iUEB`%awt|pB1z6*)S`AA!g+-!mNCD%*yA$to+58 zmA?eD@;Nape<^0=b759KH)iGYU{*daX65r?Rz5#wGUmCOWWiTsW7PIo@Fe_gkv+@-%D_;?_@|7?v zUm3IVRWK`G6|?fyFe_gjv+^}CD_;|{^0hE4e;H=wFUPEWZOqEo!K{2;%*tPZS^0XH zm9LLk`39JkZ-`m>Mwpdvj9K|6n3ZpeS@~v|m2Zw&`4*U!Z;4s?R+yDcgL)J56sH< z#H@TT%*yx1tb8BL%J;>rd_T;}_s6XKHJFvZ7PImLFe^V0v+{#5D?b>s@R(=#_UBe-yLwTQDpC7-r=k$E^Gl zn3aDLv+_@2R(>mH<+ov0emiF6pT?~GGnkcs7PIotVOIWm%*wxjS@|89mEVb3`4=%O z{}N{9U&gHbE0~pk6|?fMVOD+@X61KdR{nL&%D;hG`8P2uzX!AOZ(&ycZOqE=#jN~3 z%*wxmS^52#l|O)4`FAlZe-N|shcGLD7_;*4VOIWq%*ua&S@|QFl|PDE`42HG{}E>8 zk6~8+IA-NP#;p7&n3X?)S@};fEB_g0eHzT__S0fkJ{@M|(_>aX17_tjVpcvAX5}xytbAt7 z%4fl>d{)fLXTz-gg_xDU2($9pF)N<~v+@^XR{j#q%ICza{H2(c&xKj}+?bWmgIW2! zn3d0mS^4~!l`nu<`GT00FN9h7!kCpWf?4@w%*q$Vtb8%d$`{A1d1;FNIm% zere3gm%*%jSoLH%*t25tb9ex%2&dyd}YkaSHY}&Rm{p)!>oLD%*xlmtb9$( z%GbiI{AHMxzZ|pjwJ|GS2ea~ZF)M!sX65T)R=z%FE;n3W%iS@}_zl^=~+ z`7xN4AB$P}ahR1Kk6HN%n3bQ1S@}trm7k1R`6-x{pNd)eX_%Ftj#>E`n3bQ2S@~I* zm7k4S`8k-CpNm=fd6<>I9<%cEF)P0Sv+@fuD}MuKXn3Z3FS^1Tim0yKf`PG<} zUxQiswV0K^8?*B3Fe|?vv-0;~R{mbh%HM}s`3;zr--ucH`!OrO3A6GKU{?M?%*sE6 zS^0-CEB^>)aDU{?NH%*ua(2F%K5#H@TK%*tPYS^3PEmCu4%`K*|g&xTp~3o$Ey5oYDHV^%%~ zX5}x&to$XImCuP;`Aab?p9{0{xiKrB2ea~dF)N=Bv-0^dD_;P!@&z#~UkJ1Eg)u8% z1hewVn3XSzS@~j^l`oE2`4X6wFRA?xUkbCj{nD6~FN0b6vY3@GhgtdZn3b=9S^0{X zm9KrC5YhzZv4rb-+VpjeN z%*xlptbBdU$~VBQd_&C2H^QuZW6a7o!K{2!%*r>ztbB9K%D2F*d`ryAx5BJ^Ys|`D ziCOtJn3cZ@v+`{*E8h;Y^6fDz-vP7o9Wg843A6H7V^+R1X63tJR=z7{<-1{4zB^{+ zdtg?+CuZe)VOG93X65@}R=zK0<@;e)zCUK=ufeSRwV0J3fLZy0n3W%dS^2@3l^=px z`JtGVABI`^>o6-n9JBHxFe^V2v+|=bD?b{u@?$V7KNhp{<1i~f9<%ZjFe^V1v+|QL zD?b^t@>4J?KNYj`(=aPP9kcQ?Fe^V3v+}brD?b~v@^dgNKNqv|^DrxaJ!a+SV^)3v zX5|-RR{jRe$}hsK{Ee8EUyND#C76}J3A6GyV^;na%*rptto$;}%HN7v`P(onzZ|pj zw_{fR4$R8miCOu(Fe|?Tv+^r3E58b}@~bf`zXr4NYcVT-H)iG6VOD-UX65g}to*&0 zmA?h|1@UhpTVsBvzV2C4zu#lV^;nJ%*yY;to%;Q z%D;$N`Ij&&|1xIfU%{;WtC*F44YTsQFe|?sv+}QFR{jml%D;(O`8}AGe+#qnZ(~+| zFJ|TUVOIVf%*yY_to#AY%D;JJ3A6HNF)RNwX61juto*N-l|P4B z`QI=r|2t;o|G=#LpO}?Dk6HPo8F>Al%BR7sd|J%Pr^Bp#dd$jaz^r^m%*toNto#L- zmCuY>`7D@~&x%?3Y?zh55VP_ZVOBmnX618WR{mnl%3p$6`J9-QzZA3bxiBlA8?*9x zFe{%Iv-0^cE1w^;@&zy}Ul6nMg)l2$7_;(4Fe{&oS^1)vl`n=_`Qn(BFM(P4lG^|9 zr7&BPA7k4;;=eS+^LdFM`MJfvJhU`s<;!4JzAR?t%VAc&JZ9x9U{<~&X5}kkR=zT3 z<*Q&;zA9$rt6^5YI%ef-U{<~+X60*PR{k=~%3qFI`P!J3uY+0nx|o%}0<-eS7KJa4QA!9 z!mNB-%*waJtbBXS%6Gu5d`Ha6cfzdv)tHsdE<%eNb z{yNOc567(h2+Ycl#H{=%%*v0(to#_v%8$jY{5Z_YkH@V11kB1$#H{=z%*s#3to#(r z%1_0t{4~tUPsgnM49v>U#H{=*%*xNkto$6z%Fo5D{5;IcUyoV&`IwbofLZy4n3cZ) zv+|2DD}N(qPzXP-K zcVbrlF3ifWz^wdA%*wCAto&-s%CEt!{94S)-;G)Mb(oc3k6HP9Fe`sAX65h0to#Pd z%5TK1{Qa1f--KED2QVxDAZFzs!mRwmn3aD7v+|oUEB`2F<+or~{xQtTKaN@XCon7j zBxdEG!mRvO%*t=Wto(M&%0G=+`DZXI|14(ZpTn&D^O%)?0kiTuFe|?kv+^%uR{kZ- z%D;?R`ByM2|0-tXU&E~YF3igB#;pA7n3aD6v+{3ZR(=m=<=?`r{M(q7--}uKeVCPh z2eb0~F)M!nv-0m^R{kJn@?Ts)Gn3X?;S^3kLl|O@7`5!PV|08DQf5NQ%SE}n3ca6v+|cX61`vR=zl9yxI+&HOi&^S^2J*mG6dG`R%CE+({2I*4 zuf?qV-I$eMhgtdcn3cZ=v-0<1R{lQB%5T7|{6@^m-;Y`OO_-H`0JHKBVpjek%*sEE zS@}mWE58}D@{eLxehX&hAH%HtVPzl~Y>y_l8Xhgtb|Fe|?wv+@TpEB`KL1heudFf0ElX5~M_to-Mg zl|PAD`7bal|0QPSzrw8i*O-<62D9?tVpje;%*ua{S@~0#l|PMH`7@Z6{{ge|KVnw? zC(O#9#jO0#n3ewpv+}=UR{k7j<$uGh{O_2R{{yq~e_~etJZ9ySX5#gKDxU_k@@X+E zpANI~=`kyx0kiTMF)N=5v+@^URz5Rk<+ET`J}YMBvtd^LLd?ovgjxCQn3d0gS^0}G zD}M=Q<#S?I{!+}!=fbRfZp_N(!K{2<%*yA(tbBgV$``<_d_m007s9N3Va&=G!K{2T zX61`wR=yZ!<%?rhz656FOKSham%^-Wzcgm$%V1W%EN11)VOG98X5}kjR=y%;#M<%eKaekf+; zhhbL!I?T!s$E^Ga%*v0%to$g<%8$mZ{20v2kHxI~ILykA$E^GW%*s#1to$U*%1_3u z{1nW}PsObKG|b9R$E^Ge%*xNito$s@%Fo8E{2a{6&&90#Jj}{pk6HQon3Z3ES^0&S zmA?VA@{2Gle?UCgjx9qFf0EcX5}Bkto*~6m45`Y@|!U$|0rhVw_sNOG0e(8j#>F9 zFf0EgX62v4to&BY%5TH0{C3RBKaE-WXD}=OEN11O!>s)Cn3aD4v+_GIE58%7@-Jdm z{w2)Hzl>S=S1>F8DrV(h!>s%+%*yY^to-Ykm45@X@^4~Reh+5l-@>f?+nAN#i&^=7 zn3aDAv-0~fD}Mm9^6z3+{vc-M4`EjRFlOc7!>s)Kn3ewkv+_qUD}NNT@*iSW{v*uF zAH%Htam>npj9K|lFe`rov+|!}R{k^0%72bo`IDHH{{pk}Ut(7NE6mD&jam6`Ff0Ep zX63)bto-+wl|O}9`O}z{KZ9BMA22KbBWC4)!mRvR%*y|aS@~ZuEB`BI<ZtJAMAj3#E-(nWV|IiR90iOiExY zPHl?`X)tJIyZ%t4vTWC7HM$id)Fwzxt3TE)EV5m7H7e?jWibk}^&j(ozt3~dy?5@+ zv_bdtzOSDT7t(W|=bZCA&-tF`Jm=hV|I7!ku3I^$q$FgI($L#Nq*8BEPRwM?{K1?c ziG`{|uf)Hv51k!48T4G?X?{!-x5wlM)3Z%En_3H*vR<(x{u<4( zX{cOj);o!(5&nZCBLBMSj{B6hslT4+J8a4a(gxEYoBHb!o3ig~y|QO=(})-o3RRla z)*~FOS7GW^n0huXH+b39;z=9%cfjNa(^};5uqn&(sQ+oYh3A()E@WQ1kHF!usjc_d zs7E_zdzfwrU1#(a!{rzq1BXqG`p}~Gwhc>{ENXALsJ*STx9_69>ZKPgU9zyJYvIMx z?ggmBs;jQKAryV?%~fj-?tOMk)8Azunv-ihZNF#S&?=1R;999--*SaEd8j9HSwIcji7UBPQ5xt)*!oR18oSzi2lkv8YKU`5n{zr<8qk$sj z&nu$$i6VNRE8=e-Ez&P@ie!7mS0gsOg( zo3mf|XN4*Q{BM}@L4IgaZ%_B4_O9lp_C;--Jxz-m+Ay*7EnK^LZB6a9i`KSnZffaT zbaB<<>V+3`?pf5{*@yY2i|5@fZHbCLSz?TPMWs;fJF{MxRV4$OW% zvn&f$o4S)t>14O3thqJWd{d&ksdG~@(X|m)wIurzU8#^*k_NwLV{d13BDp!)nFcoP z8=9JL^40F@Y;H29rcjG5mYO|~+tS;fOo)i4=5$+ECotO7+0>rsY45sON;b8F;nfix zLK-lWiIybmctwsUc4JddI?nbbE>hTL5cnVFs)&HjWKW_gok+KJBontLyLHrw3EgcyZJnDE$(Bu8u{GJ0f`hlE;Rx^!ucNd} zbG*APnM@`+dpnZd7`ep6ZGO7T4qXwD>`ONHrjrQ+K+@on#4u0iC~2pAZfg#Rid;-PD~JjDl2lz8zSN?E-AF5OS?f}33TIG)f z_!EY|C%~Vn*YcwQe);vv9}DoS)+&D@z#nc<{-FSW=H1Fa9N~oPvaT_K5rV z{uop5<7=eR8Vx=^E;Zbv(Z|OnlzX)L_|$EWl#g%wfO&mBJ_43|WPJQrx?JoZ`S|mE z{9zyeG#`Jrk3ZkXAMx?aef&K>zW=&&)W_$Zm_5dP{4*>Q>4cAerjLKf$3M%*KkVaI z`1nVBe6s~Bxl=y=YklP%AOCee{)~_B-(WlDEpl2$FK77%@ifM)js~YzVb02|2!W*?&F{D482 z9v}Y_AAi)xU*hAB`S|XF2>BB}{!(B0Lq7g8AOEnAU+v?+eEKp1FC*|W0xu)*G6H`| z2s{^l!|&qTo+*nDp7hOs3x(o4Cem}VhvVB0l<9?T_R?2;I~2;EI}iVc&xzrTbSs-U zJ(b0A?i|uwx=$Y#`gi{dnoIWS388;YnoITRQK5f9noIQQ5utxfnoINPVWGcEnwKWi z8KIvb&E?&6O6YHq=F)t+QRuIe=8}B6Ug(EN^Act{CiIs{b7?+ZCG_V>b4flO5&E;F zPa|C}^e0HqCmj;{qol*6XI=#3+&f5fDLy?V^oL0El4km_(6^H2l6-nX=x)-yM4BEI z`hBFiG@l+3dIM=L$)|^fem7|@#iuhuUq_lt@adG$Zzs*A_jIGsD@b$6JzX#KTS;@N zJslJJV$xh3X3|_*Pe+7)BWW(Fr^|(YEom;Lr$a)&iZqwd(=&f$`(HsiLV8N* zIi$H{o<1z}?;ZoqrSkNI(7z_lCGzyB(7zzfrSbHL&_5>4CGqsI(BCD^rSNn{=qE^X z2|S$=`dg&A^qp=L`s<{*>Q2Xm{xWGUai^<<{ygcokd6rbS<)AgE*JU} zq!*G73H?#hi%8G>LHeIGm#))OLVt)fm#ou=g}#+Em#WhfLbLwHVbF4Lye; z7$5j?eB0AA^=s;ePWstrG4zH`>yqS(L!ZHjJ7WdvJwF^Dx?(E;jt`wgzltwEmOcYR zbs_RNR8P%Lh0n=Q@S`S0`M;L(m(ow-7rYoBn2A5~?3M9Hj+MqsCgYF4m|g$@zxlr^ zpe#GJF?>#~SD*G}t~d>KL%kQ?5Z`vi6DS#q5BxMeFFtt1Qy`oRfP&M4ef2gRwOr`=E`5E<;ZE z&RY?wwrz>_m4vr%!Rhq-@sBMJg^ixVonm9Sye1w#J=VxFG-NPj?DbVz&q}mxaHlk7 zAif@@N5DYY?MU3pvAHzJXSIlo%>y@0%OCFlr%>o_gUKzAE=AQ!w(JZ@BrU!& zz`8CPQ_yRp)o#wV3AD8~T4fo7C|Db9_ecW%mN;yy8Vp^C9FUdaFM@{?!H_;Mn5r8{ zN27yVqV0ogZ1FPZuHR*|sM(035&WG^G1Y^0(X;QETh_165q>~ZWLMyC0bprCT8=+r zh*=G7MU^sEsf{MAVdz;jdtUU8x@e*wr$Cj4*bbMzi?smY5paFoqZt(4hd$5-SqkK7SkfsXt+LXM7yLiWD-{=Y1H|K=~r z-kQ+ZW3#juUCi#Du-!bN-8^o4R2*a!-8|kOugPJen`@+-hiley2B~HU8`u}El5}sh zQqo<~2-1Vwqle+iJUB?mif@}}m60(N&tmXDi5kc;$q`T@lqWm} z_eFW?H2)@>MKMgUp%QIjyjimd!~~0!zktw!G7{L4j)FP3ORDduiSdDhPW$s3eh7;- z3f&hHqj;i5-HS6wFbU(17{wDF7FY#w1RTIHgM@0(I&x6`c_hgA0}^Hc#1SM4BF|9d z7!nc96CMPQlEN2kkFpk9NwsX{Zlum@0R&q)OeSVHwj@4K(-#L)%G)alpyjORyql=N zCYB3Vs?hN1nT%97{xQ_QizIqOurpG_vNNNV&@GRi<@LIqNwGHA2M^Xm=~7+A)9CGrN{V1v3&JlX|cMjp4Zl zi*?}#YNP8QzaAyUJ!_+NAmda)m@s|Bwdg9V6_ZmR8&-{m;l!*@#Ba1=mA2%dxCKf? zJmPt_RUVpA4d4m#KppQYd;q60AW+kc4+U zhzl970>M$&RebWiB4)fo$C^7Tu;PX_;sBX`)nbCDj1G)jNo{o6fKE1EwO4y;mnm@2 zd4PGqH$|UxAPZypFcRmFgiZs1^DCTFBlEFb;T-Uunj3Y&;;vUXJ209vT$D+=SHsA_ zE{Qb=NAwyVlywZL2C=<3%N~My!rOPg!5K7z$p0MqDrAK&cmzO^MnXU(aPfo(%;c%_ z&@UxWkKi1En#vf$nB|7BO*4eT;Ec2%nFv=bv-kx@>B(ocsh)ZCEio^HlfE~=Jf6U# z`aIh(bm8S&j+Pz7`VqM~EyT(Y^|>t6*#kgupz**ddkPn6*~Jr!pSW;MG$qYl{&-*b zLe!9Ea~S7LObpcQBL?azr19o0SOH_X*y`L22-ww)jL&QX;xmHD7BWoVuZeDPCJ2M+ z1V&~`!L=0Pk9N$YxK(IuCnX@_sU*)mJ#=z94-K3^4YcY25*|2x42j#oUDC<6jjy^; zJ6)Q22<4=iJmEoOIEL8V38p%!i!DR_BjDH-ylpHl3HvZ-NERwf=W+RVVH&x`hmygl?OXC1Mb5Vc=W=+`W*u3&_FMP1Vu?P_%z65 zK_>K*X(kIQ;AHWbnJl!SIx{rbnL(}>B}W6OL|^HS3_A-})!LR0Y80#GAOUEG3NV48;*&>Y|ADF`P(9H#yo03FXp?7%%6rFQVCl8G-^%Ih9pN6BdA+ z$0jhMyB<53+5+RtVEh6YZ;F>W|8Z0%01BNuFc%;|_u#zf-hS z3Geu70rMNJ`RI)t^W9FtEC-DI?&h`t*NucLbfIxGxDOja&&ufsX-6$W8l|8qre2vd zk4@3Ss1xXi2Gb8{Sn1JoUGs+e>BEG+QHOMiw}0U)G-3-4X70tQX#x`Ud4!WevlF7u z?5k?WQo~?|r>H}Z#(c-B;T`{jjW=Iu*P6^2PebADB{|OCFVMkUAguAr3~7b577G|_ zolmL^EL5U1vfw~JIlxK?JMdUJFqnmvGm=^H=wHb`9O{$GAHUqL;MFQh@AtyhS zkWnhvKW336jF&hRe52V61sRw+6pD{#@uHleTrpVYeA79O3&rn%)pZyiyJSS0)sfol z_DkbnW(+NUDIP+E=z;Zv8J-3`@v9rol$0Bd{%O8zy@OcaU?kZL&PwazUA56O`OmpWPnyKPA;i;jOM2fOA6idX*K-Tdzy}rR0kaFe?I5gj!Z7HL5ahHn z!>=Mf@LlIK@DZ6_PN9O6`OkTx<-8D9^yi`4`REfi9(__|KPtZM>oK#JF(J2G-Lg+AwANPJ+g?5MEMxdzAbi>UA*`eY6EHKOp7;F!eO_H?2B4VIuQO(1ou ze#(JkyH9-q!-r*b+5C~8=k9R{TPF?j{3eJHMf6rfpoxQYeS+448)QU#CsC;6qdp$tk=DRYq`yEEi$-HtPSt4 z1A#jpi?jR7P)WmA38f$xB`(KbHI=e`kWvjP+b6gZ4euB?YYRmId*(KX`B6|jX9a|I zx!XdyEzvJ+RybUjpjYv}4>O@OAD0#cxhHAxE-9mUmN^&vXx8X7`q5dRgU(N;^3fTk zGDU~j*fzisusy2_bDWrkxeOcM!kjb~?#OPi6$fKmK*njfE=3wZ#<-xR6j{GZtyCIb zP>vb20ZUm^yv(Ul3uPsb;$;ZpFo|oAHPJG&@Cb}uX@K4AAauah4lv0pv@Ihtyg@83 zlF4Xgjv6deDI>gN*ep|3DKT-kWpHkTk?S@wVumrJ(Fvg>g@_aLu;E33v5^U5oTN6K zK92SiCk(T_>bM$9xdCx5d_eqRdIMk|pFxy}m)hvQC0e=v21wLl@cAElp>MFSFA&!6 z|G^2v`nxCqwh}zx>8==xJ(exEc?)-!o9?-%TthxQ*q`!OO9BXLeb2F?L{$oVZGq`l0?0)sOx_PuHn zxaSoEaFAP_xrvl;FGP#fm zwPc#fg>pH$d<8I2u`$vP1`GgoX_K>U0_MwPp$xqvz24T;+ouR9yt?Ea>GdeQh7p9J z1hayvC3mFj5JA=>IGEyPPWF2e! zeMNXj2Fk1rFjuyOhs6MPo9S%Zr<%dF1gjxoH~DG_c}V`*+`QP5b8GN^;T>Nw z7ekaanfWb5h2rB&@Gl?eb-wuTgwAS;w_>@UxxA#5J1tlCUKStci#k&9c2h7!TZa0t z!Idkg9B4N8n+7w=+gbsIN4w(Ve3wV6z9UD6+`vJbkn3qm#>e@Jj}$*Uw>Wp)1~anB zF|?qBJ2}v)cNXJ=bHVr!6>`NXXbtUepoLo}@kVp#p)v?{z6T_xKTYm)q6aIGh z9|38!{dWb2cMj(~vJXkvdsa6Bd z=fN1u7pZg6<1S!>vxRs=R0ZYkW_b|haoo|2i=;7HBi4bB^%=%Pd}vEFuGb>p zejHaDh#wXQUd|!xoEjhKKT*G21;sFD1mc|sAtpY~XLhO6sUT_GQk_=9u=en2^LaCU zpr6BH@dQ>P96rANzirP{XqZDdEQ^m{ZiPO2m_l*c86T8h8q7$SiB~PaK*u$x@u)HJ zDApd@&qp`lP9v^6?Svmd?Y09ISpC#8^BD&!cs(#B>0Z5VkU_?$;GMTa@z{v*94#Z^ z#$15JtK+5dDvqW;c0mSJ*afmcrDI~*7GrLN*j8dQEfzi}RytoUCKF$;_pIa6#uPZAL zpMJ+MAPJxTp$w71xKkVV_fx>uNn-j?1m{jO?2-NSDab-RSa#%Ku!`zk* zBOKl&Mx(}L<~8V2!~}-8)9~kf?%V1g5Eic*j8!WHYp7i|$|cxT8`r>S*)IcW>tw`r zjrY^TB=CvA>wE%n52~@Czy_bdN*cFyGUf`5h(Lx$5m@30?9YHXC>tXM#rFBc_NmxL zSL`hmWE2XrL(N{U9f^Wo<}6`BvF@YaKj$JS*Y ze@t9P?vtu4iQ15eLe^{ECgESa=1O|amGGKtq}gh~Wabf2a2(DId`rc7AH*_R8Ig<_ zgPCfd$ScD;z9v=PYO5?WRiuSO{WtzI8*HXiiV2rzz71aZ^sV*S(gn3&shCn

S2V z$orI15v3?AT;AU)$?$EG?7mZq{9QSVoPHO-wHQ8qUh9OmB<=rqC{)$*x z>W`J834t}HQ(STA5HjX{wG85}UOeHP%HEb0j)3vjZ+ZBsH_7a#tvHSE%wTxX$t8Mn zFkpoE$A<(iMMBOKkc(a9Ui{sRfpK#vy!`<9Zttl%vb|}f+No`4w1Fm~ z-#8rEZ;e*7o!uHZyEXb|H<5-OYmE=>lAB!49P|mQ%H0E`b*Qig6P(v+KZ1eiv^woH zs=)@4F{M93zb=(k3Ch&ks98OIuuvPSyo&wEN5u>ic;FaQv}8hPGfxZD$M-F3`pVJy3cp(3qUrT1*+7TZWY}KjF$5TCLl^o7iuioB~%@#*aXS_!u1u ztC%_8VS&3@+>>eyx=FwW{Rkl^msvo9bMHqoZ_S8^T8SfXef-d5{h-yBdG15XDv@ ziv1VLxlzo{LDjBod8`N#fZ__xK@uxy1ul#wI>6nqddK6&n4HN7%t2UL;-;8$2|55h z6vbbJJ%tL>G={=ir!`T8G&Tt8{%Pd4B1XN?+-x`Wt$4YwBBC3I+2HUD{=H+~>HYn~sNrjJ zjEk17lYNx)CXw?FE9d!fY%%_fqZzk}70-Q9xim634374rY`krjmf?dbYYJO#FvG7OL7Nm(fOEJqc&EJPm?Ep*lYDggm$hU|+gC>Hz}zUdxgtr3Lt55^6dUEy3RILw)oaGc!UBE_$PkHP zs9@TM5_KqVdtf(HU|BExZvZ}Wc(C#0VlUIer=$y?k^&z$!qlRu4Sse8#Ab zgI_mvf3y|+I$#iEIVd~=V@1OlJ3{w3@lphv2WX@eI+>;PqVPxiFk^3zUe4;Uksxsz zrTB1p2_7pO%^kfJI(m15`;Y{UdKd(IQ>f@1fUx+uJNV8eX?tDAoebvEd(7azC)x;c zW7h<{rJg!$y}ZH2_~CjB2YvCPRZ`S=%X$`ln4JMr(M|V68-N1+rV%N?p)B|f%h7MF z73w#-p_w=4D599(@OvYfJS>J_#k!;Mmk!4}Vh?X-s#w!?H% zz$U{DKF_fc7-PcnU{lhCP0745)=1L_e(YRr^hmTtTSuu6NGgq#Z63myl(z4Uj+lIw z3zuU_jCloFFzGN7Zc_V4a*7CIB=;fDc{^0$@q^1y(+-{we>I!U>=PE&??2_R^M=xQ z$8;zW)yWK}=2G93nxY|QYCilBZVf$$p*j9+U?OER*&OMa{E77Es3;RD2S9koZ+Rg! z{!TPPhWWc-z}IpoQipvZt+GPBy%C*F_L|uQLku#Fhs)?g4Pn_EMwXl>5zEarH+YQA z_;7}~G^Ph|vSN7UWL2f&Nm}v3^296YidWLTe`KR=iVzLmBhi*mYi}d$Xypt5t{b}7 zMB96#Qv@9CsYGAqN82iJ**j7SRsHVAlBbG!t%r_>55e{uXAPy zIy&W9Hk4riblt$c?gUSod=67~BF7>_Rv-CrsSMJWI7~kWhy%lP>MQU;bvVy&a1qf4!V)H4L}|Adru#vTzYvI>gvfSmfs2rT zLNg?Gaxx6>SS?<0jg6hJ|ChoSAvAOB#=!I~UJe8_Bn2-*8sI|i^vwkejVfHUQi}Q( zKda^I-HeZ1^pw%Yua$v9IbeT(v>crLWstS!xXU0BR%H2H0kOHupeuj}J3YejfRvL3 zm(RS`AYB2zabTDxO%#?luPf=!>q_c!Xk!4+aw;hbQW61WkT4qacCTl}&eKhF!a}i;%TI|jQ;N_4eti#%Fj%?d*VR`LV z(rver0a$a_eOOYos#yP$LRg+yC0(&f=D|5b>&?}*b2kA)_#yOMSHzhK07;WC>k9N` zmEkl0CC)|9p~nJq(eOX}=c2dLU))}p{sR`_<1Ys0qS*20qH~}_<|4+Z@D7TIk6(-K zF2|p9*ZsC%eG7^rV?-BHdQn3F%F$qq5qgU4OksJbE9s)Hq@a#^yeQ&Pbf*3|I>6`8 z*5>f`=jqS?@lUY4GZ5X&VA8|#9Fh(3y3Zg>tz4EwOfw#Qo+_~nF)f8Av5^wvOfkKY zyHg(r!Z^?XGXg=9`{NVutqzF2~!EYKH%83avH z&@E_&I6Vu&K;APUJt~!otvU7}*PTx3f5m6s5h-e>)3>l_&K_xmfXH)t=wZ=Z2@Ylx zO2u{qYjC@P4nQTWY~M%WjwrT8`N$tFr=)>G^DBlj@^a=^KKuHq&ui0nQzWn|N1^=L zt%724amQSl)#3v`a6a~r1t&I2n%%@U2TqQKY$Y9jGlWfFfc81x-!uC`y9ZSnc`H#7 z#``BUVbM(p6)s*`BIXWOB?FN+cS7R=UB&t*GGTdQm2|}_nHPC;CbIj{K6l`!mwQv0 z^W%T;Ph$0f3#w`ugGsEK7gR@pmfXraf`R;=n)NmB4Sg-@Pi^;|_S);TQ1DISqX_&W>d-EiUn0af!dSE^#GnoUKc6aMC3> zD(MnLDlkZe<@K48Zl5Vx%q4WyiCM&mKceFOgH>3bcqLu&N)~mAR>*bOUY|=?9Kzdg zqf0ElH>bz$Gd(7g6vDM}39@I=W6!hu$E}9xXW=={;m_qLxZ5ZQxCGg=Q1CHPU`O}# zvuNM=nUv^rj&d}M;j)Nxj6#Gs$2^~NTxXr*jj;Albq-<{a1LR4y{DwxdrHpMIVSR* zLs*`8C0+4K9^W~B{Mj7musDRbznRXl0J-jpV5oo6^xYUN;oNPUgX~%K-G9&##;t~F z{N{?7mbH=lB>zh;#e_F(bU=c8MI< zqq*hyb54S_vvrQ(TAY}{Is9vJVR^l$q}zK+O7EfG3D@HPnA?%o=J57TcH}!oa&Z2c z!I{fHUq_NX3!LZEE5@yc=`t)(oL_u8N5NA@L7*eao`r&crdN2LQic|cpGS$lj+|lF z6d&c^f(YrzXQU&4ARW2JcI4%-_D}6dVixE~VR;>?q}!27&blL~&{dc7qc?vGMd2L_ z(fB-5N08+<-n+}v#~B;5RfZ{MtKDiUNxQ-jmS?JxuBl2|Q-@KHhyVI-gfy->s*&eh z3R7X|-QbyvNuCeE4u1eA_13^>RX zyS%uA{3FDQgM1(5!aHte>2ZGFKt}rOpd}A=b!K}>=q?+BBb^Wh97I?i>Pot(D``OQ~e~WZ+?lkhfue6S(nl*ZbdgPfquo{6*wq71$5EeZhe2S#(bc ztntbI@&8fTUibJnv1BL2JW6x~5KsU9IpDlhdd^&;!fj;E>QFNs;DU&_Ebd4Px? zs(1!&ig$eh>x#D3F*8WVZ)!=dDIr@JYjjAr=;6?O6GT-z2Y`^gD_U<7&o66lxeEi0LK?3V5&E|Nn1PR+!!h6)es_EoGZE$~pq`b6Wev5Y3#e3x6*t$uf01MHKcUNWBa!F* z@68?BvA@gl{`189<$FZ#f`&ZT^>w`CxiYx`I*C_V@*quo;NRi{lTHa8-VGen6}dz0 z-*@LIJJSrcz_dvAZ1vss3F@o@1>+gMm1*|XF)z4_Ppi4UEO7*RMW zmGpkV4LE#D&TGE&lfTVr@6y8h$)2Tt=QHds58vhau4rE5(4pc+W$6gag%JXTt)&JG z6`t!vNZNX!oV!B>eCvBvD9(dkuA6swdY_Zu5yl%G$xpyMjAVSBI=tf(C>w}7c!9OR z7#U-I*ft?@@CGj<4$iG@F`sQXcWuMDwe2v9^YGgims_YJQ=-h>a0Xa*CH^|)U9wJo zvaZui)pmfSZ}WxDH{G4ne=DW`@DRCgULbF_o%b}|!3)ga__#{s z))w|2vS({RbFKlkc?RGSU3Y-X>~vC}IE;+#OHq5e;lE*Y;Cz?(^&8@3Hbf2Kjg_ zbU_&yNXtz+k16$54E9&D!%O9D958&Z;phvaWqb$6n8FuEM_3at;DDvi8faoRT>3%wu9Uty5OO=iA{Q^c1` z@bBsPbGAXCWF7DQSV!vf#onR)M_7OBB$pK0+`py^$a~Qu@M539qR-Rw0)$;jf!u_H zKwf^Rz>o;!8@wX$M;~=9Jkj&?(sM>^rHU$Z5h++f% z>>6>ZO8b4NV{AC?yv;~z-l%}DJEVi(bKutLao%5L9owa+!w__-|NT{}7|c+i?bSl> zul@&HXbi~t2*j&U(fRycgw2|#uYhMJd?K&xU9ay+OYy%!@%@__A4+j67{&M^cZ?S* z(!Q++Iaxo%J0?!O3{m%$lDGmLLOxzCWr^wY$dXqqMD{tkreq*pUvft4>~|S{PnF|* zi9)J8GwfMEXxBo=f2q`a45**7FdGar#Y~0Qb_@>1Ub>eC!*S#_zPQtgEEeZ*_J?=uN1JB94`93g3BzTpMY5Rb*L$WjJ)K;5$)a%{Byroa0wc7TdSHD*f*Eb+Xu`$klbk;!tiKqka23JS=I8?}u5MpM&=a4%eKsY`Kka_9xx8=_N zz4-fTSm%^N;GY+NAE&=a{1t&;9`XeKY4JBB0vUfrV3Q~C&x*enh}es**jHGwvyHzE z7#)ni^^)$5#+i=41@aaU$cJ|Nu2HoNKCCYD^mZxhy7LVO2uXN)?vTYI`(gl=K-TGvGrBNh?)Y9yUt4*eIEY zjkF2aR6vmPj(!tZehKN}9j`7FSf*%@8(3TroG{pMkQt`v%Oh$ZNehCoJP?$0K~Pdb z_*4Ld!_*0(Ap=600pXA;A!$JnmIs28E(l8Ifgo)H2zy|yv+~2@AO|5E4zd89cJvGc zmVaUIP4n|HAjqY+FfBHc#%OdtP<;ldi~(v?+d$F+B`gmpC0(GDRG{t)0JVoY0o1Tj zvfC&bQ6(gK&@5qjKq=`0rDPsZ(k1{^4MEQ9Zx^6G4cP$d3e=f-JoQK}L*h`& zARCTDaNtHvKoK3d5tEYM(*W03csBhOywQ>8PnD?R!sT5(kMWfpc+vz@4iXf@^g`0M zL0DcJlyuvmq_kn|fxyVCpiT%qY?K@^O3GCUNggyySl-A}(j9q9<^d&b0#JPrSyd%s`loQKO{QC~;H? zNehCoJP?$0K~ORe1ZfjMD1#v9LmBawpF=kM<6<;dLNib3DNKun<|DK-ADbidz=nfN zF=bz>*(5DC!t$_D(#1we#bz>q%^~W9&>5rTm{G#rd~^Uwi;b{6Y?O4dQ8Eu3X%n#7 z4MEPv4+=K?X$fF+iN%H|#D-}xY({BkJ~pk!?36KkkD5)=Vk0aM8zo(AlvHfK9l&OU zI)P2bDCsv!cB>MS78_xC*eK~@qhua7(k5V22|>==Zxd|(7P5iO5{nH_hz(O&Q!n!$TQ#`ugHPtqbJEDs?iU4)cWgq{i@)JM%gsGP!~q{1jksS=VF zAz^t4Dd{4lWFA7&G9WaDMmUupFyW;O(!)DmQ)o(Rq(Q}?;Cptoa+oQsZcr;pS}26& zL7}7zg^~)z4+2osQ!|8)7$tj*lDH}%X`v972ZfR@6iVhnAuR(GaR_o=zeSv76=cI% zb|I04@}+c^>34&~Ohhg{hbcUV^7A~W8hJ(GsxU2yn3hzbFhT-J3zx7wxRi9^Qc~gi zSpcp|Y6e_YMoG0%5>X{2dC)jvd2lJ|!lh&$T+%YYb%YP~K6|Ucbq-_$u8$*;n9LI* z#IzVf<;W|F&>n7#!l7h?6pblEVIV}(A|xyiAthaelvISC4ImVvW(b`yN)9mveGDB5 zB_w&!IAM7RDd{4lWFA7&G9c6nLC#~h2txk@*+A%Ss1}5H!qpB_@abQ`iwW>5xM+4D z!NW`LHI?>r)11q-YSWaMX$qfkLQ_auyoBZ9rKF3OlBOv|7R~iWNrO>xM3s=VN`&Pp zQPNeSWFB79GT?RWRN&R#XJQd=3dy4R1)R8x=A|+aE5OPda)-by3eX|Qrb8WO%DzWo z07TN-E-cS>C0*N<3;@(emENLx$|!M+k}*|6(kc;_r$k9tiIRB$Ny`9GKLk1NxLF+P zLCA*V5FEG>6Hr746cbQfF~g~3;Vet&2V@ET1o|rvygd-$g6FLs8%&EDO^ZfQ46u>3 zEfSX3A|>4xDJd-)dm%6mcT*?&B4w2H870H2gd`7|B`j|oD(Q|xCG%jDHUYK@2y$vS z8^8Jpq!(F2XK0X}<2(?YGO*!0GfZJ`pV~*#f*>pp1SMS%lvEI241kcLP6!Pd5XuY) zt*V5i1wmLI2uivjD47R>vWfwj(+z2ZBYARGSiWh4@ectTHMittl-e;IiNu<0|{ zWDGX-CGiI)TlwQL@`8iK!Bj78_xC*eK~@qhua7 z4U`XTsv*dEQ(CaO5VkAA~PGuVtu6azLSEjGgPuu;;* zMoGnHegK;YbwX&wD5*3`DpUzci;b{6Y?O4dQBsunZ_Y@YfDIqWaZc$GY`Ad&Z1y4% zYx!43hRmqoriO>jB4gwSgLL~9O)DxD6kdiJ!N-9EU1`yJ@5sL<+ zWXvd;fn+El$%DoT%R@*>7a=9{5R#Swp#}(Ye)@hBUVaIYMV8Q0Mw{He3PMq7tgJFt z9zkJPNfQ5ymBR9%P|}4$NrmDKW2oU9NQWr__{NNqxKVOQm5}5?D1_xfp`;6il6g=} zu`-}=@Eoi2-IO@XLGa)#T+7H3n!nE#ntlh?J6J-KOV42n&!POhDG6;5iweROe6o5J zg#nlU9SUK2a4G4+rKG}jUI4B=)C{=zyfxkPh&-MPzf>h8c@VAm;#|cg33c^7))v{h)~=ZUvG@>M`0jD(poDl z4RZuaEakIsOt4_)2Q1jN@?i@+=Fteld9Kiq zwz$Z-0Y%P@;TCpIV7{H@86%jV`>+p}^4tnO(Zi))3^v~u-;K)7+71aXc$T#HEPNY% zbIJ5`XfFoR1JE9t{#BvI%j2a58_#$D*!YyOF^0zT+}enTNwHEy8T25|-NxfQ(D*)W ze9EAjF@pPz;A#lwxfNXP6O40LFwO(+kjB%o@P$fLcHY%4zOW4buo+LOZbsj;+3*A= zq;#Px%UfCKJ}3*_sY0m8BUFNleHaTbxuQ7n2pO{@Fq`Mr?1;~7oV#Y@T+AL@nln83 zNDjtEIi*2rg^^kgsXXUFWXmDdYQVWWHgKK?MkT=*gPBgo&^lkfB z`i0=>r4tHqpcDNmwJXy=83}$w-LFV_D%8tI)W==__<7O_9pV7gW zv*ou^jsScy@0Trst&62Gi!)|$MGA=*=;ro4qZO`CK4qNcFHxOvRW0;UG z_>{H*pKDChBo_k^50K;A-*OIbJiWl4vfz@RNE>wL&s3t4Mb);h}C=$9q^b^=*dDvSNL4zkvnerrI#?Ex5g z+?UAY_8T*K-(@hPcR=_nFWPU&k$#g)4)j|C`i-s*Pi`!;{Wb)Z(r>MNWVsf(f&O}{ z?XT-Mp}#u)O`1)Ay|*>Lzc%}YRL`QnDj=jtf8FMnHJko=49$S7Lj85SUslB|?8>Mt zUw@s)T8((aZl9;dt~!Mj2aqZGH63A{!k?7sms!Zm;Hc9LsXRvEyb~20w!a zmJYZlq1$$x|9N9P56XoIpO?!>n#S)?jla$I;!qO3c(*ikuUnv%_Vf*oF<@fgjUve# zc)K>5j@%@JrKjX*&HhgX zpGR}utOF`#x}#I!iCV^oYQ}T?!Wn0@@woM@OPb+XlhP_aPL13M-J$aMZgoYAyfj}M zJxALDR137F{OIdM7Bc&#p~L=$#s}AMZk5K!UMt2776ALJ*kHUWg8e%kGb2zYW2U<3 z`x)bPwmr=o(4KFb_C(m8a@tUF{PuJJ1$-d_KU9{UFU2P_$Dn`CQT(JHDl3KeIQ0z( zSxDgx5|nUWZH<#3(DHsCD1djpweQg;*!PqlZ*d{uJ;ipHjvYagH;n%kt&;ikEE&e< zbDsKvUr3n%eS9JP5P~M2g1__O*5`+`t(B9R-MCv~+G;3iD|;h?Ss%TTK?&!4pkz8C zxPE$%ZQoBC^>OBEbovD9;z#B1uYE0IshE%DQOEwD8S`W6k$}1S_-m|h0y(qBlGkad zp9#hA96mRm&Hb5al5zql~T9xZQYkCEqr} zsFAmgOe(h_iEuS$ZL|~@LflURBj;@+h|-_?;9X4k3YT0nieCgQ_2wtg3;~f#F2BDt zaD3Jijd*;#(c<~B1pMU%gO%jQXh{6{SbY+`ApU%1T848RSjA{@h6CTmnyTp!qk;Qh z^h?*`$CrL3q)Shnqc1j5dQMNsOCoPzPb`w2Sj3-jTNFxPE2g7#{(2UpbPZn>lyGpw z0EmmD{S6A>gbUzZ&@KQrJW6r(=+KkDsvU|^P)8vdWo)IKqYudM#RtY?v&Hw48-ee9 z)-N^juYyuB9*?))zXH9IJ03gspXnC@OHL@{7*vapQxwL^f-s&;7|#?K&!j`2nLm8_ z@Jy+ODhyvzxD3)@no;x``D95ej5foU*FQP)0k3LM6xToR1s-qkH)S?>Tx6SaR*|O2 zkJ+BdrkpQLIiDVZ2qa??Z}Ie>=kG!wkx|Ch3OtIXD=)%BGxdi8)rWQEg&c7kmbDG9 z;AIb1USR3CdpArpt=IXmkK--H@z%=D!atcQAYk6_t4Npo*JpUYuOdEv1@d7*$ob=Y z@bW^ciC$An8Mw?fGUP{Y?wHFLVjKB`nJF5Cuez;?Mw|zAA%IKPx@c?vR?Z2`ZH*7z zRta0HK|7BEe=Pj0qFqo#F|GUsXfXGW++GrLzRf~n^U!HnnDPC$DP&6X`sDq$8{z{$ zy&mD>_wk`i_wm;F&>5>Q#FyjFK{00$s}DUKg8k0t*5iEZqt$E+z9hGc}L%&%F?U&+Rt{9Mhzi}9f=R*PMi zUX6q=q9KMm=d!s&S1hNP<$8WS&%Xwh_yv#$-i_>m_0jTL^jg`$xlxKn`E@Gk6hbj{ zM!h7b;Swo}-~C%X@QAY?^~PIJ)|m^T5JY)sX5>9JH`2sd=(+G4epj=uZs>~NpNn7G zTf2JTshS&B5B#QPP0hd`Zio+E^bFY7*In>OG@#7+w|AlTBY!MSpR@RTrhRn-zpfj2 zwszp>HQ5C}jBk6iB)>8AF?hGc8g=0%dz zoow%F4t4Z|+EA{e<3z=KHm7e%B~MhUxqEX@OK(T&MCCe?9ifufm0mW6YnP?4_33M} z*=KMby)K(wfX(kENS`EqeKyN)Y&^LZOJ}6p*JZQonctAjrjS03bUV@(EUkAlKYbE+|1pz5A8>~WE^&4CvVL}HhVVG1z*o*xv{zTX|x~d zlRriKk#7Gv+K;q$8tq4V@6l}b9;E4KARp<1|Au^|&m-l|VC^p_r+yx*O>Mr2CLA`3>yDC9>>vq2Eewy)IPJS6=eE zd8d?dbB6r0@z;QhsYOUbwWpLbiQ;bw{$}d3S>BZj%`aa$zvAuTSKeHf30?Wx%ierR z^o`(3{q;!8P#<@#+@k_#Y5dKA{~6Y=nP0wY{^62s=vyk_(-GU(WV3sa@*wYC{6%ia zZ2|tY zX~q1Cn)#7c^C#wPn|o*JN9GWF-s(LYkIbA#j0g}C@<(y90KV?$Q_msr=fU@_ZraN4 zI<|s0!OCb`?fiN+P2?P7c|2mfO63oePdVi{sl`~i3Fo2O`4Q@jf;R%*`INJ2{tOeA z<#+AJ{wAA!H7nQ7uVUGHoFDi=HhYH3<()Fo*NT(JGTH3A$Uu9T&GIa;051>*`HTVU zci_`cs#D}p=P1j6*wa}B-U09;7^ktffIvHe=Zg7}74s`s%&)4MU%hJnaQU`VcAh+R z(w%cZQhNIwvHck8yyYv|>^f9oJ1Kh}jOqjL!^*27F9P24;O(Owrj2}+X5_(a_EV67 zwzzGq1HTe+;B^6Z>R3Uhq;=+3e?) z$Jfb2W8lsEaW*T@ZPw0D5zEKG8~X`1UDU2&7H8R~!4LfmSRz3iV&okIZy$KOlqYSS zHy4{JKhI{TlqcmF3l})pNb%XT1iX60tM$rjq`WwI_xvK84J%LTt_N>7;u#<6qF(xB z3cT%zZQoa3hQ)a>ZzuBNh;vtwfquMw&Q+(Kykc7^^Y$Qb3-aV+95xSp<}vMMp}AMjuiSYO z`u+Bke}WkBBC??;=Ovq^1(1a9Z|^(y!AbWYb)2+7(E1#yd7j{i0p*eI8Sag)axnz;WOv4~^J;#9)p5aK8g&NDo? zbm4K9CZUYUu>4^LL>$)c86y}^D9B;;zroP9-0!p6KHw^>7Y!|w49aG`e5r|Lu;B5t zunPLEp-uN0>Hm94h3S`|#HA7CtTgFblWsKWW|Q7-(z{K1pGhAu>7+@YGU?Au`hrPM z{;QB2XPWdplP)#sN|UZN=|+=oHtFpqz1yVsne+jZPMY*7lm5)4FPQXXu2*oJY0~pd zy40jAO}f^k8%?^|q_>;&Zj;_;(g#dBY0{@m`ZJThVA7M{Wb~W#Jd-Xp=}MEXHK~@K z{l8^1T6XSXO)oL&29sJzGmq-o%vUrme^AqjF-8IYC2)knR=zmuh+DS-~7fg+@R?UKg^0_V!ftQH)5tm*Jwn$~|z)7aZJwg0ZV>Z-R!DsR}(+nMf-EMK@}Vbw*8dxctj+r?E2tClQW ze1Y;q&FxK{nxn$vzNM*WdQ_rI7uCBYf=K8A>mn>Pdv8}VI{Q@hySXzoG zyJt>*ZfR(ato?&IrJ>Rg_X>hXX=rY!tTf2Qt@F@HZoKs8mf`~2#?@T@DIptga`|N; z8>e#lr-p1i%;mqrjhnfAMCpj1qvxe~=RQ)9e_E)qfWMdG=69+fKOD02kzY$GSFr&< zXMQQZ>w5X){*roLicfzYd!i8k)$aV}&n?C5Vdu46{u!Y{akVsbraKSimOl#{2!4*9 zm*Q%mlJ5uvj?$2wb-j!doX!pXD(i9dY#x$1z-=Ue8y+JN{^0=suMFR=7gS0pvR|3;s&*T}QiK5GMZFjlV+nkAPo-S-ITcW9QX-4ZkA5 z-(&cZ0RMjQ3&}5r+rNvPSB2Ib!AamKBd={d7 z305~jzq%CbpgE!Z@%0+4Zz5dH1pI0lP6lRK?o!?}i2$^p*{KKoYWQ(c5uj8}4o##Gm_@AWSIr(v~)|8*9)PfujJopU^%9*HA zTJ{HVni9V9{QqJ*5#{rb&llnEE5iR?5&kcQKR;yWYuS5(y5AYTowsG53jC8w3)?ea z`13=fSE;SG-_I>lzP1Rz$H=kk9NBY%$lEM`LgmXI5l#odk0>kW-@h*+e_s*)e}aFy zZ=QmC%i$C$|7+nRuA24JO{V-G!H<}8f5LA|mKTTvQ5i@6l6t;Q`13=tZzyeNn#LmK z?=t1>I_)6*lE*#Zzfn(f{v9*rN8YS#*~iA|w~NUCWf4C2xaiO20Y87O@N-lOadi>? z4Mq6fM!sD~YFrM5K2W6mCro*}ewO`CX#RWfPoHyE-aP&#Q@-kQwN>`caeAnTe5VMX zZ(UNpUFWMbTnB?seC)bc_GOV7F?_p@KWHjkX!xN?Wh4#%GF$!;WypRgPFD)w6!Il& zitukN!fz|WzoiKObHYa)GyZ?R@wYD+zP*l+{d(B-Rl~RI{s~k5U=jJh2EW|5&aq8< z(a5paAF?-(%u`P(9G4afALHn)D&Z8Px7P6O^@@#e*BX93zn6}qMUzm{@FQlt*n2mB zWB83xEhzV%aC(>UO=Vy5sUrM?Mfkrf!oQ@faC_Gj;cqX(|ETaWe$92J+zWucpDa@T z<6;lyiv!xIt4!POGjb*ZxP7gNoX1Uhd;Kc+3m}UxC$rzH0_*MXoAUNLR`&i;{^v#H z|Go(SBy1Eh&hI{~h9j)W@oMl3&9iSe{ucR$ma}E9E>ixzMfd~YGyVs!BZj3s)??;+ z+pa_YkKx%UZ<}!?LE!#CzdP2`tzF%KXrlf_n7j_g>ML7 za%~a*h9dlJMt-Bg^ByCszexE|b9cHY-HSIGnnNwg?&PMno^-N1k?u$|w|8|WdqRms zOIKo3d)Ed$ywH;F>h4K2_4b9DyE;6D zZR~F9NG9-jLdPwr;-eBMna(Mi?ncrL8)Fu+3R$X&L;_A3z#%r$&C05m4yP~EpaqY^L*I&IRv8HB4-PJ6ZV?;|X z1J93ir$dR_cU@ES&ed0i+HPt~^rSn|iLTD}w$5Z|BOXCn)3ibOn_FAj6UohJawyrC zZ0=1b6V;b^r_0>aecKV zE~EiC0Z9FVe$>>ROmrsS-`mt)EVrla)}-V$cPH@}PogE+)3&M80;M*0G_`f+;z(7k z$)=R_YgDBWT&U3$_I5Iz=-Hg$GdSp$cJxS7x;4=Wht}+L zOQOANQ(H5<7|$LhdOK-ivc)@T>q%%Mtqs*4h|4jARJi`msr#W0L{m0#VKI3U@H^tY9=yYrVjep!2~`Ft*^JIfGt& zcQc%no|Ukj4;3vvU5VDF&KCHab&=}j-f2!;Vx)AlmfHaWmrvV~+LP+-X;ln)cB_X` zcQVz5Q0fK(w>$g@82fwLyKZ*%EUofRm*BxM_`ShPM=-qo1Vb>@-pdJXLr;(KY}?Rf zJ^>mdKNVcJzqI&fbn>H@t*x zbVEzJ3yz&mZt}zfq`hmM-4fdr(RM#G!`ci4(*V4 zUE$^?pIcQMw<;Q!W%g|DNaY3w+ojb@-F{h)DT?FX#3Va?kk=w^dQES~b$l2TkgwKI zS=5(&=6li3ZGg=B2{#6d))RVVM_VV|GRcVR+Pw5q?-UM1^G_%UkhV)aJ6>sD0|N1= z@RYr*pr0zD7Hbnov0B7>ra5(s*CUJJ=CCHuhvB-`jYFKdwS|GeoWxoa&&{@B&3J3F zyDJo0*mFxq8mp{@J?U;uTkRQ})tw40M5iPdZtCn^xS_YLz2%~|7Q?DpvHBw1#R^GY zYZGGALPTE3(=^?!Ip(fc4v|0}Mr0E!n6ngu{z3_Y3vs$|Qy0i|vJd}q&5J@^(wPgB zt!90bC z?+e;=iK&NeBsp-InsZzO3U?7)`|a}bm3NItE1?kqe_Ze+!pVu(O zMTR|R%GA7R#Vv8Z_C+~`=ETb!qSc9TEV7f+Z%U4bL}@`LzGWUZGC%x z)28-$534`e{_Upz3M0@yKVnn+yb0@{iN9d|JHew*(LOmMxv71w#&GleX8;-g`Vmv# zrnVn#eFG2n2K3-Qh`+wQ|7uffudQ!sn|?A--`=0G=}DinH8r(*EtlDT{q}yYP3?0> zwtUe4|IyU9{pa5IJ*+h@r*Pj9tp6qCv52j2?+@G5?$cQj!TNms!C&9rN49CBbsQtW z;%4Q26DPbU%Q3{m-XAS9H>`r?Ep5~9piUTnw!XbDT|UeDKSEvlo~>{1Ggg@Te2$uj z^-rtU_9O4#vi-Kcecr0_2dote9bu;Lu=Q>F3*`ChyZ0@pv{GfDzSV0*&ftWyT>WMr zukuG)!s@Z*gZ=j#WKczwEnrex|AqkHn&VHc@A!*mjQ$CB4i1iE>ah0M_<1UL)^?n_ hN6fV2e(Y2E53ASmPRB{1`p^7cOT1_cnlxDd{{cK|tWp2~ delta 20926 zcmb7M3tUuH{-3!Z4yH0F^6(Lp4vMZ1P*ZHP(8#W>C1o0G4>2EMrJzaIx3%qY|KH#5oIBhZY`6dY=$!lep5ObN z^E>z68E(BV+8cvD zhosHcDi?z;$6?v;I3C_6cayYBw&Fp1pLoTZtXPwei#q!}*PD{@(uj69d4^-R7_Q}- zqMcU6L)z5|nIGRj`^b`QUtII>yY6`}42hokc*CxSfY#P+Z2HGi+Wc&SuTEQ%%@u(k zVmujm!#^A^boZE1D7jp>JaIE$5eEi>d1%? zaPGD7krjz=mw0vro>3=pJpylkQR2DbxW`uWvh2tU@37hGC7vIFw<)|J0x#St`3ocP z++7kcj=*ag2=~}*-iQv{tJGn$`P#X$nHh5Kk#toAZkr+T>Igh{ro?L^aKFN935WfV zfsR}dIO^NE3E|FJl5UK^Gv-L#AAwipNxU@z@0cs`HVaPnZO&U{$1zI>A-UxeZ;!z1 z6&{GdvmcWD9TB+gVTqrMz-u;e{ODAR#7wn+qZDvN;NDFVcShjZS4;fZN3x|lLI2I8 z-GcWpL9~I7Jdz^jI7>%|MWC+*KWD)wS@2}#kR4dO1X9t{5D&$r6bnlM(efU7g%tg1@CFWt1Ngg z3tnx(dw1ek|CSE5MR0em1@F@-V5_&_2^PH3g7>rFehZ#x!CM(e{FI&~OGleUAlZT+ zv*2o@u;2qMc!vca7|K5w&RIGJSp;k!NrD``(1JTGc&Y_=T5y*IPqyF} zDcnP?%hEB}B9Lyuhgk3o3$FGh?#;5`X%_x$3qI6>>mRB4N0AM)bmUqD(k*zN1s`s~ z^DX!Y3tnKse__E3L%7Fg8)@k%4t3aUqb#`Bf{(V~J_|m^f>&Aa3=3Xu!7~Z>sLmQo z$5@L%tpy)v!RsyfcnjWW!7sMpehWUqf~U1wIwo2=+AMfh!={$M#%y-=^fcRSdYQjS zGurfZ2OS5P-?+F(lg(zN^~7gfYBtV@E}*_(yMZH3Bbv%zu#M?|zX6)6UeM3f^kAX~!9Fs; zKz{-*B-+MwAEHxpG!=_rHq*O^ zrXmr{V7iWIstrLG(@%rGwSbC3(8<7~#6ZO$Xk&Ua(NqM29pCdDAet6`u$}3(MAM=V zwlRG_(X`fsex^6?7Ym0Bo)fbbYpk-@#z&}`9N2=T)o&_T&sKrF4_VYJyU}#fC!H(m zr&n|vjXqkFu||7bsu${P2UH9G>CAue)HlTOAp`BNi*JVv8qp1yZRIa!>+2@J_c~7X z^1m1L)GH>x44C8BWRQVXo!#YY!n&Ox12=Ydm#huz zc7P0Ib$0)@99QcW0(TrH+okRREA=^;)rn8zTTNOU#vM87{7lSJf&UrSrje4Sd zt#+~e#(o6)ZhYASUY0i~sTN~F-m#L(rb3b^X{iz`@+PKUVOqNX?ekh{&0|aMU!TWP z;MWnBGIeaD7E9IAQU=g{l!L&07|HbOB?nv4Lxn?}$-TyYNc6a6ruNK1QE}ZAXA7lh z0+?xk3tWFt95+FlrVQE-ic!~J!GgrlYzv$^NZO~Ff_uXR&qWB*%@zb{UI$)g!K+NcH4yaB znvy1yF%(vlJVQ%bXte~2SW-77uM9Jp6(Ohw(M5v4WWnjCU{8x6=1jf)GR*TT8vKWP z#`}DE5U~X9fYb0jn-qP0fP%i-RCMr7!)TsUCoaz)m1cvDGJkog`!4XumBmoTntx?- zt^nujC_bC=6SW<6Vtf8~n(s~V{QR4=J#`}Lwhy%#e(}j|_i4-h;+6&1YCD_6%L`H` z7l(V&F0+^qbRUnF%WoJJ``v}G71+{5u7wQ#^9>QZaH!T)Co&g)swFgu{)=X5Ep_7V zMeW*$`^9yO7i<0A5U(v>a(VwZ48vFI{w0)~x^8^kFueO2vc;ADoxJGOT({3UMY6>F z+Y{qC%QgEABltBOYreHk_--GSwif`W0;gXP7A&4n1!mB_G`Wg*I!S_s4aw5Ruqk$26XI1>U9uAF(* z>PpK6R%JmS$mYd$;^1AQCO-hTIFBzG$NC5<(Kh9~7 zi}(JwS@>c@=WwJ#ncf`o&AU^qDGV+s+i4i3eieRacUSSw zNt>6|iQIcerF{X_ocBvP@6@F?Uu))l{tjvQ7M&8Sp;rNkS1ST=~)W$g_ps7 z0=u;yrA7%kr|XB;u_G-ns?cn&h#!kqYioCi)hn;kroSQ%t^8J7_LA_gnxrjwQGB=R zzpsn;UgCjBCwtRgM5=~Ef8eD$tDmuA^}W02tp*nIwP;f3kOX#Zw+==!mcDf`tkt*{ z7I>>VhN*tjl$)pAGUe7O^KLoNysA!YEFP6skABYHNX{N_!_70z> zA#d~$Ph4pcBm)Vx(j!_?dZq6h%3-;AUH33=8@O^J7*|#QZLa>A!V6gl+Izr2e8UT2 z7EaZP{I&hYo%(qiS3zU&FSz4qUQ;KYzJHYV#CCDy{=Uv!GtxL{r%2;}bFmoyz-|xG zmS|1BxY9Kgt^N5hUlL!G(Y8SwtxDP?5iP+#Bie%$ShHy%_&J0F@iotdS%`U&qMaP` z^E57Cjpir@KgU#SUSB7gSC2~bqKn77Cy)0BR8N|(Gqdp3vt|~8+OB8CM-L=JYIr-M!YXjXd#=yBeW3Kg&P>E3>0djU~R9-ME(rL(^zpRkw>sBnm5#m z<7-BxEkGBC{XGJXD_hTDUvGx}r>D(no+c)*bBYC?e9wJIg=GSmym6(=C?NwN5vt^s zzB$U@+VF%B{4@MMLBThh7J?2`ut5C2r@|~ulM`Ut&(ruD)@TlEkS>mzx7La0yra?{ zMHgq{3}@m5XM(;fapHfVC#=c^FEcT+BtNkpv0iFNdEN$&xU$huMl4U4JTkl+y3m0e zJew-t!3Uu*@bdqJIlq{lzi#o*bH0!w52Yh;ozf+*Jo7N9TJTXAX@0U!w5%PKb|2U| zpyM3Sy&TXDW*?NUq3&G%bU&FQM>x8#7BW*tb4&pEe@f~ukH_tYM7!AeNQRz{(IPowX=TV{L z$CW*p-W!9{D1ZGmcWt6p>9O8ITk_Mr!47u=Fu=~>v@vgwEq8LU_KcLcP^`~OM5=;<5Z-}E=o@Y^s4pJxSApZ`w^-~nIss|+lsgmxxik{YC) zzVg0LqYLAg`}N(<9khcxwiOGajZPu6B_%kw}m;e2i9p!GAv_4*v}o4-4s*j z%z8v=w@lg79`w^gPc8^6!_BvF4m>UY4~yf%Ehcoah#Se!MB+u#jqc)92D?EznD-f+ zUUw#0FJJ9;>g7dK-44C^*QSdHwo-<2fK~XM$Z$nfGCuhx(M1=76-DT(Y^tTXUedzV zwZ2*`s~CL2TvNq@t>UqYi>7uEOO!8w2KsvHl?iseJi)Ew=|{3&5$({+*ZP&lWN55` zI5gG*lFtR4)#AsBx!UeW#N3BxYO@{@FFu?de-Q=TO!xBiC_A7%BEAROMo#lH6h?P$ z5!X~si@%Ridc4W#+%4kS$_KTNg&4JAwsyY|r5i?d|CKO|rc*D9#tm-GwN;$hFh=`g zvxwW6Ibi>0N`!pwRiWqWQFPTXg!p*lui~qqM{gbnTYbo{ zH#dpNRkOR_u?eLH{K1*|T9hDu`zNA(W1`61G(+3CS$H=6S{q*_KG-x<`{PEA?&XAKCuY*ylEh^d-#RgrjWIJxJb!yT zyQhJJk|()YodO$>4+mw}K$_m{S!U~aekY5aSra_XSG)U5;^3a(EjtXfghNi&X zmArrb8OpJZ4MwU@J6hz44LcbD_PDYO(A_0Ft>kDZJ8D5Z?ADdvxzcZsn;w%vnNYe^KomM0nvLeYYx#Q4~yL2cD zcutn9IdnVJuk4R(t;dNym;^6CxG9Ojr%veKYS4Mdt680rjPlA-V=*(H2-8{s#?!@vO_rjDo7eHD~rW>Dyu zC)9wV*fCG2v9IifWTeqq@MS#R>QZg8AQDPO=a%GCX{e$W3nBw-m?=b>L1bv+zUR_2 zV|IhXvfZSULP*po4Yf*xOKPZ%74JPaaS895*gM!fg3`eic;`Viq^aQjiM_)~>O*BA zw1p-sYc6HYA+5P$#m48yXZDWp(MC!rDkYVrq|RXo5oK1aIQ#s>xu%b75C&1vMpWKAZfxV|2q#^n1x{8ev1%ojEXAs0#A`244CS6}!pSUf z1?*nVJ#EOy??N!59=x;dL zZ-W!brh3fd$7(eUFMywm zRtru-MQbsP{6x{JMvwGHyxGv#(+F+kwx3#>)#!%XL}CsxCqvl)tYoN4$=?55rP`E{ zW6Fq68UZO1%Eo%EQL=l8vKXv^=@0M_J_vRU5JiaLq6QR&2#Oj|RGKh&T%ovD4dYt% zS5&fyT{?%JgPM2R`Sft5KP@3$xtPl?=C})ygxqM+R5rrXI4x{a=C}(eQTa-sKndhS zfX?aAD{|cVZ=3rwpR3K8&m%UZB6x&|9S{zTyPwB3$32guD1)*K?ZH!Mx`dIdMiEy| zo@0oXMzNjR@*KAgXXsoGA<^de@wpm2K4)hduZ;*Xn?meRTF)u1S^7V9f{&>?v!xmXm3i>HW3zefTI9eDb z{=NGbznBvd7#=>By1k0ur}&-V_eF`^S4XS5UF1$Dchk`ym{!d0#=%|k4s(tgP&CEh zJTVPZEuhceQ(>t!NOliFSWK-Eq1<6L?5VVN`gl zDHzKW)+{_>#pBksba6$`Y_kw>y$qNxR^$`bv|{n?o?#N zTAq@Vhvak+Pf3dtkW9|fRbppjs&MU%9Wt&6dD&of;JkSmI~n=ofxe34oK1djwTgAk z()_m)20}Y8#I8wt@_b2pN%EgBNzYr2oj*zWMdI_&?{yj{tB-IN?H;B z=@C4bm|Gc~J{}{>+%nTgyk`3N`B6y=-80Jc?Jg2`>>D{|f;qRAu0Rg=uz$PVURqU{ zUIk>RxT2A(cT{73G)=F>qI*;tZ|5}LV?RBmscE{ZzBba%Zx-f58A^c!|KY}^^d@*R3uMlzjQ!Y9Y!OUaQ zMRUh;aqIq+?mlci72YbbW`E*jXE!>hKPa_M++sCx=?@7g^VqSP_)4dwB^Qv){531Y zk^QM7>1v&;RNq2m{uDb>gm1c#b{Dyv9+7s>pym7>eU3X-RvHB6Q!c?V>BbFi=jj0~ zjm|9gm$o#s(?MVEK#og~gPt?NN!0MBc48`N=?FRLg)tP|bqBK!ES7av?0BQk$Q;w@ zEAEEVpDU+b`#})Nvh8Axv>}sd+b8@gt3SS%-;X5aMYbD*N z0!QHMLh;L{G|{m?cE~f!VC)-fhIpKip(+}Hs77BmnwVo>hEu%0lXzkdqxJoxRSfZS zV#CBd1rURW-SK}Irs*)7bTz%jOV7@gtBPV*DPwJ9xuhk79!qyekSbY`d(kX2VF#Ad zH!j#lFffG}_X;oFP|=T=N79e9C$PuZdX_fDyh<_shYf4McH~2CSc6TSHXdw;XlfqQ zzc*<>@j#YlkU_5mN&**%?*1WD+h}#;*T-n!P}moLVCFCW0tkx+g|?*{_=!mA`wuyR zcP$fl`Q5*u8%v(R_uPfyNmO3v(p<IS4j9Va1mB`1N@nMu&!947we@8iLoyn*&exz*BT1!B?>6WTl>Z)IK|4!zmyxGiBH#Gd=w<2n z4QP~HV3@T;;j&7u^9(tbPGA8Y#?`E?ii{-Rj3nv~L~@zcrSkd zb#E6m)vUMM0y~KVBLJ~pOXw@pav$Buan_2>zoD6pzmA!OY5$#GdGX`4+R&}&2DE7mZIbBXg7r5 z)#Ql|;Xia?-zU-CypVi5i8Js&u|#}%D0%2#2jfq1=1ebtf6DCX<^P;=!<6#xXX}-t z4g;PwWB3o4B96c-iyOwY?2OsmRvm@tL`R!Tyxvykp)1#mq4DIchH(<-?%NE5esVV+ z?O~!97=|14(Zz<5jkXkqZ=ilSUx4-`+SORRT`DnNJ45Jurbh%+XjP~F|hEa<)0pBwF(Uzh;igx!# z!#IQXD89g_;&FD1VI-ry0Y77)zkuK$kf1$^->=+^HlY;`qAf!EEZXSbVai40JN1L_ zz*7JV|9}jjEqxcBqRnZ8r`Rfverg!>?Bi?zYZvXa|1gYPvT#kQvnq5XNTBZtimj!d7uLxM{ zy<)}D!TsGH!{|jpPy}ca@SkS!z_nt>(Zs|A%p+498KPg?6_$w)j`p9M@StH_B_(O9 za+pq>%)k`86SOU-w~MsVe6IyIjMQJ%yMr1^3H@-}k1ufhl5kG%4C2kj`Qb`&?Vl2- z9j!8qUsD$xBP-PH1wBxNEs30UDa@z$S4duYY1K42I(0w%9WS{of3uPGW_G)c~9Ecf)8<80BI&uydyj;}+>y z6?GBsVc^+8!+0C#2qN1_e+k>;f zn5pZch?T0ze)Q4Ll0tnrrM{QY=SLs?KF!+a0@+F?I>d=TUl?-+r`cZ$?by`V@hAxe zC>h-vrlkBeN;r<^jjg6V0|zTXYrd`q7bkp}OPv1Fmk#G~vH7^ienRy5aJ0QZO!+X? zeoV~&@NRMBqlv=lyhy_g zzL+m~F<W%Rc~o+S>a0=|8dIH*?l3??g+nCGTN+NQ#h0g&?5~K6 zK21v5r)16d=Wy`_&mkyIvVTaQ+E;e5@soc2ZTNF$)@QyWhpRDody1W(CS`6WOm_uaB&n3oRBt_(6`PH>GxSCaJ8TW1&*|5r*imlGg~inoJhv#^d|M7zXy64Vzgl2i z=z!lN=Hmx48%d||I+9ZkfG?ivt{ZJ!BwruQ zQ92HgCHqPGOrgI3qzpMD#w||FM;0&P&#U?KcKXaL#k3=SvxM<}1)Up#$7@)GAG3+j z$7ABD(@FMStl>TS^n9f_&81+n8cMTS?07RmXAgKy7R{o_n;Gza3U{gXut+INQ@Hsu zD&CmTNB4eMmEwh{c>87IDa?DFKWFjh0{Tp;RI=s^sQBUqvRF%Jl2V>gz2>`={8$Tg z>?GOg$5pTS4k>eueG1%({VXywUn_pIXx}K6*?weyhKQ2SlI)Yj&d-ukW_FhuaH(-L zF98>ckHPbRi2ppv?&Hs$^qF!}@tbAdoDW|xPr6tR{^|UAAAP1g=#cu&?TD{8;LT?0 z&Gaa39ebsNS13OpQ&Rq4N?@VFcahZe7S(HRVeP7yzDA{Nq({t?BZ}YLD*vMRkF%d0 z?B~D1L#wXZFFP@H`EZCYzeq|MZ;p;yIc5dEgnI4QGtU}4;5*+d=gP!I9Z9&KCe9}M z%sc~5nsw$@`k=D)FNOOjNx&S7UScOS3=to9B#G9JWcv&{%Up^ld8EbMfcbI~g2f8= zDqkO0+J8e*4cT8Vw~PH>O%uJozN?}1>#24vE34s+vk7)_{GS8+Eh=8LU}e#wc@r~i zq0{l=U;li);f-%r+IyJTge;MHZkG7+n*{O9xoshM;v_M-$Iym(->$Zc+b$R?dVaSz z&J>wA!M1e%l4atFo@otdzPsGsknw{{!`07q#+X=s)e5{UXec+j+qJZxO(7Y_qOZo_A&9egy6$$^=+H%_KaAy|2htDdk3;6 z={&rxht^3ZAKrE~ktd{z^mn&C1k%|qNn4w2UE7Xm_Ax!o1?M`ltw)soG7at3Xk&|y cNVeK-o1&o0WP57c5hC9_Eqi|y*Zq+Cf4o%3Uv*n6CQW~=jbG&la=ppnt2N@D#E*cIAxesuKBcsz+Tdt7G4Wx?0 zyIw!m|KP8WzU+xs4W^4j+2ZWA=r2c3zWNDH{{7z^aWtFFrsJ1pElXz7`v>zfR~(*v z*E+TC;^lAz?UO1Jtr>14@LBFWJ6*O*$&vE&m9Zp%eCmn5hyU34faO!{&SeMor-uiQ zdoYHFUMgJw@1qNjZbL8)1&g6vFez<*G-)B?kRM_mRvJ=92wY?Q!Q$)P(L;s7V?-7T zaAl)YQ&W>5fKl@tz1jqGIm#g+!X5H4Q0`S;W98B0xk_yPy5zZA_d( zbJj%7eH$0mT-BfLmq!QT&l~dS+#*&@8?BnV8(K{$q|u?QOhrGOk}uY`$a=AHb39oB z+LP>Rt{Kf1z+(1;Miw`-=X6f?MJF%F^Y!g*(eyH?_ga0utx7L82HSrmwqf@@x2~1X zYHJ=5_d+hnui79AUnBVj$+t+pL-IY6ACO!@@*|R;fQX3f2QyWf1Ew0Q0)G&AnE^H; zzeEk9F?mKyI1!egfk=K1BvdO2$uDToUQiD!)Sz>h#?~?=O+s6q2w9Q3Y(XVhzzUj% zyiqe&t%7@rIB=bCpC}y65)PLsX^Qo5uwtEZP^U}Kf)XAc=;7h*rtmOJcw7eYFvA<% zS#@3FmR7eRV&s*FqSp|=Uqlt!kelhJsd0SU4sD#QYr!NC#|D z*ej`QKGgvm6?OtPwG@~02=;Cbdq+N17)+l?9mw{1&GVpi6%vq=R{n`C-OXy;;ZV2m z{kghlV^0#Q7q)$laL_h|tt*o*X8R8m(}k2w=jCu(@0ffOI)V?+EgXKK&T#Y_mVW_w zLDbn|0Lh72n5sOpoExBv#h<(N;;+R&M0<*r+zL+zids&DmkShuOb-u2ghD#11#`{c`aoiByI4tU2w8Go|#w0kV>Lc%)rNeFkpuo5R!W| zF4CT8$Dce5J5t^RlATI#5oVXJ))G?!r))sIKItXu)a}RD0>b!jGMbd$z@YGEFPM-K;3mn9c8@^T|8PpN3tV$Vb zE;*7x9HHe!BdO&K9E7M&QuJH~1ICPV9>hbTb2$3F3pP-V0v+3sILD=nxXlu9xMbMfjWZ8*g3^TYpPh*sWUvTW{CrOT8U^b z!BwmA4CpFQM73&@)VHn6VBnZ>zbfI|()kW^>kX@5S?D8Duimg01&Nt}R{a$x?vY)&S_gaF_{XvuT;hF>Zv)Ow4!mBe3}S!zz?eO^<$bhN4ftL&}Hq$;Vuz zy%Rq9I@{$#5s(YG8rcN)dzG#b(YhCA_rsNlgbR9Ck=BDpbrlASnd}MgGT7Ha*&mVx z-NI>&xq+~NkDcg9mneZC3wY*4xCs|-WZ_+&irtA-9z^(<@#A9&p#V~YZwn$LNys^ayZBf__?Yp*@pWkU nco5-Z#*dFBb@6T0@ZsgGJPc?F5=*=r60fE1N}8#C+5HUZd~HOrap(dX(7XS6o%=+;Ezq}~f|GZ=!9nh+OL2~Egdz$=j)o3T4el|pH-P#xL! z#-V|Se|_wgK(uVgs1BRexhtt(4jg^$6Ab?S-&}FZG)*IWe$KXK(bzpymZj>*)Vubv zRp%}wl4ze)u~4dsc7l-Qu9Gu$rFFsDK(Be8z19Tt5#^8&iHv+4l>0od>H1jyR3p85RsPg%^3^0x{Rt>BwjH8XbIwA| zU2B)rTsB}1$b&=h?@f8|;u2OZ2d!G$+giz@qs=;@`$Vfl}y*KEJ<_LcHEWz3V} ze%L6!KXCRWFL!q@wH2DQ}+6n3jk2UDrqrg^BQzW$HsURy-l`Yr_7C=E^$eR^o z%_g{)$OFfTHYb+{L*n5B^u-{G2g@S1gNPQ{DiH{GE4+CD{?x?F0 ze{1zCB28YoD|*%Vd=X7>3As6cCy$7`U2AQ3s3+(q%|MN?Q3R)>JpkafQRah3Dt;-nG4X z*uAhGa)g~WdEB~-M%5hHQ#C3DX_V!Np*Bpt1r71Lmlk$E6VV;~Y6#w^^mweUW;hyT=>HM5z6-=FeDxz|d zwJ010F|CHPG8?32zsfxZz^GVlk#u1dB^Q-y`?TbK6eojNeqv2pkd|zl($WVl(RuF_ ze66@Qaz80qU&%zJ+CC|{Uu$%6{KSe*Ny$CxwE6(UW8gi7;63ENFZ_h`6&6iM)}l}t z#Im_)I+j%4(Ex*BNjh}EP#AbjvkFZNon-Do=2pEq>dL|K*}D64KMIUN)W&h0o|Lu6 z)>~YqL+Nn6jkB@aQW__Nn5UI!~>4O>^k?<3k zuSm?fS9fKoS~QOYKevP$Xw)@XQ7s%-z)i#jd~8KWs>BlrvVf;G(#`pFlM7$VK2z2q zrVK*FLJbO2?nHbTgqgBLcwYp$3H?cOrfa!u(hg$!~+g zkA7qD`LTrfF$mEKH7NYJ6Y*mZ=Essqej62j`16O{uR&3R9AHWC1_^53YXmfV;HTUF E0pO4hCIA2c diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/alu.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/alu.vdb index dbb642b67f62c3b28f647bbbc6e65ef61d0a13ff..a86cd06ae9b0a7493e99134fd366907393227eb9 100644 GIT binary patch delta 2476 zcma)8Yiv|S6uz^Mw!3Y2xBIx=XLq;zXrak2U@?_em_Gb_ z6Ho#o`69*yl?5S%h=Cw#Vlc!X8WSJ*fIkMTiHV6ZDk9Mzkb2H^?{-_!ILVzmbIy0Z zIcLt9x%a~I@%w%5^-;4;(++CX=XdVfyL)U0jUzM;(pZ4dTJ;3~nNyoks|25{%vkY&x#4BW*8oH{ztIX0u<0S@^U}SPqlfQm&;Mqv8Yf(}|KG$8 zhr@=Yu2!px4I{2Kc#9-V_=&@Tin=Z6ai%cp@jK0h=MM3s3PBUN%@e{Vj}2#?HoWV0 z>rYovJiE!+o}z>Fq7X8kbU6%dE{EGdOb~>d+>a+cjm1qr=!s&JqXKh1Iu>|>(~SQr zGoCah<1sQG#-5y#(O|V4F8*&9eX@cNE*b9mwiBcDo%gd9xT#TI-dz z2%oAOpxYZqg~ug&QAl~&ExSQVq2+vjwCi@P_Sigzc*^()8`lX{Ka=_7ie@4o<~XhR zMfc;9Cxw>!G}hPK?3?Q-7Ct|HX!iy&-yaW@#X`qf#iFv_N9B-l^I0s3IeE*m{mPt=6*lif$PB(!jUZc zLpE^_R)#`IH85gd#EUcax8mCfFEbJ8QZlwW)6juG!zt*|06L6TT#CFy*Td=pgHcxm4*}^jt?Q6v|%)^3yfaI=X- zIp2Wd+nVr0##4AZkwzw2ix-LWMk0!HaT`*}D3y2vCX&s>+?-o{ke>wFNs$!MsAp0?T~ z=c154S0Rfe^ioZZ@m-_2XZn~|tY0MAlb@1d>`ik9Po*a_xHs*u|L0B3hjLI=gn{nF zu5{#Ik(9pf<>UolEJD6P*2ZjtKj0N*0z#-X-o5KW-)-nEiEw_B!dh|ITDUceGeDXOf8wApMY6^ E15r;!u>b%7 delta 2217 zcmai0Z){Ul6o2=%8+~2-+P=20uPt5sw*G@9Z0blz9hjdo&s2yIsP4CylDADjk5k?eypFEvi9e!-875qcCnO z%DGOI=X}gK>OEQ3i55>L@{6BbzYxREVki=*3qzhl=2sFGE=I<83Re=D;8Jw;Me!=1HM#$ILrquI#V(hJ9tklSCFWbw%6ueAk z(TkCWIF5MZb$i$zehKHii-X75F@AX(vQ$Fy4e{VEwu@hxHY}5d=Nqh&TkHur$fXju zi1}zWhZ#FYZXJGABt1l!WYMCr)2|d0Aqdu$Eb@K};fW zpfd&0i4t0j`&kKthKU<~O}~q*HJ&xhu09V1f4hcDBy(@>8J1eE{|kf_x=#5F=m}a( zh|Q{I2?zB=@othcqRbE~a&41(g1G+B(=?7`BWMlOOV(Mr&I+qB|#UWDMZ5t{)$GWT%%`wc-hR;c+f03VSH0Hk<0D_ zT?^s)lnGs4@>-bM+x_6N1?Y|Bu|H;Dn`zWlXwfRpnfY4xe%y?8V#2gy|2}HsGU>w`?7qD_dxV)3t=o6P-^_E!)5wMW7@Ng=J z;iL$t8LO(5pqG630eqO8Pu~0H3Z`Xq1=H00@3|dlPvbsmM=Ib;O6{4t+&2 zo=bVBk1*_fFCHp1tX*Bj&`UPPk?(Q$ipDG?Y6muTVLQ^SJ%+`FG$sn6o;B4VOnxgJ zNyc-8>C~wEjIW~@j!B-wH8@j@Cma>=$wyx3DowT1<>EmX{Xw|V(lUpZBL1KJ15r^I A<^TWy diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/pipeline.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/pipeline.vdb index 7438a48e056e38a5fdaf5396c9dd8b9ace9281b0..822ff0aaf143bb0c076eafb20a49a53b1f80e08f 100644 GIT binary patch literal 33608 zcmb_l36Nb!b^U)fX(TU_4A^nm*w~O5V*|FqtP^^Wzpatc48%ZE29l9Rc1eTTcbnyp zG(@t?8b?tKvW?Bm$TnUIO2`Im3`8VV0TPl_v2RI{gni#rS)F@M_v!BU`~5#NNq$-V z-|2h%_UYTVyWfAWd%WSQ)B1-SjroRs+_$bit$+0wUjL@;K+VSKr#IYBKk?a*x{1c& zHI3sow#KK&caB~r;_OXfi1-D~t)6~w%9rHUjP3^eh!Q1xFKM?N`3vi4=t?TJT zXPWwnR@yonI#0}C6|^%6dz1;SxLnrJ(H*cs?(UJ9v4j0!#BqrbWQ!0daL8#4oE2LpZET75?lWM=Jf z2(@g&(Pwb4TC;ON>M_Pv#7W*$a@BEI)3tHy}t%1#>lj8$7?>~H7 zy`FU~+txQR*7x3<>UEx^8s}zr_s`9qP>IH7Bh>h0)i}L#a`S*CH&^ufO%EUUA>ZJS z*q3_%$%9BfisT_AAG4(4-jcbk;XVvOD0s3-lzLggK(p7FjJp&7L9xd3K zb5s&KMPS|3-BfPjZ5dd_J~UrH2@cOCLC~Ou)h2|O6%*ixeswQI zG4PXw`~(U*MMlO?g~&pnzKZdOR^Igcr!hH@(m?SO?O6zZ5+;{!n z>*w~(9-6sp@zBEDtj{?mi9TP2GV!{k}-xQVT=eA zAyH&xF``0@5rHyBBr&r5(1K!Et^z+cDvnY~(%4K_`6!heVF4aVqd*e2P@rf~k&(4f zh1f!Y)6|YQN+k(`I7;IvKtw;=q=@Lg)_7m*wk&XbBqKj+g-90k9XJqfS?L!M| zXHOWJJ(1VvS>rkbbC_$}b3(y^Q8&>V*zYE)Aru4CNpByPv-H%8Jq!l6xFyY9)ZEZr z^Wva6w9Q7@&dH%|hwbZdz12%(b%s{g*IG55hWi1=-mv=-0`A91euCtuNPdRo=SY5m zf?s{Aq2q=hN?OdflRw`s7k^>7AWGj$Y2}&a-%{FWPx(1N`fZhLkk+J z&N2|d;KwFMehzu|kNgA*Iz>jtPld=&pyVe>BYZ0S6tv*S-iZ7pAwPkFPLYxEQz7yb zDEUcJ%TI#^{1_;apCsfbP|ztdGJYyVegY*wNox6Nh=LzunEcunnUbUlI9=sa@kM60 zN!v|70OAdaBy6ET(V!wDYoQ9Ug#u*@C8=#;E91wp8Tm=#+SXiwf=-c<@lzr46Davf zQp-ffVcCUMX58VmrZt}VZd+0tt=r-?eJ|ny3&DPu(1dV~w>Cw$ds=pcI zUBT}Ky>J8FdTtZf?iWdCPeSr!Bk_jm|`MXbgO2aHA5 z5NB&i80!K>Xcrk-tg8@XU7#OrvQLV2NqoLZ@Kj5yu|g31*!aj#67mx$=oA?lKNTWB zfs&sjwfq$J;KzQ6{3Ibifr3tvk?~U@@)IceNm9#CLk;{GGLfGo zQ1X+clV7|ez>n|CL!{Ub{i7pUbW%cz2|qUTFS$__O$0_uQT=G4Y)dasRDy%%%D+ctglnVZPUvF zjkWjgHO27w;og%>eTl~pf0(>G(d+TUA4zYC#}AXzTjKG<)}XiaXMHiUWYrFVfO|Mxi=zt6OuP0c?*)Okz9l1tw`QxiNE1d zA2;0VAqaMG!=p~bP^R6uTu8#9EKrQxA|o5hD#W2IP!45Dj4VI2pc@_q5BRakk)I^w zCs5ESGBSQDM1BG#KS^r&OprBJ^Wc*Z!`~*sVlGO6k5CuQRF!{ADG9^h%c)H3La}8fu-~fn=r6g>jK+&Kg zBWs}wv4sL<3ni&-;daYyQquHeGxC#!`~(U*MMlO?g~(5! z8&{6m&Bm2Cu_FIFINd`?4Xf9^dvUXI?@2us?PkO59azeq-E5fJ!8$dp@>d0R{detY z_dor_b>>HNYUP2*8a@ztMNn+Eo9i-O*#m}GN@G`ZL%qhUg2w8d7h?8n8y zdi__+;zIMhibi~R@EU2%H6QHGtKHofmq8M zg2q~VQE_d1&viFkf7c(|^{IA!vRyx_-tHSisWlU=i$=#U-L_@tC2J;bw98xU^4*m( zcszrT`_Pc3Yurcd%RPYPK_nkV@(_}bA^A9xPayeoBu6dr3%8f);#57x=N=k)I^wCs5ESGBSQDM1BG# zKS?~h4t|Pl@MD)mev*)%KtZR-$oQ!c`3aQ#B&p@6u>^jMmN=bDLVf}TogyRSr$Xc> zQ1X+cmY+s4_%V>luWgYjNm}O9l^-L11B6C8EN~P=3zDRA=_kR+8$ zSGfhv6yU(|7cEGV%B8E^f@Ts};NXoGBuVAcRc=AE3M^pcyLjs)Nh+7Ff`!V`b#?H^ zT3Z}O_ADNnv6X4)?_4;n>lM1cDB)?;9%9bCy+;5ln)h$B!J+3195qs}m{fOwP;{vs0Pm3A z5)p~^@~8D|&|KoDwQ1Swc`S&l+|MvNevaf9NPdar zS4e)1B0kcy0KWJL?MbjFIn37_;% zTWUVvB>6jS^%(fEjgg-uOG zVBbXxlB9C!Dz~8Mf(3Sbv>-_;m#%UPiac0A)TH@U5_(ynaB7i}d0B<%Wr5Pml5~1G z&aapr-epp(1IO4e3Y#9n-LUXo3=>0_ucR< zvbW^j@Oz}W%lIECnmCrT`by#3* z#k);O*g}D#K}AN^LKR{Q1zSChhB1XLsJ|t~g;}-D7v1V#3`_^?@yzJJhi`KG>n%!e(0&?>v@Jblq{~YbX%5&jH#%U;TNjIm zRC8;y+cb4T)7J2~x0P+#l!!EwtTuk8tY?Z&;QS%-W$6k0Pq z(VE=GW0%>b3EerFmb;UYAdtQCizIPNi~7+17OCVpoW1$g1<4)aIo+| zZb1O2ym{1nvp?Mn816lO+@?T0 zZOilBuvtjH5LrExTM)pZ_=z!pHXG%Y-w`h}jF&@^&Bt zg-6{TqTy(;wF(D;ZKcNdBW$gneUmC?@M<#q((;~Sn<_QwEWNpg4)Tho!cS43NX zpW6}`^!tdJ@(40JT~Z4SLWF|>uhAs-szL+x;7z)P={g*#- z1!j_ynSe#K-4`Npw_2ZhW)hN_K*>y!$P5+&voGb$1ct(4M*rmxTeXduEA<$<>H8*Yb$ADl%`T8?k`u2ZO%TEHFZN3?- z4IT1#5B!RE50bjaaNT1ZE3bM|C7oK)xdzNI{}imVpn%1`t&F^Tc!Ra7Q&!IT|D0P9 z;9}3jpGat1b&_dklO505rGq-|HPM6rlG_uo2}A2OPY}SKWLb2|c+ zwU(z`U`JBx5VM2C-52eAFSjGmvDR|WJ1j{KKVoM#Qg}=)71oqn-Gr$9i0-@5*3WZW0?oPRoZo~BTguHh8)DO;`+l_Yi`ARfa%m-O za=gyI-4COcU*}c?I(E0mo$h|4DlAL0y2;URcXU6F)_;>*7pUxlj~n9s7FO7nUJu*c z&(3l5Z=r^vyZkD`uegdx>L$}@QeNkF%e41W8~+gB|DLx%fJ+DyzZnp$Gg&#G{YP#^ zfJ+Dyzhw_rB-83)J7jnHb;RbP??u1ng>h1^(VPmJvQ1S8FvTXOg26l=;W_BwIflQ!uf z&IWSE0@-sSZrlM|$twH0M#=b$*#5!Xnn1^nsNH_E4Qxuo`?2umP;O0tJEo@mh8WmT zzP6_M9cu0|k<+T&ia@-Z=C&BvDJ1D`dUb9=AjT@U#lS)#NwGSdTM*C|thg6zv)>>C zThi&=7MJ$Oxii^9?zdu`924poy2sB*{E9P@q;6k|66JMldCB&_X!8Xk<=DIp0@;kj z4H@7rnIC7xXge;qBf#m1iQhN@JCfyl{L*$m_t@CO$LAIWDzg$dJHV!-VX%d-Fy_$8 zb-68p*w@^Y06T>w_4WGPfHA6k<`4H|3LP?MK|Pj1S+!4h)6kKGXVpZ|p<5MI;sWxaoMizf||^2d}w@nlf~K4lO%&1%3WJ(-c@Brm8u zS(L0&(V*WDz|_B`ZfBg}x0?M}Cq%4^fpshS%gZXnP7)|PNfP7058s5Z zZaAA=BeABtrGjqHko~c9_w)?+4(uTGjs7k9}4#m zpKd-peb~LQ!-u~{)1GQSis;SD)#d@dwBcJ4KH2Ac4!S@pbb-K%T%ba9fj}8XlGM3C zGVg+f-~u7JK)9<55JoRi!~6NXmya9y)Rt|Qlx-GRQJYnWZ5AloEJtJV5`J~HF8E6=K5$%7#l)*Ko;v!xKWoh1782t_?@1U!yh; z@}V4`sqtYJpNsLq6B{hO?z3pa{i__gS4R8!EP_8m_$+~rl$3S_R^&((q9X-LM@mxX zNXfh-6M`d!gzHqc-{FY^e*p7WApIpNO$w~YUn)d@ z36%blq|RTGd4DAYe+kK7!d?A!E*d$3WET>+;O^uCya(kkGrl;a3nZlr1Xkn%6`~6S ztRjBWEu|zuP+4gu^DamTE)bFnguA)`ZNA5933n@a(aOdtZ>f-Ze=3U&X7rNsXh>j1 zUQ!`?NuczSBz0br%zG&zcu7cJ60Y8Jb==c^Ahi_R&e%BRb@vkQ>7-V*57!?~MzJG~ zMwQpys1H?bue2La?5d&bl}AGYD{{RG(e(nQ>m{jky=30?3BmP3a=mcR06mhtgm;>3 zsq)xTffcn>h1gPovZa#LwNx_S(uB}bA+=PvTT375-iNk*49TaF`~t}tt1WRzwjy~8 zk~t)cR>e6sYB_KXw==9a3+21&MxT3Xrs#jY$&5YM$~QH+N15iip^7R%Ws($+V%#^^ zfn=t#X7}R4!XiGqvrGPH8tgm!c>Di8&Ef~Z>5sGol|E6Gq8@=B^+;vS?uArOybSd` zUiBPD7humDeCJ&tRKL%{d;9!Q!V1yb!t!?cMyKJuEk$3Ika;_Qt<8pCpoYT>%K-3? z)Zm}WW31Er-%;f5$I8mRIDEsV}HlXP|sPZA7Y0;HLpmZM@3RuZimN; zF3c+u=uweW%w3DVNr+%wh88^`wFrajX`v3^-9o+IWBd4eW+Kac;>1 zu$*RM)Tt5wixw%=mW;Y*RiaL)aGT9tSUGS&$Ns~Iq=b9D32OM+OsYP-g^Cx945sIV zYX0w_ZKP$b<}rJk>!f53OKU;A>^|2yQAJGxJ!_KE^5Q#-yV1NVfu2=K303)zO+)cA zH0ty;4aLZNZeEo@&#I(^$WB%1GF0`LnyNPDRSER0N=oReSXI0XRh>~&)kS$#0zIpe z5{8kli}QH63{{<(s=_?p3bpt^7h39lu^(TXLRpP3;coBt!`PCVuTrRfY)Q)TE6~#k zQbN~8C&bI(gm|08yQHy-4}{A1LB7?`>u9xb-Oho~VyQIwLrJLmLkVk?By6of&(=yQ zn>n+~(Av0kv$b1dYw@ICsB*&|=FjJMv{blr&vIy`#J-h6Wh*7&d>KL8$OvFUue>%+ zGoMtlR%Vw)gX0{ba&)c0vg)ze;RlnEE<-&hpB(P${oVEpDxMYU^z5DbVe^s>_X>AA zP!ilMHGdN%l#$CW!%Yx*BEY0g*GB-?3v_)i=eqzQBh^a7+~t)YH!lrsV?`05A_4fm z@^U-%u~gWxoXPzCsJ{%pKmK(6qH1igctz_}j(sf9bsJu4kW|YC9qT*xWwB$2tr{U# zBT&3nm4H9I1x{=DPkII>$w`v<<57O9ne2!V;mVoE3h)OY8~q&VQuhK$@JxY;o)pB}X7bfYcju;W<5odqS8Bg-?0vzkT#D0<% zszyG>k|gYe2+#=vJvu=u%M6b=NpBBrVWknEQh^?oN@b-zuX5~pfo1f(RIB~Np7*oH z6|w6vYYJ7=Lm%$w=tJS&haN(YO4+XmLfImaU3RVq(x$^BfWrlPI9w_#b-2pW;R4HW zxKyjbnuglW=x;PYsAxa~e+uJd(>T+vtO!zveRt^(5KGei;WTgLq*m!tntp6%`cO2D z#X}M{USLH}bXAB8i-65H{G^3Nk|2mLSKgD67{XJY#oghH!9n_uvqJLB?VyisVtgPi fiEEn~ffdnLA<`G%1Z9;b`jT|eht7tpPV4_4v#1|r literal 32337 zcmb_l3$&d@bw205lA9nT;n^$@#1@f<3Me3W+qouJbA!3D)oMl1o7@nS%fs5WbhTRR zNpfjIBsYx_Wv*+7;_RReMKQkxGt~{V}(; zad=VV*cBsVlVh8QuNYnJ#zrD*gU>z|E5(Fn|6P6-=6*W+jskWKE8j`=;n1Jlf!E!#;;g= z%dSnMYepwGUGvDU$ka~Kz9hh0TdSZC>+TqDf7rbx7nwLI)&ASt8V0>h9_2i~o-aqrU-4oiXiQfp&3+izJtZjI$V)&G=TU13+fZU)&*``kM+*e0;g zSne)MnpIBI?PsCOO#EakZH)k#=VWA+Xk{FFnhCYIoY&R>ZDfPk-HDm9r=GH!9dO7= z0f$K9hy>~qp=R+`=A0No@xodZwW=s;ZL0G$p0X;RVcfF}3O(VYvN`{N>ABpEnu4=~ zf}ZswJx9>^rtNSDv?Fl%0NeW6LCo5DOYey%x3~548k9OmQU_*cdoQ}+!nTMAQs)M# zzSYCyV|};pIlQl4?z|w^vv#!SuG{Km&R31oQ`>r{r;c~;sl;HY(Sn3t>YLm=z8cBx z6}jHV^Gv{Of6yEJuzk4?Bl!rDN059J$;T{dxZla#)^HDj5ehChjy#3nq@rIok22uI z8Qd~;)2{79);rUcx31x!L0*IgqKF#AN#)X2u0bA#2AU8xh?B~tt6YP84-K?GY7i%t zOINuDwG1@SdDJjy_K8EMh*&Z)KDlc2+B6Ur8Emqqo5(f1JtG#d4b9ijg~M}BU^J*< zp)uiQ#RTx7UEM2D4EV$$J`n|+A|u16LPQ~=#3xQWKJ{{EVHtb)qOswL@sVqXUoyHP zUG6o==%pM=-G^{x9s1D=Oru%T7_d-x>qq!?-4+&sN&>cEzRvj=9Tr+m(-Ddz+$VpUg$kX{ZBF9=HdCRVQ=MzSXZ zgmEi$){BLxep(4qmjtPWFmZCz+7VskX7=>NuKjuQO{3R+5ia@?k}o5JeOcBu+!w$I zl86yOMvSmzjA3yYBO;2BC^E7bQ6a{Nh%!dRF|hnlgJM{&0zMiQd#O07Z>Fofm&%RM z0FR_zAPy}QQ5aNYWEQFrEfjIO?1;TooWO{^H1+~S^kv3HME8u0^^EMx0>?)(g7if} zWbxFtp{eadJ8o(nm{~k^{Ls|NytY131m++Eb0`$-8+L0)`u4cB)ewq-`OA`90lB>$ z<$gtSs~~r4M>!Yd`giXdnx5+4ecI6U37KVCmlzI`oPEnXt;UnGL>yWuqA;k)$ShPLS}3BlP@Gx|HD~}I`)0%^4)KX7 z;1n4dJ{2N95hXrxYVobKTtx_njfhVi;uBH8DKavADnxuDN_^tf;v32EVMI$)tvIe_ z;Uc1dQ)FcLREYRQl=#GH#}}ts%%k>4KMu~!?l>?rb<>X7cx5+>&Rl#re?Ihc=;}~t zVA$dIZeS0-I^5u0A=wpPc5fHimq~Vmmwl*^3%=N5_Ih-|*z{Y9!U? zz&O*}D-*gP+!S|sj(xeLy56Y%YLMy~Ue~kdLu@m>BZ>3zuSsUbx}LqIjBy+8tN}|0 z-P!i#o{i)jBWyQnIE+XUMF18V zSwyN3BT_^!EU`~|L=(s78wbztnllwBz(XoL;Xqfq48t{3MWap)rv zg-eQz%ttCjABiY^Bu=f5G`IjCMujx*h(mlL3OGeZhEIivPeh4NoOXP1-oYc@tBi~J z+aAM4R@-CPNIp2H7Zwu3Q`6KoJT+C``jvuxE1+-hT>AP}LG0E}Vy_P97HqW%(JEfB z?4}(TcB)#!gIl+^rl)qA_~0^JEuX7E|Cw80)R{}`1Ti_kCcs#HXom@ghulM_nD`tI zxmPCduI=`a`&!A(@sN9!f4QR`38HO^*1)AwCfWoFXH`r$WRhqQoaoEj|S; z@Ub-_K5>XoL;(d*{+;Hyb8)Yn>Qo`Aq>`@4@Y@-b+tjVtzERHILn!gJ84OT$16+ z9(gAvv9-CZUgFvyv2gR)up670TxAz~aB*wB{B_be)4ZS}5g%u-m&A1Q>Av%aO#xBj z21)E{o>njMMoHY;ytrQCHzl#9SzV5fwY(`vEL=G}cE$QNn_r5HJM7}U6^%XiS{xsD zj|HsF*zZT&9z=2&)90OhPxMxpl7+Yq#6kQfqLVJE)M&H zh$8%p40_zZmR2G52N7j|5C_(T+Nii`}O3K5@(5}!D=_|zGIkAV`$V{wR2L;Xt_lt808xWDsa(3sHK;2?1A9-@AWkZmu5u0P>d?Th z7d42J%B8DZgN6cVK)-buP}wx%q;lyhXsFB_Z#F+;=2&cF^U(I$16yojSbXs0CAePN zX?jqhMHpi@jZWC;Z@WqrB>-D+Q)~Oq>7f~XFMs;b z1>Ok1qW>wA;j({4c$XL6dD?u0w*<{g=OcV?5WaX0;Xz;?+I{oE-^bN*T%DBTehj!r z%`3khj67z|=o)jP8(nFe?u@t-ZUpg&3AWFb3%KZM+jiJ+b1n0}RdRDY0lzKC&HZHi zyFs%1lkMB3Z_X#%-;?B=Pqyy}l5;%SnpDF*hUsj9`#O5Z6G*;+L~&pkKGdK&PYn!wG&JH9 zhxkMkaEgo!p9&G5h!UST9$gzg1s3qJ4I(~qh)+ZTr^v|gsSxprDDjC?i%;PUd<+lb zYgx;SlSa^Vm5;^>b!cF0aXcS14dT#35rsiTMrNT3(LxcWh2qp&s162v4Eu;r9O4sE zz$r2^d@4kIB1(MX)Z$aO20rx2^pqtI@rfwl6d4&l6(T+nB|dT5@m1!^ZN|l1+20zn zZDY10<>noyva0syED2(qvXLFzOfaUW&7A3xOrrf;sdHIJol~LmfejPX`Ot&ZnKmgW zoi_&2g;=|UFfR6Vgdp)_u=fm0i6~;kVGy^^S!~gYvEj8NSD?_My|}!iwg{%X?E%=L zYbM4`9Ci82J5iH0eEU@AckAv5#pc>-cT&oe_AjO@1FkKhqjC4n2#C;@B$=dzOfzBNZ80&r%`wED^wjPg)Wc$LAX-UlLV|0UvFQ z_{1ST5e1wgBg3ac#3!P}Cr&Ls#R%}x{c&y(C(Q`yDxVt^LD0aqiyFj9<ZiydJ)DjK%d@w?3j3{z6Vu{*fj}?cpB%%nN zA|s0>6=E!j0499Wh$4>9H%>mHsDXixhDLni5TA$wPLYw}Qz7CLQQ{M)7M}tO_}B&! zpE$%PqJUFmWcXBw_(YWW#Hq!na0WhxM;vX$Nn=d9%10Z8Iy5l0;@ze=v`|E0P?3>Y zs6w<*L}{TowHB&_0UyIY;uDAXL=pE$Mn)UAOJJu;2f;t-#R0#1>U z;Zq^v6H($5ryXBqw7$c*7_FZ+yJP#V*#pzNwzPI^Z$)aUQA-Sc`z_rfzP)%~DBN$W z{=0YepK$!p?&{|5xOpu~+7Z4E?o-VptKEveTW)uI z>utF!lUoJ34l5n|gIvG8+MC|efAWPFJ-u9MFd18;M@TGk*C6^fB6$OnO-ME)nM86e zlIxIMkK_hR{N$m)umyiGsH~rdl;m|=sH#;S$3h7*(nS=3U1VgDu0o7-5rBwKnoGp- z`NqlT5;YV~+O*jnkxGV0MHIk_j0~v?5vhn0sW`Pr6)G4r*d!63IK(HSfKy~-_*97a zM3nf%sl}%d1wKYg#3v5%i74O{85uqmB0do%K5=UC?YCTvWx$6(_G50#?sxVh&;HU} zm#)IB7e~djP5$m6Z#SZ&6Sfj--8&6H=@DIGxWC*nibmNoUg3$+aWn>v^^=yELXd zFOzb6899tbmBd-%^&>hId#Xtv2|6hzB0K@ zh?k#zG%%m-HwD!6P_9WtuRlM$%^y{T9&sv-T_M~wOsCF=bDbjMkxCxoh0cQWxrpk+ zxdst9`Mh@2AHYR<<+sJl7RJjTL^L1Cb&2q&t#Rbq9|?xO$V*|mn_76D*&!M}9&|0h zX-}J}@qL2L)t1dT5Z@h4aiF|T$3^o4q&jYiHvXd=w}|)-YuF#b1a9#7Qs*mT4nnuIRN@Py)+`wm-{XG!VDKMwi^X1HYKzaFtndQn{W zQ1YVks^=Ng*+!jygXjJvM=QcV+T&28KM^h-|86t@-6bH=^5dB$>NQ7;~ zF+@oGsW%`JkBCGRh{T|eM6mT>bv)fFP5{)%ee~x!A`yClf-|B1q+81{iJJy~%>Zr> zdn|nZ7r8zWG3t3L4Y~?Wiu%9IHHfgc_c%|=)Spa)7I7`gY~M5zch!IuXY2n}u1AEu zv4)23`gp&j=Dab>k`rLxA8LN zIa3Es;#y!BCM53l)WZX(?9YD_v>m%?!hT6+Waa1t`*kEA46omO|CY3S0*&Q6h4SL* zs&vk3R;P5(s(%}5A~F#=XXTGu`O`ayUGXczZLalwJW8TRiRcA7`=t04$LAXdjT3s* z-&tnt<01yasV@KJ&+P!2_(Ue6&A}d-nCw|1N@U_hWN4y*>@zts5d+~2ng8-9c7RNL zA`@W|ZTE#p+)isVk4#J=6Hy`)CnAG}fb6q5G7)xS?LL1(r)7AQ+s9i|ByJbNhCS5PJt?pMB$YY-8~8lI#9ih`5I znlI)WMBtR%@H&5v2IZ9>k6nc07jB&W5&h#!xgHTcc9L$zI)B~;dX%pN7C2_%)>Fq> zOmVOC)u3tMfS;rJ73XMi-9pYTbdd6@$1&2;3~k;A&adS-MOcK{{KGk#8~TAY(OD6Jgy_vm&?2L!Wpx9)xvY&-I9?%w^or z4o%_~PbIbkh-3DNSUJ(iZn4=P58dqZP3Tf?zNHUa``nkJmLKF=L^O9b zclphl(4t&w(Oy6Mc3+NKewb?!(Y&{LuivlwlEkDY&h-hwUZt*#+}z9Uw{b$JWU8A8{dPk4HR|P#Y)1J{gZ6=gevIc= z9OK1xD^sj1uYJp5+JC6cZ-|he<>*ClfM?=2!~u22D@U83=UPN?fM?>jtwD=;8ryA` z=vF_<+eq=n=+l4B^@*sA&fI7QjXAew%=wpGlZZGXKWQ~`yeT+oME=)YgNVwA%q?NS zl5w$rh`Wf~;svIF_d@@cYZ6fzleu{dnldglaqp0OTGaIKxh4^9qcS&IL08VVl@o|z z0p%EK<3316+J6L{3#>wJuCcc!_IdP?@G9N z1!%>q>}}#ECwE-5|G#o=BHDHZZSxyWpi>gwkA*k?JJ%+HyM`wGrV!{*zP4xiU0Uwg zi0P?Zi->rS%B>;LQ*hEfDjrVkpN~ipF;=-X1R4rXiq&SWL4>v;#r;UD{U#CUl1%&7 zu(VIiEun?nbHq653v~?K??)tl#Suwd_ofsj%4^&9k?jG|1_VS(e{O?_Y((Ow1Yj4> z_p_q64di-6WMdLH4?vH2`98O_<;^XPExaJtD55edals!t#SNV;e1$#-D;MUvM8vk{ z!aVd8oYdBXxdsuHafyrb&?2sRF@H$(qtc>WkBG{s#6@)I5!bwEdfJ}R7Uz0Iz;ON! zmw)%cdYXGt7-?4ojXj%J^?dZ!Mi_^b>uyRBro6Z~U0tdsrA1G8 z|7AH=5n-vC3)X)15>MOWa+(lPyj>H6Pue0*H^}&;w-e%=;u)2i3J{O#Mo2 zE5ii8)x0^X)sz;^v3BkkeA{)^%%8Qo`op3+me&2MajvOj%&*D4F2XOn+x`8pUxJ0E z$g6u-Cno(QA}-3?(!5{2ZqrlVv#4lAPgt_Ib$h@3ooneZ&Wz<&iRiOea4VSj0T+Z4E#=oe*MhDqEmYew8~fc*#3B36# ztBT(2Zij>-ipL>GuC!$=(3-{u1GybBTq7l@JzM0a!n41cu@ z@8w%mz6|8MP}(dmZ5DA8P10mkuEbDvuLW^&r_=3L;@F>9zWOgay5}pW?YV=3&f=hL>!e1RERDRQMy2!Iv0rNU63fdityzE zaXY#IHs2$gn_Rwhtt@Y@kU5yjVv`lUq&zATaa3MXA$mzf=_PUMyd<9YQlj7`QSy@L z>OEK6J>7lDQf$4VamwrLCEn9Xtg0Wb*S2guo`qxt$<;`H3(2fi@r!m>%o}5)TN$mDg>ogi z(c@l_3Hqlusj>H3`Nkf1l1ctjsG>@sGI5GmG49DFhG#kxS+s3-W@Z+jZP_Isn)-Xr zInMrro2gzaa>j|4pwhEcDe4i?r5=ea+BTEwiI<_CXRDrL>4Im4I=l-+)$d;L-aaRk zXasMImbc3lo#1T=`l>{kxAR438h)+}hZp8Sz&{d$e=4uBQaeZ_bAEQ&xgE|E9MmJC zOFa^?c9@Or9WO&YXQy_E4Ss%Jk%%r8No2ka9xHlIUXh3{6-mV0HS0}61nV+bbZ)W; zo$I-w4&U6Ox~($%__{EZQ+$c;zS+t^n3|K zgUWRAokZpr4XO959EUU!^Qvb)qs+1Y9~H&1B@4iEn2Av*BmNf_Db(hSx{E4NC#rCp zja`^IE^do8(cM;pLZnI5hqtI=fsw&!g*8tWuv4oh=EyzD&IT`H)k zNkrG0Bs9PH&f@Ncc~v61RwW@+KQdv zU7lAZqH9$WLQ}=6;$^7n%$llRlvgF9YgH0LH}Z9H91oYFs%NIEFpdv}T7003nrpq- z_b(U9Hp0Dv=uT_

IjdS1GE#Z;8u6MMPI8NC-_Eoe(dB6XI zRCN1J;9#ZL-bzuWmEv%`j6}=8h`@keSvF21pF}b%v&+KZI7X-(T`OW<^;qoigTY9b zp`PW-!(F|<+a9fWR#dxZck03B6>aVn-RV$8aI?hxO^~PzTy`05f`}6VCTY4p5^%kU zj_Y#12@o<8tr+I6tUTP{Ouqf|Pdbr6MIzw)%HnovV~Ma~Ig|`fEv%Wk;+3+t##P)-|^D1hUKa`9RWicqHI(5nUWEk)w6E%F*E>=HYOOR)aNlwRO?oFhEpcK*MGx{+h)z cabU>Hq)$ diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/registers.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/registers.vdb index 6356d14c3ec0ce2201015641f49ff0fcbb6444bf..16492cc801ae09e935e31260d4da1e55c7679a8b 100644 GIT binary patch literal 6760 zcmcIp-EUMy6rX$VcH3RpmJb!9iPZ2ViXWgx6l-Q}SGx8pz3*Mo`1_@K}J2d?LwnKO58XIkQeO?K}5=A1M0J7>UmQUci0*D7|Nipw=WEh0EMGlnq z=9+P1raAF?BkpborRvBgA}5|Hn$IdUOV{+uEa=h=6@K&Q|DuEd#gxm@a;JJ)Q={!-u2fUML;kDh71 zy!!NoFoNz$6AK*60JlmssIz6jrDtp+ZcNTjx9y(mExvSqztWEu>*K!+gdD8liIWgr zFok0NIvpPj2mT;Vc5`M|b!dc&g#rvh=J@gBM?nBq^Bm|T2TX075aBZU94M{Vqh*V- zTB#JXhw*V(z8&HG?1wT0f42+L-N3rNO83YTx*J({MCpFEgzhHR?N_?Tme9RL>jr0o z`{k=XmYcOkrdTUhusGrO=2op2%`{{9ZS(hb8*3dnI2Ii^xYDn+MQeq{;qdUK)OeA& zM@8>;*6AG%k1V2d2kZ0?hsRtU5y-hb%*#0}KEmQW78kJi7z@|{guH~sCs=%n#b;26 zNbZJMwdBb*DBD_qcLJ901B~QZ)S$9W?zb{fgz`h|;S3Z(S`x@vGI{{i*J#q91N;q4 zgSsW56-EX1B5m1%RlEQSazkEgb=GRZ@Dh1kpXR}kco=|VG4SzVnY{tnbwME{2CSMe zSeItNkQf+%3>felS8=u_W+OBe(MF@PDUKTOTR^lR_%}nxTbY5{T!a5_w+i#J4+eyt zMBr|oJ5`V4dJnv4Ol_N52O=BDy|B|qt(LsYqL?2jR)LxUbYXW=e{N3Ok&R=GgNe)%i;-Y&nz zSbvAb6)e8T;s-2##NsC?f>2(DhoDkz7A6-%dVw+IoCjTeuW_^eUbFH#pxuF$%nK|| zY9f?xS}CH!DLd?@Kvpd%U$Fo=h`gVJxk^d8v`E6i%OoJN;hbMl9jf)0pGnRfP6H*- z5~H{C7yRG+2c9a(%bzjqb*s%Rx@7sqdLoZmYJq$=g-%%rHWWE+;rw{4 z|HiGV90xvaVOLkUgZdAsAtN(jc`uMwCp)$K=o1dEXZ^Pd&J(f-s`o*IRuvLXJf=aO zwn5zj@b<+w@R)kP*^;)I>Bd|`l@ON}t|>ekbVvaMrn|T8(mnpM0(7_A1LnGO5&Yy6 z*x?>13PMhT!u<((>YOpn2m^hrh}ApUbZ*2>=YfQP%)^UJa14K z^O_)>S4KRqjIGJEs{VNmQ5wk+TLMI|gtXJFAW%#!(hw1k+Jejy|Y6#eQ*x zF{U6gSm1TAxMsdu?km>@OLC}YRUu4^_T5n_5B8Nt2cI?-`6PI$HXJ69)yG%$P-iaG z>XsX!;|$G3u)xL~JS%i46EX((AnAoYuZ;1;SRAI~8mj0^7Dc%(NS>~oe4Lk{?1sbN z;(ht%>xGqIVGrmde*gyPr11A@!YcfXr~?Mx@Ut+bX~o>C>hOClOw>)q3O`%;+;qYZ zUSsB!v_J45YK%kp4(T1HZv@Uf7Ae;mrL4TJdo?Y8t*Un2YhgS#70Y$D$m)+z^-!Ne zj_Z^lk7&o!pbjBni6`?|v{dG?4h4pRZ%Rz&86^+-B=a8CgjM(%(I^bO;b&n=(~7xO z)#3MAn5dhI6@Iqxx#@&oXI@$R0}rCcIE1g;{$cfDD%76GBIP=xl$F6sQ(`jDD0#>ynYT+5 z4(J59TciAV6Hb8mgA<^s(wVj`?CuWn6~9d00B)_<7Wn$48;XYlnDd+^A;zrcoRggf z>)^~rbU0@a!GQQD&B2)^svRVBaE2%H+W@Uuk_2kUF#K2|>z0~B$7!(mnI7=7f`QAA zC0>3TEq-*bWB9Rz_%U$kI1Ls*Hlp}3aQU&s%Wsp#kFJ~yKb8r)4N;662}sk=OtA)74^=_<{5$P)69f#Zv{@#LJIwcab07 h%1XnJCB%<`L&s^b_^}c3W8m^*$wGcWW)=L8>0dzd5`zE$ literal 5862 zcmcIoOKcoP5bc>+e|E{*i31qHA%=V)AtJg!qF)0y!apd<&oOJt2V*2RL)#zEo9r_4arsjyN#V)^v4!`c-vx zPj7b|Sr??0I;Ze~KaZ^oTCZGvVVF2ib#*ED`^)Q}!+^S+QEk2X{&N4J+}=VF`tt|V zwXix<8$VeMN3Q*|vuE(sJ#av&rMh|GIdn00YQ9a>y0dfD>1RjW>-|MS~d%~W5V3fE<^bG!#TYT0mneRj4| z4QD&D3*%GaXk{YIRwt)UW!E!m!VaENms7r{U^im&gRz-fYq69o4&=%s+utAF_vCNS zzR?gh59Z4U3+08sQokHH^7a=L^y2SYoGKIw`L_9mS`GH(`v&`=SRQ%vV(q217cTh$ zx@S!yG?r!JO@_hFZb2+PqvK(9a(23D-+XWG#l_=VKe&;P|1uC^aE510N@Z~h)za-I zKa>voVV>OX)KjIwVJ6E3l!VmTvuEE#0a`6dq8l|}Tir_eX*h~X8_a0UIVcs2x#rXK zIt_0HG(X3Y`WWvH#kw0sw^!?)SwVM`=niY$t1IZ)X;sW=i|2Ta%txUywyZ5gXL47km4R`-L7myHSwroN~3zRSF1WE z|88`=O%UiU(EI=P6h8xfIN*b3!1Vb_7*=}lb6{KDy0TTX2M2LK4(fG4Z3{8epDPXK z59gjJ^fsDja4x^1nxmS8YeaBwu%iV2R-b;Y%7?E>NW?tQhJ!@aQ)7$g#+W1;bjAz< zzXkobXy=$x-mJ8LXi3n{pmxS+Cm`}}YC;18N@ocfL0j2MaI~+d~}P8rmYn|L<}-jSeHLj+AB_5e>eO0xFdiRT0VrJsh02od|x)_5L(aX>A@cbd@LXa$;DXCk90tFq^i3VrpEg(0G&5bDuWE`z*J(}B$G#O54j6Us+C@G7 zu^M%ExC5rU(gFTpKRG;rB&%Q&6&`JXXXnE6j0j^63ZecSTjy3BbM8Si`bGef7%xjO zc2VSLg-Ohd2qT(KGMuK#OQMBMhiy1{5eqrg>$AN+i^lT8^#UgFC_^a_NkZ|6C`h+s z@wBJmX<35Mg@?Zz`%0Rx=hvWxJ75y{6F5K{rysW`E=-+%;n;yNiRl+%MAON+(=_Q% zw6IyX4JZ9#krZYu{TMY>UayG`qUbm+oGtP(>{)O6x?nkMu_ z3mdU*IH8M0v-yjtU-}PFEOaiAJ`;G9sbES(l2CafTB-6x2V7rCQ(`MmERw)CDlcyU z>+}o9qX?6jei24Aot!&Olm0{tn|0f8(k~WCVaC#rairl+O!Nj55pApdfAujBtB|&2 zkuYXqV&|t=hjXA3BR;eV6X1aKfIU!&ME9eF4^;Rjd>gSB5fRmuWbugvDvnwVPOQVh zXFbqyQg^f@o9HB6=fMOj^gkr=I3KKtaizG3^&Dbjy z!WSzxLXkA$YP8%4w>Td7R>pb|NyDzuay`g>5#@-lboR?C5((!>m{>|;9ZrtKh;t-N rJVzo)%+XdSLb`1Ni%%qsPnZ~-Scij8j2NFVaeN|Kjt{|f;0^b`g0^}b diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/test_total.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/test_total.vdb index 11fe39ee5e9a5309f8f8c06cfca47782aebbad41..7dc3221ada8def4d7c3be74c06a48af7841a23e2 100644 GIT binary patch literal 2797 zcma)8&2Jl35P!RCCr*Gu!I&4n`N^6a`4L2+ zj=I;>a`D#>+i*guok9A*68fmjEUx5waZ}ghK2*Ih4$DEf^2Pd_G5yxxIs0WC>V@aM z$gKwcY<;yC>Z*>yxzBr%KIRA8F)}@jH5bN#w;3jHkktZnhE2z+nRozX|~?HPnj?Z)Q=Y|-upw82T|R==_0dx37i@v>hl4-xPUW~<

QYXbjsiM`gT1Lac6;gN-6&_C&>{`RF;bErw1Sv_kH0=xhoK7(?7J3njmE!EG2r*>oC$ELsLVS~Lsr;>+~^TN!@2jT~OU z=>iw64(Cyj^RU6;fe>*M)#zd8!nDIW{=v8O#qp5jcHvAc8@}U$(VAR%*@~^WVR3kO%GcOub``5#0$?Cr!F#1U5H7} zZkM%@dSZSK!^vD?IBI4NC%pXN&3Ea{VxwiI&cw|V^n+*V1CdjiVRTAO$fut0ID!x( khujFmIQ7JcGZkX=)DuZsg6veb;U~g!6&HG73jfjl7wpGbrvLx| literal 2029 zcma)7+iMh87(X++8K z(mpJqXq1S=Sw#eI#HgUL*3`cGpl=0>PxiU^7p%YU%$%LGtBAwS;k*C7+ngO3TbJt- zA{Kb)?TK}{-gobg)tIxy`t^eT|MSHHRm5DsShnBwB5%|gk|pxok$%sK{D`7ZMw73_ zc;VIUIvo*Wv6VhFh8U&6qf?n(T$7c!No6;T!(tFlJ)ZqN=6Ckeg(q<+M}Kl7ryTf0 zmFY$(%Q6awA2lL*&=2Ng2wh+ehjHNEj7J?AjGl`}Tt6r}UJ%Q&KNxQW-V#n+kR`-i z&b9>oh=lCiFV~vArNAjwoGAS6a;<#u-{JF_s>gSu5gFb3FaOVxv5QZ+$r9 zjYY9?+5_{|@K#N>Xq&-yG82YIwNM=@3YogD&UUdv|p2_@)7hH9&2bbTrh0qQaD?0OxBar=mNcF^Ib69ks8`w)%MU) zK|pIu=?Nl2Hetwg``dG<>Q1eN)lQgmuF6F-=^W}w?>Ly&bmX_dU`a5Q+xKa#rOoEA z^~Tkyy{ecFZUr2=cK}|H{)J!zln0g$qeRd=S2fwx1KkfP1ba*v{D*m2ge$3S|7l}- zxrzhc$G(vRuiY66B;OhmI%P05nDL{6YactT;78ASz*t{35mkw0(<9X|K9j4c{jJ&R zvec~prV*L%P%Sp^P~*F`6udUd?#jp@aX~%=Dy=~{y`9K`_fL6iSzeaiNF8cJRq0x% zO4m%ks;Q2q@v)hqM*=2Q55kK)?{KvHoJ9pQxlK=0)MVY zQ?R0lhk@bo=FRumhsX9OTB`OH^&`K%IGgeU74UFiLyp=RuJC~*wR~U$e|J4T8Xs&o z7OMpi7MLY(9@C;U6$nx?BZG}NtqEi;4v~aytxHiCM$E`Ofs3v?0V=T3WhYW49X{7! z#qzP3OmCJmw3Jz4O-&itG!`0O#0=-4P{VLh=E-Ibg{+l3SuIJ(`VFe|OYeyPdhR#k zQPk0wy}94`?_MuhV|Q#ves>4$(5-5YN5{Ww?y;>E!#~;G$x literal 16 Xcmd<$ clock + Clk => clock, + reg_addr => x"0", + reg_val => a ); Clock_process : process diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd index 6ce7ac6..8e96a44 100644 --- a/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd +++ b/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd @@ -42,7 +42,8 @@ entity ALU is O : out STD_LOGIC; Z : out STD_LOGIC; C : out STD_LOGIC; - JumpFlag : inout STD_LOGIC -- 0 false 1 true + JumpFlagOut : out STD_LOGIC; -- 0 false 1 true + JumpFlagIn : in STD_LOGIC ); end ALU; @@ -70,7 +71,7 @@ begin O <= '0'; Z <= '0'; C <= '0'; - flag <= JumpFlag; + flag <= JumpFlagIn; case Ctrl_Alu is when x"01" => res <= (x"00" & A) + (x"00" & B) ; if (((x"00" & A) + (x"00" & B)) > 255) then C <= '1'; elsif (A+B = 0) then Z <= '1'; end if; -- ADD when x"02" => res <= A * B; if (A * B > 255) then O <= '1'; elsif A * B = 0 then Z <= '1'; end if; -- MUL @@ -79,13 +80,13 @@ begin when x"09" => if A < B then res <= x"0001"; flag <= '1'; else res <= x"0000"; flag <= '0'; end if; when x"0A" => if A > B then res <= x"0001"; flag <= '1'; else res <= x"0000"; flag <= '0'; end if; when x"0B" => if A = B then res <= x"0001"; flag <= '1'; else res <= x"0000"; flag <= '0'; end if; - when x"0C" => if A > 0 then res <= x"0000"; flag <= '1'; else res <= x"0000"; flag <= '0'; end if; + when x"0C" => if A > 0 then res <= x"0001"; flag <= '1'; else res <= x"0000"; flag <= '0'; end if; when x"0D" => if (A > 0 and B > 0) then res <= x"0001" ; flag <= '1'; else res <= x"0000"; flag <= '0'; end if; when x"0E" => if (A > 0 or B > 0) then res <= x"0001" ; flag <= '1'; else res <= x"0000"; flag <= '0'; end if; when x"0F" => if ((A > 0 and B = 0) or (A = 0 and B >0)) then res <= x"0001" ; flag <= '1'; else res <= x"0000"; flag <= '0'; end if; when others => res <= x"0000"; end case; end process; - JumpFlag <= flag; + JumpFlagOut <= flag; S <= res(7 downto 0); end Behavioral; diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd index 4cb3f72..c6e728e 100644 --- a/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd +++ b/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd @@ -43,35 +43,35 @@ architecture Behavioral of AleaControler is begin CNTRL <= -- either a problem between the 1st and 2nd or 1st and 3rd '1' when - -- read after write : Op1 other than STORE/NOP, op2 other than AFC/NOP, R(write) = R(read) + -- read after write : Op1 other than STORE/NOP/JMP/JMF, op2 other than AFC/NOP/JMP/JMF, R(write) = R(read) ( -- check Op1 & Op2 - ((OP_DI /= x"06" and OP_DI /= x"ff") and (Op_EX /= x"08" and Op_EX /= x"ff")) and + ((OP_DI /= x"06" and OP_DI /= x"ff" and OP_Di /= x"0F" and OP_DI /= x"10") and (Op_EX /= x"08" and Op_EX /= x"ff" and Op_EX /= x"0f" and Op_EX /= x"10")) and -- check Registers are the same ((A_Ex = B_DI) or (A_EX = C_DI)) ) or - -- read after write : Op1 other than STORE/NOP, op3 other than AFC/NOP, R(write) = R(read) + -- read after write : Op1 other than STORE/NOP/JMP/JMF, op3 other than AFC/NOP/JMP/JMF, R(write) = R(read) ( -- check Op1 & Op2 - ((OP_DI /= x"06" and OP_DI /= x"ff") and (Op_Mem /= x"08" and Op_Mem /= x"ff")) and + ((OP_DI /= x"06" and OP_DI /= x"ff" and OP_Di /= x"0F" and OP_DI /= x"10") and (Op_Mem /= x"08" and Op_Mem /= x"ff" and Op_Mem /= x"0f" and Op_Mem /= x"10")) and -- check Registers are the same ((A_Mem = B_DI) or (A_Mem = C_DI)) ) or - -- read after write : Op1 other than STORE/NOP, op4 other than AFC/NOP, R(write) = R(read) + -- read after write : Op1 other than STORE/NOP/JMP/JMF, op4 other than AFC/NOP/JMP/JMF, R(write) = R(read) ( -- check Op1 & Op2 - ((OP_DI /= x"06" and OP_DI /= x"ff") and (Op_Re /= x"08" and Op_Re /= x"ff")) and + ((OP_DI /= x"06" and OP_DI /= x"ff" and OP_Di /= x"0F" and OP_DI /= x"10") and (Op_Re /= x"08" and Op_Re /= x"ff" and Op_Re /= x"0f" and Op_Re /= x"10")) and -- check Registers are the same ((A_Re = B_DI) or (A_Re = C_DI)) ) or ( - Op_EX = x"10" or Op_Mem = x"10" or Op_Re = x"10" + Op_EX = x"10" -- or Op_Mem = x"10" or Op_Re = x"10" ) else '0'; end Behavioral; diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd index e2e2c39..ed83102 100644 --- a/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd +++ b/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd @@ -32,7 +32,9 @@ use IEEE.STD_LOGIC_1164.ALL; --use UNISIM.VComponents.all; entity Pipeline is - Port (Clk : in STD_LOGIC); + Port (Clk : in STD_LOGIC := '0'; + reg_addr : in STD_LOGIC_VECTOR(3 downto 0) := "0000"; + reg_val : out STD_LOGIC_VECTOR(7 downto 0)); end Pipeline; architecture Behavioral of Pipeline is @@ -72,15 +74,17 @@ architecture Behavioral of Pipeline is component Registers Port ( Addr_A : in STD_LOGIC_VECTOR (3 downto 0); - Addr_B : in STD_LOGIC_VECTOR (3 downto 0); - Addr_W : in STD_LOGIC_VECTOR (3 downto 0); - W : in STD_LOGIC; - Data : in STD_LOGIC_VECTOR (7 downto 0); - Rst : in STD_LOGIC; - Clk : in STD_LOGIC; - QA : out STD_LOGIC_VECTOR (7 downto 0); - QB : out STD_LOGIC_VECTOR (7 downto 0) - ); + Addr_B : in STD_LOGIC_VECTOR (3 downto 0); + Addr_W : in STD_LOGIC_VECTOR (3 downto 0); + Addr_C : in STD_LOGIC_VECTOR (3 downto 0); -- display on FPGA + W : in STD_LOGIC; + Data : in STD_LOGIC_VECTOR (7 downto 0); + Rst : in STD_LOGIC; + Clk : in STD_LOGIC; + QA : out STD_LOGIC_VECTOR (7 downto 0); + QB : out STD_LOGIC_VECTOR (7 downto 0); + QC : out STD_LOGIC_VECTOR (7 downto 0) + ); end component; signal Di_A, Di_Op, Di_B, Di_C : STD_LOGIC_VECTOR (7 downto 0) := (others => '1'); @@ -110,7 +114,8 @@ architecture Behavioral of Pipeline is O : out STD_LOGIC; Z : out STD_LOGIC; C : out STD_LOGIC; - JumpFlag : inout STD_LOGIC + JumpFlagOut : out STD_LOGIC; -- 0 false 1 true + JumpFlagIn : in STD_LOGIC ); end component; @@ -206,12 +211,14 @@ StageRegisters : Registers PORT MAP ( Addr_A => Di_B(3 downto 0), -- because the registers are on 4 bits Addr_B => Di_C(3 downto 0), Addr_W => Re_A(3 downto 0), + Addr_C => reg_addr, W => Re_W, Data => Re_B, Rst => Rst, Clk => Clk, QA => Di_RegB, - QB => Di_C2); + QB => Di_C2, + QC => reg_val); -- Stage DI/EX Stage2 : Stage_Di_Ex PORT MAP ( @@ -235,7 +242,8 @@ Ual : ALU PORT MAP ( O => S_OFlag, Z => S_ZFlag, C => S_CFlag, - JumpFlag => Jump_Flag); + JumpFlagOut => Jump_Flag, + JumpFlagIn => Jump_Flag); -- Stage Ex/Mem Stage3 : Stage_Ex_Mem PORT MAP ( @@ -273,7 +281,7 @@ Stage4 : Stage_Mem_Re PORT MAP ( -- DIV x"04" -- COP x"05" -- AFC x"06" - -- LOAD x"07"OP_DI + -- LOAD x"07" -- STORE x"08" -- INF x"09" -- SUP x"0A" @@ -351,7 +359,6 @@ CU : AleaControler port map ( -- case of JMF not triggering Di_Op_Final <= x"ff" when (Di_Op = x"10" and Jump_Flag = '1') else Di_Op; - - + end Behavioral; diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd index c137e3f..6b04c78 100644 --- a/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd +++ b/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd @@ -36,12 +36,14 @@ entity Registers is Port ( Addr_A : in STD_LOGIC_VECTOR (3 downto 0); Addr_B : in STD_LOGIC_VECTOR (3 downto 0); Addr_W : in STD_LOGIC_VECTOR (3 downto 0); + Addr_C : in STD_LOGIC_VECTOR (3 downto 0); -- display on FPGA W : in STD_LOGIC; Data : in STD_LOGIC_VECTOR (7 downto 0); Rst : in STD_LOGIC; Clk : in STD_LOGIC; QA : out STD_LOGIC_VECTOR (7 downto 0); - QB : out STD_LOGIC_VECTOR (7 downto 0)); + QB : out STD_LOGIC_VECTOR (7 downto 0); + QC : out STD_LOGIC_VECTOR (7 downto 0)); end Registers; architecture Behavioral of Registers is @@ -68,4 +70,10 @@ begin when W = '0' or Addr_W /= Addr_B else Regs(to_integer(unsigned(Addr_W))) ; -- to bypass D --> Q + QC <= Regs(to_integer(unsigned(Addr_C))) + when W = '0' or Addr_W /= Addr_C + --else Regs(to_integer(unsigned(Addr_W))) + else + x"11" ; -- to bypass D --> Q + end Behavioral; diff --git a/VHDL/ALU/ALU.xpr b/VHDL/ALU/ALU.xpr index a429411..a09f0c2 100644 --- a/VHDL/ALU/ALU.xpr +++ b/VHDL/ALU/ALU.xpr @@ -33,7 +33,7 @@

;N+rJm-md@=L#Fs}=JPKNFedU+>OLx&}`vh|h?{w4(TV1b=%HT7{|T zkT;I4_*o^X!RPWso%ckpeS5v}N!z3CYkbl^~{0hAzdv1o7cZJA%A zl>68tDW&r2t6FWZV!Z1tjXp9t-dPDA)^$*mOflJzwkX<>)eShXSc*2UBKh1t^(sc~ zew^UGuQU5Zw~!zn5CS^l7>Jm=^N8J8a|!)J*i$e*rXYS$?YDyme_#4%4{nFj*lO4L zA=ycc`4~Y4?u)+E_Bqa!T0vyjnBDdC_c8f68P*-pZL@rzTaNz%Qk6S9WDXemIcE$JG1xjbbJ7M5@wLGQ4$IdY(nxCMWqwxn+ zz)A2Oz><>Zk~m`@2b}p3o@Kam+D#n;MZ&~Fupd!lpanu;A41b)ir)WMvkEF=LHMR0 z;t`m{H!%LP+feLmS`0-We%i|Zy)pOXqRkKQ5`UDKnYuFiBNT3-C6aElCJ~%5729Yn z?|0#ewRb&;fZL9*4!}KB*Gdj~TrJ@-^?8sKRbM+Twq;$|CJ~VobWR6#lJ%yAx6!Fp z^R6UKy|NydT*|3`hThRVu^oe-d;R4xH6UQ^3xKlO<>8%SPsM#vZQGZFMBlsE`=5H7 z--El2a(KaoCR?w3QYY=77LwBq*)hDL{3yIb`I9Z$3K{a53_a(}5{AAT*>pKT5t8Lu z0iVSiu+^O``_=6g=8jww=bl%*LA^FpZ-yr8nOBn88`{A1Qq^cI_>m=)yuk{KX^&9u zUBp%y)nNbQIq}c{g$!I8w4veAu@xE0Va&#``pZR4t=w7j+1N^7;rfh)mM(U6Edf?T z5IU`-fIh5@R;FbR)O-5t4$|EAe6tS(b$=^vIZEU4jwD7|LLfhdQd1OpdVW>A1^Wvr zu_gd|n;t1j1}0=B*!~JFQ`?pTjB$G|?lLU`=iM!mXwRVA7q>>rkc&cp~hk@Hjyf@-M$V(&uu++a;%b!Q}Br*w0Co(3y0u z`eRrUDtul_*xQj``7up#FGZZDW!HTzrX5oT`R0%aXK|6-b4R_BFWWNRLNW(wJ)eTkWJBOhz^(Tw~dNefdyv56B&>GZ!Fei@<%4X zb6o4B<=W>+Pg{6mjyW@rV6ADU_J9=zN8Al&pSt%+RT9;p896**Yhdc$7 zC%uSA#;J_&KO2qbd=NWm_9aH^)H95SN?ffn4mO7M{rUCdB&7pv2>Cfm&RveF+eX)+ zp^&x9xw6wQrmQL4`0FB5tc{6PcIBSfK=T{4L`T=QeJKHKC)gGo!U|FQTre!Nzng-a zI2rip3{xP2&4XAUfED;I-!pXFP>Vg<=-WV|yYk_4=*uJdZQO)}#r_AUZ@h>yF{i&=fmw!mef@s=;GsCC3M>NUaIY#g_oPz z$Yz6qw*U@SAr9hazRn}`QHGn1HN4~g>u#8k>Kvv}4Yct3GqfoWTRSh;6N3P3iFYYI;fbU6Ll&_-m#OWgDP*ZXBVyA5o6dW0h)XdF(DL|Dswvgu}SA!9WO7qmF)$PJrbLybXi z_AlPDBF0eW5OWW1y;?|gC;ji`8}y`=f+O{qwX zc@9@D^Ix{a_fV;3Hkgqx<+98)&~xadB<;LJ3UgsTYA#Jo*f%Hog_ta@L>!QKM@QJ@ z_#H4gXMn&Y^J}Z z(3DPzy3QpfH{7b@*oGKy9_7bguG{bGAF8MjdsE&zBTTYTLA!N_wTm##^xt+zEQPgn zks3_LSdR8ExLHTjPL zLw#O*R$h}zI8mzD8Ia$H!DRf5+37IIptrxNM;wDd?ViZAe=;1gHJeGR)h1|beL-4u zH5A$}h-Q|+4j$uVQ&@g8EM>foen%X~ zH604aMN1(!#fCf-)>;aoVzbH_ZSOj0uY-?1U~&VwjftyEzzh z6ef;8I@kJlMl1hQN<}KHbp*LNpjr9Ldt^T3kb8^vb!@3G?ruPxR6PspA~@THoz(ASVyK6*S+r76LvMcEMPIQE!X`4RBLG(%6X`YGI+?u z7|>`)4oQFV0eCvMwJtX5(9W@ld!<%jIdq2noBtR}GPB8y{0tkkcRvuvt|u~@R*}1? zX-4nSsp?j>eG9nHO4_8kS?n+_oDZSi=qv}k?WU}A8afWjuXPP2k&RUZD4d^eXHRc} z;++eAo(W2J_d{>g$ykTbWzkCe)m|>Vwg7m#Ez)7y;**yPKb~<--qQ%M`^~BrhYzSL zG;~YqpFyh@QLhx3VqdwC4pcqMiyy8+5s;GgqpDLqMqmyld+S;q(RO({^)FKg9G=r% z$KU7YTo&#(2S&p#T^VpQT@gJ*n9USSmc?iHo|}{4q8m-nO2U^J*Z9vxrtOc zsk$s~IkmdR2H-Fj%}itM>9XrP@W&K;dvqlwBX$taOu3?q1wf9uRW?vZLY>QzIlRc| z7%bVoO^=YmrNIxbn}n()Bjgn?r}j%^1?+C@gk~4l5D|TXepG7-hVvBFPn5*f6(|uk z>Y7hGx?RnF%{ke9ns-)}!}MgO^v&#E1V+XN9ZPBmTbb1;_1**?`x4xaG-1wUVL+Dq zmR`jQ!tfW*TlP$> zGoEMMW~C)W;sQ=+ebT|{*=P%Ygy3?mUfJ74Dc`+14;)!<${7uf$*0MGd+oXLy3i`( z*D~G_u$N#PqCWnlVK*SH^mm7YZIp>|R@orkpkZRcS;Qzf*tj7ZfVe2|JVLy_-6PBTJn`xtfH%sOqX-{Se;JV~{zFWk3%c}1UxBug zAjcQ8%FUNK|Ti}-3=j2B=j6ARwoL_(-0C=s9UskMV67d?3W`9jI%YJW|3`=u? zN1fD5$C**x^7~tot=UeEk(?q>wYX+ifsJOt>x76~FGruEfYR_(UE)v@ov07JJ&6xd zqbh6vUT-1Xzn#2B|KxT%{q0R{CrOpIX54M^%(YIk0$>ny7{WsHT;n(rKRz`Ap3GYdVy}< zp88Rn5vh#S5)BhBJPIM5T7GXL&hr!l^)&;>!Tub}wI#Lcou0tmC;lU=SwZKw_z)c| z@8_Bb7#-8|r55z2d&K0MP80Ew7d{@7*k5v?vlFYi)F8@rK+1stg{qt#CG<(EC?8$i z0O3XitTVL{Hy>Bb>}Xme1E&rrb#U&_O;$R;uyDU=)5d;S3REWA!h@*+s@J~f-H#RS z#ef27G3L@5&MzZTRo=$)Ksw{&?7e1{oqH3lP%(0+B&J769A(!{kyTP_jb+&x05nm! z#orK^L0o)@sIAB^Z@A-5UgDSn8v|9+&8P!$T3einU_j`wR7+VSJqD z@a#AII{ELU90}0aZ-{W?C7hdI*us0GqFq|%MUK-Z2~v=DO`w`)c-wOrZs#>T94(8# z1hGnwLAAQ^pZ3>u!kRKdL16~Pf|8HAZNU<+jp9cZ57ka0c;5JXc7a&0EiC1{u+E>W zvFDYuly_2c8?BZgSuC0a2LQ&APwhyg8(Vk|mN518X__iwA`B~O`vTCBhx<&2Qt<@F zh^21rfe}}sx6`*D2amSEx$x+PBs(IWg8sI-Olpz3s!r(<`T|S-P7=FQ+ajglrATlD)r;X0wp@4$hVE zn{Z-pHutx9jO$UfV9{j*D>ph3MBi8B`UEQHD3c3(1sZDtyZ46&@{$nJSodkS-K(KY5jwwE{!uE=2)Dvw%0c{cMDR*C6_ec^AG7t4Nb0v5s( zon9nvs4TDQPeD;p^Cv3F7tecw=o3T#fQi1%lh7}!5lwf8hMdPi>wsL5v{_iShF~2= zh1hahfU{{HSf=U(GUC^6siB1S^;zEd>4LwbdB9C@s!CRB09aPOnHD}E*On=}I)!cB zwD?13f|PuLrDzhb-g{3^R%eD#ni%kxvp3Eo1FJV*xE?vp*82ayVSUX1iSI2CgO#|~ zBp-&=Vn74dEdeHOL@toIX2g_!mTfT8_ODC`fr^WKja&fl7ZRk#)Ad z{yr5{E{@dvS^R=S*>&6ODH;%P=4BWmo;l+XS`P-_G^61FF&b&s zqIQ26XUCO9${rA(sauMGZ2LP31c)6t>+?Z05y+aM(uH&o#wpF40(%x?C~A!SAQgpy z@t5Z_e3E+~3>rjq$eS#Y4;iVV+I~nYq74`aH@fAD;^Qh zKt#r`ktP6w=*|fV4{M6cQJ1xfI`x$yM>TxUbn@~i>uhl@*S*-Yc2Vef5P0dhLPQe^ zo`&zuz;tY#6$++V-`sH-6W$<{@7Ig*q=>fVRIvK-Hp88NJT7OtPlg_d>f!UnoU1uv z;u)Y6{p(Zm$WMQvme~sSaS$DNpgNo?YZSMSuTwQAicm|uk#Vqa?Wf?OY>p({TrO^a zdz`M`5yBR{N?=&vQvdE^B7y?E^(E zva2Ej9U@SA!$Ctf;kno72J31rt@xJ3QLD%XBGyi&bNZqpU3jbxafnwe($_L5@BZR) z{*Yf(YY8+e_$E$F`{g>Y50J|U$+?Ua@7-eF#6tt7EJDip_Zv3T>5cRzMiXh|e}%t5 z;PF#WcibD!T9y7<{G^zKR+9Fj5xl4U(*-=}K)2_3 z|MuzHL8X>13h@xJQpXB1KR#%F56bN=O~ELzusjp9(Hw;tt$jB$$NVMDpj7M8GsJ zAw|v>?k>u`>k-+9bLMr@k48Osa{Q83UCuOi8Y_`iza;bR?ii?>B}}LIyHa;nC{17E1H$q-C*F7M`tA&;m#WFT)4_RDQ1Mcsg@V2zUTrspVdhb z>Cx6Bd*Y-=(it==7w~E`!83f+%j9F}h;W?kr4=YLA;kaGJf#F&j=WJ=(FEG8wsr)# zyccLnIm%#6?DMpsqo)B*T9pl~mAfkDy0Ka5n~Ru~GlxDRc_)#<1;8;0*Tvxup#S8H z-$2L5g@L3-KLfn(EQ3WjE0TT(2|noByF4uHL(|9|ox$dwizJ*x$isq{05CbKoRth> zY>WlB$k5!8#RExiu3`h+InEhQA=Ivo+fj8ikE}gx*QK}%abL)Gh_r2*KbsHIn4sd>t8 zANv)^AaVPmbtip0d2!oJnzIbmNP~YrNsV<34UCSgH7icBwkD~#@io+@!TaOIb``k} zQPCT0TM;#{O#DLaeogbNtk}<8TrVS>3mSjd;!*FSDpVk^>OP0YYYt81A^7;cby< z+{@a|kwy$k^7?|Y;aFuSw}dZ_Yf*t4$-IbxU(WLhq8WaDAUkmZCGls>yGs4|ifMal z0j$B51qfK>=SeRMJkZat zmvd+xUQ%V^0!0hiJGj(ZEd>wmNG^qx&lF2yD%)a_WAn;o&nNr_D4Wnl8akya0r7|f znT1t45~p23cFgH29Hz1B1Kx)vJE*6#M`xwWgr-xImj+QEa=se^{+bnA1<@?57qAhs z&|Ih(2~aXd&!({i@brcG;)GA#>z!^*WQ#VXrxbB_;uU(Oh*BQ4Z_mK>-R-*KwOG07y=C?|8a%Pu~hc&7zix14@+XjEA#fC7Z_u3LIhbDub93w z4A6xGnu1o)&ondhgnn{5kZRec2sPpg$>B~=1ShqK#3u84Q z1G`4foO4DL(gZK|x@4&`sK(niqxifWNd9ls4q-#o>28cn!#nUHC*Z4M<_al}35v2| zX$d+XV5G6#Ops``DkQhAdf{Xw(?kvv#$OA89E8dLK!jQJdT@(;nbmF|n@S=M0;fi!^ZS=)I|yOI;%1#kvkcAr zhYRch)b{}9i_vwLGwTZ3q>twOKIzt{v5*vP8pGiY^;e3&=~l9{@9f)|&* zl#H$YWVN0EdUcxMbr`|53Pt~MkoD{4{3+Cz#Cj;I!{gE453Ndc)-?EDbPokAH9o}T z65LM_@Z5BdYld#IZ{fCnsZCUdJtfK_Q3ZAn*Tmx>{~XpuXq75puJ!agH~Y;~_LS#w z!WXFedl0E0giHU2A~yOB;SYLPdnAci!a0(dJTY12#Jz}*_(Fhw%&wPWyL7VndCmv# z^+`Z-li)da8Y(I$kE5P6#H%v~?O)}PVN|bfS*Ov_nB}jHj05rxdAcB}78u8sS5TqL zt?+3tOgG`wAqAtMNCobL*`hL%;~X}~={ar8$OlY;(&`=Jvwl+6T{p1=$KxQ|r~X!Q zd_061!}+<2JrF<^^6U1JHS$~4Lr{51`C%FMX$Y-0hNqItL*>mQ2gD1K1VxpLGIHq_>d=Jv{U z8M&GjfJB(@gH5TTdm@~wT;x)m+7_)OmcXl!!6#h^KAr#Hy?!(6U( zcbPWSI$K)#+kKyBGum1se?=F-nmJBIjxc{{B4X)fO(jjxJv1u~R8w?SHMmq339n1) zsgzIOW|IHT%q{oRCqwkbd>QIJafiRR@IdY6D0V5+wb z$l^e4gS^%uik?RzmSkG4g(lf>{bQ%$-b;nrMY5VnUaV{OP-E=x6@`}GeTO~oFW}gCd4INU&kx9$h2W&)PtX_9m@OhRM`d24 z=VaJ?b!*Q0^m@WT6eLErQR44@I~2D6PiYx9HVzBJ?Rv#<>@9O!WGGR$Zg6Z%>g#PR z%fNU~wS{J*c@b;4Dq3T2s0->`s-4zNgXj296W-s^F9;Xad$p2?Q{g(DVa`{P^<#wJ ziTJM9^dSU|VdCk69-0#4YG|jrJb5yEU=H)n-W#FUk|(5|L~n{QbVy)Eh{LB(6txQH zVirN_b+B6`GVg|%8Moh$8X2+_(=-G%F6dYki&Xgrlx5fzzE-6)D828>Es;+d(6=*^ zG-S6Mn^6X!pT2;w^xe{*EDI-99`Y)`uE3eN4qt{Bg*bgXvt9e&WlLaW1P$aFK=s93 zg4>M}XRZ|=S6?2anq&jUD=O*Ych^Hx<k<3Rm=ds6%AS8Y&f(r^DVN) zJ8PBM)JT;MF>nSs!dDfYR}t(6GV0DqQ?rD?X2ND2h&Ba4dIZZyM=Lu7eUtk!AI63yeL$_WEdXMomN}8C^LYfsT+Im5lVs(gMI=%#d(FM*z1ht8 zx|NQeME!R5!#D?$j>`r=48_JktF5826tIACD`IgrBanL(aV7kXPGRQ4^R&F=>4@S& zxsn_`$GuDrZkbE&0z(xpMtW5XQXf@whT2l+Nb9D(cqdzG0OcKX?t6%FQ0QveZ!Q~W zgjx(Y*<9>cDmBi=83fIUY3**V_c=}U?MBZlYE05au!RB*)x2NpGS^dRXN@TEzQ7X- zPbEi`JKBqNSw4)bE<>`~&>Fu(F?je8<1T-{P~JUqT2 z*0q?&B^;5TiYS!JjrBn+IlfK(tfI*Djw~0M zOYbNVBuQpw#zP9!XbDLLyKqg>qF^1a!Ksr1xf(WjK6HLBc(_sa-s>Y_y(~xS;oN8z zCah~+z)gh1<+Hx(#=#7z`pOH0*MbTIR|Wk8Soh?#yIuRO&^zEL`5MC5tYE;FPeCxm z>dMY=VE;ZiRYYf|8C^eUT}-+X#JjWyBKgNdlbJCD1A!KS`_JI+n%$`ZoM|Em!xv{k z(#{6&{helik`dwO3aEC$1S!@E&>jVEt1m$X4gr#$O`~bqegU1M-6GS4|B{t>0a`PD z_Hz@32;Pj`8G|Ol;(|~}o@4Uls^vuubnuBaTr`DN5m|e7_eRi+W;kTz>SWw?8EnSt zzylx$9j!m)L!xX3vCeB8(fJQ2!^1g;;IHBw^#+9DT6x_S_B`pj+IpcO~`i#AS@yJ=d;t2Sh43 z(&N-=B~eS|_tSM|63eB{mA;)cW4rfKccGdE+vr(!@_*TDYGV3W5e~7Lh7KfFU2|a% zusjSG?@n{3&RlCsI{{+1Nto}8AVA$Bi==#m0w7)ZWI8Tvz3Gdc?`rH_GbdK46HNOU z_vI zttmmQjQdv1Bv(3Wj?E-us9MlKO7)+E^`hKjl$X~B92C*$K!S}Q3V z>txq)Lk;uw`^H24^2T5PT zpi>ugS|p4F+9n}K5lrVjU2+Ut&ZKTRGqQ@+DotGwHhR_nubE(W(g%D$3Xx{>e*%>Z zoJaZpV?C^%S8S^QHoOR*I!?2ou7*EkkS!G{et7&EQ0F1GZscd_lq)dVS-)x*3WnV| zaMFYfck=dqzSwgf8`VMdq-upZ|DK$00LRoyDTT!DqY046az=2H=A61ni&KEh#yB~P z5yeu~Zz90HM5_I3<&x(VF@VxPQZD9xm9F>VvHeOKbV0?2DN_Na*uDsBpA=DgnDXHT z#P4oGJ`~$kd%g86m+v$Xp7lR%6mJAKKJdQ+>Z^Zu3U@ddMrlp~1)dLsu^s>&K;pkw zWt8_fB*ZJ{zr~LgT2Tt{Hk1r=Mo%}zr7I&nX!-D)ep>#(^R9VZowRk*sb66p^?+)` z9S$ieTQ}%g^V6_IgWzOb;umdrQ*xu_q}nj-^~bR#aB% z0YRO%B4CAPqM$z(f)0WgH>7Xtk&ox&N8!Zx6xW$`r6TcAU=|O)#j5?0Yf!#hbi|@# zH9>qJ>N;KgETuMQh0e4THBSDi4-bI?9808SNMfvAN>04{c))ovER}#nkSUq}Ngc9O zXLvD{D4GL1K7Kc92xUSnK5R5+{4lq6>-7T=#t*dpYG)eZ^JZT^yMh)ngu6<2|Pge8pIQE{dU#l+6}w6FX}Rfx#i80~|FP+&cSmzsAF5jtIL zU?8FDVz?Q*U!cB>Ic{3&sy(1+*otad7NPj?5}l6XqBYs|E=vp9aY z1NSajeAou;F#`i5jgOTUR)Gbk)Rc$HaRI{sr=tIC`(zy02wIL;dtotk$nOEg59ZH55^R)rC8$|AO(>jbn-y$ znI|q<0y|Rn%^ZFNtMZdy3KZmva5zU{Z(F3mOTpY7K$>qRoCfyWhiP%>mY4lY#QnZs ziA`Gi7MkZGp&bBUloSwpL}bE+6jZ>3JU~-ri@+2=MDp7Q^_HAZJcTdvH3mPou&`rW zu#iz+(X{RpQ_*If15$dWj4`ffRfz?x0B($UdmIpYyb;AOFS6<{e@@za4t2Gr-=pGd z+=#Fqc?xv!6XMt#gZH5a421eupuTCz-&{rO{?s|9^-EIlMr? z2Ega=%o2C9C$Lh*YQU6HA!h9KLQW8U;vF2!bRIvv8F*6qDvn+WKaIWIl%=M!bq?U8uY(WleGJpJ!@$dhj2LS%r{69&>HV3P z3G_#g>*#9dQ^L>zy&=gArp>9aLqSh0*o&df2VZfE26I)yU1Do+f?)2L*t+|%r%sj=}kdt+o|ip`%IAUJuk5IcANH#fdeolYM$)r{2D8kNt;Ge-xMcc7jC zIYH@H@K7*b&Al3ia+Y-d9Cu%LH|m;W9${K8&0COg_>ts4+Cve&^asUMQvRAhWRBfP zi&v6tQVe=dZ+!si{c|l{u-L+inTxzp`NdBk`Fk*}fh8Zrm}`%q@tF4AM$9ArNZXo5 zXkn-ZHhl z7DO%WmUA+`Wz=tEoPT3GhPDI?^(gLPzPpR39lv8)FXY~P*g?;~=jfevQ_85ngsgSu zR9UAkviW&R`Vm)w-Z{FHIh^mxeMuY?X7Day2^=?k*8CCMl^X4Ao#8isy6#x?T06}Y z9UYT&Wb6A&Q5`Du97)8GPg_DKN}sje8QOR&g`MMy*Y)K}mjqG))}*=Ce4)CMr=b0H{ck~?-s)dR zka;+lJTB}|M1&f3BJ;L~cia5ZzyI^0cE#UJ>Lhk(w+fGjBY@vJ82W8Lh|Rt}wBo0Q z<+O9q>2NW(d!8x2XuWJ!@1Spf;5&M?K7KhUGLRiQ2xkQC_SJiyHoJlhmihgXek5Xg zSZwM;7;#Te-r-v=>ZdAlp@)HBl~L2qgKqk25iph4PC}MC_^AflKWjBYtkIQ`G*gfR zg?HwXz+7)MKO01|u?>lzt3_+=t6*PDN2j({1ix1sP<(JKY0L9>j5_yFb}iG< zB#B$H+&h$&v5=@}CMj>bl1%Ki=40<>0vn7+P!F9?-v1KT?ruC*X$@ z_D9edx%${dI~0^OS&%s2R;5i8~%_OkB@9s^eJhI zK>dGDsnhhfz$LK_K3X1ZY*kK1BimPLPmY`Fb&@UQopb0Yt_D&vP0inPFVH?)v86F_ zj4-(+0nv${f`MR3aQ?Jmm4nz?tbwiQYf*D+#E#CD%-K{VX!3(-WUg`^Q3a7Vd?EXJ zrkH8_jx)uXh@V1s8<@Zhf^O`0JB~P>F{ju0SX>8VWC8X>4!u27t2+hs*1&xT6~7H$ z7)~WkAH=g~^Y$`oy69)3;o#Xh#vP!URG_WeKAA?m4A%GPi9-zm)f(-cg-y4njVNeq zBO3zr2;LPCgV10#@%)Y9|IzF<5) zo!m%lR{5cHLFZcM{h32jRyJBEJU;e-fx-Yx=Cmr?bi`a|dsFzzTrSP6GK7Jy8YmWY z8^$bg7wncIwFbdk^7uEYog&1e?A}F(S^{?yKNegKe?sTy^5?Li;6Zn%VmdH9RG2Wh z6UnIM{Qq=?l~|u0dw~h3#mMwz>g5r5_K?FJGAx|fj-%fL5VpM|5oOWt8ek$}-$D~A zQX3VvFDIYDLfeB8X>##Mi&{a;6I{J(eDGBi55jK^2j~)LW?P=!!h*6(EA3N*X!$9d zC90kQmy+jn!Xin1GoGoUs8as zA%&C2@XCNX+_FhQJt`%Tuu(IP=?I!s%?pm$=?=Uz3Qa~V$L!V6TFJZ9G5Wh29&(}c zDW69T*bT#*TBR97&K2&)9_W|9jhHgXG$Z=KSLXR>F7!9cz!ye7B1Mixl%E4_gONN8 z`=1og+SiKcK1Jo9B|al7sMrNVDWgLERJR9_px%6F7O<5vgb#uuEE8BK!ru*11D9dY zJvSrJN6lCjR=4>HdyQHt7bo@OyJV+WY_nJQ%UTi_ z%MWOQ?9m!+A?E_3@vZcj_j?3*96AoiuIaPU1l}i^bOPIbwC#1*x};^$zxZ2KUf?cN zq&~<=vCfgwI`zYasR>@%EuDKd1GH@8RF&c5h7cLCN{);^YDXv{zBX*fyBrF#AV>_s zhKQCdM87ef)oQjZ7@i?`5=TWx{X_xdW^pxNqGaY)P3t-DW!YsIMzJ+vh>-VK(4CgiwmMG7)SJ5%k-Y@fkGI0d3PD=gRP*^)ge>QkmTM$fXp=x#B z(kSjlCvY8&Yr-M@Fy6&GAD0V8W zm%gE_?#EFKHDjySr}zX&Vsh8sII7;kNdG$U_N(wdbKHo?fT|7~9_T%zcY$2u6_eGKRip-E+ojBgR-*3q z81BmJMrtS&9umNC86D|EzRdpZg;ym;jCGKoB<_C}Ao}m6M@fQM77_4#*K0ooJD;OeUwb&=$&vj(K+3Lp2 zPKx4U5Kl#ZgXep3(nH$ClA4ySU1|K|rAYOvmx=_EMNe2tnXJai4IsnTye=hbqrv*) zek$U52d_JjdiQ528?>!Pla!Su%tR=gTpr=tpE>Df>)ZiAt;lO=XO8-|qIV@@4^HD1 zTyQO1B%?zoB#VsMLK&-?Aj<@rhR%r2cYT)%^P2G}VxM8cptTfg=y5pxuR{O@fFWu+ z;vSnQO+BG%W%LxF-PJ2A&Vw5>qhB+z)K(5U3!76|+?zfmMpMVm`k*?IaSsoMqLGKE*=?=mx$yBnirE2T=v2sZY zIkIr_?+IZ5h8u3)tm%(QhD8f)O*s@~de%3b`$E?;h5;)ifk>+5cOke^3c9STr`C!Ax7IbPigqnB1f??Q*nmXse6~G17Dkbv!IN02 zRRGsm4_H+7(p62ag{q9)`>WJd1^-U`Qrx)l$ZMVf5M9K$NIvpYr4jDta*ha)k#g36 zLz0%lh^(+7w9CkV{kGd0vcx64!#e}jr^lTc%mx#ewGNZl062S;Ia=!9#Q~it#{?-H zjVp8WNvj@Hq_>*;{h*M^5|2GNkr5pW#gC453Y0<_Z@k9ktvbT&2!ztDjzifw;3(OP zE}T8Hb<))nWTW3xfv>7zKP9hII@v>JQI>c!#C-YS0}bp*lAhXO=h`puRTP>~6`24Y z%Uo;PV$yo074W-s1icZL?sQ4ZBt7uYlec(DCN3N)n{y?L>#@QkG>rTy8Bqo}8HngN zr}YlPb6JFutC5!zGM=V}1&@K4b7^W#D51qT6$S5azl$ZLCHwYz5OQ@&9~CMOo9R*X zHUY7`QjI-QeZ*D5{9S?I*yGIf;`;+VEfb7t(P7fJ%Md(r!3qyEA(Eg_SsN;S)G4Z- z`o|fiMXG7VwTuSwaE4R{=A+5Xsj+nKoEqYj%uHOZ0VKqYeqc(HbSn}CKU9Nuw@s<` zqOGV;D7hId$+d$wYbp|0%2M&v&**5vv|NUiQ;0}X?n37aIU|hKS1j$W{*>4rCunq> zNxV*#$R5*yC;l`$`s9U6lWI)2C%7szu}M#{2$4#Ypcu>154^I z<8zs(x(V+CEW;1j8;A;W_ff|xiXJ52&(s2`wHDA+aZG`x&D87@y2%2vQ2mh9TlTgo zO1!jM>g=?}jQIyM!DDd;#sr#pj&jh>%!F67D_cp@TBu@;A|wmool!L%7WcB1&!87# z=GIerw`VKrxPRgiUu^>B6_teHR#wI~(A!aiE*J3`?JbU;Te_xsW3+zqpri?4>^fP9YC^l6((r^Li^6%riIS+?2_LPyo*iP07#%#}&P< zcN-p6Z9*J*?5@lDxZj$k3nuH*v~_qJ$)U7?wE6u z%?%{|t_1W8G6Ge#C5|f%=gw}(^v&HUUu{7p`(V7+_=qFSm-)F+RFNbYm{5BG8*t8w z5xo6J9>$greNVP~56@+h!)rm2*-)r;8$tj zq@iA!X>Yn^1uS$5*)G(3p!epAVay)o48ryO!lH>ziuyYlr{9D`S}BW_Lyu0&XIW&o z=5zCKz2-d^iaFrqtGQH-%$}aZyb(A8;)$*(JIZo+$a8g-t&7O|ACmDt5UkOn}Hk*b0KcFnTBt@Uqhi0j@g(nLit8;O{9my&mG#4iez482e) zZ=KsJ8nv2FaeViOs6oB5C45V3nl2VpGVs`p*M;{<&RFffyjkQUmIKjC^od!4eQdX( zB8hIRuHetiPCc%%Xo)xOb$%UD5ITcq#!bJjN;ihQ=Z&o@RYzXS^IDhKYvtZ(<)XZz ze^Jd18k}vW7nZC5xh!G1()6y4ujS>0Fs#_Xc-2a1aMMq8S*pMZs;Jf0(?CqSX<=)& z9f%^?zk3(Y99}nDrfGuMY`hG#Y-^-*1OO}w=R;WfIHABevI3-}0ycog*&F!W*K|-* za&j>(liAnZ4RZ<8bKY$9#^`oXjq(W6zbJe`eOVDUSoer9P4gT2dDZG1KR$0eGTYOc z`7)M-4|C6{0Dyu^b^Nd|mek_K`()3OnS;N-ELzZmsTh{6y=lRDBFI(&T&`Aw)2nm+ zBz?yZ9e*Y1=vQQg164&nsV$XY zhAjHHRtNY?LqEFKm(uS24Z@ztRsYC}fXiyqlZ^uG|G#Kz!PT5lce!2v#86L9E2MdH z6QYV@=tzsKlY5Td{W!{=YKw11(v|1-nChUU@0p|1dlFEyO zl(L(RqtH0MqVlS0W!#WP^DtAr!n|FZOmFkex?gd$Mk3sCK^ zj|RO1KjE3@W&(R7%(lYk>u8TR0Va`ShIK+S07iMzUK#{IZaxDSK3u%6oM*z7%gS)5 z2Ci-nqU$C-sgWNlyddkWM&Oao_@_;R7Td{|T?F;tc@=WS0u6F07V@KOL8Bt#Qta+b z^QL_PYAJp!vh?jsCF+QsMOR8^zIKBd8)?) z(!b6%iJyq>S^peZKGsbIyI<^4%P0YT81+O4?`C$(J&7oRN%Q<#La3F0UB-Ge4>k4t z2c5QIZm-1RyyCfxQ~sJ_QQoZn>P83&jnD#JWycEimw0ZEI5JfT28P*~4@r=Odj*Hro*@21%_^zZ&b^q6KbNA5zX(Y_V@tf z!eZX`>*g#m_o_ITxTlm`DgOK0gp!rnVL^1)(HlFCAzabS;BhI#Oy%B=*9e?mS+ie2 zd~k)vGc@Akp#3EqK8CRr8vElkA&%@EG-2 zBiRQNmo9M9I}a>iH^)%a2%8kjOUis9C(D!|%0`?S7P}Kgkaq!3Zz?E6D64yEnYLe# zf5+cRZthK+K5R7wWMsQ5^EO(~*2f61&@6c$hOS^#tW%@Hm=Og79;_;x+kdB6@=gmh zyZh*}MeLD|F>0J2T;6E6}Hh5h5C9ltH%$0)rT& zEZmaTw+|f9TpDpQ5~8tm_bY4Zl0XBApogEJ6S(b9KR$%&OI;L9Re+@jdK_hTD28b~ zE2!`z%TVT?Fu5tRHZIm0j|^_%$+C|c9!&z!DZki$8ZU}exZ(TA4${pExRL|$MY5*Z zxB5|X>vvPtz5x}l9mfMctl@X7hw4rX+mf#v{s@KwKd0?))_>K{Jo=@);klBB>Ki z?n~MTa6wRWNJ9A=PA0*Jqzxb6z9p%i@s@}J25riKl_M@j*lbPnjfY>{pI8-_VhCpJ zV}vyT-UI8ukuKxIK$SLjwjmu{mhw32m@NZY-H{SVaSZx;;b#ws;>BRl!kFSsBrRQ* zW3wtLrpA57SyfE@XXLNX5!&3dq zo;Pk=CNLHbZ)1{u1^>azxiWeWOzFEx8e~|_Dy#h9S!Yu3w*JcSXWGs1F!iH8=1lQh z@eSsb0v?-epr9wYUCXoxR(pBGw7o=m$pOGICbW6CH(b3NJZP920$4L2`U#NOINji+ z7sn4q#jDTlS~HKR;)iRO5N3n&`u>DVn^p-l_UK`=ah4tvt?f|l(;}17gxP_qrUHsK z?(L}h`2lS_ZLAX`Bri!q5-`iLJf`e>5`!L+P`7P7tOHdOZV=o8&H4;S*wKuHzF2ZO z;&8{vuGY2J*Nifg$r{YJhI{^r)9Pe7L@aR!*VwCBGZRN}J z-1(Qz54-|ZSqN>a<&?ALC#E1C^iNS=1h7gJdzOQ z%&%kEZgPQBC&v%1{5BIUf5fHMpKsNBq=T~_MPwl~Mc4+5>EP6sK${;c?%%<8LwPt}k2<*16Da0i zc+K&8sby6JIl)Q4Pm{((y0pwtb?o{qnW;+=9hpx3K9mJ=;r4=u{d2@JIFA>we6jzl zJBY$E<|*YqkX@M}l~ED_*XKMrxXI3`@s}{8s=(Q~-kp6oAOo3#g!^(OZ37wyS!8FI zR_dfkGNamo2c;>W_uI+=n{AJAu$x4$L_Jbk&~%zX#Nj~_a7)p<|_{-3-nwEhD;$)9ew%^U2fzAg=OFeS|la!!2 zb&hN2peQv-bd{Sf(4Jjnw?~Tb^UW6gRT$a^+0YqY_N0cdEu2wkwyq_CZhSVonb}P} zJu+r0{-XtN-M1^Ua+Fj|bBXcBazOJSsK*gXM4g~rn{S2i?ADg}nLJzYt1qpL*+5jM z@NS_GlD(&ACKzWbf^$J6==W}vBNk~h?i-Ze`HM7xCh|HzT3Lf;-8f#3@j79fe2^8l zF-&nmZhnw|0lH2MMAq0R3OBZRSZh`wa;m&4)rAy@L$eJnn}_@Q4#d`vT~vj;;{rgE zKPS7Mj4tZGF%$$*)^d9%J}SPF>rP;D4L{fD`rZXiZpi*$_XH_qUVMv&hK-95d6{*8 zQkMHw`2q??D{R?0v{zl_8OV~3{~R)Swnl^MdHJZ$*ObNF3-^nYWti?NRGxAblL2|) zNxweI4hVU-9s5ea)nmp!De}u}fv5J;yu1Be&ZAKZQiN9(=g?HyjRALfE;C_;u+-QO zpVR2rxl;h>Rm#bfCP0w~qix*=3u8DlHylq+CnpYqh9IIu7z|E>f8HF8s}4swiIhs>?02T#Zd`9kzQP;>;>?Z>DwturJ* zpPM2^nlAXo>Ry*gV>-x6#lU%tXVmP{sc{}G>37HEU&hwje7H=AN#G%Q)c32( z9kAyNN>>C7ZT|*likKVVU2ZjGo=B&TZr~0K3N?l+uqsKh04h#di7g4c&rIKNOer}g zf`+)R$ zgbVz~x`h@Fw1tkme#a+?O-=Q$qa=wQMIEAE!5L30nwwB`iqz2`y-OacuF+UMMI_g9 zyep`Ctd*saEtKMiQ3}sngnUk|&r)o!;oG@E;%M8z9DiOx&~gm|6l15o{?v-bVAR&N zhN7s+eUg&d`~@A`Je9|8a$3E)%WbhHNsY<@yEthTM-=d)tLBZo;br+EKHorZ=d*>e z%4AL=;E=)Q-L69LWTe~)zknwX2~H#R@1iqg<q##XGk+ zsX!$s&5aMmaERKjYCDTJM(4FX*|%!;D=~=Scyy~-YM*2 zulm%fAmx|!wp9>6tIz!sd*#PecM5k^={P9rO_Bd!MX~8y%4C!GR;pe{KyMy`fA!|b z+`Zin@6C}(()B|UOf15Y`^gh4-3Tk2f2Emo!N#Mq~% zj*Qy3(dcWz%tyX2oP#%pJ+5>qf%WVjW2ETeSftr(4W!qi{Z#f%!>|jWA4N2B`;~{}!;g-UtPv*nVBPE3I?u zM-~_szaS@#!wdR#m(5vZA6EZ{ z5V*KWhT&d8*A9nTfgG@Yiu(WwV&1Sp- zz(51%W^%i=Q}59%K|j{xmo-X2>|(!g15gX%6YC`l#*}g_#5NnpP>Ep0yu`DsBvigK zW9;NDN|W9nk%%*%qIwlGGJEA!m`PY;v5;BL-UU3CcO)2Q$^ME+X-MTVGyizN$9QB~U>}(K~u_=2ymq z(#N12<$p;*h0-EN!_&ub)+x5dzmbip}RE^gxuQ8TG+D7OC~31_cM zYG?8p;SyQr%$iw*_VJShZ6G{NaDRW>-qo~~fbRXMYIin2roI~Op*OCBZ+-|W-P++6 z@c09yWVc4FW%JBH#pj4)Hp---naKR}VOllhDsgyo0LS*eJ%Z4E%UQ9Fc5X}>MP>U) z$mfdWfm~i_Y)G>7DjE}iYGB!^D9gc2uGGB$k=s}RY*NV{lkWG+K>G;em_IcY$mD+8%S-#GkPT09$BQkj?F7{K8 zsr5`3s2;*?_893d-)xJrxN>AL#W$6{HTl*K)2O$+3wN)yaPi!;z?L8lxeC}dJ89!K zQux62qDYcxnMa-eD4(ah%Suq2FOuAxiu#E7oN%Oft$t@?((S~IAcZ3{RL zU}h}1I+AZCI3~pw%1IY4+2yR9aFCH4WP>ge3^wD-fBP68e`J^Bw!Ed4*cjiCfC)K% z(9Nh{`jJ9+CDeQl$!2xj1f&hL)P?aO3#re zRmbv&ns1pAYuh<3qJ_31E#)x-g)m!VTy(WDC27yER6{K%Cz)6^&!>wmF9Oay*CR0t z?JB8pP^D^s_bP_^ZhgINIeV*If}Zx3DTA6G7?8__8^cb+p8{H764u(rf550>fynZw z8NV(m0oSv&P*}iH&Jd|dWpwbYe&>5@mQ!Lz{WN)1Zc?JxTr0*!5t!V7j+T4KIg-R_ zAI;Ocscw5EGDiF-H+G11y+a0!Kh3lNK=gQ{J(11MtBlnkbvOYOO9l@%%x$8Fm6KE< zDAkT7c_2FLwCbexRZRZWo1irM_Yn0Wa|7F5yAj8d-fb-L%|q4-4e=Dy$GfqcIwFIq z(*8b=!!`3tN$wW`c9jb^jIHgBs`l-3(n!drzHdPvww6#?owrT z`d8a(l5h6}|8YGwG41ISvq&m$b!W>kNY5wjZ-1uWBG61fPUrs`jCxoa+21>1TA>9P zXVUoUoIgS;aVzkKYd`1$ z$874c;KJbsW*IQnW+pDq8601U!}1HG4bgxLG{hJ?3#2q(U-eSRU@wmhM*jRJVPYuq zEi@qA001JGQ=es(9@K7Tbea^?hpulxaU!>F>Vw6y$3Ge<#vUFMI;5NqPF8~$l?1o! z@*A16)k*6=pL&^=w%5aunxWq$5BiQmo}-qkNmci9**Z+sGkhkA^-lQrwF5QHw~@>W zG9)v6@7GUKIfJ9O1iXip4~3eK|M)00D>mG*6p?rVM6)x=kt6@frh(E712+6_3&OwP z#KVgiJ&t2tq)y{^PgX%slUBdUE|#jiwjPR4{OE$n*liiGWWnaAG9drEb?UvZpODiU4wUPB&q$^GTY)3cGhce9TghtB>?6jXF5O zrJ=}R?g1u)u$h|84q)SvcxVZ2gky&8>!`$yE?Y}33ejRsywzh!;riUFnClwNV?es` zB`f)@muX9$ExWW=3KRHuM~gGgDyz+!7wHicu{i(hsv_5HQ;kCsyh&_^C|mD27>- zq1JFJTA170DP2L<$a|_A`~#bxVZzO9eQh*dw3Wpo7YN9bu46e*AxpiWD!`;mI=4ZL zC%yjO@Y2Oz~8ml>mqHDx_S``5@($@+fMTC?e76nZGIBt=u7Mczm2IsHKtk z5A=6wTyq1EmP`H#5MGOcP*VS3yQ2KOhih!2kWgyhop-j)Gx5-ED14*}1X zzyQ%o@{n;6yRArI1e+3oLSw8tkSQGtG2K4Ls?V-PCiZNtL`m)ujH+hC{xKY+Nd!eM zqTUM7)UmWc%6u4ILQqj{1l+E^WO<<)@Nv=f16FBQF)Br=2yki0@p#wi=btPsq%rz6 zqEG!w*4Nd311jW@@1f(|90gS35USO;mwna%g!Ec|0GR2DZzLbLYoJBL;8k5zc!u^3 zserHRAj8!o#7Y99M7>^>0$}LQbNmc_4yT3CCRtfNmw2P!viz<0R#jkdw;K!4o065~ zDtq(rduhMdKh@h!^gonS!6SYH-&zN}pZB)$R4OwcF?w@z52RP|j)Vpxf^6jb6P=g} zf6G!=Dl-VSS!ejVpi)dhQbRy`{5+_d7Ps zg1^U*^@T1o9N18AGiIC~&lGl*$O|KfNx8DT3T}q*08L=r{1F{g~8-On^( zcCDDv_E3d0`=CH1fmEfUVmJhfoK8eKmFJ{@PLs52mNejGH7~ukeJJIDNG&_)Z=v3{ z0;P{^GFa)UWx5r2`h3K&Nb|!;YDCTbVJ9%sR5PtEp;sebgq1GxQfl-6q!f1XeD7!} z0kfzw(E+17 zCw3w3qWsZ;yr`#2sk{OCw6T7&wd!`xJIt9{-xJQ-2n%yYQ5HaXt@7dgaWEZiAa3OdDgpsAp zg+eM@%jx`2@$J`PKb}tQX}fr3=(&UUJgqoM8+?zdlzj|c@8a2#X}$lqRC*v(X$Ncx zauF9M(;}4l9C+?#JwPfOaJU4P1dQ^{#A-17pMu~y#kx~agbLGm)2w0$5YuBbu&e*1j8@GU0u5{aivzTdG4m^l_#I9vTRu5^EJ zF%Z?8(p=Ym#V@jsdE`>Lhc%65SW+*yF<2H?bQ$idy>&Jtc+N=6q0a1oEO_yBmUEDk zRT*F_G)q6bgOWrzOQnJ~%qCDWU{4WAx|O8846}Z9%f4EbmzN7N92M22V}lds^tgRo zwtL7N87@g*^@4AI0T|piYvGSFEU4DEWp?Q6A8$14{YaBchI(nU03hW?s??wKvlQL; z#Rtv7-}NYxuQKbHk1<)luAKt@jvA#rqC}-$(~&>?aBiEy2F$k7SbD=l zy=2GC1aXD=euUCi^qxEU$wQLAcBZRHohDCRw%a5#gO*WQ2m8+)VYW7Y= zLI&5zYN6ISAmmHR`yF^Dl#SHcP5lp-^1+h=-!R-ME5XmYB8)Fyfn;}gVE482?Z1_D z5&L`5kD{Wg$ORjzcx}+s%T>=%7zRwA<|4ClnI)CH%A7q*gH%@^SKVs>86dXHZg;Df z!M-S5>PvAK3f=hwDT-q-*1+{yFNnV5S$G<%sSp}(`hpq}O`cgc09N`7yZDN2xo3%F zZ>>z>{N8L&`mJ0yAod5k(#!U9ScoJD!+|b*?9Jr%P}1;TA}Zn3$dwc69h`Wda+*R> z_ti}J6pPXlXMnJTZE{WAUxos5&qJ*ik-0-(PbK`ye3q9Vy7qissWx4Gt-Q3$#J?r< z=dEl>p>iLtF_R_hfpZ646SfQ)j_MQNH*$y@`P%D_OL0NAEyX}_|p3gZ(5mZ6J~Ew$`y=P_+pAr_2&%8iV~&GOD@kozkwR| z_vvq^^xw6Jin4O=huA*k6muZR&{^Tat6az!&1iy)0GCx;?u0vmFhsUljmWt2w(}6u zOAG@}EvuH()xJH%cfamCIh~HHs;-8wUV}X*@Y2dt^Ps(?fHQN?`^KT(<=nlqwLI-= z4Lq!MgwNIefT_dN_9APDhjkC)->{_Ia^Y%pyk}NG=`92kiWFwFs}EI15{2QbODtB9 zfxpuy3sEHHdNeboCumFIb-TL5IJ|U(Vx~p}DSr-bFxP#fFCmdM3a9n;tz!(L$*!yO z_Aw5}m^ieeqP9SX+j}8KPnONl34x8_A}4VYb+De*ONU!8mG-@8z`3^=8C6o-L*Mlp z5d|s0{fq!LTiPpj)#%g5`Q3G@=Gvfpbb%wRsVOBV2w@2jt=jT}IcXc2V#|Ac;*leJ#LlsljS+7$r{FXfLSjYFqmm3hSsHuI5Elfhi z;NhIDnec=p$V!o}(Y48VKy)d--h}d2V~Z#>uO!^Xxq$zqqU^5NC`?zb1eb{^y|9E2 z6D2C+-D^l9z`S9qO{U9Xe|cq1dj}abrjp~{fI^5K1AWc+B8#|w;(fyFNGNjRFpZen z%gVbgHRhRLZHy}+f4PA_rf?cRV<>>h4aVBQ{V81?^g2hn{70uwosqSqKDS;+Aq<%G zY}s?j#9c(H?MnM4qSwQweX9SiPaSeW^^SRwiMVEcV@5Mr{2%(I(tYmM9B9d$F)vdz z9l16>++$%6TD3p*5vc7(Pk*hS8HRR#<7sc)GEl0fYN4pZU z1wtha4q$q8A{N2f4U65+sMPvrx$`KFP3>{~a>-SZ8L!-^pqF<@?bcPX{R|nz!5UXe z{13Ni`(=e1Wr5SvEfBO-h%N<2p)cmn&0=8YaJPv({|9Nj_c<`nV@Z(8O;e>nqz5YwlrfG-R8`Ns(9OsH`k`q`FOrrViOTF|HU>;) zRTL^n@j+>TJkmM68~rMe#leVd@KgcOw!M`?b|KYT835JBHZs8j1-QeO|HpR@)b|(f zF#&()w&GD_To35O&CSPn0lSiUITfMd=uaoEjz6$J9AY^^wU%fJ(a}5*je`iW>C0<+ z+1hQohN=8ATx0e~-bEc@Pc3OS{Ad@r$oI|uF2sc2xt^1+%7V*o%CviG2tlWGk+OfI z7UioZ5gz(eYR<~MPOdy8<9we9+wUPQv0 z0ip>fYw4IXc8M|G(yppo(g2p0I{x3MB|F#gge%U`A& zMaCSdXKgpAw;r{3rz8~?T2BBg+C^A=Jzcr64^9CwEjdrM{J89FGZcQ^9xtDI0jOMfSi}AX8+aVar(8g$T`peUtcX1;oDCtGA=~9 zuu^D3E@GENu{J2Y}0Q&SbW@=lN| zki-VvoONaSj?#gv<33B&oH9f}7D&(VNkWXG8wR8{Q{kyV^<=42I9&;}0e9II~4TRcU5iM{kXhCj0)XLc;IibQ>9Q05}vcM0Uo6dS!^lf0~0a^4}zv4-&?+ND2W%ZR?2X2&q1LD@m&3r4VQ_h>?WSQ8*C95|=Xb&kOdSI5i7Bcm= zv!PS|@OJ4!nVdo9_8JxZi1G&~%fN&r1z0Uu40zHr|Hv!q=MJNfadQ3HLU+S6_oe|W z^s-CqdW6i1sr}6H=&f6p>xI!cCd=vkxC6hcCyyGK>U@Q9Ah`8&mF8KIFhKnZ&ECwT{64+dwfX;%D94u7Vx*`4`8n!XQ`EUL7p<8>ii^rRU zDVe5a7!+Msn>HinrmR}CRB7O7ThQMkvCIrit@82-TNnxf6k%kkFlv!kOWewQf7sdU zI|}7~$Y^_o;hv5OlU#L*P>zK5OFz&og(8@3^e!8VE-eChD@5`H9Ys|UX4vQlfas{} zSKl}~u%j?$5$SmI5shKszsssv@a?Po@#NTCUF!Wq9X}|c6 zKI=per>7XX=gQL(MvuGJaQ+9`Ay9$=*D8-rRqa1P`-OYh_R11Xx{#_Ka!mJxMJsDEJENNLfI8rarc0xejV$4JS4K~{11fz_b0^RgHp6Woj!qDDYi#-=FW zSnzciNn57Ty5&C{4pjw(Ew>dzjYa4hT|3Mbv%W+?m%-m!{ca}dUg8bqQu z)MV!yMdQf`xyp^fN(V;J$W7U?Q}j5bp8C-n6Q%Fgj9cTtZJMu>KX2(R~u-@?kFl^1zYyg_{h0HZQmPTVMV z{AP{{$~2V^8(Zfmh$$7%inT*gtsbBK7pnK|{ob%ON7!#dA9eC#R;}nB1GD;ju38^5 z-amD+B925M!Ry&1NLJVg7l*uZ3hGAAr*8~@Fm~a%%c>67Mfx4%HMa_*s^z{5Q$i8O zqp7Q-KiVk4jdiYxB|M`rRx$-a2mjtoDxu7z4pZju!b(`5RF$gp3}YxRR9a%VlDK>k ziYl0NxElMch~a#^N!N(dGJx~1>%g(=tYn4yZea~^;UUa&945k8Qzohcm zOXGBNrXldXmNk^&|MHJ@ztwz+ z3h{6XX!GdeDvquafj26|TU3i*h}KaUVo?sGtZytWIYhtR8^io&vu5l^B0U*sVNK?qcRoj_-4NGcHRFcJoOZi5Ioh}i_y^3zi`d}2 zo_CkfK?&^x0#Bbm@0oK z&}uVUeXcAmIz6S-*Glbi-%v|-phM6a&UPh6ezLX1MPB;p6Q-X4?ZslJtlk?S5!n&h zR8o%a55wB2-=T5-X=J^_#P*(DVzLc=JDBo&w79FtuAM7sBOz@-EzLfctR=8CBg2p% z=ox$W#R=U*Xi|+6bTb!=Vi*NpuQuk7GYzm_;~Ilv$Y>ij!FFel{I_44#^p$kA?<_ktkeHQ?oi z38`+{f&C0}#LKf@INaD_+M*Mu!EnBr%&=4Sl{VSDv>9#c_8}%zzbKZLV-ek_j<_!H#aB8S^e0&s`@wOqkac|&=jclcI~ht+xfCUfwn%g@Yat2GRe7t_>g=C+ zEfc-VNnQG%ycT-<=tr+W!){He<*6C`AYg>68^)ighvx2}y!O{@RVHZOd5fuiIx=&t zp9R$Wc9M=BTZrt{5HMqv=X(IZagK}&_b6mrx|IyabrXS z*g#u3itM~gd@Q03EN_=((4&LGK-X#wiD?wz+DUpl;LMnG0R1`!j&}la>5tnoYnive zPukOt?s-+TcG_b`*;WcoP*8pvh#`5tpt_PIcMc7iw#=>Q;ddK{w0w`I?a6^D2IGjh zfJT^X7fLnsZ*yuuKswNHc|MIs1F>22jQ&$*gPms;d-Lhoz9a`UrHB0|$nco(agu{y#9qIN4UKvg*zpyA z$LLUTCqyhK?-g}}+dq-3gQ3Wje1NPuUN&lvH$~mxRGnj#!`|C5Oo?e_dNlLFmCqg)-C_LU>?eZKuTI1dPY&O+5MYOjF6_a^>ofIwtDU z43Az({bpzbk#UL@3+!SE-JU}M9tQz`TZ1WvXPWg=8V~0e%z#|`w3JqX3ca^+i8gBE zg`I>fuMRM-xr8G({fW+qxkY5kHAHAeOBPa3QG@!&wFJvWmgfggAch`1zc)C$F5Ag( zzq8j)2%h{#VUwLd?IfuEJMs;0s5VMhyH`MX(RGu$WP(>X2uf82XAU=6^p@cJ_y{%4 z`TG)+RxrDfMfcD3%N}N=GfCa5XUCfP*5Y#!n$ zGKNNlieZ3fVZfB4$Q_7YaaVEG<4snvsfs7HB^Y5A00!AH35LyxbDe|0L6Jz4oH+nj$lh`K|)#i zIhj$m$p8A(B2(LTGvPrteH2c*}tSf zT)hFsJT)$;QztkzlSXWadpH#iB;2LcLGuN~i>hm6aQSnS79KVC zn@M#mq*ean5OCLRM~^?qPNTT*F~f^=&Ijjwu70^F{~+XU1|rK_pYR287ep!RH zw3-{TX1F99Zf@}}76v+@HH~8;)s=5p;X;x&I&Ww(gTNc;p)ciqJNZ6daij1)i(C9x z7}3Lu8}M)yJa#jGV6{oc=XQ#p|CX)?HWOCRh}o~Nv6j=k>3}9e77fWieSJ;=dnV@r zMBpV^{z^=BIAAZ4gdZSAC8a-jJKJf?=Ar_}=EuQ_TKL4$^qT`ldBoX5&`U+>X5f`U zhPgOD{q(3(vER)-A9P{|$;r$)L}tK4>9m^7ya-k$1FMAHX<6u2Vl3v%_+N~L&~*{c z>$=rTXo#j)f%fhTOuzf=fof`3xFJkft)bYSw_#iSgo%pC3QrO{<^0B%4mU$V_X*j0 zypj{4+%=io1vxT!a;j#6k@PABX7mbWcr%b3If^0b&q>oyq7}tPezE)iQ}w-dx-v|s z71KpgXJMQ7ehQBnA*qq?M`YFh=n7ODx-Z5rZT=XtkA}vT9p+*k{!@}Hzvx6tTq?}q zVZP26Z}8xoWzkIU8f#>nsTg{td3d6bP2(TQ;^Dn$qwP0{FKqKbh-jqVDoRn>PBs;j zQz>W5u41h-Z6Co(l@mQ?Jp9oGeX65#w*qFlE|DcoxSU&#H&wh45dM&x^n-5hW8I8F zrLo24$T5~&-70Z*x++f=r$7i8*9{&d==$+STH%uauKc7x2&lz`4a=mKIwVX=i(JdM zo|;$MOn91+P=8S-P|zG}$W1HH(BybH35|tnw!&khfaVFWnv2`2eP%J7E(Q}xVbeNj&Unm{;^gMj9!pBRJH?d+5yj=K> zUj)m$sFGj&NMjwG3U z=M1nxr0$ZCq*^C~$BKsNIrHZh>JZs~xM|P(kO-@ajZw~Y)H~?JepTYoN?PCENp>2` zIP_=85N>Lu3=W=!zHa1Cs3L6fuzfFv{vkC|6coCRr@105M~LkV#1iuPA^E4=MI82i z;ajKL>z1@=z!Z? z0VWGhk3_}zo^&w_(e(QjJ$QO_U-S9?+~a+P|LzXHO`=0U)7J}ZYVJrv$6&T69F6dk zWE})NYwDDJN{S{^jMdwR7%OtMlWwu#oDQ+`Hezj|Ud*$Tp8tsIBFGe-9xl8lg$L`Y z=MauC)KUfUbW3WWX}gue^P|n)P2tk7wqh+1IzfsmKDb#GzPJ*s7+;nSe75PXURbb*hDk+n+vOsvWqkRrA^WM{PRemV5J} zX(+tdK?xRCx|!1F4y6xa=IU^mKXKeuXdx;H6qN16%WH8U19~$wO{b&Dc#5PDNMi-6 zEBc>}Y3a~qr`}$hH*jO75hTMfd-1TOt)U^1;o5O?^H=xQd2$OUT&0?HQuO{%&UK4< zEpJuYP94`IV*_@nbV*#vFhhasx;Bj32d31d>k3*S8Lw!OZY8@o5af1%&fx6O=3sS*0z5iNwAOW>BU2VmDvk8^=f5C^9C@IylTvEobwj<1)#o zRBrj+!RGeWFKF8^oB))r&hm$$F$~L<>9+8{HQjl+>HfSZ6oYW>r0v#8(bsG|W2dWT zzaLY%TJD3s{PGmD-te{PKg>*8*?#9-tfv2U3wcKLzV#PU>o=mTgmt5N`!3_WlV?V) zPt-ObpS4cH8_79AD0PZUj1~j8=rj^-a@a|+Qz<=@MvMWX8g6{b!TS;)*bAw{+e)q%d^Yg^@TUADZ4{`!Gv4FP#G#f2-L@ zP)Vnmpl0IT{$-FOoFRx1EgYMb)bm)Lx2)J0L8RKhK}gSC3B=E3O3+|T`D(^18Z)Qc zX7>Tx6*C1L%V#L0Eb+=`X@^P-jp$^Rh-@bVh;~_V+faDk6F$j*Pl4*r6P2LuZ@%z# zvR!fZfHAHjdAHvj)-#P@(K`00__Mg|UMw_c5K1iy2@&2ey~!iFH`IHx4!M-#KwaXk zzT0ZGt)s4T2v_n{1T$pM+MVi+#i(Sps2U=Nj6vb`G+H1>ZRb}FQ7ZPi zJ12*z0Y_9s{$ODqq@4C_QmG16E^aVZHm4e&Q5wm5g`LRl2~nz(oW?f=l;uyn0^X_? zM(-ot`&7LHGYzFt>)zMrCAYdQLZlqo8Qa(FV9w3i zS| zmj~WIvZlKQx>3{v6QN9LnljJ()On?SJ}2;8IZ6oy)a$i-urY3opI!GwX{k1mt_^xi z9kLGP(#kW_h}GSL;ig%w=gG0!2lypL5fUc0`ohvj?|BJvP8)bF@BM(-hE|h6p?j>2 zx5+aTj|$ZEi3t%j*(7KU)cY#eWZMCS+O#UqMC9z-4*DL#98VEZ?r{W>4tP9)&|j3| z@=E@i-6oSpNvcBHj1RiZfI3aVL{Tze&w#1PpF`EGfZ;2%Z3 zZgtnjOl~yERvE!Fj51y&M|5>7tE zEHA=Dit1Z~^m!>(cf>FDGpr==bX3Y$p$JhJ>}imNpl`6j9@b~wZu1ET3#XoC0q}p- zTN($)7Q=nf^hr3qgqx=kQGCCjV-o1x789Rn0+>JW5?Rs(krY`h_8AM;V2{)iun^;w z1sAA+B`%rIB^;s!<%*@{f2SH28(gvVOOkR$jp>dVX(+z_=YvrM(d1PwWs$jzT61+D6)%sA#6{#*LewoWt*odk)jzp(RVp+RD9}U zXpAx38Pq`yYdj1asMXmxP2|Bl#5itT`Dbz?p>9CzKF^G?7pKBQ0PtmR?4x%P+bUqx zw$QX})-cTt&=Bs0^1K*A!i!bA-7gpRRo7!tDi1S~!QO?r_;B$ox4)6ex02R1*%^PE zO>bNV6zus{l4K8@l1z;#=XBwr0wQD-apdM&;Bu9(T?hN<_H{QqQWv5J(3sj}UY_h6 zK}cO9Bhk_G%ta=dQOk>d&y*gaZ0W#)4#S%bzsAp-_|!(lCjwQ|^IE7P-;g~ivBL=) z6?JkMc4i!=fNV}f@V^CgVrX+^zTI`bOWf|4SX{8dGJI5qAxp(IFbo(^;ZRl2hR+tQ zIr(N`hVowQX(@3@dCS@}%#7n(FFU=8pQrH8o25?gg1u8d7SLd{7Vg_~_{m$)$5C!0 zWjUwcVwD}YZ9x_c+Q*^ zfh4zj7U@WU|2TteC=>D?^CrVu+mC8K8;GY!jv9vXIwC0 z^V;gUH@@{g-4&eNxLc+v@&4+UD=%8KW^F@*4oYG)Brd9?VpCO_P>8e9(yhRclFaB0 zkUBw=a+sRyBr7a3&xPr9O{T}zu+pwojPju_0zbY)D=}NNEpGB4eL)f&gSS%?)$(Of zW3H~`By{u97M#(SRy-~$1jfFb5Wz4R*u7bPL=?u`3l~DKu2&M+CXbca9X&~R*@YL# zzBGzaE4<}VeS}OLx8}w*m<8nxF4PX=fIzOa<_M0S8U;duf&7+5#t&%&Phoo8$kUzD z-_(nRYFmGpdA1JqusoH5GIpROB#$5Hm&(T`&kg)Jl&zITeJnU#l) z^MXa83vEbIe5ZJJbl}*k*!EKYCQ!&1Ic3o7V7u3bOu0x=K8E=Z?kX7fMjT8uss!qf zByCti4@!OW{Gz4HPayAMx937DSP zQbCYx*FY93jX5waNHigi{9s?fHPtYGIG!R+a0ZtRMyY6i^7$>Kre5C{5mFUW*0d^X zLZ8qHWK`(epiTCrfzLXg(8b@u_E{fY3!x{X|M5`(}U--}#Q@VtY`gm}fUJs*yk3k~X$v?i9XYn}UrhAtU z_a68IFuK6ZPR=8XuT&;jIH>w zrCpnT|Ai)X5!Abi6=_)950_}W0%PiD^rO1}e*X8<8N!Ie;w;^R$yR&#E7RRUW4-wD zCeAV6pE>6IrqR2&)^yrGvCH{<5s#EYLr=Z(!7yNH8+XueOB=ivoU`176UrwT7edsf z)MuL{gwee!wBv>PZRO-2ZDhB0^h&YgWT=3Dx`6c4=A3!)-;%_ozB}nmT+=1OZM#4T zdahBiD&vID-O)X`JH_T*QNEUQWS@KBx@2nWlhJ1D3OUK)Kxx&ai3Yr%VYi{|f6!Bh zPq|EK43L=V#Fx1$aiWXfG~^#O&}%c1Yj{px%mK5xS&sxXN1-%CQry@{~{uuQk$oqM^= z5(JRI7wv?~%%kj(`YlbyvZhdMi$Or;WJ4pFVNj+Ohr9K|-m7QU(xtJzN@FFGwle1L zJX<*1a`DL5iyB&fDF-76-*K&W0KcNI=C_V4_>yWeYBxFsJpq5cI*GZ|@Pa;fnc!G@yc?CSDoUBjj zmP!gqK3bnlxb6aG60k6df`(V?D}mSG78Ph|R%@j?yHz{akJkf&O%0KA6CJeX>|<;*ou>Kf;ZB33c`UjLxY^OJ$+R9RFj!#(I|nZXcE-$}k9&fTx&0lT z_kPIB@b{{>OEENp$So1=PShlzLlRa+ebdw|y~4@Vjx!XO)dX!F$smhL4B;%N8G2RQ zT$MDyV2PDNIhMQ=i>9{22C}}~kTzZzQ_a!K-l;!!4`SM47X4>wyD(`*7g-;%+@-e} ze-9#tSnxwkE>@S^)Gg6LF*C+ci^-tH=64vXtC^;-(ib{+UA*!-fz<2i-a!ku`<%0> z$?hDM!q@MZ$Tc9ipt`9o9YWCx?NC@}FPOfiD(&*v(~z^oze?S9pR1t{-634-*C+7D ztpbZbRJ#lFEnO+~3x{W$+CtVNudBGRRrimA9KFwOMo2QiwSz1QDNmDbwADANE;8rzc@S3r3qCg<_x491$}U$&qVV`Zv;V8>igeRu4_Qx2PD+z%t|vA1TlN- z(w8zZ`IzNqVrXt{v}fFhL>{2vJ$`m^a2r*oD+R;Eo5geAwR)5KEhHBSxrCG*XeABa zMZ*%~VvDNZFvF+CouMM?iOY+K{98zWKz*AH3^!K8bEfi|lrh36qpMmhfUWz>(vrhbe^M+F^ z%fhj{;rc;n=Cny)L*7#XVa}Bs6)bCh!p|!V>)rzq;ymUmb&OZ1*CCGU3Z6z1$V{N50^0=f70dy0}(=OGoG z{Ee>pxFrslFx?oI>Al3oAD7yd5Et4)_N*KF`MhTvnRMBetGtJfk5_Ko&8#(@wTU8? zI)Xo#c$3%6dj@<~%BY2&{mPBqgy2cJzem`@+}mo3PK$!$*!qkqC?l8%5sMU2?-TV( z4&iE0cVa*?0wa>~B)4XrRX`lB>+N9w$B1u?DpjAe@(U-P0XGuZ-$f4HXxb|)4beTj zSq>+gRi~YlOMZBeZ20A4c;e9-WN;D4mvv9(BXat>SYGzdc!VHQ;9$7{Fq?QYtJPT= zYgv19{?{*RD3U;0yvmR9wdtvo%{FCuBH&zY7m1Tm2X$JJ7zutnfziDPKk8_p--EA5 zEoa5Roc?ZzBpaaxHhEn@^83kf>DrU-RfwDyBl`{Ry>)bZ4tvkc!-vr$nh?|*Wj!SgDfc}kP| zV0)+v10;rqd*{^~3nl|M=L8_<-^!eH!<`KW#mmGXy&mV`jvfX&Qi;q#)D?i#@J_Ym z{#E9~*>3{gQFk7@kBds*B|}4lL?^!)5HSj@kh|7^cbm^)GolZ_HrrIIj;s)XlEoy^~uAX+Ka8bR(!fA->k24(zH)l7JppRe?Dn}4`14>_QWX&ZowIK`TkQ@K&`DP*&+F80+3)T=4h>~$Nz9_3Z*BDg z9h}VJ^-Eu4-q-m&5!|5)EZxHH(a!YUNdk_`rG?}#viqyf`jM)t@M+QVFecY5CHCXi zkaLU91;d_zI~RCwWCj6$rPi+;awh^HCZ~UEa)E54<9Uc@J?T+S`{ik;l)kD|QZP(` zT}8lWMoy1fcV1~O&8GvODOTX6M;*p)lqYkfq@KU(-=`pmshD(FX&2A<2nS%a{2a@I zF49kdf083a2g-5aS#En!6#kBX|KsQCU_Gw-EAV(70@JoS_{%1Aat)}1tFV$pU6D+l z;IL&y`yOSurc57e8_NFW>Ur(XD=8ZW>`#++I}`7z_<*60wCBlc>Im~G$PvxS&O!=- zANZwXc4abnvOqhGE0y~VPgG_LtxR}N!v>Q_;p=R5f6ZtBJqlm{ zQ)Am7@rMlNb^nb97{Tn|cuu4NS~>smGpW>=1OAooIYubI*c;S1mA^1X7%2vdg{+e( zFrvIu6Sp_FPxc z0#bwEbiIFQ6EA>V3*5FZt3q5pd9%}qttboo3`C42w=pUom;+LL@mqbL`5e3$e!a}U zxAl_9jyUx#TD(`?vE;j(hKmIw8RE#5u958$$k`0Tv(f&Al$iO)X4HV;C{z|B{3%v( z03U_cw|BmTYa%8id@;Qg`KPuPSVZ*_~x^6@?RV(^jBd;+yD)V=LBbe+w-sizMXbDrj1(d(@5*#Hg!=wTT<59W; zj#v;TZTYDUR!(aqzIrA_ouahCmCMkR=gXZAfhzy?r1NL&4+?2v?@na2)6b|<}SRIuF>d2AVJ1F@{rS*7#$UBoHilW>jkj>v@_fA5xMF!LYAkT<&J zy?%7~^ZR-Jj$E7;A6sANhN3k&zvLU`Ot#qRT&ZlPd|r3z1MI#IrDJ~4R~6Mde~(eh z_;7@4JC-RM(tApV`iejYu&>(@R$I(8g$bFzSF7BiC|;W9nHCLsp0QH*Da%0|3kT|` zd;XM%LF};wFSFs}cDDXmlRB7xnrDtfY2kl-)~KdMD|m`laTG-~M*eiNY#bxBMcFze zjp~Av`My)Hun}r%$yO|mTP>-4!N~EfRQt8Zt55W?1{+@wDqMZm+NrRW^Rd{SDaT-K z(tDb!_HwPch61LXX?Q97v*lNOcvF4#JUs9>fiBB1-SKvYd+ax-;aE<%6SsyE?(Bu= zxW%X zq9MGn*1u!Q-Fjqe%q~aaeebD?3f~JsUCpl$O}S47Lf*2!gwT#vTz&P5TT)r&6_wiy zo6M<9SaVSiZ?sJM^L*|8A>cK&C@o+YLVaCMu>`t*-m}(;3pF%Gcscq^^gn~8Wn9NH zLkFf6j`HR7>NnxQ;$J2Q!bF3B@soR3=>&03vC0+UxqEAZl&My+5-x)ZW^*SkuS33D z4(6qr%l)V{6-U&)cCvhPJYPQHJ6s@Uo`z#$4vDEG;NXQ-9K)h4cs#~CB2rrT-{v#! zMpm2a9ArA>A2$79H5ZhVL>zWYpcls)YH>E&vB;`zFsX^aUNqiC1LC4Z9IRHN$d?fL zH92Z|G21z>o48T83--fgusD8?_j91-5&KQ$l8f}$%6x%WvH3D-MnsD`Q1*(;vCKFS zd?!5&XT{mHn7~E%7iMs4Xi+e#%eIhJA7B+CED9P{KH8ex2ihDXd-Xa%@&sA*OkGK` z*M`#j(jo7rGazmd!8LWwxip2BKyFC%VSa{%O!w}WW|WlNC&V8I!kK(EL}^|i?hX9&gjeg~WCRS?JLFWiPjv4i)|(D?j)RH19YhrZw? zAH74GUdt3N$jpeXhzn|+88pO=LC{@%xF`+?ZXi(n8}`^|(YuQzr5x4P z9EaBQqw_}+CR`i=%|`yd?VmVPjJTWg3OF?ufdm`HQUClrsTL;>rYH9W6wd)>4FePY zjBb11MDR>iW_Xq~vWMZbS+mu-eldi{wCN6%y~`SzHjQL@Z*Bf=+TgvNYE|&*M)at& zwu6d|XfT-nOp%J8!sHCwS189im9^)RUzf@o&1`lHeRg0`%Qq>}n873UY&gaYkrHFG zls)}|cri_L1wCtD{m#4|_Nh`p*41@8($jNM@8tMCxVyI2(J4MX=xUwnKj8+apvy1n z34i!Z1-eP#w}lS70u&6i)@{o4{-Q3KpS_*M#Wpl55KK@Om0-Jy@W8Gz0bUPB{xjuC5vL`udwhrfJKE~iD@Kt60 zP&b*C(0)CsikmD3ozk+MHV{Gxd8TVVHn$f$8(!G@r?uaLqpb46xpFAtvlpH~cg2sC zb2KG0@bg=+u?~L*c+d%}sHgs@nTv?C56)1~nQGTxAVL^iF0M3}*IX!Jeo($E1v_x4 z0)wK(%m`*csfQ*AE{_qT9}vp5V`fYsyoClG=bd0HER1yL6%&5YF3Wmd1|nNQF@`cHALxpF233hb-+r4&|MKdl@%BUhR6J#Xm)b?fhSfOqX3 z;&BSm5d$q;?Gsxmz&t7s_4qS8rYOToDcurL{h1jhwVrolxeKCJG3H08ee9xH(s*5{ z--Ga&?R`V~k{yc-%`1=U3S@jo-}O` zC45VsE%Dz7tx@359SN*eStG%6={S>a$R?@x4i7T?CFM}asdXs|7`paR;NC=NNVnD^ zob7NeFu2y2a{5FPhl;Cnvr*s;d&^Dx^~g=PmlbbjP2(l^#!B&~lh6HqgjDCvge!e( zqWB)x?T@3sX}_M&NM>y6R~E<4Q*L@f<8hzk=O1}eSH;a~_zt9KTMJP4bFxB21_MiK zV&X8#f090q7oH!tIX}9b5}+^r0`R7ES0z=^TbcaT9p7#2Fos_lwK8VUYE@ z;AP!<*-B$=%NNmpw_s*BJPDnupn5bW8a<80oLWHAG-X>?CZt8e^^RyzH2Xw8kzdIV z&u1-e#XSYjs+}opjN7KH@$lra#tIhsw`YYIX3XbAK3Fqf8ptBdjy3c6jP}TIJgo$x z^Fx`qan};P50~UlV5~-~7g$Y;awpnNs>2%Jw6+G)LqOxliR$3T;hRqF{}mY6vLiC# z%107yXf%QKf{*;&wJJ|%!WX|cClc^-i zN}0vn5);#Fc9r2}Gb%rUgChyYh+_f^1FITr8l&16={j|zyNdv}l#sj00rR8$p}@~q^4UN}l`6h?6N*C6L# z9SU7O1X@0uSCVG8H~8m%IlRm5uRO*7c>IJ1T>Qw2@Gd1-`sOp;2{u&hB}Nc9 zJ^ZZomdqw03fko92Uz*F9K8kbdp@n&c>G+*W)7!%zfSM zJb5+|eP@XV_j(1G+<%MmLgY|Ob8EQWJP1tp`kY1uSdILAwzMB*^n6tofWRJwfoO55 z7HoYv*7|qjpMAMXjP2*G{}dS1mU)j(8W~#cDMUH1x=8FPj5}*?*4&mQLyn#*Yy7eq z&HEZ!pItZV0=~7c(&&%q{fA`zc@>Oh&a|MvU1+4}36YC^11CJ*p+|*b}{r97;!y`cISwxC2HM zJE1k3o?<1;F-AF7D;MrAwdQFV=e&=Tzt&8SnU#v*Tk=_-y4|t5Wz!S|9tr zW)9JP;9*32lIG%mug2{ zrUTBF*57*tBGhD?W$9)=HEx!dw-xp?zw1lZnr;ngS}6lMjxxUe9(H2-ksJB*_Ft@b zQ82H)Isyo^O2Vpj9%#%r&pUqu}{OdJ=SioFq0#Lh|r?(o#-7Fvmnxk z0G*_cYd*lMhtS`hZO02a*+;u!WT4^TnWsEzB3bGKJJQ0>;jlZ=;v4>syJ!9AoN#)J zMb@0KC&+1FtDCpCJvP)IlbA@tbuMyloS#iukhU?by)qZJ5UARu{Rwq${B)U^zGv8& zk$`03TuI3~f;*+$f}4@Ke6GiYv?$(Ml}+eMaGFTER7uWaTu8}cbVqwV4XOoqmTCGNA|lIU>{0r$dRa7d{E%psnethB1{P0XM=8+ZG4iU>Il5 zluiqKoJ|R#V%DFXxcfW+j$ir7Meu{rxax8e{Pr;E#eO`?fVrM7(5q{0^8M+Y5o?YU z-$#uz4e=|8jXkrP1m@xw58v`fMNj(<)KBby__WhubtdW<4;w||i<+EazNE%+ql5o zUh)rogvz%SLfK~{uKe4M8|RV1rQ^iTvExLqwD-WF!G+_*Pt+jQ4>^FE#gl*Y;K{xx zaO6Dt0o3?udn_IgSO+*=0KyDF*Z}Hq04T`qqMUm~C(a`RdOoxJcxQlf;y58N4$!Rx zpl|?`3xL7`B-5l7vOXsPIU0b<0RZiv3IKrtAn*W$4_@xD)fw#be$!B=16rJQDd5)0 zJd6r z%7Ddx&K#gyHl>>ffW-0O&Wue5tJ2LIK=WMyfiOTNAArFCs)V$N%8=|KOPa;8Y&$!(8wT{VHex zu8Fx0RJD{bLzhuZ-5#UL8X{CVSsd6#Q&sF|C9Q!hOy0mn7u^ECX38M48wma zaiIV#`#%*Us4kds(0vkWdme45p)aqso> zVCQAhN##1prHUSuB_v<^>ioq&84jRx?y8^>>2D}39zJ8Q8Z8e;S$7M7W#SzyU>R|D zV|%jTb0DxjQ#O0(8Am`jLR-ZSBZ8aVCnFjIYgEX%yf2Kwo6*{aL!a}|i-{v^K}iXuiq7>6~bztnO#m&X~=o#Wx; zUcy^I?=yvOwSsN0U%y%k5y84-MXjlnkF$X7pr5N+3z6Q^?tZs&+1?Xkc0H=8O-Xz& zb$EG%sjYNuS)Fmp*oNkpS$#A5@7A_DbWg^xj0g1P(|*=!&Rmw}ea{&>Yoct(m}u>> zV<8E-c~#Zph9qM53* zlEpa2n7>@&9qwmIQP>=p+#h6vze=x;>%l(q(_J5`tRt_Eqrh7U3V2`806Q5&HWU=? z*A*K0erOTD>^2@|*Z)~;*h8fH6*xZ#Hp+4F{rlYqd3kJU%!?NkPfPnLh(kJ$*rY}L zCAdL0ujCLf%6*QPEGf}Vf^t^wAxx}ylxy_ZyT5SS-#XQk=H=+$UW#rJp`+dD#n`qy zzX|1e+n~&y9j7WCu~094=E$u?g(ttGn0c)Qv7l)MaRajO=Azoe64>hnEBDM|Lj#d| zJQHkwXHoCcO_S)~IgE1FYLg^cufcS@J;cO=iSVFZ{dk?Gp54Z7CAZwCu3o>uE^es7 zBszoIR!u3p-%`kk9;5=}l*M1mh#GW*W=?WjG(gT9e@R=9BM-zqOw&GKG$ymdGETUL zbp+$>u=1d%%6hLY>muvlBpqr*ai<7jZ3kENNf}nC5BUG0{dlU-TboR@?~uHdZ7LyV zR&&M_=3kS1|89P&t|Vv4@6>e;#C>&E*Xe*kENNMmdLf209S>j)Ig)5ykpySdkFLcq zy6D5p*5hPBzJ6}Vwc?z_Pq(tMCgNXOSApNcBz_pvncRx+4u*mHRL4`7UKQaNG^|R8duUwJ8%a|YHh3*vh!NL8 zGp@~fT~plAM^z*G#52;=P~(_8$#(5*qVdXJ6}QuiqORZ?bR(i3f0QRYF;36eX5)zU z!OmNpeGOtFJdr_v>n+K(0lp1G#j$4viK8mB(qAUjEQ@CgU1@f)`;f1#qOm&OJaA**9WL*82?IHB9#!K=Srzv&<5YvW zjl{6%mBx#sIiz=QY>15M!&R4F8F3Lb?8*mc2}NywzBk-w+=3qtf#HN3;Zo0tu$=W~ zjw=zd%fyG2wSV zuLZEj1-R}%jm6Ds`a1UF-zpRD3C!|TI3g2m*K|Z?*~%>u$xhO1c*-MGW&x5|WyvD{ z1>-XF#8SQD+oqZ$i#Y4>{`r45l^N|b`cHq%PMF`NuCu{4MLtnB{_ zf(ME5{$_xt-9K%1nq%@_osJbUklj7C`!8aLNIO3+WQZ)`5MYRG@gO2(;<(MR zK4N>1BG8z&tyo0beRmG7y2y>K4M3Bw%^@+IA~85)d|m4BQz0EwR*&~;VDi@ z4D^m~64VE=jGUTa2T&|ELg!$W1QL#}H4kr$=Nn059ylP+wB{M2vwOE9csKVyr#TLp zFivh1i4>YR1Iozs;T=$PR$dkx&j!jZ8pD)kk6~pB>5x-}b=2$juYwWBd@=VPF_~>y zF*opig-?4yB(@6C8CKmZ!FRzofWtiP+!p~eP9&k6;g4uVxgs7EigrZY1d&36D!?vI)x6(pWvj)&6u*Uvx(&?&54@DXSI{s2>169H4 zKAw1n{R}jf&hfw)^Ag^-sW=Gui7%w{kyQzjB=-fP*okf^R-)|E#hu(bWb=ACIVfi} zGyKBdB=3G&eBv{W?W^-*A2dZS!2D`tRS8wN|Ik%4Bomu5g~r0 zsgL}$sE+U|lJDcxq`fGpOS&8=OS%@4mAAG0k6!lpJT<6}^s18YTd0mCgr%u&v;oPe zCCMI1$`c=eIs5oL5#4D@x*!2OLyGeD0f4Xq5M9a>K7g{_#~S`WTOnD1XkTxNv{wY6 z^dJCJ0t7e#MgA!F#GGsR-)x0o0V247NRaYGrz$|PCi%WbUD``PUD~5efQ(KSyQ@>o zFh(?U)LpXJ%eEHa^dv|=tSUvZ#^)ph9IdG2heAXzjzN(~(Frd-;-fCIL}!F|o}grx za=>|1nD_{nB+*&^mgq(wIh{DsxrZ*%c_vws>qM$JJBCzw=7mgoCIyA+1e@UA9zEy@ z;8_5SJu2BHO?0B&fcS`)HqqH1P}BcPWIKoWHWr{FMSS$B4^SckD77gN9{DN(RLB9f z&qcm%NRU0{h>v^$E%8+WoW$t?a;X40nc{32fSeisVJ~@bSsFk?0$>mTokoBTfZhZ& z6Ccp9_}7FVZAmbB$>MAaKmsg)LI?B_5?~Yn3+u&{H_p94p4j)P&^6H zk5&Nh0kC$IGqv2X&}TL@KKNMSEK~1K+_0rTXGS(?%QB@Wvr4P98Q(Wxg>?(Y4}Tz> z*>DNjS9rsdmK;^JE3tc0Q%7jTtun0JtTViNaKo~eE2sbBb)5_KV#qnM4HoZNi^~iy z$u>>#rpFDaGJj;wSDBaEM*q!VfY*4)W!0Ugo}5y-uzuCVj$5^ucf{K$+2eke8g%ou z%J7=efu{g$_9TX1v=PIv+!e9vj`jsmW_lSEVuwo)x^+>*uQ2Ij*BroGWl&BLHZsgl zt8*(b+r@cS+tKy&CRc}}&u13asrz^1_;>Sdjs#qvfsvt?)X#^{e@J7?WJz-iT8T+j z6&D=#{NV}wp8kseUU?ySFeubS88lmve$AU-4crv4kuhn*un59XR^BOqlcs(n`7*dm z5wbdh{QH8(c^{DVL#3|lOaVT#bPe?W`1AiCGCI-B{(AyETyz8m0z&*h4;c+yT-}W6 z-PPjz-okVcK}OZ_jkl z);HSUR$dXSXFVNkeK|Lebk0xcVyq7E{|JTsoa!*c0s+wh z4F3NdA$m_^Qxjl1*!f3ITTgWs4l!Xuz>kfQmFd4Vp)nJi=lXQEj>^V5RyZAF91;bI zynF+PQXpw4m@h;GZY*6$h%HwGJW*)1+;5R=Ao3O>)5b)<>kb>#eVCj+=<~yXZTG25ipi5&C8~{4)gScWzBcfgd!6 z>c>U4{8+r<*E79=)#qyLcRJh;EyiO!v%`!vidIwFsePt-QU(I4yI@*WEtdpx32a_20~hG4dP4 zHD#Kgy&*x`an2t8RTkKWr}A%j=5oTdWD&$LuD>i^Ex!lVbP+9Vx9e)wea4B}nr4__ z_nSU)?Zo07E-uU_IycM({kfaCT@AB)k@|Dt33nGT7Is)L!(Wp&CPiGg0|m)BflGW| zDpaqSA1=G!^*A?1_I3BSi$j;Zdc()3LauR}<1{S`&D*Q1udB9-pH&(iDR)1W2e!5k z_~;t3P>?!O{RdlN?^38zrqe*_+bE_`&uMvL zi$7VfDSs6rovRXguWFTt&FwJ;84PNCQz!J0{6H-kn&Ogt}-QtuJ(n#96aw*eM(Dk_%c;VGQa5SZ_N*to8<@xl{}W&-iNP@W;{-V&SU zs$61Rn`@35=>@}qEpzxFu%5M93s}$G91N^yZ)O0p==;)#a{w+2b?7V5H`@Z<>ngA{ zM+4KMv#6Ts=$-<(g`R~^i2#g&Ci)7r&9Zbng0+QuhX~dK5^l;B0M=b)q)QUoK^nObC3@^4&1gYmuy$?*%PSey+;O7t9!eXIcIH6w?_c zp1VkOF)}}ic((m(vPiZ1VVJ&FdcdZ_LXfBF`rD$7wlB=M{xUR`(Ax?%Ahi7%3nDj zK;S?B1^)bH<&3_DXUFziRd?8E#z@AfV}=uSZdFD_>${;6oZ@c1#{AqUk2K|U#|$H@ z^^?b95!<{S+8)pf9`_&p?v5o$%s};Q51Z8jPATvkL@TR@|1#tkG$m+FG&xk=e}156 zaU5EJZcp|Qt8l{vEQ8@U zJw?lU$w4AGVPQDPGV^ALw`lq2iWRK@QTy)I0e1QvyUb@h6UE|~!RlGk_mU5^5l{v}2|i=2Uacw1V0DE<4gpQIG#`uT5q zuf%_Rp!|dxQfOH8$ADOX9zK{wbo-o5d#}Wh{X~OdQl+_yUrjZ?gd0&zE`n=kk+Z^l z#*r3JOK(;Ac!5NnR=e`8gw2X;2Q}t5v=y2tO+TQ-51kZs zbJKB(5%9l=M~qWat$^{kkKkG^jzm3(m++gxJs&Ph!CoAT<9d^@Wb+hzF=^zK*m;G= z*siyCyrFB{X3OC|gFHgoR}Ock9^RU9a(KzWpQ&6{4bHbUcDIQx$IU(mFJgLmRg8lQ zHN9Fbz0O6t-vT$4U7g#OUiU1r7)iY)03C45US{D%4bJSufdn+H_;i@8Kgpl5TDkUV zY=BK#_38TuMch*CJiXWl68O8jq|)esPx=+y4iJgh!{sdFFi)Gi5!n?Uj(Q8B-eIDu zGWeU~!s1HtlDV8A+R4*T!He(95~JcWYNa7sa-js+zWRBU>H8p7pm;^^cR4^rJ%UhjuBcP0j^d zJYjY16g0(HP)Br}CABekaeVbG$Cs=tXLyB_v^#)|YSt`oUg!8J-5&?6eGNgk6+uY` zi4RONUqtcy@fv#H;cYjNYI$n!^XiGBzFXN@v71qFP7Tvxc8AWCVz|8U~?sTh@Eo5=SEokg9F+@@->6a z*8DAfgH3mnrAgr&0mYKZ7mGFguXGTn-xdbZBCIYpPNx#M#cprlRYKPDBs)|4N<2a# z`a146GJAR@Fh~7-Hsy?3X3!uRfq{nqeH`(3pL^5`3{*msQ{lzaRfoR&LKRd{h|m2V zCdsp^{n@;w?d9PY^)$Y$q6zR^2uw6ri532)^f7Soti?bDYvvux%n>TtwC#J=K_d0i z4XDl~(~`rH_~9{|u+I2>`k}C!o%fiR60dfTn{a4a=d ze@&Jdl22cc6Oc)Q2e%XQWD=Km%MRjHwC;I3*G$*2J(xQvd==8-Knxl5om+IDV4r9d zfLiT+UR&w!zlUI((&B9k?n$-WXX07AII@7k55}E;ZmtE3aP~};(4(2sIDgw*|0n!F zA-vIqW=zXb1oAUR<8+Zh?n_BAiG<4_PEN^7mOhE;wJwoJ!}n3~O@DUcqKa#(+$WZo zZ^!hgG!&5EUU59VvCt}OJN1-hbmPKM^`kNfeOp_9PXEho35T{PLVxA0l)c~3j;*s| zzqZ#H21@-trpaXl35&5#HRZkZ8RAFaSJ5PSnxCWAnm-!|;Ch@ak|_94CWGzJaahla zcqkG>&>r)7cu^(wJ^Fp|1Ec?Y?uU8WqwSi0VT7M4QDwt}- zbiv%<#j{!4R+1v!&&_}E1xU|7N>t^yeN~P^h^6?pLn7{$Z;jkJ$Gy!OoK(A@CpQw9 zo%2Cu6!u-2O#M&GbyOYtU!zzohSL5KmUv)XZ!vfF^!C6Afkv`Mj{>{pV|IFAVB=oX zUKyhvzwS~;iSl0M`hb+ueLD^ElT!H$gU<-Zh zRqUA$R~|E;2#We1ZvitXnwcs8+dpbkZXsOIai&bIg22d~3vFpxs2j0}B+hb~2z$XR zGQIav3i16JjR(l9lC(Y{}<)F_l~fMG9A3K^UUws z#j}sixr;wC0?zne<&7n~i(Jwl8QTrITMTldm!y8P+lrJfcsE|if`S64?$o&o1AIAH z7_1~(!xq93joPOnaSpJlvOsd|TeSB|>yH+_0{MShk=(T4e2Ov#S+*172t>HG5n&iQ zAr^xj4OVAKHT!~dx!w?q%PAWL&#tQ4iY0>78m3&YvzJ;Deg=g#g+AJ&N3|ln)Y~h@ zEc^Yc@+_Gl;*>Wa9O?~qRYi`PnWlL>B5!5jTAZ2KIz_||4qt%zxU0c;08IdTXZf0f zM(>|Mno&AicJAnD;gWbhGuDsyn@#-{9YCXFSgC*w&WUUu1hJBJLj5LA4?;kN=c7X?la88( zoA$UU>1!@_t(ao46gny@2Fe6D63SPqT-?zS!qpdyMSGuwBGT@M(n(TLmENbKq8R#r zl8fu3u*Y>xC>LMkN!IB5A0#IlDk?oncH(HJ9m&5H9!mYjViB$siKtu-8z zM|3Xkf$VQ265)1|wD6>*HF-r%72wML_u4w5jwBanG5s5fMIiqVW&ib|PG^^jN`cZS z34{MhF0PY6b39Zm=A_P()n5N4b8(6fXpcck?bk{2WD4hq{I`V?(~imic+`{d$?V3l z+S>GE`0rfDN%W-eKGq8=I!2E@`APny2Rr8B#m7AO$e*1=Pr9FDwD)(E;*3s`Cl~xN zNqq5}Qp)l-hn=E7y2?uVkSP<8R6CGUcZ$2ayA~<#4vQ9Fq_}HwcXy|Fafjlri@UqK6u9^Ly?Xx7IXfql zWG2ZZpUGMIu8KS~%vT5q2zUtLxE`%C4vOIlNC=2b7zhYBu++@dfyKklHYQosK8x*Z zNM4%JkDEr}WGGoW3E7H(q>zZlG@w{jy&nDw22>deCAN+TU?5eHe(+Anq1~Q&s>fs(_zDkoo+bf%lVuc z4594J6A9((Mi1uaZ^K)qN*ltEWu^SEIVcXWh3Y8XOUTER##YT1%MwUcKjukX&Ttw~ zpz%6X)9T7_gZW$aT^AtoCT9)A2h*k^ zr(l4Fwdcv$>xVLCokE{_M$PX5$0b&baK{W+mzspx%@W&ug-i860ed$i9HiZk+Z)#1 z2KU#e!H5*N4gpc-arSJZ``2IYU-^0y@BJ{m{H`xrqfCx`$LcU$3k|7)v}Qi(4uYNA z@`LVrfK+pP;fKQQm@=p$wqCaV`zrQ(p~9^jk7}rBKYA`O<(uDO-UzSnf>6@eKVMue zf_hn1{!clnil(siSRo)V(jXvE!R1r|I+)u6f10y6Tm5h_Z}Nrn(bU9rzf{~gr2f^9 zbi8bOmD#D$+)5};$B0g4Y%o!usj0OHbzGxI$q>lx){BFrW~+K004tXHyDmRGd6|&_ zsnF!*(0|OgrPJN$xqE9^d33`6a3TXVox`8$99duA?IGYde}hG%#CJzZO_}v+IOp;D z+>j*?80Zy9hr%(y7nX`iQIIXZI{Qosr9GhF6zZ}@C~_wSbdGl>i&=E znKTbd6{*_3+2Eb@QmmE0!Tk-^)Yn*SRwBlgn55hb@yW1arL zr9V)DPQ#XRXVyj|hN)OrM3ic9co*YHG`5z9N274L=rW^_ncTbv- gb3L^733 zUSx&e8@{668O%n0quAqdRMpg~e`VzWVA8vJ(b@su9LtnDTSyt) zf`<*LqXd0*CtFJk_$223%$}Zo9ggBEjyK5hyU97VCOor-TR@xJIEZ}p{yyaTQnb7h zK}@VUP7NDNEcDxPB)wo5S3q!>I06N~A9;}YV`cttfP-5EuJ!1Q=@8U1x8ZMK`Rvk4 zOozDlG)@@zSN@LSJ9e|gv$OMFr;EVv!40%)_mJL$NL>V7If)(LxsYPYK@4Y9xEN}Och}AiZI+Z#sP)aQ=JUOCj za4Ow79+A0AS^Zw-*Lm8BXQy4HB{&_LM`d+Gjd+r+ueXoPmYBs-AzIMcnC53loW?0Ib*>h2gD{e)H_KRTrZ?BrXirwMv;AKUePZ{^A} zz7v5~T<3Ny%U%;m%%ZoH-bkPAcXwjgy%A%&>-Wu;Tal;COsUi_YMdP`&{wz6!>OC|ppQ{;|8Z z#nWSaXLK35O$~aP^urbyW`I?Cbd250DDFC7Io=~8Wi=k-%KytxzGQGSe zW_^!PYAGlF>K}cspvn17kj-t*O=QKC`$yGp$gXVl-IbW4FkHT}A@2ng(x?@NYzrWf z^!nc&lqORze*Xx|J4o&0Y#ety=1PI?s=68e4fECqEaW8PQ6m)f-U2|fZ2Aq0!h^Bn&c?Tj`%!2H9s)*{|V`K zR+xPMb@$}KD!KTT|1Ha)lxU6fy??BR`8ZSItL?Ks`Hed1q>*Pw`hT@ixe4c8OzQt;SP$OKQ^gA60!B5t zzOMDwOiAKgWqD1-9hT^9aaquP5^*GFM=@{uPT;e37F=3om!d$sJC*9*bXO3db(T3t zU~h#iggH*`sJ%?NFy?Y*{_37W?tVtzf8;rdz;tG5+HHe=(IC-1*S8q-UgF7RtN-cp zj_!&z=FW0dSace?@Z?tW$4vQ#Zk~&zCCa%3`)Y~JS^@MYL4OagCZbGF7i4V6r>%Qq zXev!2T))$rz@xh|wcsPs@j4~BhG<(hy`wZ9#)^s&t78*E%j8&rN4MGZJ%|q1A6Hxf zCzVgf$0}klwRNztO33ncLAglZXm}J;H(4{$9DW?VnY`q13d$jsp+N>!UGiYMAVT3O{pFem_0Z5Ics9=S5=8s+37hcx2(GA!)Zd|-ci#l z(a+16W#OKwcvOBdnM&C5%(FC~p5x*v<6T9?(tp44HCG7o4n29uf1qS~4@UjQsoC** z!loP~9kd@bPyLNE4S447$DAHvc)~#&*L7~9W_eoF!?XG^aK2p7hEC!sOjf||PYAe3`d#S8b4lc?GF_$V ztOJ&Nhc~>4L83j>Brp8H`+RztCJ2CqW)%4@r+hT7y`D)W^`hRc`z})gdY;ZMaoaUZ zB+_e6ov9KEQZ^ahjBdy67S!IK7TQ_ zcN|u7)|z@TkBkd8%p-uQ$MpjO^7;`{c@v;%(9}O-V3{BZV7Tk+@t%R3m>AR6Lkw_* zrjah7toR@r*cQE3-?xiWlRIny|CvK+?a|GY6jc9X4hE{@bs<68{@Ri(BCTA{Sw8SBSiG!kAafVfg)&2PqjzyUks|hANM*hV7^Ut z&kLy_{k!4^7u(?kG6t>Bxv(#L?)YG2SERHar)bM*A6@>p`9UYoHv9g~qN z$dOU!VvdGaxreiG0t!?_~Dsn==IzAojul{iYcW_jqG$NLSR^TOSdBWaKGE@VkT zZ;i{Y)YXlKvrU9^L&V;KfRi|%Vsigw!smqZE}R|o?m@%ZD1w&PQ&>k!nyU_xpI!m_gjXOJujo$kTd6OL#nq{hVu(p^@`IE+oFm;`So?e z_Ktgu8wA@!G5Hm2{V2=NU`RoGh~@Hv^RCN&v(t3K<>!Q1Y25S#nuz_TD`CoP^mWF{ z<(bJ+R%&$2=^5u;k^SF|ZeR|-a8O3;^n`MEx1)3g_uf6@W5&zM67TdR+nvQrG_u9( z%f!E3J@v}yjOLV#iTkGvVB0@zWn5NHi*_-|%#~5gL#Wyex23F0?2L)?b570$o6;}B z6q7Dy_n|%(EcY3XT_+>QlPn^fxSo?P?UW1c0!bMLz7{Nx8NJWHOEMh)y5D7F9CCx| z+6U8h7ZQ6XE=4%go?+LyLAkRNBqE%kcLUoAm)a3C3+22F{Eu#Si&asu-*L-Bwc3oD zH~)-c!Y>SS3K7#2<|6i&oEEE>qh=QNc^Ttf7VTHu76402js|cRu)A5@2p9fK*ZCQz zbBR5Wh1*~qYD{fBkbccnMQV9vTL~&}AI5W3Oe9PD{cKOB5 z8_wW4T>`88dT*Gzg4z~`7WxhwRM`17>B^4n(vFQUW#e*AP=0a$#dwi4{B5FyFQrRY zEf$a6ERP`X&sm-hUN&mM0_o!p> zZ&J_4^gdlsDu2G!R6Xhsf~Rc8^kH@P$M+~r|8_h3$!*$wYJ|MWH6Op44C`hN73=8S zJOUtis}or0>sQ0WqbFAW(#G(g18k8jJHsqH#+b=V>>-P#TdS=2G!3xRoh*5}+QWa& zLl(pJ|C51_aB?UbyvVbE8FHEHM=bmJ8&RIFSb)RtLJ_r*%rk4^Vx9bJPxhI=hO^5k0X{P}P~Zb7qtOc)HM}fMH&Ra)X-;rE-HJt4Y7T(1>^DP|8uiFyp!5MK}S?|hgO-}sTnQ>hOLRohOLokqB+^SW_qARFn{+3c72d#;ku_bf8^UcZv7mpg-!;n=g;qUPr678(s3uSaJMv~ z!l*4g|5Dp?Z#ao{Q?ahD+5_T59mANpEKlzFfT}jmEEs?Ootv!TADVPinVZA`kE!tq z*PgpvpvAmsxvE5!X8Q`HT-!@TyK0%clEG8l1OHQeEt(NG<$UvMbbOa7CD$^qINF#5E5* zOYIG&i(zk7-%j+zWw$nDb9+n|rJt+59W-q|hSqKFyppW3pHccQ;=bbiw&@ygGW=t@ zNa(YO`*Qduu;kuiiReD@N|*OA*!_~*cscb{2sR!){TljG>v{ObZQ%@rEBQH@zkfLA z*|eg+Dq7yqykN@$2K^3{+)tJZP7il?ie@yb$(0hv?(y>uk+d3j1E>;x@T+~w6(QN_ z1h7P{GDfX(`aBF=hE7v@Y`gDXDoG_RvrYq~rHjhG0kG&!{5D|Z+Ksq>B|f4$r`Z@; z*HmuMRn}CFH_N2`p|njXVEVb-V1aa*J&gKfjP&!?u6L)fs?{QHXtV-Cn%?|XrE<|x0owjy%81k%2GAd8(ed{*##3n>>RPCnO>e-O^C{1*= z#)|EU6EXO5tuN%zm5u9$uHi{D1NC1Y-`qs=a>0H&Rwo=06v|G20BMv#YznpOK{hQ zn}_sVgrq0zv4|XP?_~uQLlm9+j}6=ts%5^E?N9@vpteA`(1_KJc5J8N&g;pQ_CIli_R02YLfE3Z%zfUuUo~$JwiQJ%^%#2+# z<_A2&7(_5tOTs1f7xF=79W9QfCq~I2RB$Y2=bFMvVEwO7q(z7BM5N|aPFUF;pw{}g7pm{#9x)f zMowknJv$-h>zH83rOU{QFEt4J(d(VSIOqF9{;g~kFEri@NxUaLRti(8?bR7x+>aT; z_1%ihY&E&^2fS<}L~wdjAo`eA^Iwqci?#5?1IevKzBEqRUa|xyX9UK} zCZRi7LjKQ*Ex2d^aM5~jxUT#vY3xFpu9c+3JVc5Rer1s~Z$!e6aIp_8Z}v*BhD@euSq@B%lu0&NNO zzAZ5;AiTJ!(D6V$+t(V5x?3`?=|*U)ziL6ff#G!HQme`Gn{dP0$vkDyw2q2pVA zI?Fies75|eq^?{g?VuKoVb1(T{g>BSeg2yjakqNB@hVHaJN9X%)j9=Tjg>y~@AQ*% zD9@dIKR{kB_Po*B{u$y$v4g&Ym1TAR6EcMz);&wR;fG3zL6iMIN0|h+amoL$wK{7YAX-U?ridaw*9QB%gFbWk448%k2m3B^URnpx#Qm z1P{G%6;oozCMC!#;5$|2@#MHgOh;yS-^pftLP|_5)V2go35RSOS>Kx}@P|~y|e~Xb| z4Q9cbxZ7YxRFcv`&UMUkKob~Wh=E(;B}r*32M%$D1x1ysu!&1!7XEOKO_GvA!GFdr z*W}g84({Hex+new1K^JVqQs7&fZ6uy4YWkK>*py?LPEvFcKvL-_ zvT~wh7pCkiptJ_*+u02L3RB&ae1g?PJVOig7yV2C!Vw~a_P)lTbLUi94YZZZ&cdgH z^1jA|3&v=3^*!EON>V;5m(dX*zzArr8TGm}G^4$;u8JIkb$287& z(rUEV9yvHMr&rQzaeRp~9C`NeI?xy6Y;pXcvT{Hmp0L4h{DJEeit13jZw6J=Baky( zliL(xX?O_fC&u+i2Ma+Kcpzhz1x$UdV-9t+EvsWE=H7?U8n)P5;_w=-hk&-RWZh{6 z#`I3{7mARYMdZ!G8Sc#9B&>EVP7fG~B_QmT`mxvKHa_A;K7Qv?J|TBd3%LsxUWbYZ zZ9zt6uQz(8`6;AxLpj_8b7}76#JV+@%K~#3rMWB?t6V|u)Gly%F1vyg>*e^Jjs+c! za2{jg?l!P_5?q7|wc;-*-=C~tNkzD%IiH>K+l*VWZdSzZTuPqZ@?QXfXlU))^4C;R zm(WS-bXyv;@0q=vOkSd#9$b=W1k$~YHqahFB+-D}>*FUs4A62JW}!A<)+bIdn8jAp zNER@KoC=4zf?x!MYw)R-PY@=z5tWrQX?(^f)B%Am0?b^Cf0;PIgJ-eZ^blx@GQpYI z%LsSM78|Hri0?x>)6lLaB#>V+#FcJ7#O2R2;|!i1v?KSaHumX2H_YkmDZ>Iv@P$N+ z7K~?>{;|tU2lYY(=@#Mppw1y>ifLsV!UT0d`=igv0|I#==gX-*bVXThV7J2VBenYP z;Jq~EuSWt6LC7R7Gl0qMwFJW@^Xpz2`*g3rRUl*$tP{n_ZRmu>EU&+v=Bv;aK|nNr zggGe?30sze@IMThEJb1C_UIEWi%r;|8mPNi3-p^}ckZBYx~?+(?+PcrlVpW*q*kyP z+OH~{U<^uUinS()@H_;(49r6xs;f`CPWV`yLN*M}I}3B>ft~pLIE4h+owohTVC}sg z6QBCkJ%{XsdEaCa-;i(56~qN+w}3oHc3*7~Pg7u*DMk>|%~@*6ao5F;atLSYg~PcP z^D8Uk1p}GKhwU2>7J>a$ms2KvmNm1tL*BZs>DO9%n<%Hu$gBqVCn7;kTx(ba;dXdr zudfq>?Z~;hb6k^Tagu4r93EffKus{7Y?%f4`=iHd)uBQJ2BrA>E5}X-Xtxe7A&y@s zQifF7w14$Bi)3YJ8NkxZx37W^M6h=(JfUwx^u;;HB3`oO44j;wY+!J!a*oW+DV7Qw zTLku6!*C)YyQO(ftXJXh(;wr2U5d3>!`7-E^Gt3xA#T**cUlzuH$~bg!0!|&5Ogi^ z_>gc1!YW%H|5TrTpO^rruWfi9+9H-Ei1!=U5_pyiM!F9u7cQf1sS$3%w=~zy;&N&6 z+9HAk?A>#w@rc}F6&wo*2bf!)zhmP>QUG)84@|~~s^C(_WqAD+`nh@sS9yAT5G z9mIrsrkGbWjy3EFCjbT(iFm?flI&edIjGkha)Q(NkKbPxD+Ell#_wrjP%*jOi@9bN zN@?Z`P9qq*4_UA?c7It1;f1pVlVmPZ ztX8l$9A2uN@QJhXnPO(q0^C6kcwM&0>%9|x%NOvV;L7U-#kF_~gakvth3%A5@=9`DGU z24LL9Zt^6?;`@AndlDF-zX`zz4PHG4BeYwJ6mOKnLS#N=_k!8C7+>hLB#t`>Zs0!R z24$iwvlq+oRe{q(LqQMRMw;&1*t-HVSfhb#8$y*}m&wI+u);1GDhSF;*? zdyQTfe|HT`@UYd1XE+vKaRq(H^sU9;4?BjNB)gDU;|{V#eTOg2SD^tO(@v5-iGQk#9DMhbTbe3hIPa1VbI$rNHc5jd5C+$M>c=P{{IQb+{R4G+?*SPQhG| zd8K10ayT~6V}Au}I%nO%4Me|3t0>S@Uaqk}(<#K9IWN@KSWd61Ra#7uMl!iZ*{qI; zfp|D;3M?@%#1+n6`nE_o2P#c}4VF+&ANz2-{JyPN0!UWAyL*hf*uhD6I5f(nRkRjK z;f~FoDNZrDH`|b|eKS*QGs;RyKQ zH4vm-h!h3XjHH16{tfVx2#Kp%{Jt!t{FD_-aJF6}FnBp5wbUUW5f!~!L0z?JIV6{( zh!juuext_4{?8RA!iIattgl5?Ajj8@oJ+Jw#TJQ9IN|gb?P#^gHenNGF9Gpfbnn?+ zc+WPv0&0v~#5!Kr?<0(ZBKOgb-&z^i*5Br=Ig#b`tG&&?c`e_kD+lmgDI?8|j155E z!w(fWjy;1i#~f=q`2&;(z!-D;Tdr9jyqV(Y3`Ilb}C>fQ*<-E8< zQ~*lh3|^EWD$M4pinYa9G~ZFWa>ajJMB<6omY|PCB%=2eruB=rVJF98^_13d_0>D> z@;36vu(2!sOXVrSNR7jio*m-qi*(#|ZzPZTW1amhT&+x5MM7(RqImA=#GhQwZ%)7w z-(&q0cR?>%l%<@-<|%hqJnA(#0@VD4tuDQF^xb+i#vA<|y_(v6$?KIJP)T6p&-R|I zC9ibEV1-gpThzpm&z;;Ivse+l$hMl=plsW64_MemyH^BAI1$K8NP~`M0do&K_mTkS zw$0*djC;q%)JE<^5!p&=?fCOh*jF-u{32hZNc3VNH;Kem=>=1{CbJaC8;DY#f+P`h zZ`+vaVvTGUxtORFQ!)u`npja`h%KR>1=J7i9Zy;@>+an&id3ia+sh3i%wtqjFAYZA z0~%BHacO5)v!PAAOXlX}+Yz#sNKZN@tPf0x?BX<%?nKCyN~<###w4+PvCC;_{X?Fy z>>|MOcJb)4IEsb)*=tL$Zw-JpzL3ob4bbplc_X`;VxHM#Ba50MDY<`vHV7G*BhtWL zTsF%HTvW^9Z&NNy163UNdqcUFYR(=#8J(0gGQ7fn3o=xwER0)=xEBXt`__EKEEa33 zj*Dl@P9G6r2(smK$R5lbu>MOF4&Fl+_QcspSu=f*bL83BaDHHLM4g-}j{U_prBJBn zRbxm`1F9C*uEkV8ZdN$ObK09|b1|J>U>x*xQJ-F@9M}R{(6}nF>`i<-&djaUj=Vc* z2a^c@xu%SH_LvyX&%(<8jC?5m&&X#%C1<&5FP`OxJjnY$v2@xY$%^^|!>q|{w8E=S z)=(A_Q~RHz*A$ZqN!%y>9yxuhS;>t1It)C2miptPY?3qWh$!aQlB3rJlT1nGHg8xF z_(|$w1$~p*()0Xf{fMyvm#A)K=EFGz_Wr^c^18OZl%2GSfAIh&c_WM2(0HAkWIiI6 z7AtS-Iws!`N&Aq2)0u#0xv0gkfx~^-@Jc2xPVXn3Z_FgK|5}n@qd5g#Dkbw^g1Kw1 zt)n(k;42MwxN&^((6!q7Y?xp9$f{gImUQpkM0Iu$Yg>-H0{*OHZyL`^L;mQ)-W&lj zk}-k$oE(!Rw~8@n1{9*2OEFR-bcHIN9>X7=5R>fMRtldQpy$j?f7TtB<#VlM>UsLX zm5d&)Tg(cI0p}{$m|w~0m|aWkaC`}@;xSOs-E|t7WBvfvfwN1%;DcS3sOJ9+Z{YZ* zbm>K2DSv3?PJXG@;P}w!9xj|#8+(@bL~ZHk;M5yUJS$X~IG93gimy7LGP9k5VL zjd&3PiJLT3j16?H_oo7F`z(dXFB`1da{xLFmo3MqTzwoj0g`Fp3mpaLX}&x}-GjdN zT~Jwa%_T)yNL0vd_FP33l&N;}N3uaJYMk8Ac-EP`?&MhQpFn*^`JmIC_k1DYI?4!b z>XuNPZX?sY88>RluHz+|yV6UD`7S~f3kp6Dr4B=G<8fxZ-eFK1a?j$0oV(QP0Jlkj zq;_#C3VIY%yU>230D|10iHHUWetZCgqo_!!5N^rTP8Rcrs3-Ohb<7`erkxmyc_nAA zlt@eFcKRkAw|=##(vVDsL2eU#(wA~`=mBS+&M>prJL5J<-q28uQHBA;&2R~jhCf%; zemQ31iGfXu9G}%Y1e$nS&$*1*ckuevf6{YW6mna9C zrS7CV+8Tj;2s?nuM@s3gxbC)0s+|cQ9msYuko!j6m zHNwwqPjB@}d>9<|y|Q@9(r5=zcm%5idxQ{+L(Hl)4ZQ6!+*?w?XM5H>l3TjDS+6 zOizxpdN0waRH9=BdbG-6s9P?*DsrtD1o7B`1I3tLZsZ171{`mXE5D*LBoQ|bl%||q zh|-ehHr+VPccs6&vN@)%dK_nJ6^Ju6+(_|MiWN~{OK<+~*FPwG z&i_%0E8_oA%q#r==sFOT@;|;_QF}k#`M3U)vXj`!$u(jvE^m#ccr8u7CXL~NUJap_ zrb#!^v7uK?nVU7aI|z`~Ezi8~=aob217_z5d3>_~Bf?FwX<}e@IE%;E^aAs&GQ>l_ zRJ_?lIIjdULg}GraH%ZdyX7kzg?cDQ>x%1R~kzA{UBIG^ieG0g`BSuV-YchCq< z49L;&#BF33G-sxEO(^07rXr4vTL@svaI4o{dUPL!y`U&m1YG`G7i4atZQD?8_N4PE zJ9+9qL`Lo8EB2hD_!biUni2B6S?Vhx{(dg@&ln^^Uf>r>*1XpE^s~+ny*GTdD_}AFv@~N)U87KTZAj9r+GT{$`i>blwqMFrVz0D9si^9X|AC8p` zx0U?kE2$AqB&iW8B&iXx?(@C|7t)UoAVd5>`@fIj%U%Qx7(qcmh@(M3Apd_K!}l;V zZAvxx@2?f1S8t3=b}a+(wax3QWQ0g4;c;ea8oKc7(J2rOidl4H{&`7C>P1@6-VreH z89`gaaCvv)>=NAN)(Xow!M`GCVr?ibd2ar)_)Z{I^^-0TNT^ZKm*1jv+Q2Ij@J%2T z>y4=xifGNyE+|hV$D?g?mqzx(jSBpDsfAVN`?PMGaoFo$DWWQ2tCkysmn2}iK{899 zPFhs7Pbvu@lJpiHiDS9(EVT)+wN|6Csq%k(Az-3?9wzkuqd+L`Fsc#?>}DXXIbNf3 z=-n&)8*2w%(xTw-eNHqXO@|bsvz)Qd#qw|%BY%|h!+HEJ@#L4C4k?|FKmwb=bT-9! zGZ84<7U_#2(TcH2YXM3sBZ;nwl;^w#vK?Ir4HbK0BA-E5;=xG=B&=Ka52YAZp4%M! z@J7OIj~`|eOq72D1bMHz9N3n7N{>Xz67p^`wzujG^YR`5vD^pB_)#y6sGGPsd<*v|Z)TK}8o&+e|#MYEVK2#v&Ax6CZjVN^cw6aigHDE+S7vPr^LOC$!7Sv z6=>eQ6Zfp&g>mv1gUb)v{Rtn$!`oREm@Sbn<|zct$P}25xJ?M0QfE>#TF;(*ln^84 z67E8Itn@lAP?-(+ow{dWiK9fLaHsII5226S;2Rw_?hk~@P`qY$;?7y`Rq$RpXmIXm z=J36!kbUvdqC9&pk%e(WyQ4!UCG%@T3J!=A6eMb@4c}q^FAyU+UyKVHAt3U*{(nF; zH?vrOHcdAhZ~s6_vs-JnTf3~EHSZYb>9BRzbX{q-%QDbPRhr~JTD|(Xa=*Ac@Znz? zJ!^CNx9dl78O}~Y$w|@F?QQkPDKZM(w`(FMJ^iB{GowD!yUM^~ZMn9VEXWvfHx}%XJ~b4FZ+FQ;yL5J2PYLsNC(fJJntex(|pZnlbc!QY#T0^$zwQg zEIwG-rVm|X>&OOf#0DDfT|ou+rtC#grTE7ok#FpmVbidzPd{=jF+@%x{b(~I%ip_D zrj>W0DoOH`lhW8T&*IVAf|J7fZ&Pw`rn@Ywuxy)P<<%2_;R{4eE2dYZO~&YB+VsGi<-)H2os@xmP=a zq4{thU$mc;(UaebqHKFKePXU+^uq&N?B`%6V%wzk!&oIpx<}i>yzz^O&I2$^mXGB; zB3Ch>?X(;P*W6XYFes!`mx+<|!ELvXCLpVl@uxaoli+$CJ9)UF&NZAP4yuNMlb2V8 zG5&4VJBCU5Vcn6h?A^cDgMV29lW2{&oXvG=QG?o1ag$N?=hbMu{%^{nE4op20LwB6 zpYw}RRsQJxZY(9s2{qfV@|O}fqvluA-ZO7Om08)JimzdT{UZ`H)&QB6%GXGL>6OPp z_nw_jJCSH_6*IlHZ*c}RY8x&+dzaEM&DV#WkS9qc;gvzhXHbmFDGLw&hyEf=g~)mF z!yMzg8O4q$b+x+Rh;7*1SSeO<{l2bV!6^cay8dY1zCGI)yrLR;u|Ts|aMmLHoMv35 z@u2YOz=c}Z>-ffP1Wa0mS=cH6@tBFQ{dXh8UUu8N>#>{p+DJpT{Y6TjV1dpRstrM? zSa;XuTPw67HbPj8HY-&F?YB+K6B5zR6z22sBZR%$*dXN0mNwdQeOj( zd*c8+NP7s0m}^cHiPKqMjC6gWuK~;b{x3YI%8+R+#lq4Q&z2B$`aa|Q8Zp)~w-H)z z(3DnR_&W~ZHw1q*mUTz4%8JD}g8FVtb5~n)w<2Q)A==%w>8I=x0v{}Ccem?}?8B9e zf927u$^hX0n>)T7V{y5fhjJ!V0EY;+w>qpSgBzdeo3NAiDoAg=>1vjBvP!Vyh2!N) z@mG`wobB(870h=zXTwgNk)6Hc+z(FdJK42=e5XzR zh2{N};}bRG{j^=&*y?pZO$gL6e!0H6O*6P&|8(fio0{2MMqz%$ z$<4gfisG~!5yQzX@Kd;duZL6iqi?cL6&Vlq60M$|%xKg$T1Ex=!+G$lkO_?q!lD%lL)z8D z=J?$S{dYF9WQcAw4Fv#&MKv^-sH+FwT*E8AGJ8TcME4gBg*>x>Y-lj1Zx5a0zJC&J z+t_H1h7NWWWj#(|l8X%8%2Slur*>Q3c;o~yRu+<$A_}}dFc{OXhi=C|Ww@Y=`q}+>uk5K=>p(Mu-y0bOoGCgaA&Rr0YF%f0z zyg4%g_HDjOd(HkJs>7aha=gG8SS)8gNs!<-%EI`~1kUi9&q;>Zvu``Dq@E@Qu}zgO zM;qA`4sgS#CQA(L+eRy?mx__;F)PC^V6_*D)lrkqW_$K6uM#T~XZb2Oj9vJjR7FiX zo$cA6yvmSrj&XM7F z)EjNii(+gWJKtM5dWC)fB0O%6w(p)8!!kM!laKB%5&pgaTWM6B6A}JAMc;Z{+$-|{ z+xKW7W31JObpBj62Kq~_clzomltNl7(X22{kO%U>5n zlMD7M#eUbUYolFPpRmCV@hMFlLkF?uUF#*e4)Jb8a_8YBvHo_XuI_Hq{`kPxGw|t- zLBp=!B3L$Z-Gn~kH}lh4qvp8)n2Sucop5J0rCj@+EKW4<-6?{on)~m58eM_z;+*dY zhzAdF8k`TcGQQ&!!{W4>ZK2V#LIpK!1^VvUus7H^6I5~rkye~jp1W`$_bD+G-N*-~ zMxtfmUlZaTcNi$&=~o;2#RR<*kk&0B*;%v}fn^3 zDm!nso^06lOCSwPCM9iI!T@i1%Id++?RmEjOi##c-q_{@&D>}OUJ6ZHwv1Vj`ID@i z#?ZpQ?I)aux(D#qjC_eHbP&yOT`OY?KMega5#0YqAlNwa2c1hX{Cafnb8&+6gcPgf z{K?|H^?2mnX7g;yZOi9v+C6+jG0;LE%3bU5z^Grdp~_06pa7(>w2TM!-i1RlJ*(4M z*zrrFeT2OJ3+k;Ea)R&a7_$pDBr@ChZik&ldph~i7gR5+1y$dgF=k}G@!dDO)b@1t zqc5>uwhO8fH4V(j=;J|xb~^3pVn;)$UJeVYT{RQT$av#H-gbcYbk(DwSTC0a)u5Ul zJv{VOIQO+y7xwF;#9U zJJQtJO1ViLFC#YoL%)>idOR+XJ&q_b4HZUXzIbTeKCho z0UDjttEbx;@$W)g+`C7Wh)CY8HPps6iNS)>mrn%G-_YCTF!zNDhNI3uMNb)I)9->s zY70L@FP})DC0`yut9fW$1O!*P?kD?&O;Hq|?0^p=oX=0nf1je!XEXN7z&c=YeTK0Q z3CU-haj-_5;GN}9A737saoRsw?d}e&2zr(WTO-07A@R~KE%(+Kd1$-Se+P$&*`Hx~ zE6!?TS-7;n6yv}Y!LU*e7{Yd@!^$EA`^RS954hW2&fmuhP1?fwjc?WkDo&BY+|!q@n?i*=&v8M zER5)OCOrNDt0{%p01{(5`hn4iW`vY`4~f_G(O(yt5s|ZIYRBolsB6jRX!WL~KFYZ3 zH*x?h9B$c+ZcpznRVP1NYU$@s8Sz`f&T@pc`w}}eG_6frAW)N$iwkjrfV#npQ<`!4 z@+7Oo--my}h*tKLHq80=py5StUCTY&xN&191;#ZS1_|v+{s9yOT08kDu6Dlkc@IJ$ z1fhpVBoz?3xU@~8uO+4A6fR7v%CMA@8sYXnnpVBf)YI&!_(Gt4aM%wGcfl0xpU5V> zt?`f_+;)rgDao!g6@eETcHm?H)V(knL6w%uuoU%(@{I+r%0P=5lR#Tb(>U z2>*;V`t0n2gD|Y~eroi*ofpyib?loMt2f(&G#z$-m_QNfd$E zG$X$Sj(k8#1TMt9j6oEhc_GvYD_x&9EWsyJO3$aDdx;VbT)IPd=Qx{UISn^JrJ3p2zz z(pM}oM6lrzK8deN1W>Wc9?@qeNc{0A36ST+t55NAjlvH37F(iqSHwT9gZ}NtA@z@& zi^xB2Y@+{mWBs?A`M=#J|90yNQR3C+g0v^<@>g2d0ymRl3u=KIAi$U)Vhd`68~B4h zk;fL)3OCS&K4Hiv>V_RIPn%9W4>xE=BnH55o8xjQI$gs3UebKXrQk z4BVm_5l2yIz-fvLbT@tVf*slgijV5XRRnD#y1G)R7;E^r(1mC(oCs^#7YOyj$*`t> z0e>%?1gq)SKs*AmStgZqA&i%y&8gT#U9rRION|V@$o2Z{eQ;erY$ceVo0_*DIL;2% zqglu@gmoeICcdQ$TS9!*bha~sD8`;JBbsUo!HzZ`VE}ckpr44h3f*HtI$rJj*ZKi< zOuPg#BckuSvazV32L1-Nz4(~9_S0I%G?{o7?yI6a^gnJTV1GY1F;x(ArVQftf5G2( z`jt|$3_bg*905Egq$B1?U!a5!y+Q(Gi#*sEF5*hYG8S(M@l`Pv?C(hslLRsU*U~N^ z1^)Z7{RRJ8*?*<}zR}o$zXn#&hM`>U2KF5}r78SON33`a8T;=U72^dlN6HZXYKjm1 z_Z-Z|{`mVg|AK!#wZBqm8Tnrw@*4kOiN|1epf?tJAlZpaz?u1X0q!3=#(&#k{@X6< z-*!>|w!{3l9b-WOT#-1OUKEx}C)5f_Xv4o*^zRSIUrqk{5(_vH@A-R5?@0_H3bE_{ z1)*}RXn&{vH3hR#WmKSc$g)>yb_IX%C#$mneSImr`oUF=;c1)OtuX=Vkj9%ra|*)I zprZs*e;^8Y!AJ3=ZiHkw<2zL2Q6PSHqxvV1=xrIG+BpV5O_c&0-mJ^KVW5k1`H}8T z)7~7HVf5WtkbKmk_(#YP;rT}lGUiA^og>#vq5}zY1;wMILlp;Vy-9%OY6c?85@GmI z1Txb0hMfyy_|!fx()yW287PAtMjB{F8kFzF4cd!cdy+pp+zba!i(Q-jO^6uXzp8wq zbzZP=I*`W+zLk3xS_@!wAom`p?DVq}!U~}Fru{=&cb>&Ztm=2z@B*mpxti7js$c3> z_|Bco^=?KScy7eb_}@fW^-`yD7gF&O2w@PO!AQ$)Q1+$%)BEIq_5MYOOFj=xr8Kqt zjUQ~n{rZ`jcHY)qMjax`g%5-hWnynZDbJ9~(82qJNH>U`A~-0oSw@jYE%8U)XpN_) zKJTAP7&qf11ebmF$N_%*EA9by{8JduJuC1*bSzYo5azYc^&_}y#IiI=rf6&LU@kg9cx!s5 zXpxW6S3JSp^aHa0N8PK`GB#+QC z2N*;{bzES21khirXzZXDx|V%2I@3ry(;#)N%s$hQ6idErQ#Ws=N_a>YTVe+J*4k4- zUCR&s9Smf;S?^5aXIo=&TcgybPH9`C$L3Knl{4Ac2K5+TTpgXbHi>eof^w=yvMco% zPDGuv?)#Wxr@EWLIT9NBWqz)3We=t549l5S1Y}TrkLd79+rJ@sfFZ=y@1Jma21u%T3;+=@>k95Y z_doYD?q`-`?5ZZ~PXe53n%wr6V3~JCtW7{q!*s9KP|$~z)xy5S(O%7(-+bYcM^RJBOp7zI5dXj*@XO)$*+A`3r}*y+Ru zL&{o^&@l`4MAUS{BVm<|h-v7=LPE-FksdNKy{}aFcHT-e8aB{kVK-k|qB6KZCunhlTEfOr<00`{wp8tX48pIU3;jR<| zI2gu*AzF^a8oJ@=nE#+wI68)LNQjov|3KGDq~`A5PY3NGymEwlNBgBWlb5-j-Gcr% zPqTMXm==Y1QOETZCzsEg_smJ%F_Uyx-sUN|y}^Gx;wk1*^AWy|){gEaaEww{B{Z zGH=$`M~Bb1#&Zomho@^4g>dj?v@dS5==kBCLZ=M~#uq0i7jt1__RLi;?dxM}T>Sz5 zkKV(%_VyIZS6-6-Z;v=0jmA!AY(3}dLnoI6b&=9J-V~44I$?kr{RT ztyaK7vCvr#XzRC^m0OCu_rX7Rwd8=u{rjoq=3Go2>ZjNKPC|XY%&QWP^`7PZ^{1ri z=DV1cqsY}?SCriwx|?sSZ=cNul!c0%Nr*}7qgVUWl$24GLt(@Bsf(B5uKk^l($l%` z9!)RW@1ia@dwUQ4Yn;BHvi_G9Zkw~oYOnnoj1{DY*1#qGpvf3{cm#Q|Yc+w0P}hHw z=;!(?oIhmiGH5xB1wLO~kC@fFJ}*yqPUlO5dVz)(lN%U`>~x0YA!BIATjtQ4uUiJc z@^rZm(|4YBU4lP9RuP*ROwPN0PfzC@oEE=qY31~An3Ow;#AvWg% z-j&=eWLZHSF*RisKNmG+6X)_Efac5IPjet4sR|#XVuL^zlwU!c5fEl1E~mDEfpeND ze$M;9NK=dp5%-+JUaXcH7l$dlPLMQXhhB%ztM}^rI7Vu%g%_jl#q!;}y}g|#oto8@ zjaR+u94+46oi1-4Uc9a9=bJ(6Jhq=nzXmUIRQ{pveh-sfTW0wn;BHR1%ZZ1CO{1Kz z;U{JUD4h3SabQg=wln9TG3Deh8G$i3BF_Vp$lxj?ASthhd;JLK&^eRBBQUliO|{3r z5mZ#<91v`0->9)t06*RSMN=xcZHsbc)$5p{c=z&hYVfBE-c;v@TsD#WLHqH$in)L0 zI6*27d=*{KSbqN*NEHE36@8D6ZsjMUaxG}efBM6L$lQe6W9=~8-hQ16C2adi70c66 zek%b_r4BO;)R_0r%Z%)jeA|j>JnG!i`Wc5K$ndG1W6PCa;&*KlGuf3Ikco+e`;KCym3x!mDs25B@vE^mUa2oCDwfS z-!2Wd;~1XbxK|{r+#7s-ChbTxa(m&!~fV_63jXb>%k;5N=F(NbTgk}iyKSf}J`D6i2={IV|OU|N7cTW1Mg%Zpi? zQNmUE9;J+%xF|!qHFo4N4yE7Jt|Usdx=6~oX68<;K)ryL;+`_vCS|5qAh5|3TAY&YokZoNH^CfP`hm=tC6>^l)=#e^#UV^LFJ31S2>0O zE2FarZJwt#Q`84aq35t-72pMq!Yh zCe}HuL__HVuRyH26}Y<>77R8ZYmFh8LJ_G$O=kpE%`=~Z@q4_xu+0x*jm?&{vP8k( znU49#MXhQWD8n)N*MUq60$sV=-ICEz7K$g~i6v-c~f%Q)HX=D;v1?l1NQOTwbA;m=am1BCJ04 zD+NTGIynVIy}VX~W<wof7skE#$FWUPY>1L-9s*ey!7XavtcokC1CuHvDNj)oI*{ zp{-l$(V#7)OG8-RH~2}P4bS}IF2J>Y0c}NK{B-3!Xlbv!@@-?L`S^$LxJ^?t*~&M9v8}$TEujWZv_}Y)>+dWCq*!sp`w={b ze89Zks+=ODeOtGob@UCRTSB#*M3?l4miAT__Gd@j>MP$8I6&A|zad@FUkAwI(d2-+ zJxWkI)w9V4kjefuLP^$6&77FAlHOkn8JJF=Dnlh=^|{YBix{lpE=BT))w2!Rzg2I4 zNyZj{nW{tZTdJ@hc7TOxwmdlUup4Q~mj}e`Kn!%@M@f%jy9Qc@B9gtN1`QZyh9_Rx zQsG{K-3Vw$Q*VKFDp@xt5jr4SOXyKhuR@+QP3|dGXmB6B#o=cfF6RFlkSQ??` zuZzW_G?duLf*r1*tnxIh{=!Uw8~uib;vzc7(MEzlRV@x;1|p?$rcF?m6QD9}XfS;O zl&ugs5alyH20*4@s*yDn_VmSU->jr=IEu&3PlQMpABho)#T>Z=2T{(W0)y!gGGbIh z0v91hx(4ahC!&N&4iSpLq`Cy>;9VVs-H^7zgCJR{Ml|oP`>a#l^h&E-kGr$PleF-! z&eity%zDz#&d%-pd6YJ12HAI7BbPg>@9F3E;N|7nsGjC~aofE9^}`4ogYLRHALhaB z-yKPa(HYgODcIe~Lrj#0`BPDjzIpucGHouNZL-CGtRSl4^Lbm_v22qtm*CIQRZi{) zzW;L#!?{28Og~|i*s?Mpty6N-*Bm{t6CC%)(CNpZ1N_1haM?*M$o|+Ckrm5wFAn=X z@WaKli_Rg{Ys#-9GMqq#z?7-omoo%g$KL4uJQcRp8OyqSdwDlL`Mt@7N+=xy^Yg~E zM`itd{l{1aUIexBhVKlN29pGKaWZ}4fmaz!Y*Q!DoS5HRBO3q-h@roV4Vp02MRqZX zYfx?#P?zop`y6n0t%lLDkV!_9UmCRv0|k|lqTMnVsGgVYKnph^tlBT#%$D*317JSd z%Gi{OOUn$!NKgFODumG?Dz52!6+mjLAoNd_Ws;vuSfEg!)IMD4C$1;%PaqM8gOuUzW-n;8OWVgXIwWzBH2|3{kP_xd z&rvuyW%=Et(_H~;Pt6*T5NAEjJ(&tWep|y#`)0JLFGhSjJRG2BopQ4{)tXpGAMO!) zXmo~-(SdI_s2oxV7Fv%$^0~`~3NW(k)>(Q4+|KO^w5z0?dLHljzNewD=Hr_#x_ft) z|MpaDNnvRl0d&ra7uTE{9@=fPRw-13W`nc!DfKn-uDB$Z@?EPB^=Nv^;$Rg8*cXxR zXD&$$J`#6Ppz9%W7G7$s*|uP#w_EXtmlj?Q$$~Q7gE{c3Kvk+nNd$sWwe zzt>a@L%J3|C7J2VAI_qIySU{GL=X=Xq~_9Ivw?2t$=>5sTKryxDt<^^fAp)F;Xy)Mz?~nYH7X-fN#LxX8QOTVJ(H zTxomtGDoCX5HS&*dokG2k*+K5uPAWwmMdOf8n&%5yLoqZ!=W$J5c0LB4KyXL{ub`uC$cjqBGMdx z5**SZ3mafZY*`m?X;1uet18qS>tvO^cO4qzTTsS~Q2vMka&xQd@0-aru-I8Vs~z~5 zCp?D{TKYc!M)`h>q-FoML&X!l>T!XjVjVxH{7YfMlm**Dkf!qrNz1Bd4Bf#AG*yMN z8`U_hCjbJE3k0GUtoFd*Hj|t)tS27=k0)|OdN8NB@f@k4Cg2f&k(Aw){nHn|?#hTA zfvqpVAXw!}s5lP4Y=Kwl)C7{|A%xzlxpq-pVg|ccQlgwyh7enJH0O;tk>6@+M`|5A zOSIT0lA8RdoNCuSCl2p=6FK$DVX`9N=dCn7ZX$uXsLFU~QP^ty7>TMvSzYactDK6L zd!f&T-2%m*vj>=j`igfBN6wiwryc)qQ$NJZ{U_5yuHJ3R7M)KTa$c>(+pCY22 zo&riL-|w{@cV|RISmWylm2;{y?ESDT5CX=_U7tmZmhzhtXdBi>TwC-QSGzF79x5jQ z>ImnW?d3B@?+`fkmA1O58Xw0Cak2&?jphjSDvhA*=S5B-?UTCLcH7^VeBIk4x`F=) znc%m8nl-MsBEaZ$>3o0gG@z&8x*n{NG(612xTpUE?H{7^%j*Z zcjf*&08c8=kEzS6za1t}23&ZUhH6qOriNz{$yAl_313-i{~J}Ojrm+U*J!uxyz%Wp zdqv5dVVO{T_8g(ceVE>CP4wMfr*l#d*WEU6&kPsY3TaP5YEoR+YnE=h94+%)7Pf|B)*IYFUfV46=L(0vDsD-2$$&@x!y3VEJb+ zi5I%p?LimaSU8@#%5i}9iMbbhFWgurH_B9x9p`Ys83&%?SaX1?rI`?|=H1xFW``33 z?nza(Mlhgw-D-O>057xO@~*?z8O_#Y&(AYGd;%KT6UbLV_<)Dx2}xOOi1YP13@X9V zyv{#dMKYOyga%*P75^q}woJnRQSBN*Z2VHMl8=d-S&2cHArgWK~ zf4i>VXj5NXPl6L&_6`&$7wQoNDv$K1wUFzA`?+>BB=NJ%QgbjTRc_xV~_Y^FbM_yLMaEJ$n z2yx+>6)rvE)MZnAb`_b9CO)TgKgDWimf?ffX{7NTZ?(pGI+%NQb{KrLwea%uzgyqm zJ3F-loZ7WEKLs*6a(%F}H#TchIddiL@0Ytx?bo^h@9j_9m{$~6+ZdQh?)H+D7c`JMmyxILOTcIoW!Y{}fh$Ys#1+>SEKm!#}w!2Hyv_aoS(`%{zZ z{#2Nx7*$z$7UaI|8()}Ic^FqYzIe3=+5Of)XQw%iIdHUl)+@22@6Y)3)8JzN`{kii%3~$M zjlb(frD&IfYq!%qs;8R$gKHcT%+47i4q%)tQDHA zAlfub($BNcOwAg!&1>osM!xP7{Y{SZ!JTTDuBq0`O2$av#d-A7$oC60C+ahn+iZcy<5vlBcvyt&Oafk9J`jg| zLAP1Lt&=uSKNt5HsH5nKMdlU!9xs~(<8K{!=j1FhLKpTiB5U66&ka}tAB$PEMfQsz zMx+trldy>>d4&}0eq_x7JB@`7o~9HjPt$Cq1*^hU2aNPGs|1-88AQ0J_gZZs#3tb4 z6}mV?LuiJMEF<@rvTzL|RvRV+(P|y+z+jYz4Ou!+;ADkBXnG@5-@DWlLSc#B9P0O@ z31llVBHGFV0MQ4Y$735dS~EgT)i^lB{A?m6Y2+#vOIc4+6}chlN8i7DksW$zmzApJ z93RU(NYPzd?A{$wdcU2{57+6_rG?*eN{i)|GdM_QkY+>q6Qhob%le+=+%})sc3KrM zG61YP`&s8}t*eaCwfZZn0qd=%oo3P+@0wj+CKle();2QybxxfFyqOQfRQPjPQU>hm(7yHIG*SGuLhc zqf9>PGJrS0!5}(nanCtFssf(yhi!_rPMc`zf|~Ry$#ee@)g+slaEcn=s7g1qxQ8v~ zDDu*7;$&3q>8;#u>XC<2XUoU$`{cV(sce-s=V9=^Ob$0>{9TecZ+J%;t0!Shr43jQ z9H(LSz;BCN>?qX~Uy%rvAyt;~e5CXz^XYhU`?V?d=6eh?wGeOsjFg&C2yC=6f=O~e z)*(}g<4oBPVQ@N{oj!1<1q5#EFuX?5*fnCw%lJaK5oO+kY`2x6IBZt@iS$*&Aw>vQ zKZbN>)!yiz(B^MqOhI=-9>>BLt^~m~ch?w`7?&s@sqwYJAY?;Kam*7YaCca!o|amD zJt!Ed4nM>Y)=9%I6Z#)VjJywO`JGk>xWC8YH4WcmaEug&_lT1*6gVKqL^Wt1UWK82 zPBa}Z06=RVUlNpi~El_ZT`Lnbh&kr ziyb$xs(qQ-ilfL(`VB{L*VhC{$GK#rWlgyt`rkngV?B&#&*DIS$jIG0J87r~*a#U5Ub{beeTVW8Zlp3$Gl++cnDw1;L6+fvP-GI<+d^j9f zZP|7fwo48jVU#K&?4O!;EV9JbXibD}^_Gxoqw~Y1fC*F{BblGiS?^ti24vo=BLpQ)P*{nlxR_MlOZ4y^t%-eM4Zf@SH4m= z84v2Ov0J<2XK}IA^wT zo%n@vW${H&)RFNeP_C`2^}!+JctpF|Z#0m)6ogo&ny_=6pyfvMA=5e`zzEs zQVXyr2iVx%P?uKV2k%3Ty+oNi^V7F_N;qpz<@x4u_2X;V=aWi1GGCL3*@I}H%!0tK z4*0IY@Se%Km_#JCAoj3m!8s0Rlm*8op9G4EAaf7N2X7ONJ*8`X+7t0xOOH7Uq2LqP z4`Xj#PPA3;tEjz}lpnHgjkPpXorY)@*iaf#Ra|9se>*b4{vP(~WYC&?`Uq(2R2V7j zd%1rp@rlJg;|OibG@99>)tgr5Y>w-3h32OjX`mhfqaFENXo8(ro+p+S5Rd3WGh0V9 z3qmK)QC40mrCLoZ(9JB+tt~iUr5SrcE6!0>2DDgoE+ryd=y^A>-`W43!S>Q{pO;yh`)1_p@Vo5(X*tkG~eWG7wqB& z(3=@j0*fD}fM)X=7Ph9p^0$D?wzAS&E($ulSQUO;dg-^DLNEE#in~QNJXJ7dWy{I06LFL5sW=>bPN{ zLMsxF2jEl+wj|LiwqEU!bcqopUH*Y_Bobm;lBjr+mdNlll9R}I>0rEG<>1|6%KmBO z*xsI7Y%Rao9VQ1Kh}c|GE;to|pk#jx#4zC@i+FLa<5=ZCqrvjRLtM4-q5%fKWqO~$ zFu?srY0QE{2S%V14eDjk^NAg)ECs;v|GGLzTC|0-=8a{}dOF;91r_nDV6oG-lx;!? z)1#0^(=w+ZRZjO17}vk~fvTea_JaSe&gC~9P!5B6+A7dQqjJBSTvoA@7ONdxPsjX* zpleB59<^Wk@vsjK>J`eMWYrQ{NHT5NBdYX@S%*7^;vuYG%Xm_`L%i(vJXf0GHB0Vo zhR^JE$NXZ#GF_?&yQ=_tuvGbWTkh^@Fih}+K2+BL_rOtq)`2|6Ie73TV}QF&jM);m zUk?MT_nc}P_ID^bRjn8mT~vRfjh2%Fe;x@~YOhf_I~_YEp(PTK?0)S%PHIk)yDq|y zVh1gA_;Dpe*UPhqVClW|3!J24!#d$~u-MUG%-k>(n8DCn>iKk3<5Z;;RGa~Q8>Xno z6qb3fy1#zkR?+gFK=lQ4B-jOpwt*Us!OEfh7=>pV1)}Ke0Rv8715$-E?1P1~%e42W z9m>SJI9l{B^3~WjX#e&wbnd8^2_uTT;hK%_Mu%L`rSP*y(kKm_#?kx1p}Aer!kStQ$&9#4#SbZAxP4sW!-?qQM;aKk7PJo6qXoS zk;=^=j8nYP#%w4w0hs5t@<%+nx}P-lNTO*&D#uCU+yIM*s26gJ&~2V$i4lwUZ>nNA z5^(!k!VEby_MZ*>j|ss=Pe|IM@#=8e{qc=(+A3}KVduVBlVF(8FOQ?T9a8CA8tQ?o zYS8oFYUQYa1(&`+AwO@ovzi!Mcva<_oBhc$UD1vSpDr?i<2QnkC;x3?W{nxQO!ezf z1DGwm^&gbPWDWfr^c}dd!Q^-i^RDAVbb7)@&Gk}GdHoa+SSlx4aU`}`1~b{)fu#pp zD-YxsPcUv?;JlroiNXSfmI8%t z0)Irv(1iFiZ-m8||jAB^X=2pRwBu+7Y3V&t*5sVDD@JB-}}Yf_np8g0;?XuCDW|t-jvgJnob4^Zw@1?oRfY$J@{Mu62ou&(!f#MxBn8bDWmy-F)dgn_~z=%>-}Q$nOX0P#p}QIvVWKP zuzB%v2{@Vzd+@DS*PYCP_XkjaysWNXya)>DZ&&$O<1D=RBE|Bo%@+7eZ?Qq&Na1n= zQa=oOH!c1690vU6TdWE$yA6i?x^dDs4Sk&16v1`qQz;7s@ogQmOo#WmIR>43_PF_n zoI&=mVH6NSJCNtmJ|hRZNp5PUJYuEzB#G7#aOD$;Jf$7@a#U6M+_yXguC|*1&xdv# z-j7K>>+hbgPo-r7HhvOUcdPZ627YHH>G`C>=|eHTV)SL5zLpn;@TyvpP)WG?5Lgu# zA}Q2m5n*Ss1ySexwR+8C3dQzBQ5C&5fu#~$SHmxhT*5}@9pmmc|ooL_c%b`3C1f zl~_*84}Z?_;Z}uL?ErNl&0!k*5|>_w)YXALlf-u^ox}2nFb1O&_F!gx48(l6gaJ^E z-Xk>p_liCmY(|uiv5=xwRn(JFFOp5O6FWp9&!H6=ITBPds*)+Lo_n|L*PDf;Ugo)^tYy#>q%EmzyzB{F8VjrnDb&S!~WB_iRel=;L$Dy>l? znEt%~j;%ey31t{3rOpI~0rxwQxX7@f)%2zGPV=~CboCeJ@ux}anAo~VLpG`{W*I~< zP%~N!c~t^zoUwGJF?6|>iaK2Yx@?v4XgQi}CYr3rU}A+N*kia>T)4O5N*Lo6C#^cI zJp8=&(L*h71I-Q$Y>N)?auRgQ90&nVb71pf8~{Vmb0s#47JDNI2HQ@1C)K%yJd3<2 z=v&(qpJk;fE%hpZXw_+C1c;SIOQNmW;QNF0fZ%)afdE8fCKK99urjl-E(O>e*l!9b zTM>t!Gs+a<*}-aD&J~kcYl+;YdMbDf$mTFAm!V*`tMN>>B5ps4ilB|u;0f=^fi_ER<5jbNN*>6`D#-tTt}Qya`g$XSi5U=)J0QGTfwXgUaVe%^XoDE_3B zAfe`%jg-HSoCNl9?Zrgt(s~x@#l(CQ>eGZZpnmQJ&qYmFJ5&j5O{Pmjsc+kr_KYA? zsT0f4PpXN#30<||rwNnXfrU1p^9k5N6kX_W5;rD^NTy3D(cC}34l~krG&_1y00r!WSFMp=#vC+d0q`n>g5+ zY3Ew_&?641T^SWOYph&8D0(-^I2i*k*)rO{?Tkx(9mE5g;le9bG{5Wph&%vMtC(Zx zZIDfMgXZG(-{y%)@nE?!^-=uM=*Bu`IjKCH4fB5E_crTs%82;f+YIxl zw@Tt}taKB8(#9M!_r>aEO1k9+ZMWX{KOOCNw*3b-T%7@>`Q#r{Lz8Bfn1X=h2H&Ocu_B^h&kFyTWwXsj8pMXy!WZVW`Xx3j7>R(>M@N*Izkb7<^iG{JJZ70(Cq`CA)YwLHzZ>rM6@Y5fUmN( z_Eml+s8Dq%SG_GujDA zA1l`RVjFE^=-xLJRZtX%oF@ZyF{pcuQ^D*mJJ$1H{gj>M)vV$q>+ls4jhe);IA{Ny zbUT=P^Sfqwa0$I$M@3sZ*9LGWs7VZEt0!vscDCyj*-Oi?O-b3Q!{jCYvh_pDehP23 z?AtxDZVHT-wVJazAvb+*wG8A#t5Ix-{_||$49nrb zm0?h|m!RB<2_@&h}d5?rfRfCvT}U9@mcB1H%83XsuL*jcRzvQniGF^3f7hd9?=@#}1ECNP>6( zn~0p@OKTLM5Xmxn1_cvI_w#EmQZ+_2&3M+4=6dXcNP`3lowoN&&Fkt{|2XupMnARp zy*k1;^wLHFO_=;~kQntcF3JQ0J<{ApZ{OK~Ck)F(NH^_*Z2nXubeJe?NIEvC>hYpVkq`czC~gUZ z6+3uc_QOjF<6U4EBQj?%vE}mH6-UkS3vSGCYxFSZ_hYV-foaL`ob|ZOZ$wAb=C zlQt?-t))(}Oa~;j`)Y>=nKSLfY2OmGBdMCv8Jf{kv?EdM$B7?c60~8)s|KN3is(>+ zSOhDfc$x>k3K7aGSbuC%CRiUc>Xk zZ3^;^)ovV4s7(prRUzG$dJ&scHu(SA90A%ALf^iQ2kz8iml+!au{f$!Q^wUs)5XyX zUcsdw$W!3lh%s9pG7^-I0kDV>Y;a+1Xp?^j)r4t~lNZ2Yd)}K#hPlOcu(n#^^TM$^ zT7~LzQgfy-(abR#e@;rN<0CH9sa287k|kbax=^je$a~id0)kX4%@!ADnG#tKaM40u zvxmQP+2)hB%9(P|w(=XdmT!vE$RTZyRSyEn6r?(5#<+| zugCvTZDc^QF=T}W&$h|}EeJowmo+K=iL58jz$_|@R&9;9of1v8sZc=Lheex@gHsHF z24gl9))kd!G8D#UEMl&psAlfJbc(NH!of(HsrMrVxcmfrA!{7{T&2lRStdYxYId(s zB)||^+dok`BvIKvnII=vN@0AvN>95Kn`jqb6Towj{N1Pyn1)PREgx=5CDE%)Y(yo| zy8KEF@mtcCzSu>+I?5bLH26Jbk&_Vn)$f3|aL zWw5APBj*|=wotP{{R~!eb*2?n-cdxG%qkXm$rm46Ej zpb*@WR$(b%yUy=d^4hOGaJpb6Ysw^{Bq0mzoQ!7X%`jCvkrkX-4^TR-*`$Gqbu7EW z@_n)uoKcT{UCZ37z7;BU6oZpQD0DosgaxJ5rZ(`4$XwAH@GsGi%(kcB7H)-s9Hxbl-F{-j!* z&^53{8?ORMxxn3LQ4;Q=)9Bhfx9a3~#m4tycx{Lk{%D>5ye)d(J~Eu=Q!s+}WoL1j z2*~#wjxw0MR0Fu&^~I#k?wFe2olw0xFZ$?;cAZaIYgya{C*-J{kuMg@Q;|o@kn&sj zJQi+jl22ZePu?e*zUH=^Ab&rq;D?nNvhj4KrP*mmPr0uSF8WmsPAbhxKbnD@a$g)& z^s5+*^n`_e)Du4CK0C1JS2ieV zjNPvnXaqaZ0EY;btS>*52e?G#c9Zht$>H#k=7_cK!us$PPmp-S#S0gSr94Q~c##cb zMNZ-++zRU?i+d^KoutaSNPFcZmnfl5Z)Ja08tB2a?Cj1Dz1|wU50clczHyjYoe!2s zGV5zlzd%~k9AYndc~;Jpt-hn}KpFcnhOk8;4N%Bqf)7#HV4@9_%44DpmD*r}4`Ajn zh+!IA_hSk~9YGlfFcz~#og0q)cH!KaD}YE1MAVU!)~&>L;vccwvVF)PTWz@&fBsE~ zo_b!+mV{?G2YSApO`k|z$sn?F;4(3UWh7@VzeI0~sNakwfpO>uh~h%a$CC`3lyGAYE9xenW z3uY{$l$lp_yPuq*zm08qd$jQOd~m-1^-$xZObH2M zc)kqOIXndpR<; zLATDw>xH+*$13LSRcZ$Qa{c6oyNk=7!^d$HxHyg+=B?5F>EMjr*%t4IFGDFpo6UfA z!a%?NiyM|BnAxE(@Bu~%<9~j_#mMmI=Oi|EIPA#poBBkL{e#a<{i)uZxbR`t)Z~PX z6)T*m3_pX7scWlaOeCZNau0pGz2h6ke^;k4J5gFGmq^mC67x;>Vp9N*m%{5WX?>4WN@S>CJM4-!? zU6knu-PEZ>z=ZSxyTf*y6Msy9htmfcylyJ~phHqB{>DK3x9*z+HnR=kfK(Q)DbGOE z098P$zn->5>2cTj;|)6W=B;K1x~|II$P!uoxKhQAcsQKu-~62o!}pVUZ`o1$DHVfG z_hqiPXFH;>p-M`DQ6_T>9-}##X7_4q`yR{qrW)7zSdLjl7wE#p%qF@9Zr|hEc--(a zdPz-s)$l(8TY76foBZi2gbZJVKy;LYFW@2}2CKv4$PYZdOr0)(yl5h_CVKVagzOmwg5B(fZFZ(qFf~V;~ zLC0W(xcA@ErksDA#f{&HYue%N$@B*rwY}{>+Gejzsg3XUC(3JA+nTv1Zf4xfZ(c1Q z?X4SK*Rp3+;+KqzWVMg}GJY1jpC3L79X#9he16{X!RDte&MB!lS{|+2q6ekpt7l5g zlHiJNu3s(7pZCJ6sa!CDMWBn;_p-YfsO}Yhn`rVH0Es}pQU!Jsg zPUI8*vwp@u2RK%nWcyto(K^#(^MOxaO%`v1nkf5oA{J-S|RVm1U z(8=Z~2N_KTk9i*t!VFRiIV=k?PA?S{MmorJ4JaZ@aiCM(@;um}ic)AIN#W}pa=PPI zvinLLaAcnHPn$nq+!vgQ=b%b}`BKg&n?-6VY*Pxg|t9%dWkL0u`QZ5}seWz8fl8L8M z-qRubk$gmdaTqZXAbTy6tsZwE%M!uDm-A?#hv}XvfQT9}!y6z&G}0y> zH*9$<9SVS-a}Kn44jNu6!?FnRdJicWOi*~j4x&rX7L-ybg5w9XQ7fAC!ClKA;UBXo zv1`%9C#G>=q$5URybR9u5;gyY1_P zyPh6eGU#9bNWus6iF@5#O&7Y+9x>^W0p5B$l}S@deFYA-@bcT=9= zoA(c!W!>siih|fcOMZrMEv>ykq={Ih+TT?5&g(tfF^U^t;&wxtLn4!j4oIFHVIix~osE$p$Rru8UEicEkUsP&-B%5vahZYs z!pvmwnJj-WP>DWnc)-wld{MU$Q1O;&1PIxhe-SC7-x0=_0za&UuUa6$X+ALI&}m!n zG_?LeGTqo{;56otDJcS<;meUA=uM!~(u6?sH7ugQk$hgwKEyHTX<_l1*;Vnb% z&Oi${^kLh~quFsos0T1eD$_22e4CfG*BCH}!L(+vZKH#aO#S}rE1O%!c~bxG?|rvc zxXt3ogCB0eoO*_V%||X=G@B`_0*w+d9kS{xhF8z~Zr(T@vJXEEuORl_K$$j&PGlV3 zf(=~_XSG@M#Uq8rCgPZ23hRzR=6XPR`d;%wb5=oLC&&=Vviup2U>~7OdgKW~eWuVZ zQAYf7iostqsdL5qovmtcn@LO?6o>#YUqJY=?ESN&RE!qLy2ksLUT>u$pEPA85OF)4 z&q8(r1O6V`9CG%&a^_x7^&(1P?BM{10VuYhB8t8M3}SH(<}mx~Z+f*gpXtkmMwgTL=n5iib#XXTmi#= zq6JDs$D>osAU3csSpp&_Er(!VzW94R$O8L%HvyR92f*63@R83fYFv{xOnkMrkDIl> zjw6+O%C7&`FKeD6&_)u6@m0gl`;e8Qs10U2ix26xjCgrLstftE4M^Bh)G zQseoklfvQs&f<}=oYrJ#oXF~*fl)=fQ!PiiHu()kOXj}#j!2D@>sbgs&MzG z(Y0pI3n%?&xAEzG35D|OG`RwH1vtjBWM#{tt4F`Ao*zlP*T{Hy`>b~`J<+8*g$zm* zaw$>cP@(PdO-dB}lmPq=-(&JSOi-Quu{d@2N*)sMyQLnOStlxY<_*Tp$OiSK!b_5* z*cnpDfnG+YD}HjM`)E+#D4%jFXFOLb?08dCQn`kk&iF&)+0T3@bt)D%Q#pi%#G}dk zhR0PRbii>qP&6zH^4!Epp{pDao3(pG)x5-zxMGnVhqhRx`jtzMGPx3@M%6!2b-N8Z zvl7J4f~%q^K^3u)3Qz|vq%IhzidakqkVXq=g!a!D5>O+WQ3Zr`j`p;$Qz}9|t0}42 znnVAs3qH{1G2?L+2$^vl&LMLx=Y6AciV38n$%Dab%N4@lIQ)s+wvZ=Hwsk{%PQ zWk{Y=wJY!hNRQAMZq3Nk8o!V=e`V`N3%@Y~&Ex>*?qdN_MA8%j)2_gq=a~w?Bn6|- z&A?iRnkq1}fQ}i#Si}K01;UnMnE-w54PGz~u!)6YRqAx0!#Q*{hGUKa%vy znW=bRCHm^Dm&3|;_za;RHpgcQ>YQQ*I=0M0$JpzJc`E&d(E}%5l8I~hB}L$(97`Ex zc<6EH+>zPSJKW-wu72noIpZYodvX^`TG;tVP`e?n+dzPg`|3xXZ{7N|XKKZ|d?LqP zfNSDw)#5{m?Tr0q2};z@<=&o7sCFPe^Eo6jNW zC4kpcwRl*+?k=?fizk}y9V*ZsHg=uXD$wjLpcHkGt)IaAsKQACD^3WC0X^OyaeNZC zYyG!Z&Io6Q0S4>%WPqOyp`#RM_nt?+99XI);q#KKdG1%;E4H!R#)`+iRpuF)C0zI4qsaxo{;SgmzFkUT{R0u`j^OW+^UW?DQk8hm>;U%T}o zbi9hYQe`$=)A;$=(YZeFV4B(&Jd(u@3MzzL-0pgkbVi6gIK|8^opO zh9(=t8XHF|L;;|r5Rmk|5dNO{h=6j>wp>pz&99J_2Y~Cb{kbV5)MW31tj~DX#6MXQ zH|^p-+U%-?!I~u*l9`?L=>~fuL7)ev*5I7tj7V8Soj;{_sOzU36nBctPk~rKidcO; zy^lz{!?kI0N$c8_ygqxOwvbP#S4Yr$2ah)Sye?F~@=XAl_NAjqy@{=Oyc$0~a%nSw zr9}-byfEa*%PmLciBIXcyLx^bT+Nuc zzIwl5X?tVyZo!pLS~Y*!xmyiAygOQTaB_TpZmH+*%82QTBEy5rrgI=P%&1v*q}5s%8g zw;Y+OCR@P*mrB>QROYwB(_PTmw!-)Q;PPUvuMVZw8+pfUq`(e^E{Y3zO1S}AphXI~ zDvG(U$Ntxo;~A@d=^qRICTSxoH)5exqzY+6x9}j~i^tK`pLjs#MhtZUnL@_U1;d{S zj$(ojI%%szU{SdM1hq8R{iNB$QUwFN{G~#y3PA+{ynMN1!LzAxT|cll1+zuHIoQDJ zlDvR^_F;Veu;b%>;7;H*gyXcSzWFucByyFSRaWVsUK#YLdzu%T9W1|{5pg45Ann*6 z=;L>^ujBOsF|}Cxa=!XQs2eZT3*2Ay%*}KT!Y8T}51Ig-|k3Z+@ z3vfFIUpDu*T;FZ5@x&xqI>XF?R6s>pXMV#ys}TG>cnDw@dz9e3$^{iRw_p9&keFcz zwJGX?$+R)+z>lM^N8zPM;lW4wIEqcLO#gOi+>ZMEJ-+*3)&-MlKbl1P87RihYRmZ9 z<{y%!+Zr8`WqACa?hxg#BX`1p?V}6DXf*)P$ZL z7%uT3;~Xw=KVuy%v7B(Gi&uzj&yOP}YY%WX)9C2oAHX5eMk}b%92w24PKi#PcTecU z3&8ahJpnww`lgAdnMA2ALIUZ}K`zl>p0A9#x|kM@(s#Ba+t>9^i^ciybg`CXuy_0- zPa?HW4N9;Pv0IpezO(cJV_-1NMB4m%WW>|MYIB;jzZ?{;Z(ga4_Hc6YfpU^0#H%PiHD7&>_YDV(=?6^sG|HA8M zMe=jRw{~`(u^s|cZP8J_4Mm>0rgkrp&e-M;=i9q^b7UOLI&vfqnVvzg;51acM8`B# zj6|vdFsILTozszNLrfGOZ1BRMm#cG=fVhg&(UHBLD2SSVJ!tJs|6rIJIZMTE9ZAmB zqWGC6HscS2XFl^5F|$bJG#FfMK4T+#=z-R@FSN{ZSp3DQ9(uz*4+q;x7pYA**tT_s z7Ot%vu%RD({w?o?!kwQRu#B;YKJZ#glD!UL|eVb#<3;R z&ETX;fyq`GxOsAi?u);&o7B&gNN!fWGFeI0Mq#z!bl+XataM*oGYIV;7o9pqsc*Gt zpmjRc=$HO*1Ywu5s*jd%UV|YuVVBOTj~;MdlOZ+Xm#V6drf^>UA$DPxc~oBQ5M5vU zn?)Y?u+%v8y+V^dXAO;pzEl|3UJic*b2O8j_2b7((9hqF68c1;)hR*2i^O}?fx;fO z@Vfp07-^vq-R+QDXxz3+1ehD1y=om{4^22-s?gw39LLl`qE59C+Z`^PQ&KTtUmkIil ziTVx+IijzyIPhmyV#~lK-TsRSJD8dwNF_va++?#>1cdH!#u|qNLPy9qj6B42f3s-`PEylJJ{_22_)u9R%%7Tf4cA$9No zZTPjE0(+hX+Sg8$@^lo-K`2obARbY90ao(c2$Y4hqmsk$U2aE6dUNt&zwuEWZG}tjB0^L!>s-g?AA_ZZGJJt4k#QCn6 z@q1ProFqSRNTBbcvCpB!yX+RGp2hOI6uD1u1iV4}b$3P{;7%*;cu^S^G^g7=%R5?s zx@$<^?1qecd1xZ0v8>)bBkSD6ER=h3wIj!Se)IFyHMJjj>J@I_(j}5L=~^$G+E&k; zdTia5ipRT@k;S8CHG5yjOqftG?a>J8SM=-i`fakO0xv*|4@YKl8lnO%K#!}w7f)Wz z6Hdm(3cVzmWH?sv%$Z^JWi;lv_NLy$GUq!L3$yAp7xSd;k>19zT~`KQHMhmv;_7S) z==?^-sqyLjT}1z7*;$jUpL1?I5`ON^N+{rZvuN1$#?5bP zEqEr)cuLKItF8`%ZE*EJ^;$lKt^YcDyN&ivQ|!jQ_Kr*34JYE+52uqSQRSvc%YI{w+^$zn9 zt1Z2OKO2nVaCk;!Jt5rVZZz+$e{v;1DDkiFoC-~L-(EdFYEU{?S~_VPH#gqy*f_v7 zSC#IdFWaw2VB7ws@X=ZLP)nu9N~do{B<%l6*l*4+d}=9ts-m#?%cbQft@WcIVd>(b zeZ#g}yI`H`pgv`5dc1vAklLjlw4(~A!AP6EXT433y2;rL``JOS;k>C~QEhmu78^tnlL_CbC7MK*w-vIij@*f);Jwh08gFi6`Jys%lk#x9_q)CQ> zHy?Lcs>eH9+j_WCEK;H^@ARtU^r~-6j*&%(k+r?NqItt@Hjgq}zr~T^bKqqhBp0)Z zuO5ro@hU=JEd|N8%?ULk8FyGHBu5o4XSr9Ha#$!RhaE1bwO5#YSQwjmOUi{sNDk^C z2SO%=IGW^FhAiSpYETCjZw-}3CrF|XLMDSan&wA|BH~D9Fb5W236;hsNM;AJFN-*u z;pdJba!qEi2^QZ0mBube@_#Z@O=L*@Z=~f!hSvW^Vozj<{coh!M26b`MjEZ5j;5!v zh&qxL%fv^8Ln)K!8?~X1rliq`I+7Mk#mj_;D}9kS!itkXLqrD-UjQF-69=7miuo^3|h=BeUw(x2&8Y?k~fc_e`@M6#!E76F6 z{t~wEY)}>}QG|g09JcUe@I6){83Fw%Y~j%$JXRtY0sS#-;laQ?R>Bzp{UL1O-oPSO z;wu9Beb~aCfo80PDgydl*ut%WWUPcJ0{U&(!i@oEtOP3p`c2rvwE<~!WQGFDgnKlIx( zmTxlZKhskeuYFH`+ts)Kemgt9c{_dGxV~@l-o7ru$av_~?bPko{Fvpte5t9}pZB;k zbnbqxd-ZbQ2%XgS)$)C9(AoN6Z1?cF8$W%$dGm07@Np^b^5y1_@kiTJ`6e1iK z5*TOQ3TE9JS0?h6Ci+A<&p|rR#n#F_=gK`ZE=dwBNb(PHX@Wb~VH;;v25@Oc*UG60 zW>pSpkXPi&seNXtDv(z&E{#%^CN5&0n4m3;T1Gk7K_8p2ex^Y>*WrJr1#)SAR$Tf_ z`(!@Jm0S8ub5+>vgr=j!1cF zb8tb6e~5PQwR$lfNOzsSZ@#`~xUtR#Gt6rNaC8CORl)YN!S>1;0JJ-wyHw8)uLrAI z4aC`i_u}B(Lm{3o7wU=^>hsq=pKey#e^p9KALbi6a`HFjV`pC|kKb7Sr|B~)!h>IS z{Ka|U<*uPaU%XSFU+iL8@nZSYgLmh{OZ%@1yW$1={MtVbpVed+UM3qlwnRF&WXAth zu~~RIYk2m-x$}9!?A#LT+>-m-*72{3Xy?`^x$eTthViWr#+?s4?S-r2h3hBZzbFKE zKK!&74~iELpRC>sFK?fz;+jOP8JD+v|an%ZK;-{Os-hw5P*s#~V56{#oeRfN+u*U^LYH z36Yq<=(ljDR~QDoA`m0Aff(=tg&z)hTmg^^sz9vJqaqML^tL$gD}^5c_+= z$Rm*-!T(Ln0`X&Si-W$J`w_rh76ALO^u$6uG6L~KY!3syV)_w)UK#`W!1lxfKh^^A zqi+v`ylVInz+4&w`_S~nfcDo05t#!KmxG<3A{m$@hiOf?;lr-%@QOb>y@1XP-lHK(W7Ihnrrl*^4RLDl|3;oxn zp}!Ro#tZOM#Cd6AJv8v|e()R{68?W|{2v?r|AXUlRB<{-7(Oryr9U@R9#;3`q07Av z27e6!?(C!cWvtRo%88Xf+v3zp^!XqY*9Jm-eZV}KHN8&kq;i(cs$m@aEL4nu3LG5^ z{7V6F{1wQ^7YqT+R-m~Na71xBKORS5uml*wc%2dAQDIYHMV_-LBTsa<QHua?C1`aK)l*42RS8LWO`G z4BRFoDd$IFmOZ6+Fd02Be3eQJ3q`o z;J0@}-X>cPhB33**pkBTyA(_}^)t!@a zxjDZvO?Bv{j2s`>^{joQp}`;671daCps@*a zUoRLWU*<2h5=b%u3l}%P0ubXH4iaa&1=-D|Vln0}p2!ROZ}Kr{49%Uwsm3&LZu zIFaBAq0mXY0?WVn-+8z!y}vZBWwtDAgR4Wg?n)7*>QL^bQwQrf6~$FlqdKl83stvE zZK`=p(~?Bo-vr)3s#?pnFW@Ez3z_60YEp=xL&yIYw3I-{YmcK_OC-L_jl7qU$!jAN z!3a7GK-5LdhY@lT45I_gg2Nr<8)M9gdiIGRw3$y&0TzSBobr%#%|$Sq;kLt-V5eCE{_HA+xp^5o1#iI= zkB?%(7!QwP!4UtBY(XE|CQYb*G}LIbCI^)^eL>Zrgd_)l+;#itv zy$nzkS~osR`e8vjD~D`B63>ThK^#wqZ1E)?AK8K^lxqZ?K0l~QaE;P%o+rPPc#i8! z7V#X%7jNP@wlBKGb1d+8QD;AqNE_IpRw;Woth<}B6#_t$wt+}F1fbym8`=s$o$(-& zHauW{hHY7Q527msKqhSile!5&;r}=E`3$rAB4uu;Sf?!HT>plxF93y>8w9N&1Wu6$ zlB57ERSHC^;)h%gOxnONo}|Do+o&w$J+G3BY$*W6nj3_zAOuH|2a%)zDpd+hx&SI= zj)g`=;+w11=I4>cd)B}pmNfX3jSHWCf>)3iyDle(VrLP5%S!O3su0nXlY22@~bvS z5zpub&O|@Rpq57Xx$kIu(uE9ckSl4)jK_ejVEmmWf3!lV0<5zI73XqG(*zY`qRQg_ z#%LfFF#fE61yoXkEs^!E88H>({%AtfNCnHVQV8zZ$4ZjJPNFka;1VBdixWI56g)Ee z6HEuTMAEyDtwQvp7*Qhw%qns;&9P@q$Uqm_y85$hhM5AHg+lQPe$r@sEv3od%4c*N zqDCTEMmyv``S!7sMmbGoi2|7<1DOV4%Mn+20_!9L>jt?fGKh_}M{=#_a;8R$3&JNA2I1&xU!m4!lm;xl+L zxF?-tMJJF7YzY;_D$&4(5lb0fLmN;j9WcpSDr9g2J4OyShQUmcLu{-+lIt~>>&5sP z{MQNjQ&>a!PptExi43QKG+sR))RNhsX}^CtaM5DP$m(3;pNKtIb$L8WhuJxCZnom_ zsokjoFqimFPf-TaFpUredcD%o?cZLAaDI&wh2S)aOO3*8$0%dph?1ENQl4_;{Jo@ zzswH*!Q%(=^5Df2YLMKV&9S_?wC6g*l#ricl@Shr z&>U*ev}3LDx1Sm^SmfI|kvO_&N=SN{$By7HpctC^(f7rP6ZdolGQU zH-oPSqpLN|^>$`#8M-w-RxvSM-z$Cb-ml#q+C6414szSDNp_I9G8En7zCtW!354eC z=lG=4cXhcjelR4iMv-^i9Y@!&cky(5-;#sJxXGxH|Hkg~w6$mORt3|Vc?GVIk1GrR zar5+S`rh^Jd5-n1E}id;55Mk)Pz-Xh1hVrSyMEV3?H32LL_i?LjbzdjiLLzfHsL7j z9BiU#n$&(G4Ra|Uqj~5iU?GENDdYAin#rReYLgAvht$Le$j^G$WQd&yMm(GaJ4 zclNjWJx=z!gP*7z2gR-!Ifkqn$|{8rC*N$LGr!HZOJjkP*~}EK%BM3Y?jIJyuvlCr zrc4x)a#;kERr$=Ne{T>Yr=2N`%yAl(X%iuzoGF}?Ut>yaIxK`rce+cg{w}$ESlB=Q z{`98hi?6voy{`J!;Y#^oi*p?M6iWZVFU3-CTd6Bd!5o5Y%)uyzY|O$~OfobON~~NhBi&_#4v6#K0u}>f3AiH+YU_8V;kZWhkYWmVj7H z5=04QC?)habTE|CNkhgc9ti$7Si`_dCu0*_=5A;yl$MTIOcqoI^*0;}E^{!n)Jem} z_&3I!5u}pK1S3So66a6Kl}*`@xzheU(s# zOF;})LTRi-6X}Si(J=Kx!J5DNDjf`$S{ST!(pc#xurdCa@1dDHURv{hym-&N*x8)f z;EQgSZQyLUWo+usRU=y4?f!0X5)DqzZmGg)NWZjGConVH>U!(KYkzJ3jgxdfdl2aQ z&A7Rt?{TD{SK@oryL!4@k^=JY58E8r9+F>Sy(~^I_RQNsn%{eX_=*D zix!BAw4WuE3a#_voJu2$4lQ_6sX!xYxthZwRheb7eIvBJEn2P?czH^zrDnMndUXo$ zB2%swgla{b<)TWXWs8>lJvgswc^h4Fu(aw;Q9k^MnB;}nX2&ezgBW-83d*Ds%Sf1H zWkL`qma;Yat}Fd4liHHrFI2LYr!;t&-iio>Khy<$Tmy>J!Y4O zm+TI;{WWz!4W`f4Bk5KQDd%gzHU{h#Pgl_;Tn{_g7Q^fDl@)Y%%}y$WW*8XXE>UT} zu)>^h+yf#@k1z`s!tCjwFycPZh$jGhn zm^I-MsmDMNObaEvATsw0HD|)lUyTeUoDjAT4c#sbHMk&h^9x<+BvP4yBZ5mwU_|KM z6utyPsPzmj$>rvO^6I->{q+sH`wlV6c9rB3s~;nlp3)2W61*1!R-d=4_!5od39VNh zw%hGBp^u&y-ExIa5~SPUasP@o*bbe@CXnB5f%c`=h_6g|39b(j4#B5FZ8*xU^j?sJ47r;L<^pP;A=$iNnsJ` zvb0cz3nJ7pVN@1`_`gnfTc#|h>&NF|Ha=9)93C{06%~Kk~$#PEo^RwNNP?P^@0dGDU{;VuQe9n9=6LX z;{Oj`l1r$5vp*#{LjT@X{yZYc`iNe=my5qZ-NOR*iI5*7b};^gp#BMm39-W`7!juU z(*aJ1{+}jGIbS2TiShIMg-Gy%*bzBDX0{ZmzsG2;ii^{x_1>lsA8>eZS(45xQokIQ zf)i(K=Iy~s$%|K+a9MsOz-u(D)OjNEH{T-Onn*6f1XPjl0)o$7n&hE{ySU zS=N$RiN~>iH@;(33vr2s-Qb1Jw$}(-G}FLZ<6?3;p_r0GwPL1vg?JhCf9^bE=`3vPJZCKJ5{U z7ibqjhk&#e5?5ozQoWN@^=Au%-5`X{=F^Z{9998e!((!C{U?`IX|A^L-&`+3;2RkK ztV&v-GN!g0jI^Bf2?k3%pe1oz*zp zgVbgiLwe}Loq0#s;|%EGanC%Kx03VmxCLRGLh=ZS|77{jc4s&ba@Rqo?K_7L9GU)H zXyK2oN1e1C7Kj2i1&?d)%*qmrWM&qoi_1N8U}@g=Wr_~5oy#S6v{6VfoTEi(d(a>B ztSV#(|L>XIHeq8Mh5gO8Qr@ayyhd!`uH<=&M00>N;SLt41U0&p-5|~|LY#I3fG9$y zLY4fRD~tpaTQaPkd4Mhk?{EB%RSY9hp@>CCECTO(E(Ayzp+`Xnk;pvb zm9~eh0>|3Ft`OrM@buN*0EQLt1!v8iAPHQ3VBLd^50GN={`7PhQ;#w*^=A?i=Pk@V ze-S3cnPB+o61q5_3XllS9ykuKq8ZW0;7O1I(xt-cF~T-*x#Oe|S&|XW*uy$Mn@Tn? zry`ny=Lk+5mfImYK$Xi>=I~d7ir|a-qA`dvLYc`?Vh>V;jOyJWl9@&5;&Lj$Am})p z1^m}8=?x-j2Ra3*{p>v8GiQM4uMH`{COBg)l-Vh8y1(LY9gw>k#L+Hzx>yUk3MO0+ z<#6AktO3{I@!`YBt?P3?Mm;h|ZSBpxi{1p$Q1_*I@6iQ?UQc9poj(6Mk4#17#xd$VgRZH1jH{06es|C z9|S}h1QHAd*}o_9kPI*dWD<}N%8&>!Y9jv{3P{0}5MT&62tA}=ir_OyCI~f6i1+2R zVkbV6I{((8W7DJ9b#XfJ9{>A&(BWwne&dy@X^);V$n(SO`JsfWB}3=6_n~#r3!F$! z9~AWba9Oo39+;*2akIA=ORiF8YU=m2e*gB^sr$Rf&h+MNC_A&PcKf%@eBi|1ag`T% zl;1Esb+Bq^?<~=yaGDO@<^t`$p{Rr9?Dc%;ZS&&(ID2$;o&VGS^{71itatL@di-MV z#P}mSE43E6_d9$tTzU zGJL)f4AuN_-kD;0c7NwCwzJ~OE>lNOhA6DptFpBDT7B``wG6La)81VMs63XC zCd*9aN>wzQ(m;JU%Zg9j8d`>KSX$g3j2{Hao>}ZlfBQFo!tyKH;cmwI18M6aqtMcL zRNHt|9GDEX-H(UoXgo?N`iy~%x^}(!_+Bm}`$~l#emgheVads*HE{gfkWS7@9@RT` z5m>Y6|F3-JC+jiMicQ`Hr>pSG^mbZZEsyy=3`sLSkfjD8sUY`~5+W9l7u8PW-9*HnmTq zCas1+?X}N`rTpO0kvIN$MdL5o#5E=t+nZBIx$onvpj$tiAinnYH<8nvz^p{{k;c&x z7a=nG-0rfg>G@a}SI3|jHrEQHz<2cd)dM@R?(S0*)xbcnrOkjj-ao()f_U&}W?U@) zRB%>Pl&jJ+N!RP)NC!SY4X8=08ol?p8Qv>fo_}l{UwzzrRV6Ro z?_9kDyNkUGwO@HI!lV>vsFPJSSKA8;dZ)C9PcXKXBR2|Ie zv?u;RgJC^wYGGFWzK6eKnSFX-;@OUbrreVF1Q68)vu-y7{A2K@mD3fFlfM;Tdy6>rXna}!J#9XFo33&7K#H?ICxJYC ztLoz z{mihBtZv20ygpkI6YbDj23d3=fdT^Mre1ri)a4< zsF7zpMkK0IVp&a=iqNZbPF-jkt5A}{qtMFtJi;a9z!_V#SLq0PGvr%aEaih*uWbL? zTtxlt)XI7iRh!>|Lo+d>a-DCbT~=|{L6qcIVfu-uNGsGDk?p!xz;e@W-fKR6{`PV4 zc(&PyC$B|c2C}zLi0tbH9BW&j%)5_K@vPi(jU?njflbojG6pd_WgXMJHX5a2P2p@A zVu5Pd`Fz1k9MnmpFKTay<2tNJBx|Cg+zSuVOxdEncRYsS8Z(eeWA)FvYjG>RQ_J?Z z@W@}7Wa%=_YD6KNw&9T_m}JQ^-V#LpH{W0)iZRLJWxOc}c3l84oi}nvLm!RajeN2E z%8gH@@=BtE(}#FL(+zYw>Xj$`1_qGAJ%qZt{di6evf|a)C%d6@-VGi_OeLH-!xB7j zAmXS90aQsI7&wBzb1Y@`81b;OWZ|WHKgPVtwimF5VrJWH5t7VkJ@gQx(!BwzHbZBe zrDRYG(3=Q|NC6RZvT82{=#1(*7t78|c-DN>ylFGpnuVadtPWdTQ@d4-ACrZE%D&c( zh%}bl;zhEOgD-j1K3apzPA81^K03zVObX0a)4F5^v%x(MRE<9VY+en@j*{Phk(m+j z9$e154A%K}xaf2gl2B?(oK-;hvca~|!LHb71}^}=e=iUk+|=NGz<9G=D^J_-TWgoT zTMKPgdhpH$Nrk<}`G~Dzt9h2)dsTzZ&uOzkGHQVv94S1v#1esaDZS4R;TeVyH>_+u z(#TH1PiXktg|vDSZv^<_8BHYBVN(oH28^l zd&#Zda2V5nhZ>P@ez$sKQkawkwPmgE47N4+Hnlq@8_zc9q4A2akoTVQp6MM5HN-#! zGu?*w$Pk{ZdJmnIGg*@KL%R$XFU?IZj&bmMnx%P~ReGBJyx4Q^WCiA&>RcjSv&V-p z5zP>V?G6KIhG)8H3n}H&M$3_Htp)kgpXV>w*y>L9oYxGx1u&0T*6RG7RS?!HBXG#&bj_H-?#7Nlo`` zqd^ViHbeYNbyogllmO_$WFUZ&M9`9^aDu@`>6bugi2-zD76A~FOj)_>D{XAKIrp*% z7|`6-7$L#Yz#mmTvbm?k52N2QFa|8448kfOp(VNZ}& z-gPWFFi{BmOEr!m_g}+93a6^Du5O5!5VGvWws^g7QLT%VTP6^PUsxd|A+%s;@Nt?U z;GpWXAp%C#kufA8)N^HR;l0M;y<$->Lxq4@NN&HQ+VObmsu&SUC{zl`7Z!2gO6qdH zh!<2ba)@(x<=8HUwI7DH>;`HzXJ*dt2O=_9VUMl zY{r=@bqIRZ`SGfwBowOEwb&LGCp2JGe00k!O|FC&xxzBA-0%&=~E=L!udhEB>;XFAsg-p29?S{G9CESOZ8058xFZ`ij}i*R-}3!%%DJWf;Csz9q_aha ze-itnNK`45m14j2M?qXfq<04 zcLWQ{l9cqDt^w7EWk}MZmsZi`HNw46BNK}@V2^eNvHyiN)tZ!t)o=)SemNdG&xTGg zL_ct?@G(egD*Qo-Z)J`%iR$>9hCt8?*;Eo9QWPgxY`y;b1_AO@o^sVxoB<_NNMX@q zMN<=#(fu$MCBvkIlYtK7@9&dgDydCAQd*QpIOdyc6(6@&tiab1q83miY zJ!ssXxow4ptPBG0jxbQ4>*wRiXn&AcSqdOSPu4Sv-;64O+vIZ&Y$+RVlW#mJ3!j73 zIy)7`@vi@(&s1Oy2Ii1x1(?WJZ`J9eTv$TF=XW51@9HRoyt9qu#>)q!#T>zjTVx0O zg=iQ)h1j$6C5T?QX?+b@$T#`2M7ygl%EuneVlMK)rAjzs7Bb&igQ#1+if;0SCh5S! zc{E9f#`^^2niK{gviMDO@fS-_0`U5&(v-&j_R@YQ$;&8&4WfzibGtts3kk7A8ZFI% zW_9TxHrWEhCf6^G_tb4914MgW(BHrNpK0K5!am|@B*6~@AX=r!@Q|p6YyC80HAo&6 zGZxUvuE9kCs5OSP;c5ZB7@8M48U*#y4&JN6K``eL_5^%gjYmjVDrU`o<*gEyV*@+6 zFU^m}hoOH%Fv!5~3BjYd2Zv?I@|h5(EFr@axi;XbL(PpGWAA>&I(t^VkFt)3>05`b zBs+UnypOi?Ld(#=bnbPqcD6wcwiasbc)S@KnAa-|MyjYvcuv_VsHoi~||Y|1b>x*_)G2a84{!)J0fL365|hC2hoM-2R@< zFU1jb5HLqd$-QD|l=pRIMvBBJkNv%cr&lF^Y@}c~4RI95Ah>WQXp~mf7L&skQ_o`t zypeS@G!WBj_1-Y2vDW;L2=03+TdAznK&tdsR0Sj=9+|yCZ+_B4K3>gl#2IECd?%o>PVbdw z4UDRE%LPNv1dP@ML#xLECQ~dbUBXayEfz{p&gLE=!5}d8X=`>OZp%+ zp{Vx-kgulJr9O2;-C}s9FPi!>=Dv24B{ff{& zV+>hk1>3#XPKawb4`E;4b7omf#2@e6mGwEnYwi(1Du+v(2Y!dHPsAqLjW4HXTV+*m z-#E{viZQe1OEhXX_m9Zk?k51OT~UY&H8Y#i@Qd;|%azb$II%k@9bim^!vxGEOWdp{C!@Xp&jqCKin zymIp@`54s2&Gb~xBnLQ#XS2pBD&c%-dC}W=T;@@6x^7kqsNdbcpo+BCRw3DI}2qLr}AFpeW3MIx?CaKCECGSApgCokU@ zPoAvj_YZLiJ~nAWjhDflVBDFjSVW+2r(#zyctUBf96K!tW&t<50(FSna|8~^<#Pz0 zfMO1+o~n$zocw*a(fGnu4F_U5yj7weWP|K96+qC79!CLcmbB_-_E(_>lCz;V){xZA ziK(^J*<`WJ2+_=gWwcD^Ce?oVKoGFxTcuS__+0v@OoQC_k6wZ?9}fgT?x5)nkDQtj zStb}5?AUo;!E+%SdW?_r&h;BkZZ3*xY1%`#`72_@# zQ^b0AfAu(!Uv)rS=FQ&L=Oje$i)*q>}_GjQ}b7de5V1w1PSam z47l_sD@^-mB`Tr-VW--;;)Y&kgBVcFeqG?u4)`tDWoN$R;cNsAMVn$9LK$jNd>$MS$-;S~(gH4jDP}uoB9s8Zz0sO7Eeq z7agn z`Fpj{K~vMsi2)wSzut3y1>=t}W;pa8Y{Z9bYp|6;z%>G$K%$|@_Uw-Ab+LxB5x<%( zcl>J^)%(tM8P(>_PGiRV*$P&>8t_!xej!70TKNq9<|1g$=qI#jr4)0=M? zuHVlH5B@ARdPlN6y|6qzcsu2^J&0>|fq$eB6|tlx;as&*;y}_gT|eS7?mojWsPt$G zLKC-I7Sdd4M8i$BcNm35n{d^xNu}%txvh_NyolAEe=e~$Q0iP0n+@CP1rJu`t-gBZ zxO*DPSmtzC=0DF|-!EER@tQf#)}56P>AeE$O1zFnD!*Nttqz7XTCy6ngJwvRuGbw4 z6XrXTo<|+qm2X!S7fKdP90~P?r--dCcW)f1YCe1jG&MvKF2Lk6Iqeo>P+jZLKLEKw z*4~z<@qL|@KW~q*btsf(3jM2DnkU6*EYu5v*FIR)sI8=oI_K?uHPpDheIU^QNO{uB zA>ofJ_j2NbX7dxP91I5IiS1l|Kmse)jEyPZENl1=%s5m8Y4E){ipumzD}@^74~C)k2y%LCTGg7`97Yex8 z=(~kq&dR;+9ZWCZBgqVUTJGDZ;oMb|?n0_gU>!`5G9le=u5-Vfxp~}JF>8{!9^O(& z>~DhEDr4ILhzMP>OdjDpq8BDp`zKZIhi#Vm)JsPTCCV~-3oxU{yr^QjO)YI%R3gIl#Ak=5OGbOgle z1g#+52bSlMy5t-ip@}{Sa6cO?(&QVJPo*~xNvd7{M4}bK4Tq%Ks#zSKI8glwUQyr& zxrbNJMe3BN*5lJGMg4Oly~}?{am+8y``)p8gmCOZLK-Y(81)a1{{yvUo^`bx!n)eq zOH*OYku6Iy!9^*8(M%Vj zau)tFG&yfb3ErCVFg@M4jn_DAk?Cx`jw~`?gzQmZ(m>C;1h*ItUb7c2%bLK_*1=HM zb)oBa$1Q!yJO@k*is{{U5&;D|t-L)&dJ6BjjhD6!Qk`iBg*e?l5%6TN>{3BB(7;yo z#jC}sY9KxZa{5|#l~UV2xDA%YEX=p%KD>I&<(+(kD0lWm=YVP_nR4AA8sXUnuCyxz zB|bn>YiDh@d(6^m>`>#~$Z(h(;|so_5oo=D`1OGU&8{dM3_%EiC4{wo23||uwO8*V z-^jr#HBTBn^F06hTgJ~@x@;3KN_xnZzcUD?6itvu)YaiV5FE%+GGAdRb|xSOT{`-A zAXzK@l=#Mm!Nv^7%&`(Wz4-s+%2&0ks;rj!L7l0yud9Q7h=u_iplnR8sOB5SXdZkb zWQVBpnWb$Y0g`&60wqmccYn+vp7?1wF@hey-U1@=bCg;`N114?c3_`I^DTA7ZaR|{P)*@2@Y2-t=oK~=<%3` ziExZ&$440cI`);{qh}sk`uc;=P1Xzrs}m{{FsGXw_It+27G@)Z1u0N_SKmhHqaF{L zYi~kv5?d*gYK{NgZgL6Vj;;?E3Zrj-7nGloUc}9Z^a3j60XSFCcBFyd01MPM`k-6L z6-N|eUi{6!e+L7l97}qVO31qU+J;_00vwhn*6r7jmnlg2*#A*WD6JEi!EI|B@ou%q`+)}+g zLi3SpY&)s&5?*6rq@m9QN3$(sfxk>t@l_8Su%u8yMwTGy$dQs8#{o}xi*UCX*>r*m z0sk1EY~q#@(Ymz1?Bb*bbLrMtE7q}s3&Ib-M7m#B%GazG^{NKyICeI=vGUnUfI~s` zV_SzW#`{wPqre_ESl)a+YS54C*^rhTp@rR&$li&Oj*;D^+_I{N$?K9=_T;gi zNehIVfvIC3n?FQ&0Dg>Io!R}zx5A%mY4e66eAD91#yTl)jz&GgPmg_W*S&MbYmw5| zZe*Q#;(x!|zPi9ZiRKv7pP}j#m@G*Hsc#og-hgAn$8e{kD?JBm?mwM{nr`Qw4>GD# zZ4k0ZD9p?*_q}`b-b^puGdlOR*A?ppx)Qu=|Lg7sX4z&r%q9|tD`o}6!X9T0~RO+I3>If-Qp zg#r#u3~e)>kFfNO`1_!;jQH>`Ilen?03sehN3+;$?`E`UKHXIOXJ3>fFptk~?u)4^q$vY9$G#sZPfuCGayF>{Bn3;x)r3MT< z%gM5ra7O+WALEw*J>&+`Eh&0AO!ytWJoQOx!UN3T*0&9A=QZ(rKx;hvGrqGqU6Hy> z743A~k7KYB6do;3(Ncb2<0i((d1Q^rw8J#_F4HV0b}OB^0!RJZafNTq$@w?av(u_* z!vjU?n2E1}r3vJ&k5~!7o`IAyq|Jd8cc~q;U<(B1#3IttaC0Nh#3IJwXme$cxCRZZ z8jFqgabj$xZSl!SMx(gSvln-emHpHhzzZwfaJc53;JN_?aMU?OQ?pFs7g3m7z`sec z{U8tiYC=%HTCNBC11*7DpsN5Jc1beS0eOi}%P0wOi54~~1+HKOCVJSep+t>EYE)sS z>r?UvFVKajQlIgf_1tgB|<-m)&5CHb}9Jbd8Z)7_T zyg^1g)|6nq{v=f*z_2)P_UDlGn_peYTrR00m$RD{v2q3D>G+Sw*Df#0$&$AW0Cs-1-8Hfl+JH=Kh;H8%L{OgD*cRNWjRX*Hiv9m`z;W| ztwr4j>L)@-=;ryR&PG7Y87$Z(5Pbd;Lv%OJXT1DC#yLv3^XO6eF3S16 zG?TU4Csq#uSPB4YvCGlslO2t?u*~;22VbZqPDFUjS$%z?ZJm zTvv)!Iw4XK_0PrU)ue1v6|~>ILFUjg%%~cO>wKaxc``m(c`ET45`ae(y|fub#ioMm zOijw!5|XcZ*k*xjf0*^oSP)4QJuS0SH=WHQ5P+ema&I(MBnXvhjCDa1dfus# z)ILHK7ugt_jTHg$sEJr;plI(kqtk80Mq?n}=LqsJSf2A4>n7h*@C=Kt=%=zj0rBiG zG;M|X^=4KRHc;zXSV{pIS;F_0&| z6HL)`oG(85=3L&>@sN~#)a(0ooTlDsG+q*wu!GkK$>lff(B>M#htp<+>!B7q-PR5^ zC{<|==`{JzX~4{`VIiGf9h@J1MxI8uSP6e>l_u{RQiGzjX zHgrMP`)$)2xK{t$%R{P@|0YVRVR5rhZ+8AT^#AW1%IYlqBDc-B?kQ}Q5 zE`Fo@e3|0*?UJWReCXSHmQw#}@wj5~Q*xS+zA@hktHPhSeBm-~n;m^2EXN~Q2gHF_ zp0clM#V66IiKboBfug^+60j}Sk@)rf62&ax#DyJF@8a=sT9u^wqWbu8n)aP7EIa7u zs-n1SJ(#@Es-_X4k+NyQN37vn!{P_7iTuam7V$WG4`A3KV}fDg+PxDO)sBXp2Fi_6 zYSw;pmG&(b1l$?<;M!d76^h6_uXjOr&rz0Jb@jA`2AdDfzixD-iX6<8BnXXIu!&rl zsM}58Xe*Jjroe6m2dz6KjVfE|PiT$Rzc1TOJHVr3OZyT=yhQd;Vy@vZq(+%zg}Mel z$soU5L_h~Jc%h2;?equz84R-j%*7gv&fkvN1hfW<=={laS zo(M86ib~(!VQP~d%eAz8w`4RQ)V%R@S-hms&_qO~%4!V>RjX~f781Y?8Y^$nr6x?) z+T16ELsdJ)8+9z6K@*S0FckA%Rn&#JF9>dk3cApftmsH~1j+ zRO}#59Z@RXE7`(%IXL833A)?wqlpdU9jQlmL-{{dfCW~C5CwD#eu~-!$&C)nnHSX( z^i`}cp#eJ+&ZGN1PPhWgbigv>OfkjOYp9c~xzXCB*Uh|3sI85P>#LG$H4ry9&c zGxb`(o7xf@>R?K5bwZ-M$%(pzkr^cVzk+>p<&+GeUe#$|NVUm9o3VZM(MRkG@Zpjn z5fA!~9!IOf4V3wkgxay$UL?oJ%dN3n#;WwP9pyBZQqyjgjAC)#4T4jR(P#f@@;2)? zXG0$9f#%^W(Q^#~uBgJ~5Q$%N6;^VWPMsWhqn~J$ zH?LYvLl*Qyah3NgR!u4s_ViVx=d2AoW!`{TxtMyn;=G8*9_C`c137QotYdLABThi8 zdy&`U5ooc|dU*Up5~n@V9#$Q!j0wk5R1nuHLYQD$Y+_)E8a&!q-qXLpz@|3+-ZM zVSUD*`!V&Ii=$$FTM5-*D(|wt=&$Nh<3)(8hek;96c`u`r=wuqPF61)1?}s^1RKU3 zisEy?!71LAYs7tSrXJ1){UaoO36O-?dXARCoVP-&d_|UGc;aR-D{eOM?Nzn+2Cl%x zst9lsIsRF@6@rw=S|7HlaRu_ey%~2g7K^hv7oz z+mjS~QF<2Fg|WgwZ-h(Ob9XZ^#WW_s?Jp9U(yZhUK%v{bzjPBUPz8pcb(Z#4W+9xZ zKDVN)2c_BYr-{<0d4SB+DYrLXMfhc;3 z3J-0)M2@5fYS--a=37rFx}Nj}Kcm{7HMp-&2VdACPa}7+tm8Ge_#*xfJF7MIE{Z0gVwC~PsK-ILAkO=`YEO!XW5? zpH=@<(%Dk}DZ1{qPpFT!Ypd=X5px4NnR9sAEj%8G1L&0m3>%x(0 zfCAuqzQ~e+4Poc!_>!JoBN1fnqOSfIU@z$DgmTHX@cQ%^eQD9$E7ea$PGZMCgW%rK zaKTXC8zlC41dSXrUlX#syIP z1c;8OL(i7@4_g*QebdaVlrVB%w2_N+`(-cro);eM7?l3EMn3=NrggiWptnXZ_7$rc}ELVjf46pMi zF#sS6n-+wiL;6!RZn|+DJQRa=nu`LO+W9z;mV))ogsYIf8iICSYm!1=rCp0ODNED>TqaiPa%dRWGN}1Af%-&eQW+N85-jTNlC2P32RFsgG%3# zxtbhNK3Q``{AA;Pml9&E55!KFQd{(CjUrAF1U}7-ipxG9BBOr$uMAx13Bk-wZ|Q}f z8GH9Q9n5l9lAJnu70SrkxBTlvb0rSZ_M*BwM-PqXB^SszYcPRF~t6$Z=X`>^Os3G zo|rv1#np?sld0b;Yt^sP4c~Qa>38d=C1)`Qh_<7%c8Kz{B~UxcH;z* zs|)$PgYK|8V=_##JnBl?9fyoa1_l})lehSKSe z2_;M}s!@1Za(n+53;(b5$aw@>k#W=$y%;>wAgq*G0uUn6K7_OK*PD35r;hvqu-pvV z6t>6tOoy{?F%kymN(%gyOtj5 zLapq{RZYI%gwVj~WVbPm>Y3d~Tv+RLfLu5-T@Ns<; zvPy;sTrG1#bdnw^8oH>~Wq6YQOLT8h?fq~yJ?mno;58Dm=Fi$eji6*oZ;c3EUT-LF z+?21Ek^bpglGUM+KdKwdx;{%1m!#=UZ6$Hus6FSW`aP&g{xW$hH+_f-cb|LDJ0-s| z`)zdMZX{f>e*JFd*8pk=WwT8w3J$jJko@`E}TO)xZc_p)?7} z4fkglSn5xmUp(j_H>kg)z+{oG%B{-|=5(%U&32HdYLZ>bVXE zBm=b>AfB=R(!~h$V^TwI_0j^X2PoouN#IyqefQakqXP05!$4|}`>)T&y79Cd66+-Q>(UH0_#njGyXeUjq?cFum94nL^*H?03)?I17 zeILlCW`F!zgb^Y`p$Y9_e{38g(W7Znb(l}D%|fAgqU|}uneE6zeaxBbHq(*iI71E3 zk?S@aIMueC;Dtve^v!KySA~>bWp{gEF0H#_%Lk>N(f+1ox4`~{H?}v{iaUWi$)v36;-(rO_q+(@jF^YYO zbbQcc1%qQbMgF=h@4nML(O`YkwopKbBZKuRP)4`?P<>w&`|+nz7zzOTHHUYHO+CSE zWqD=({ImuOx>vqDUR&6iXme!H-kV^3I)bc7#tXXEI>`oQKD&L8Uk$a1s4qY^R9xqow1d1Csvl&spzm*Ube zt8Z{*j9~!~I{~c)Vfi}|5q_ogA3Oj@xrH|8)BE{TpPHAhY^ej~98cetP5zdP3ZKgd z{s*vB#WpGVxvONXfX2ERzm1oBK!G z#naN}ZDB*~=EqwCZ?@OmL~MFy+A+hp^C1=S!}5qF(c9h#EdNto!raD4T3KYV31hl$ z>Usw#UDD(HI$Bo}qojIQdXabx7TE zx#4T@GFhaPoz3U#VQ=GNV(4Kar|n`>lAJaIJR6@M_hRxDvvnAHFFIG>`C?L1(lN)T zjF>RzSV`nI&Avu#XK>j~=Q^<`IQ_Zb!ogKb)J zU_ymM!ldhXm)&V@<6vm$<6&di+!8lb5(4SzA~UPuu`vJ?ac$=POsHBdXlIU-r2?E= zmr3#IjP%#_9Jugq>o)Tt*f{IL6BNw)$T*cfyxAEiLp`#HKmLnzQ>wi2)MoP@@hPLO zYVO+)I!#8gHs_J>PUjW}j}8mzTf$Yr<$#O2iSR5;EgH<#+7 z=e+<9Iv77>%F4+|yI|ZqG+29LNS~x8x6M3ZpufdFrf|6cly}rxrl(`mp6K|HI)mo) zGS{64nqFK9TU`XRUStH?BA-SkCK>7XcH4eEV7(MSsj|%_fHnq>+uG8^@MxRHC+(#L zXdAkoRtCKE4sPD7TRmQYy09(`+fr%lwuS=Ss2Z!FEy$&_Ro@AJulh3nob=!o8&`X( zoaWai)a3@-p?m%p*STPpgq+3kTNPIp0NC~EwVx$XtK~t@EM$wm`#xhkpUJ_EqmE8y zPDYNNM&ZLxAA?QcYed2QlNYx6^MMB<+j_6653JJw{T_8ESBm`i2frK|kKvB2?8}Ks zru!RBQB+ir$FP2qzSh&Dhcer%N8{9JCJ#s$OVF4~gw&i_?TlCr?gW zaG87iIClGdCvQ$t)(a}%7T#<39-D*D`)MM;_ero_$n{X2?D#e^QJ+&Ard1WsX+XJ*0Xaj-kj{#PA}Rky8(4fP9=G#~m8=!~4H zlI}=4mxpX`)a9ZcD~T$YG9ZWS5uMk!do_{JwroTiFHD8_E`RL&K3dqpv0Q!3}D@=CPRz zHsOrz!n&2fal>bcQr#4-D-fc)X#+?Z@hPeyBBxltaAl?K;?>EP=vj5m^}qwQAE0N4)pfHVWWVGe)OO z@=^f2bH)V^E)O@c^=U-93=JTs-ZQ$*OQ4taXKd@6$RROP*GgNN`Lop~HMKl|6>-Cz z$C;XjV&O7+`+m1DdSv`dJg#=A~AAP<&W|eQ2+#+tlc}*Pe{AiSzq7b^N!cO>p6FCgzPw0XZqL^@HH%6%gE@jIj~xx!A$qH z81&gHhn;O4pP}~hF+SLYRBpKS8AjdfFJAOAxj8ko5csf4D~^4vSB0F067vxu+jC^k z(bstLTq?S_rLG2wUxfM0?+(8Ig^43CD@)%)apKE z2h;#ldHcHYL+ben!B&r_u|v>iOU1pz@b_i+HyF=UhUw=TM;BFjDKVc2`YL^+3S z-@qHiJ$>va9I&SIVL@OS?FY>WfD<&;9Q-PkT7dmtH7R&BIkf>kVHw*^dqccQx=9MU zC1lQ-8oHgQ_pVh)@CqNFyHt7)c=8&>!8s~O#G7athw#>ye=xb(%ruW^@s;->oaLk6 z%OSfpY~|{R^F5P*jE)lkm9+CeLuuqPy}vD40iM$>k0G5%bHZL*_&)sdV(;2UEL|>F zU7=;Uy%FT^OiV#zWFJ?-#&Qz1VVk&Y+t-e4DiOw%5Y_)07;ye)k0FSkKov+qUCDalvpT zZC8hv2~PR^=f5M`Hp5jr$~JEE&<~QJYN`YVZD61r=ti=k;qt97%lH05r)vqGYYh@r zyVb^H8)?XXE{3-mbj>U9c$Y9c`CD(K$cWeXwYt;C>X29flKUgAQE&2OKiuOf)#GW| z}wZf$K>wAoS2> z@1Kw^=#38VdEPgjiLyCF%ikwuKZ~m^_c|8GTq{y8^_Vsb^tw&EUc2sYx=!{zm&P{L znKn!G1d8;!ZM*n1nW$Um-(Tq5`A<80oq4ox#?Gq~;IWh#eru&p_pxYkB_j?U*0kf} z{I5GYT*9K6xukN_Xw+t5nBV`x_$gCulTSTL_3`=1DJA$|K8@WzZ)M^1uoBglaKc|`PcNY(xuvl_)eQ%?E{7qL~ zTCF%Za!WbfqKKvz+w@;{*L_dB+U%O|9h<)$TD=J{tcM~VmOE5`J1ld^{&ra5 z(ESa^ieC)Tb-OQE`?4aq!`{&1v=IBZ!JKyNTwj?^>WW7?_MU2k_GJmQVmlAKuBwN& z{8HanEw0a*iT2cN-&+%jARFM$iXpQg*s-m)_KuGsvnCj^(Jl|WTO5%!D!9sZq3;V0 zc2kbnxvAod=KPc9ywCr-YBM`+#4gN_hob#-^clr-SL;Nj6S z2C(ob9R+B3q>BLjc|?TU_gK zaS41Gx*WPTbq9t0{ZQuZo84k#4b+S z&i0{NZnJ2$Y9fXo-ILgL=vHCv<`1ow1l9fL&CN?AUYa+jr2vT0nVFMBso|BhC^xdFq+ zfqk`XA%tNiG%x!feC|Z!*s`zl8&ZXgH-OByf%pe8eh-b{ymfwA|7vL$#bTO2kXeA} zh%rELCC7izI@3eo`o$<_4Q||?JcQfkFY^#Gw&SBd!!gBKD_$W!;pnS75Y3uEZ#m9} zfTsp5MwI@!e)|%8S{9;FvWFd|{|M2VV6YQngF+|+N+$u#A|5N8N)z1_qgG}X?6(_? z%dzIt4if6S@UzPog?>;i&Vp9;_Cr3?KVSB`p3jf&-**BF=7qG=(EPd0*h8R(l6S@L zN2q2av|SB;L$Q?wRh!`Fgkc~DF(?Vf1s#0&|Q>` zVQp57VLd2``Z}8(5l5;v)t z_caYh8+sB=Ek+}of_|mtY52q8P)D$b_iKe!31xcP4-`*%a%^b(n^}9%%+>vjS-a(N zH}Y~Yw;z#Q&|1eV$4-H=v{&TB#&I^XS434O84g;2;j6=ogThC1#{36&cF+M)I@Uc2 z<=~RF;EJ68!9NWl7hyV;*-79zNKUB!gIzh~eS{y<$Qf~X@yU3F)12%E$Oc)Zd9rVo zh6QD$+)5{annJ;n7x`mY%nR*McuBq)-(N;NcDRS5Wv}ES!Wd}6N-3kLnp@p(wP2`8 zY>(6y#qVDdcJ!gYP}q~DB86?u`@zW}hHd$Tz+uP^IR*fW&$u;xafeo+-dNOw;GffG zpFAH}8Ycx4KdCV`5LA<}6h}(UrgZ8oU+tlbQMn|x;ifwuy(H$n-eu#iEPU#$U9;w) z%h>q!X7O|f7t}5~8kz%)osq@sSpU6Ce{uY#vHsic_=4iXY6>pX8k=j4-mZDYFY|nj z2;-p9RN6G7X;N*kU~nZ_FUd-{N_|7?uAD-nCZheiycEAC!Xwqa+mU`NtKz>qj%~^{ z9J*8bU;TD5*svJgV$Z)P5m(D}pBm$Cnbg@A<_67T`%Enk7hheL*&vwq zTKzvqo^)T`&!ay=#PHfAQB%cN4yzYWKt-p*H}AjRaF`!0ZPd z2>v)X$z` z-Um+8V&yg?Sz$>3AIO(xUH zwm|x2H1sR*zpZoskJqkW;Fqe%5&_6z?ePELxz+Q96@61c)SHvHN-Q zWz|%|M(M9X#o$LO`>+(PS)3xztP4>+v$xF&=|gRK6@Qm1zo>&HTPqi=_|GVi9Ut)! zqFpE25G{exnlHT>vj_dwaBJ_;%e7lIdK2y9~j)@&$0Td>H@dMwZ5Ime}GO{gfKSz224FAa<745UWfYKym&1Ma&;C0pXi5@W#-gc3*d9RkdWC;)y$?W$HN+NjKRnE_vsI=tV-zKG}R* zzKH`W-&FtKMy3ito_@>wUnVW#q~tF|w$i_TijHd+SI^TyZBE8uWXD}=4sArEok9GA zI`u!j-L1r;7kG!&oQnzi>j3IQWxDt&u|<#C$=CRvG<`fS5GeFuJm;o8f-UDR)4hXE zcfVjTZD}Oix7&7n64+v4Dp~7-?QCSK$mxQ8H!UbX2qCBTUt}(D@!qy!2YfzU6qGjX ze`Q0c@+BhvSm(<)pXQ4Qv5iOV`Js)?&lXy~YtP!Wjqhr&34mL_?PChlE_!I?tNIedf72i>as9 z!pf(bGn@>re5yIaNmYY&R@E0R(={G##>PpP2HrKy&|Rw(j;sb$JF|sDa;PJ=6NQQ7)FdYOX>q66&;3fm06AdACs^ zbPmsY%8LNNNhk11C78t)F}FVz8hyR8kMC-77R}r6Jov|8lWTqgKeXL_S78X>)fNA$ zQlqEgU&?Vw(dkRS_4Y4Q?kbXljwo^2g@c9@2(O_>K=2>Bv@eM<(mF9KE07|rE<%ZN z-ze>m#&vE5R}B4R)ZA%KXFpXKDMA#vkX|E8qbs||R+~v8_1k_TlwI>MVw7DSa{nue zN-$CsvpVF3IMM2(P*JxY&)e_rp0~8lYXwh)n(PUDLTP*c;0b3ase8iU34CrsGB=?B zeZ%;&)8fNbPef67v%NU0FVOQN*H)h9c2hB5*BdHeK6&0wkrU>V=j{|x3GcY;{0>$A zrEf9qT`jfF^Zo^LTH*}B&JOc5RwCljeP9H4!~56r$3t?q=R|@b= zCbxUkx4R?q1nJbSa9y<4+&Or>Ak5R*)e(}+r|!=|h{Xj8MVd$xydE=@>saCeDG(*H zFb`TxU=S9P-b?N`CCRX(mjs3^c)3v{sONp_NDNX~CS z^W^^vHJUSwn;EJhBI|YsMpxr{Uu?5l>#khruK_mXVG@H6?FY}^A7EWR4E;Bz)EZxO zx-=0#>lBMyZc{Pv{rtxP;bMfswVU+~#x<~^ux1h37d8~i;!_gnyamj$eDLbt0Vp&+ zBHOP4rT8C_9;vxG;n107FciHoKQ^HL0l(8@L!Dp4f*-}QUunjF(1HSRepJF03(aXn z(MKRZzgmOp!sb}rrpC|8t+@k@q*5QLZ!bU1hh%*&u-TmnqH*1a)*LM-uu~j;Tz6oZ z3W##{@i-q~E~fE1p?L+1BYB>Vi@FzPx|=I>umrAF;i+I$3X5&vs?0|Vi}82fHR2#H zSNyj~x37+MevbbwQfRiX0JdHCe@{{EA6_wo4}C>XpPu%~cb?=`*SxgM-$TW~^E^>$ zNhpG;bnJQ;l^gAF7=y9_@U)dNi2tAd`83k^Pp`a!8buuXxI_$XvGn4U_STB>rYCPKcU)k-XR|5y_j5xOB!%*lUzPOMV* z-(c*5xIz>DU=}Ff=GVgtpuhgaAN(4U)z8KHKA%4^mGuL6cN5DX``U4RkclVJ#h%mz z2dCWS50oX&C#9e}8{sI1>J}FDk!}5=-}?36>Ix?z9WT}s`3sz>{J(md5PS*6qcbzT z^6@$~w6hf#V_Q|hY(|h9tp)VB9ybEf36K9+Po-S z7Z-LMP?w1WXoFen^}_uhZ-6vN4+BxcwiyTQvis9IBL9zdL=$0(hAw;nB8ElwLeVp! zp#0C2NA$@4yl*Z{W!ou|iYFwpXE5BYQsKH6K}H0PWD zSN>;5$b7*s&&7hw1>(LoLbstJo42qg1V?=dY*T_fb>Pq3T)qe03HWw7kR;5{geREK z%^ZOOgI&roLC#SQNn$htgjBrGa`UjH*R_^Uli+sEdF_x3_+H(-d!Q^xXSL*_$Fqne zS|UMm;Qz(fTYyE?b^XKC&`6DR=g=uF-5nyGN+TiNA&r29bT^2AgmkBL2}*}_cQfD7 z`+c6*+yCo#U3;IsXP+~3&776Lwbt2l9`Sn6B~s&&{iHBh+3+jQ*Sv%cUs=U!*@z9QTaw59tVE%dTx`^?D|^nCimS-+FS_6k99rj%Xj*QEulfd zRyds0L+{v45S%bk&GEq!SAJynMQ5@<} z^%T#+>vs}TrtgJ;=GA`lRXmaD6+ZbZ{4OXlg6TDw77J4jaCH1|=`^tEG#JY?YJ9K- zvZFG@>DlZse6Z$c81#@D1r0Smu^f(cKJHixe;=9(n3;a_ALcFR>y?W)C)z#l`xwfF zT4Bp+1{hT=+Zj>(bf(lIx{~RCVp-)$INU*&d#piRB%jN{_&^tiUrNh^&7g6$amtW{ z<&%(F_&OeKB0c1jkgw+4wtLMdQkNJsyewZnZEsIof2J71lc($|eAR&*PcdIKXSlNT z262+w{NU>af+fS)f-ef)EWVz_87l#P^jWT*BHIq7%w7a&{&$zzvmaZl8`#C-_uJ8r zjTr{=7XAIEt&L!>D>o%l^H9pru*k^ftcN91r#ebjtqREGPC4j{OjVizCAHl%Jel*D z3_@m_HpJmMZ)il{fcTz!KX z&xPsT&xT28nKM!*8O^My)IVI*EL&KkIV$F?_FUpu2o~}`4J!3^uhO~rNjGp=THO9< z|85RAWN;Q~82#VLysUb@vyQD9$aUEWS?rF99o3R@G=HqdSRdZAb?ZytKPauH?GOMQ zziO!kKD{a4nCe%DNn|V*O%Im}dND+v3uBnPj&1w*6OghIt%^%vtU>g;LQG3Kxh5Cf z@6@fHv@@;zf?Yi9Yt5BrFwdEB_Tuwxi;jSmdBbQ&Y}BO(UuMi2h|e%=Z6IV`*O6z_ zw)6YDYJPju{<^hq6)YA^BNw+N8)Vxrdx-PnO%_S-Yid(2h#hOBGd>E=x$vBZI==KW zvRRm1Fj4meHW9-aNE>ZTD!&!un7Pj`-KFbjw3~|B1#qd|RM$QPGaBUW@Hfb0fk(xadGk5=nLnRl+qC`>9vWXWAixou75$KTip5jrj z#+e+Kx3Pn}Czr!=3#5*xFyt=OFQ5Enzu)p_^QrbZH9HX;B6Kz_C^eIKhat(nYr>q1 z;J~X!()H&BAw|HymqMX%P-xy`XqHRn3M0%rm(1_fj?cj)%^uKC7n zTOSti6Yl^dAl1fcZd_4Dq>WP+0GL4Ssi**{r!`x*rm&a4hxN#G&pw#KR{XB3edbv_ zZ!ZaSBNug@8G^n)Oy}0&0q@k=)G2R}85J0H?c&)$I}+pdb&u<$`$z97?K6QCVIF{O4}@vV z-N@Bzn`pF~A{#$76%#iBY&*9ATxR2@*TfWzQQZW2`))xhr?$zl$t%>x)-66qXW?c1 z?0h$XjvC0yT6_Y~)W>v0^i-!U?b@99xa;Vk^Ext4dN=tWUI=gRl&Vm5+|W!#sfaIJ z(M+wxN7uq$_Q4%g{L3`ORKr#PVUxn&jJ^xP-Z%f5UO2=BzvdJdt_pGsdcIIv2RjR_C;=L zEB8<3Ez)hs>gSwmS;S#mr zk$$;P`q&MufFbl^qhLkEt!(Dw`zI_G9hQNI9pftL3`^ArgKgj+X7>Yo9E0fBOaglx z6t=|!{`EpMEKGbU47rj7LWNp+)LWoOteQ)6SFo6Z?prOzD>usYb?KW482vZzF!^Vz|ewej;}`G1&q)p`hRX z-n(j@j-Cwh@U>?nYwj4q(}4u8R#Xw!4-cS#x#vHI@1C%^)DC^w>>Ru1>>K;CS^aim zkn{z87L23Cv`6rZqaVulqTBE7RJX3rP(3e%&TJ38R2wj#$=!5Dzg)v!MKblhL@M=7 zLfCav{R3LD;evC@IAIZQq>;hVA)19N3zxvJUcdPfa$J8L zpfXe?hT|YsrRFC5GDEA$SnsQie&xrVTGsl@6&E|v2k3jYvMXrtu6g4+b!2k^edwdW zic@5~vwf(->De0&plhB=20l?KCO!>n&+Qa^yQdp-yD(+56NL(h`^$- zW!;LqJ;9DUZ)#md%suBsMZo1?*b>_5p!P%N5gYZ+MiTb;z{a%ZyNX)Wm2t_K) zq4+_T41<@yE)2 z=e54t1Y|Q%#?@T|=%|X5&qvzKH=Yf-?qoZgYwobo_^b7=XWQw}`GQ54R)k)i?GJw% z_}WKZ&fVGpQqdax$}1pJBsy8GP!u#ny!0cmuT1Xj^^@3Y^q#;q!;EUCNF4|se&l-Z zYt6V*^jpUtwq3P+&tE^fR%!bV@(2yhyFn%)52rSxy7K0Ke2iE0A=dfxAf)B%wlz%# z89nvlhVzrS&Ut?zoDm=#xj)1k%pcwmH6nMXI%NEy`qenj7=v!7*ErV>oo>fOd9_!& zo%mnq-U%lLFgDJ=8yn!VLhbgn-;Irtjq++}Bt>o3$d_3H=Og~`K6IDnH=}a%LQ}_& zgy|jKi`N#m(z`MHdhXqM`~{(j(}pt}=J=!^e{kc%5Mv*|(L*>uUYcuOaoSIVYbn>4=j zqy_xpbxn=w-70({^kTnmvJ;NTwlqEcu|~P0GO$yR6V9PSYJ7IJf%JA!#s#dw$v<8As&aLlP{T0Kd3?v+17?i$IeHt;@Lj@o`WEE;MV) ze0@%OB}ULJ!>y_IsjkJV1B1*X-|5?)mO1+7(S$nw!u?m#ROI^?Eoa*?k=JK^nmz(3 z(?xl9-U2(lMR{bb_(H;XN5}tmlnL_pS>8~iB6fB8kFAChjCG%d@{M<|h8kB2OfC)O zRg9;S7etc~UJh^dW0qoDWUW7U8#HbkH7N?RcVc=V<3+$7x$rVe8=m$gs6(4z_-I^t zx!T{FI?U5#qZ~fD=VfoAvEJ8QR37Km1L4dKnET7RAlbu6nLYx4VOonuj+ zmcqidoEhx8MR|o6!?!yv9(qz(Ii?cEKTH3Nlm%sa9Eg|zaklYXGTkjbQ&{`ovAfTy z_7+c_ur6NXFtEjo3rmf%uM_{Z*v534h~=VPt%qk@;Y0Co1$oCq()IbkLCVV>1i>~? z*Wf2;8QQnyO??sD%Fi@Swz1b&7L0l?@mff3dxd%)!Z~EFx=X2PIt0Y0qNpVo_{68W z=3FzuXjl2aE9;+YBxqMZ{#?UByGr#V~zz)`B{ZeUUi@qmk+M=G-E&lG8O6@1s%fCVxq3qr^fC;|@L<}7eF-M1ACcKX{ zWUlC23?n)wrcW2KTxXh6>bx!vl{2tcrir^twwENU3w*m;jJSblj-3R<6bQV7D47E} zQ_3;ciVj{!Be{I=u* z>gHUA4lV>^^pgvCv^TF9ZXYmsidhH!2e=05;6!tXCn0!}Yi@lJI{k7Q7Dr){#C?^o zXj^56*64Oyd@0=jew2?N=ltH1qYa+Cp}ACmeY=p6m+0^~!=gUtQ9Wjv9pAFWBe!;9 zg>Ai)!}m8v`?zDKeswVx1$XPubFTJ*e*T>D#(Gcy$$K*mLJ{CzJu9G~^Qx*!Z6en!;FuTdNWKvAGSp?Iw|Q3F6N>i10rJ zOAZF_ne&FD49wQ<&D|=}dUy9ERg;dGs-qQIuW+-dz7F_u?VRBIowDm)l5Y>?AaMLj zISCwxDd*lL3GZ;P9qo?zl_PZApeihpxay*D=_Y@L+oZEj>M)Du=RM}Y_v%Hs#4V)v z?E*!vHQc0)sHl%QKaT^GyLC`Cq!-tzvc6&DeC$OzK5rPF2{L6$>m=PxZ@mtM%eb@nLdY>xFxkR;3bgRb}{>y;ZLS`{JreG%|H`s6_k z#=5XS*LLJZT$K1nz{TIPeu=4_NlJ@kef$MBf))u6oxjq!KOh)OI};Z}fyGxF!o!S4 z_p7ZvV%{&G8%AdSk{v*c;!kS@!z_o!tiDC8t!jzN46!As%wC2?LxqRI%a&Pc4-7b}`;+;=1B;-_+sgCl5QB3`;Q+1VfB zlEzWLhG(VjMHFv;!^k3WQ=@ljP-hR-1>t$l44(}c)Y*}`Elhu5VGcU!Z5PkjOS#^Z z9u}&-GAE08a>`7=H5$lq%KWw|#cjX51N+HoSM@;-3+6{J+&nTWQCvN;)a$Q==jN@R zQLZ--mvM-#G`^3+t0qKTrHiG-A>mWj(2eqIw|T5PPQmr}q#RAl0~_s;i&3D%6 zEYIY=0;J{P&5eeHuPFKAWTyLg>EC!=bX&~NX0G&JyvJbC)3@>ben8gyX?nGFm7uEH zSGbusuIcu(b0+>1wW-xK2xMCFld&Qgtyl7s>cU!G!Rei)w)JtB_@`f73NUK%!P#8g zq&72i?UhN+w@T*ZU+vlD?W^tP_E#Slj@;mM_oCax89v`VmjAR_p&Fs&7d$~5ytpL3 z{blM@@u)qH;>A1e+j^#IP@faD>-(jf_km_)3E9=Rb_k0az&9iC6khCO zU%7Sa8MLNu8bileOV7RNDOa3r4Wh7J{znAoF%1&tpuRKP7i~*UE5N}GIEXk9SKl92 zmoM%6Ior*tE=8|BD)!rYKBD|%VpG3Tm!5v-xDwn=I?)J4EA{3%U+tlu6k>FqRaJmx`AWneF=&kMD22ij-P&v;h(1@r{i zqC7RMk6RePyGlCjiwU4LB^`g>^9csF$dCd&5oR!PA_(_q3uezaIr)Ru$de2eVgJ4i zq*R1p&p!;ac=cF#`P9%*r`LpMpW0xYz57Ec<&7*mH~R68b(pX^9EJpdhfVV+GZ!0f z2GI^n+&qq(Y+2b(KcBAm1U58lBLioKZz|ohS}N~G0K%y+|3f$|l|u&~?dD5>Ktuq6 zJRE)zNIa~_twor*9EpwDh?1_X;U(WMsZT>%ozpxU54_sw&pZp?ql^yiUljI20>xy) zPP06X;d)B0KgZZ83e=S~3z$A=X!Q-qy;NF=>Z^7O>#EBc(Z97A+0+%-Ht=j5s`Wbv zeD5aw-SpTXM2+GVN*V37p-#GEM=fZ(ypkrXZG%mJze*?zEuLvX-yMrqAj>R4_%K0# z8zEUAI~Lmop9x>d8&zs6CI(8R-_T+N(vvi1QI-Z?;E^=@i9p zk9)}SiMva7?5t0Bg`SvS992Ymx?3MV6$0=%<5N}l-wbHRP*fp=?T281@hKRj+(act^-f45g&}m2QdRx42R%azq zpO8bv5UYn7uYTI5@)Sljm)&Z}?L~)|BQxiBId@{6*j8mkH*&(K6o=XJgSwV>E?Q|N zc=5Nb4G~{5n(WM5m7ayZws|e_ovWcDCRN}1Scw&E^IC_UE(!GFHSmS#>Xe?vBz}2? z`}I|>F51VLMSVlB%J7}JG{S?gw54rZ(W{bAGVV|j7wAeOpVAYj6+aNy@m#ikmaN_5 z@i>Xzv5RLoT?)F>yu-3OC@ic)rPUu ztLbhh6H-O*(;AQ6)zHn=ThG^yoQlNIIy(g0I~4<+7i&tat@p(AjO6<~t!KOTZ*N?z zkM9M*yE8iMz8Rpk869N%EpH9(e{0vbf^;>0YxzAvc)0mvrk$21fi@gDD0E{{k#_-$ zShyrh&~GGE6)` zL~<(0o4+Sirzcf;w1uINBmOt79I?^;p%zU5JJZF*MPk&u#I!GHsFe zjd5)VrEeLL=?j<&Z#_RD&waH>zkRV!Gh}ERvoAXIy_kbkNIbp%_~pP_u3Nn@GZ3|6 zHo^b|U+5pfr_7#cG9<^Fd4r!=frUG*&q?x2hdClmt<4IuN`qCk0`kfUqsv#rCFDrG zL$v1*o=Auqkb3jH==n;Wt0%+h3{NG&OTj6bW6z18;`wq5Q8EN@pF@`Avd}Th_oLBt z$}L-w6tjbK-etN<@KEe}j~(+mO?zamu_^c(v-x3N+V=Zqa@-di@Wym^!KlXd&>(P*Ok!mWR*u+uV0RiaNbMKP_ z5q{`*Np2Q}9yCHSE6(TseJy;PoCmBURx!#TC(|S2TuE|yXF-vKu%!m-#pRC8m4&qq z`i}OiQDt&@N&2d{6K(aV9OfB*E*+E3(BTx~r}Zo5EbLsm5ifm(%0${5-W^#rR(LtD z7shM@NqBjOGrUx3RFd5vj@p)tQQIn#Inlb_#kf7984$!BNe)$d`6O_NfSPAM`;?eT z@f-n3Y5dx*0Z^`V>Bnh(S<+K?t6H{T(ecd(@9lzx$^5==1KHQv`%iVZUrP6UalYN> zlQ$hs9nhx@9^_RjyOIaPe)9qI3?1aLCj?V~Lu@ZeQ@3_GcfEU#XI@TUyY(&g>THUT zY(`d(_zCcZH=k)1fsc%$qr~75WN+pL63eC+ft5_G$Zh%o#@YL3*D5p%H~nlRYb_OXYCK8pNBwer6864!_J)@mJm&_%{@Bc$@sc3NsX zPCYI3UHri&SFwJEaOUljO|@~E2wGa-O`YDj^x)4UGke)1 z%-N5YkQxL|Q-lczCf&swZrT`oKH341g{T%g#{Jvf7-OGp3kM%F2nvku`1fw<0R~mF+b@vLNM9e!(>P~EiJRx&A zeQM)uM*7T32W>cZLz+awlcBw^Jvq?hkxfo}4vg*D=G#t>3VvRoa$#TO+ z(QX6X<>=HZn{1dV9*rai8cuF92t}>2laJH!%?6PqLw%u8n_J_&)A!RRTqE%gnb*SV zH#$#WkTpU0UI^~I?;Fg^TVGsjKq8xw4bbG55W%H|SRbRHM$kg=(8WF(BS!=~L{2y5 znw0clqVi8>`ER2)o4ds$018uSM=z zigu-!T;=VT9D(j4Z$B!VvN)z;LO>$K=QqTA&Uf|PD#F1y;Fzl3yDBrKm*GIiiYp?X zeBI~e)C$jCzbnZH?ocah1Sj_{qN?;RXUxZ&nHh+66i?|%2{Ugu6qtnSWOtwKBUX!& z35~W0Hg>$#_0GiYIZB6wY)Wzn{p5YaYMcTY)JcK_rJ8}WOh}n(Bqoz8b6KUaaWDv; ziTSNySq<@!^yBA(jDI zehTXopBpZ=(ohoEd8!Ty-Yh~zO-Qs*t*8EKmWiM5BG*GeaaLOsk4}h0fIvj_kpCUA z8o$u7It$(J4PmfFl1Mv-Ih*{OLDxx?s+Q(=E748{2pLfZFljo z6BhA3=ihHMcg-#v)Yb9GBoB)tt-X4Q)DuUF7P6^mJ(3(R0^_F- z4>Y(=Pa7ZdxBvD`gB?B|N{m-dt%e4hc2ya7{%q_ASKi){qc37cS=~wA=sB9%S6;mp zzvT;gvQj;V?Ct94?MfUl${IZiv(kD@7~Ff64iRkQ({wE?qGT7Gtj0;sFp6UoY~y|s zX^;_56t?<>Qp{KGk&~f3&CD3&vqvY~-j-d0 z=?4YgF?uY{f_GvUljDO(B;N$tTBtyNgUBP|+wU3p^ranmib>Fn8eJ5P z7<(A{wVY;cAF=isHR)_>mQdY{3i_0Heb9h5Jknn_{ynUvcx^@?u_kXjARrF~anDh?@ z;rPidMDhU_E^jU%x76ce8j&KuIOo@i|6!vX+uYi5qv%e6%nnFBoup`p2ioioeVz4P z3mh!-wGK0#r1-P7$aDN>Wo4+_fPqtm_L?{C4{YJR@WuU4@)BAuf}b!}S)?}Z_i z8q18n`w+Nb-w4Z6BarD?*uYyL?_{t1eq%AN*DBh#RNL!)rfYWVv*tvG17sDCrATTG z5)7)(SPF;N?JH5NSpe|2S@C2cnFtKEgP^~kAGvoBwVRCGM;uVK>tt+GHyALTQ#nnJ zQz~$M0!`60e)zr<33odB+z5QS^+>I;;A`kax{B{PGJJunUv_SCK!hnGV+3I_#5`NI zH&brs2ZwoxtH}BlmjupU5qb(Gp)ePXqq%5~Sx6#b{TMG-HoK*{ES#~dGtvOwy>7bk zGf}2kIA4FQ0D%rfd0$GOxz%7vBzMXVSi^oMk-m>6B4!gsmnzd{TxJK3O9NygYJO0j zi%YqM5P7T5y_trF1h)uE@1PZEh+Gsq?-Uf=*IEt$m^`5gLbuKuA=eOTs(z5`tl-5YUr|%1n#yM9 z%`ISQeT?+K8s;B$!=12kbhP^y4tYx=Giwz=R8!+@E%$pO2z(P0iHLuP0(&_NkK(`3ijvPO2-4 z4NiKA%-bv{G|SZYV)Y!4XjoU4<#3gL!nk9de$u#ZUZtSJuE8xomKJ~8S(g2BNCefy z&X?pN*Dix3v|)nGR|pkjYE|M51d#}kSc-@MM773zcJ461^Ui^lKgDp$3VW2Qd-rdZ z4%~2z0)CB$=gwnOtC;^$=KmE*$Z*O@-Cv}f(5mq6r862y?NtJ(M;#9>q)MAzZ&@2T zTdDSS=CjMYaVBN)@b-7(asDymaaggx z_aVt?4|}3j+Ix~U+VehlxeDXv{09OyhF;wx&cjuol7rhkRSR&=2s7gA=hz9C_iAI+ zYPqnOs86jXws(1U?`%u2uANF&H%E)G?-H`A2H#9gKAK93n7IhfJKfOQ!brLOM!{N3`?ME&id4nWJ zKW&5@a%Gms0=f zT2ZE8Jj)q`8aq+ZNbx06H1q>|j<1YLQMXfGJw* z8T$0hBDz40t502Y;~Qqtz$fl*F~>c&0$}1b^od;V{R^uRYkr<_`q;6oo5km6HShp# zvbkN?CVI23or=Aoor<)fO=QsVDS9dWYc+EgEZax*nm0EZgYS^DDzvt-XiRrq3csJW zJaB9R7nwv@gDRoah%eY-rmqollSu*~$zdkxJU8SWZzVGJ z%n%-gZeV3u9*`)&x3K+z*DxVBdQb#v=E^FTqt+UjTKSiJIdRbI9vkGO}eefSG9x@L0YrpBD9b( zmUVipunAlOcr$u-A$etE_s!+n^c=qbK7?tT*-*)kHe%|KxXP*i220e_F8N>ZZ{22fXj zcy|@?ZFO1dLbBn!w_kHBcVCtW99XRhQ!1ALg3}`!3qg#K+>YZ!hloRmx#0W=drYj4 z9ks!4x^f9f@OqXjA$%s8aB7}isQ@nsQ6FiIGi2lW{muvg&m`n1!QR+sVqb|nqZapM z{o;@RWhFC94gZHedO=nCrD{X67mb;g+c(A8Hu$y-DsYX`Ihp10{^jv2lTT1t3}VO) z@+wW7qXK1SfHa9go~q1DxR}iiq&K!Tqk5!ol2I=&WBdlUr2HECywtXZ_R7vYww|cs zMOon@!3RG?sGGXMSrHQ7aW2$i0$KYM zAM7-ekEi^#(@M9V-1o$pgs?;~VybUDLL};aif1J2)nvm*%x4;QZ*o%OaM8b>6|z6m z*vZ8!U4QSYC)i>wxFhJG&*=Fr{Dzf83uZ^sBvo1+Z8F@>%nv#GgTxk1=cpW1rJsnA z*2s0{UEF4$38rYqd{7+p201}-KLRa96P-5F6rux`_>$`!3PRdy|# zVQa7cE1Umw@6lhOjzOj49Qj*}B3R`#BHL&%dRXPEjT7^A*cB$2jpb5>q_8gz|3JpD zCM_<|wwONw%>tDCj|+1QF73H+)d{MH5Kxt7?&G8S73=f(xK?Zqf3=nOo#DhvW;AzLtSB_2|}h@FA*k(y7h`em52SZ4Cuh~akY zpm{FpFx!Y#)Bv-uu2XKy_0P^KaoH4OY6wL~&cz_(b6@z;iDt+WETu13i)>B_qLn@jI1HXs84Pu}kBIdQ#=25yvp4YCxB778K>aPWc zZ_XuHehi`?(x8yH*9HVBt?20k!`~J1BpH+>1J3%m=yyd9C~m@8a5gkb(F=Ie1p{_H z?U+r?w+Jt5DM#DaqT@&qEo4atc@hyy^oK9ImdOLjs#+|>n5_{@B*oeA~J5BqW z2Ua6G6F;@U7(gP%BF5Kw^D>zbUjDs9um2+30KqcpN)RjtB#}KEKM@7K*;26dr%Vc1 zCbP+MYl-5+Y@%99dW}`#_i1PP=c03{_-~684{(Y<=m>DSVd(Sg$>9tc@p6r*Tz!ad zq3LMTB9lP_6wrCQ#VxpZ@z2J-O^utSF7l8 zDE9x2PzR&~?fEI8gl6*A$0;}wX7YIG8db*0alsBP&<}q)Mdg=Jtv_x4@%#<|=UaQzvB?GsL+S*a9OTbb>23r3 z&ijWoUpV3PB7XBCzN0!u8$U>4oo4v zIT6e#XPqw%x=Sj-IWa1b@1H`!J&8=m;dBuXN_X`IFR?b9(bnk-jiA+Szu0Sg$4Cox zDNRs>H+t5M|HovPC9YR|-QhXc?rPCC8n0uykrC^mFyj`@n z%70!1e_pU!8`L4B$>eZkNRr}fg;f(BU7bhkH1rsoZShH6ZtVWf2C6e**Uh z=7{2S>2bc+D66WZ^gNUzZLkRQ3!hWU!w;ya47IN2Ny} z)Pon<8pT8pi8I6xZ48iG4qYkF9)fmHQ!cM{IEpy(G-X>idQ@729?nVm3%ab+3GGRl6i<02g$7N{ zEeuWF?n5HgA~CUZ3Cgg0M1vrRHh_=P$xJ671C}O%43}IA{spje7cCx2BPp>wWJ~=Q zSN@C`asap`-7_n;7xDi*$4P|NJgWGDM4Cl7RO}N;Z{P|$9(w2}EY>4ora!z>@ozq^ ze@bEHZTcTlXlYUxY`|bkut$g5Bv;+{857TSLG83?VSdaQKe*KdKTHVxO)AI!8;Gic z%vG&8)Bt=FVnFfw#WxpA)5Vr9hQkMi(&pQWl9PkBf`KM`<#Xkoiu{d`56utEMKuaf z+4t2k6`Q8uClA_+Mnl~(@a#;e#FCKk7jw%O*y%z<;R}?y(G@O#Vhqx zr-X~B{O5)|QH9?(3-xyKwsEAu)>@Wd%HS+bB(Xtboncg{*}>RS39JlnA*!jkd#Abj zQY^w+;imQS;4aNvf^r;?#1FB^2__^UV9+5f@;A7N;3bplRqrs?BU@(IHl$ z^T9_fwW)iyR@Zv`rj{D->7E&HN}3O&0ir;nbj2@%SCvQF8muJ>qp zJ=cEBN`J$=-1JYg?e})OSn)qB+*D{4w3|F$h|EP0XAuqvGewpJOHkwzz+o3K^|n6q z%&+_c#0Jj|N&aukCGRxtCHGjHndf*p0(N|K$Lh#mvcpPSDA2G{k8r<0Qa_L5`0B*^ z$Ldf?PaB`)I2W5VWn*+MpWfCQa(G3UI92V{JLDd5Dl|kK9rkzqo%Ir{jx02v-+dFO z!jEQ^b!MSy6p-~+E_`=2uSj|9K`22LJrp)N3MZ}q9LNf+er-DxFu14gHI=71FqOai ztRb)HBYzh?*(0(Ofe+mZO~FOcNx?1gGQ7)E_Q={L{XQ$J*7$LPYMf(|3M0rW7LsZe zi#X55f`f`*lRRVe?z;P`Q!)HlDg}pnoELX3vYL-$LWP4v{p~9$d%*}k1u1gLC?PA1 zPqB!&UA^m+#%l^yvE99+3(q@x`8^|IKN#Q*2^OD{TeYNr9VC7eomW?jNB3zrZ|%2ej38 z-MI20?{9#e zTUq~5guNL~bM#m-jGN`_DBW^dKZtQ`WLqgoc}-R>P`V*y(N#0IDBX6{4#dHp^FU@} z4uVctmqL0)p5k+H!PBOk)fX{W;TSQuu{crYX>T>{(Nv8|!Bdw!v1Uuq1nmuu(ew;Q zBmZ!wXweeYFn*?_414Fo>RmrEShdsjzG_580CeQmQ2R9S+X}3B(ORv=vO8V0#frNh z$!PB}2}w%RXxFhf30wQII0^BIJC5J#(IA(5fMmnn;}p&-rfR-7lOG~T8%|31ix1l! zOSS?~(#>Ci;4D_n2Yee3rWW$zYwL#BS@3`bp&vJK^-D!!Nis2uSyFXWx z2JZK`B*$C22or8K^t_*;8A2r>G}C3w4MbX|mazWnx^Lt^^lpJwBSrojo%x6817iRJ zjG-u*`9dbw#t>06wMxwbj;vuO$T#btU_qmlYvcca=$0R2C3jOy0X%2sCEMjCq8BH< zvPESDmJZ8T+e*vtSdyT$0^iVC9l62BZM;UAq@Xt6et)P}5cacG=r zsrjaKXt7zBFGiB`rdpXYHM3|C%Ru;JI7}>MqqpwlhiWk>M%K%`?dvBgDx(#{37J+m z*4zBYhh_^vnHfn^gci<|wR?MnVWH^2)cE(YDKh+$=7=QZ&&&veHEwjK$Qap;PByTT zo6_v9nz&o-!#go+ua2lA0?5_S@4`%%WdQ4eE|PmDONe-v4c)l?utfCtyQkw{_jh^m zz+3M7YXD3nTF0L}W|gn@itka+DjynRpAI|Uh{k+OUAM&J`-Yzqti8GSW&DvFj0OZ-RqltA9I!Moe`L!IYmB5sYD4`#e!&i-#t z-%<^wK-4x?nG*((^v2i9N2RxwzIzs+Ku*OF15}bUK=vctnxco2uS!J?t?*~JHRsfp z0@l>W;S12~q0;>D`Y4#JhE6|fU>6T5%`vV{o)%AV=4%z^#q+wmCG#dfoyDKs{Xxfl zgZs6};V}eolOn=RRTaT+OmhjqxIol+c-Y|xP0jk?`NNl;Yc-}`DHZ`%VG%WUSKu&o z9d3G%g$JrO9kpF|TIE{y@*9^J&KMEVY^g|FA|_*t`9y$!Unat;#$LWMESNhr&vYNX z)vOWeXAo{$&ZKsO|qSO7Pnrk6mRz{Att9eHy7g|V$4uPAioz@sH zwIF?mMzWskwD{miUe5^Y$Oxp2SFj1&Wb@>Z1e=0W5SJV1H$ZQ|5zM2H9I0ax&`^0h za(2TgpmF$iWC}Nj%d@mBoBmF7XsP)sSLw-H#m zFrVV`E3M&e8aC;B91eE`k%wP3k7my)8{w;^avtNN`Wf#`zqt& z1dv1lv%h@H`R?T(!FTa`-}}}-JX``dM7AuiY0j(hk>&D*p6E`s!DYK{f_YL+^bxbj zm9wH4f-aFH&X5-tf*MzPoCQa21=y$}&l#HUm=q5gAa{?7z{*cKf}zg3GAk2f@4Dk_ z)#~3m-pi#|;V7;qL?X+jpWr8UGq`<%3!jYP3jf!ARi=rSvqxU-n-y&H=)K4_L|Z#S ze1zRUIfDBJv|VT3@o~w1kSDAkx;jZ2s}chro8)?7k>{GI>n(`ZGpmF5Hp8srn+~3_ z#rA0d@~zYVW9)n^zyo3r-p);&wO7sb5jun5o-)cv(`ini`bM1mOYj7>8G&u&Lz=y= zpWj~tp1@hC=wHtQJ6hhbNs90m_dw_UO+|RM*|Uh5lSeV|#J8Zvf53~Hu_f^~ur&6~ zg1?=C8|+yV-c3QJM>bH(Br3w!%5avaig^z?!?>4y>^_DWZI5~nnUWAe^^p)!2Ym?i zy@}UCzdv(#68A4VeUYLLmC-2hy-`q+yw}-$QPSf(f|UO3tY=;&M#|y&uThEr{sc$E6hvAyJ(Cl7}e$D#gY$R|#W`yV8r;!gEM*#vh`_+VuOHr2i+Y*$( z2uqBBgfg69y*&pZYK*&{`#bOOcxdpCibq2m{7W4Bfo)kNiGc^GB*U5uPIDmmYLTNr z)S4Bi#~~IH68RGm>eI-_B$zm0-`M+V-Lgsl)g$rlpT|hX zrt5msgqp^tgWmjLCZMsPoOd}I{DXC{=qP+?|1h_+2$E_3?>dobDfBCMDRlJUs8DdQ zF8GV^e9A-EjSuwe?&{!6A(cx9uX{(}rN|7($`B8OBAz5*jSOM9|f$;mC{S%R- z?fnybg>%>ItkE;q>$*bErn}qfb9p&$ipjb4?K6IsW3-e)TH)l6$%(Ro36fQf7$`!~ zNcd~tG$fZIvl!HI!rPbvqy?^?A^3*a<7U^){EDdyHT1j8HWI?I{B;1HbwF(k!Tib?# zlH!<=Wl=YRCu8ea#)H9)(oRF#UC{Y_xG;K3S$Ro@(S5W>`cciiq$x630BVo zAF>53XftE2p084;nA1YM#8enz>@aCK#OT|@QFhXBah(~{le7DQuCdbHJu?|VM|VQz z$3Hno=S%xRL3K>XF>qCjOG$O?tz%%|=eQ|4$dC8UZh@w@Ook1lEr$?O*2hmBCrrJ% z@zCjqp2t)?@#7N9?*Vd@1^G?}awnrb0H4hEdZ&8Wf_jk)D!lS~!m62e*^bHS?)!uP`>-HB95Y;W(fNyO&|b@Gi4a}$SyQq^(IO&9yF@_9Y2SDy>-qHr!75Bq*z&+d$O7v2i&cwgAmim(M_SvU3}b z2)+o-(hzv@V%Zs1X$X4~L{ecHFTH=Qy5h+ zynn9qAOltbZ`se3?<7oCNjYEWp=)lDjL3)h&LVnLy5REem2{lksXfK3g`ICDk1MLR z81ECJV@D;xPh(!zepufsGPmeF)Pz3xXG{tS*V=fKfWWC6c=NNb(O5I}L`^bYqe0MV>z0W&@apyR z@o_F5TWwl3f&vbbmZv%oUp9hrnt4~bzDHb8LBs6@l^|n`!uG=1K=Mf~%vShnL9rSI z99E6emSn{fFoB=0bafA`aQBNX;KU{uar9sD2a8^MIG_gfjxq!p$r0yc#R;Dxd9?Yx z`?`)LoM!cC1(R!-(wlXXq?6n%b^$RQngqZ77;wSWx^=}fG?1;T!GUi>Cy}``pY}j5 zRxPYGP`;?1B74XFDy5yVS;6w%BHl)%#VM~>NtWG83=bM9RG{(Zk)N4bovej~luL0q zvqa?B{LEG00J#b?0@1&jt#^Cl-sqf5IE~` zlr;;q0eC= z!#j0SFqa;Wt#Yau;Jo+u!(k|9S+I*Nao$LG$)OWPwb8}rJYU-4X#MZw5aNgH2YWR$}Yofzh;fsPr_OcmQ)rgVVZrn)y9LPlAg)3JP*MpF}kn(CfeYkF7j+WY&y zDiGk%8A3Wk6{u<_1aeRM{%PC-6`T5;AQc-{q@6gWF!%lxLXH43$77s zLsDyQiiX4aI1cNq(W`9bI+CDlJHAaN5*y%Iu3BY{<&U!S7-uJ8zz0fTbTtsjr>?6=xIIm9I`d(&zYcg#0e~NS))Wj`j&f0P|4%^hO;m zXgHpg*weDBmKNRg3s*#M6{NQa4$tUzLV`ICnXZsiUS8U4gbEkp5h@LehlzF)r9L_0 zUasxnHtj{$BF5G3kd`J>d+KW@y;Qz<5nY*qOIh3sw8VHdXr2d*cl0Bx%{h$Q&LR(? zPoPDx$&*)Z(e9UBG`n#=kBP89@u-aPM2->ymkztjC|Bcs<-Hf59Cm&&m`glNDkvm3 zk@}sc;!a>eJg8c~QoNvUUGd;v=AK%3az%^sV;4{+tq^}?aXeVna$fr}9?|Qcv_+U6 zsK^zLD+Mw|p^aeb#F52N52nUI1az0wj{&K%-Y!0Xt8)7twsRQ*v?`5y%l3cdWd4(v zl>Z_Dw^PkGsa_$cG)C$RhT5F-cuN$n@j&rrBqcbAYvV2wX8cyJvzdv|y&jCBZ{^!ZvVRcX z+3x3cpKatJT8EvkM&IJg#4(wJP0sPz#|HT;s(I4^aO-;WZzy|xvclHQSx zWBQ=m>|5A-V~+%%yVFsUufReSMSBSi^Aio)^G>DL)9~Alz=qcj zcVB=YM|ftj1Gz8N=PSOu*-7;0+6>RUs=b&dCL_LLc|q7xx#48x_{Wr;LTVL(uiN4_ zEw)!2dRvW70879JumlC;zjk^b5Xh{KO7?U0cfoyo@=6UiTN}wI?sL|F`=H@q98jg_ z!|4-hnW8Gc`)Xko)be7*Bi<1h>QY(ACnHKz%z`mU>LMWn0-7{Mm-1Ksp?eq+mA`Zi z7KtBJow{8f@%pHNMV{?UNRiT90ss+1{mWfp{i4tn5ER`aZofUv2mMpaEd({spFnqF zkvnsq!6g`wW_yT|=Ay;xi<1ZS{s34~u4m7F$@y!qQg?NKYxY?SGd@I__tV5=lK+2qNldE$HPZv&lOBWL7gAjkWy##$Q^eL|qhJ>026~6~b3j#74tZ;L|M8uvDR$?qm zvn8Ep!(~}bo&43E=?LSTUVAA1OanJI=>AMq_{RmczH4q_NMfJ}IDaSdV2<^g&Z?iY z%C1L_nSnpVqwP5MK66_v4GW1UUw{75`Gk?ci1Vj9k>%3~L|V$W#5V~-n~1&Bc$A$n z!PqVepOHTmbMWUAPEs(%nPMCE$41*=VO=J*SoJ>OVBdqnf8cb3SI9HjiKd8H$3m_T z>+Ir=j-|rApne9EqgV$)<-;bm9Rech|&k_)VBON3VEB;W~$bGE06;aU(^& zrsDXWx9c&E=1IfVgE46HNQUdL21u3%e$l5FH_x+b2m*QkjZw?FHu*Pd)r18|-u|rI z4O=$R&)fJ`YevXBfzxn8-yvYT_}IrM^c?n+zm&LKTl6v1 z9O@W8|0~QTdi*zGZr6r+uJYgzX|ppKAa;@Y>@36lTHjwW%$)f(qLdvgv7&|9646P# zo$Jee*O7);Z(tu&GAXkW7Yv9;Hy0>A*kZ3G>azTW>wY##5P?l@Q^}CUWAe_^6$4Gg zkB_6FRwBFMKKqZ)mRl5ZITHg|zIp&1(ff;;dIL(JzV3E(5-4I z)2sno41JddMc;Tz2Q)6DLoDlQkf?>Z%iZ}1>UK>+*^tS2*7JuOOHzaR&9@($;5F2Q zpPM{29tO=iG`?fB&>e?}(yaV<{X@5q<{V=luErc#!3;k;yc^hXTlefhc0^XZgdq>J zg(+fQnrj50UHF(r)uF=b75Rj3pGtGRppGd(Q^(MXnqos{Q>-Ba76UMyEkpmQ-1xmF z={X-Rnka2-0Zzje6GC4h4muJ=ka}ZSy{FS@~k@I{RuU_xdLO>{Rs!J4SF4( zuv|JUps7Ql;hpp(Vu!iQ1p3NTxs#=rm5(C?Lc(X# zY^0+f8=*rBWP+1VrY445Wzp7#xC4z-rr-53@-n4O>v9(Nhf?zrx;vPWTwMPHP2)Ta zo^vuH3zBeWqf#tD?CpXnTtty@r-h5Ehv^Hxip{J}4Pev)`%i{fr?z?1eA+w!(M#`! zr4O~|?rM;*xpL74a%;&Jmv9|@n3EmkEaF1JAH zFKK`+It!AWe9Y_<7<<~^#bU$5`}vjZ<^ek0&u^bCX|R$xawlf$(p8wAxBG)bP6_Z` z^NZhZ+{aG;SKxB5`uSx!$%`R@?tnOhR5mpdID__AG;_mO716lC!^tb8-P{FjAAq;vY%~i}2Lv5svu@m$E>iKirP4NC~>!Fq^fi#{d^(*nD5aN=;;4HIVW^)9X0az5)(MKh){INXn7i?gXa3%DX8ZcXLlYTRN_h&7pjl zi9!$4(umByCHC*=7X4B3O^xX)aEAG+-OLgB|gQPphG$W3`}b z!3`X#tC`k8imMi-7@51l*z|+E?7uk9bF5t@_FKVkh52wKY)*jE%l_f$wjC(6gw%Xp zm2M9|q#tOxi&!dKD_bjGtm>F}PK!U=%!wMQj#(9aqh~Cz0SR}zEz-GwX|dIiZxU}Q zG@l{fwzjWFR@YTFp88cKkm6BB2VYSemFEj6bW7SOhp5EI+v~FBapi1cY(8@W) znG2RH+i&>qAgg1C z3R({)$iUQXG13IU718!ax0piwG!ngJKhru!RdfY?ke-+d zbx9*csH^AH9P%BrQW+|wd?6obWb_cN&j6BXU2;ypjQF^)C4$v3Xe6-pW}dlix>(a! z(^k|H_7{oSc@kUgb5kMefRy zqKS@o1yAIe%=<1{O(q=&v~7D8^_n+aT2@%!+!_am)3GW7hx`%gV$tXd-eB3oDP)Ky zhKnTHnjzA$P)Qb$%kywdW6|l)*-@dYkalrjZ!2Ms@5s@}Q~XGfP81oVlzx>yQqy)H z5GMODX}9&3ujGxyWS5%G1$oGBS*V_A(*-5tA?u& zHp>i&1r72)mS(x6;uA7(L0q95GIJ?x;0TuPi+ma;b%eg?{PgF~<5AFXC53T7l%XG` zGPnc`Z%0*Jba#=@#jWdZGuAG=t(pBw>eMuCFYID!KvkgJK69rk#mo9pi@Ggp-W|FX zP*!g?IN4j%7SDM|f4iP}FZFAMyV{~iwb*!qs*=iXJ@eN8l|y&;7w1v(sd5Q5exN}q z`z2D5XOMv&PyBqt!6zX6}HxzQn!`byIVXtIs2(eE{NaT>LJZ z;YDwYoU3~=1Nra1g8#cgi!gGyqRUr<50{?Tjz*C{Ra!D%8ib%IEvb7ZJ-sXTsqaU- z7R?Yk%VBotZA{;KyOFXq>|t;qod4BWM{M${On?d8r)|o>WQJO#=UFhY7Xp;LV)koZ zorBLLSrJnB-Xyie*TAQwB__AT{wNk(08;iB1*%I_Eiuz6RaPm}pc_6mwv`;)4-;(g zMcxS*sc|s*CpYrX?bH^3YPMicoP3XupPC|ZGVe(cC*B)-VP_t%xMXWJXwH`qpJL)5 zus?;%k+TUA_FgySxJxCnj>!tT??SRag}+P3=6#z}B3Q0a_E0)8y$Z^@$p&i%Y0gT+ zj%I#jN8^-8Jw)+cxAqs|qdGqnBIA`YiYzL9x92hq^2jBTIgiRpB~mNrD2$7v;oX_Y z+>k=%Fa`DyhlUPMrR*q-VY~TKci~EC&C(?;g|IV8=i)B#BRVox@)Vq@MqUaBXEb33!?pr_ zzCyDV3eWXv`>HkrwjZqO(0iHAL1WrcUFC$NO~Ua#-nS(*YD%!0+T$8;R;6GxV@x!; ztU51W2A!oy8lat)!!r(*Vfv45enoEot{!Mtkjf1I-V0+#Urz9Q{^5ed9ahd&qLji1 z6DftCYA$%j2b^lVq4Pb}WRu;GdGI+RSMv<2QyjR4qUj>qUq@|bQ7bZk)Qepgo5Z_# zcNVXLAjrx$T0429pKa|Kx1y#|H3v|&S~jQZ_-OS7xcF%On%7}jQcidw&)Q3$_v@tg zbrtgYG;q2?2=p^ps!%Yim`G78IJv7Px)FdnL(WoPJEB_v5$r}|+xkGm^HH-)sul({ zvjv{c@)c@gBD0tTxb!D39bCK1`7Q6-?P!-|JdD8Sv@U8(LK?!1VsxgpsAl~{;?qjp zx3D0Tc-ReL&b7=i45T?@T*NAZeptba{C088rl9;qZ4?wt7?j~p>?a24{5F?oSN9Hw z8~g293Sz=fx>K`w!Yk1tU8tX<5WzuQsBKYntkWm=`}FEGlC!iRqhP8!oB>t@UY55g zllyKTtxFhqE77tc64um-dMNgMYT~K<lEllxTdt=y$BOU_J!Ay@yiQ^0WU5N9Tw%1L`}c3Q zBn-_`(TGpC8dX%W*CycmbrVdzn8c!WW#Lh;2=(4`IgmF3ISZC_zCLP1Ut{OF@^2 zEKeivGD$Vc7g%#N-|u%8u)TZa^HDtyftd>)abOlwIZg^J3VDdMA$==)tXxFK4jaA# zjTg?geE}9XMi1I%(Rp4tQ5agdNFd<@e=4?oT;=Da2u z@dI0A;5by0VE~7;xgU;X5;GuZ!bnULo$DKu&$NC6MUc^CYW=W zUv=FyDWDWe9NU2(aLa%6=qF*3PbL+Ijz=4P3QMCS@OOH7QBzN+UYd#YH190dIzKdU z$gDm#B!*9q>YYrU5T>5WQ2aZdxbDoFQRLK zEpxlSa{NnlG@jQkviJ8n{`X{GIVHMy6I1n7BU#81P4Iuq`=PNUrmUY6`_Hkb z^aKP4h5ntCn2;a_*wCA&V{+UzLs@UKrsJ3`WeND;B}eJ#9(Gyj6jjioD>&)ERPx~x zi6~tPpB-P~YXqvyJ}FmdQ-`#LNUTUuIa3KRH~G|c(h2Lo*`GdX;W5l7neeCsXQO5Zvf`bpd?L8hBs2K#< zTLw=kf~~g?3ufmq6-C=rJvZ0IewduzdBn7&R2 z@>kLdSVz;0nLsTEn{#PLaX(`yOP4kq*+n<5hiWxUh<57X8pN#)#yoWuBa`_9MS$`= zmA0=o^y3|kRQ3`gfk`$Zak&C)KM0Ok6U9`SI+zQvJIrspo4(kIkE!_=o6Gfm`+GETL%mryIKndhiFDjx}$H zxiK`xTz?K0^tBtU?;}$w1eHm9pCP8re~sxPMiRcy$w-PTHy1s6XKSBWbU!wL@q@!P zTU-s9K#b8BBATG)BUVo%n-3X9^mIM7ducWJso^bE0K0iw{)=Y zy7(P3dkYSFE0as!pcn|8W`onK3ugZJ&mDku-&!hIt32nJL9fEq&begxWy)?c3V~5SfB9XN|8CIO$-6U# zG{UWv$)ZzG6B;3|)snnm@Nt5cm-#FMJYj(*nZS%7T3V&0n*GsO@V%m!hM&W%UAaOo zA0+30i?$W6!hxfnWl|O>^M#pZsKfw5NFpjYd3q)9?|xF(UE@i$yyLpUd)+r#1lq-o zG`yfjN&AmOSjC=N*e2)qlLQbBm{bA}U6`kPr-}T@t>Tgnayhfc!nP9&i#M41eo7sZ z4nKID$asrRmywD(dAMRyGVf}61rEf=BEG(SPA4_{EnCnl=9@9H@so68aTja9p3a{Z z&SYB!Di`Lq$!TK~mrqXS!h8pU_GKNvOC>LjxxNy92LHF?UPx5)@b|8E3*R+iRvWF8OduV5NC^U_69%^CA*r=S!JzL52(6`qpQ=$G_0aCT# zqoF^iYK)y;|1vPsU@F<$8CzF0etDc_{9hg?3Gg`I48XSRd;ZR|anr@t%GBHywInE` zR=G#R-EXIhkIT?{C=o)%Q4oS~Ko2cA$G3GW@+!NppYCHKoFc*bz;~g38qG#zn4bAK z*2g$qt3PW8gk;m^kthwixD^Bos0~nwclvW|U;=xXf_AI5@TbutIJ*YOs_&8p;S1Uw z*TQ|t8K;}D@7VjiFv!$Pa3xVAxpRq62!GQUIj z-oi?!125v8geMvk?+)lWK^`19pP33F3l2QNobUfkx{h)rD>ZAn>gmg0fhrJ-yqhmy zZ>8q{nZnl?1)pytmmv1ShrYRD^m#M27thIhOEkOb?HgdlnaWU9DGqHGIL;Q0tB~0t zFsD|fGMD`6ep^pn+mM}weVF#<0Jg8N6sDm z#!zXHM9cIEHcH3?EVV$Cw)Hle6MyA5Pjf;Y;mnd@%KyYlu*(THe|JFI`K@rvl^jq) z%n7#d6z;JHA=QL<6Iy2~5<1kLa8P?QhJ1r6iEn7!NXwsW8#fGFLMFMIT0%a&cH3l& zBltcg8u#W^Q2Co^%&Ud2rZ|oy(T%}xn~hy{-xhfuNppymypioB1rtrHetC!b027fP&3LvncC@>7k7yT zX76AF0QI=Jv;3o9DG{a)eER1H&JsSy;B0ZSS5_xY$IFac-Yty~5`_YXi;KWmIJ9r` z&k)ozB-+6vdjk(w=Y#&8J%n7I&7PW?olQAcf4W;aN(miZ%@D|44Eqjo)5lV_S#)iN zP@Q-9?J%3fglv~eu8u>#rily`$r>b(P1~Y#+po=vA*jwEqFPm;+bODJSJ;61qBE-l zF@*!tH@+b47{weN`n5lIf{wiR2B6Ts%6h!{;ds$^k?w{kqzl@uhuPZ!F{hmQJ?!M_ z3e`mGY=2FHRJA3L%#X8=9@z{IleA`O+hTou&FBsRd`d7H$#}uc(lDhsuo++k)1fRT zDj3aK8CckNQ@IUd>3{Y?WBPB$|LBAE(HyiyAHjT27aP}Pd_OuCGCZoeyBt}LWnIY@DGG9O8y?*b|+a6YxA?S)3!o#$I>7Kt$Jn*``U)D z=ifs+o%H9(J@*pC#ExHPir^H>Iyb!(G5?;h*ZeHE3;pxCY?HId{?Aq3i39vF9!+bC z0U{QWcRh=2d&i|>!ON`!eTc5L!uzVa|;AXTy?sMc zWSMTFLPrXIr?l@mQa;iE92KmS3xhh#n}>>rfRYt)|G?MxNm=zlrOzLg3m!5H+aw>A zCn?;<`Ht{?souuO6(L>)V%$E=v>cr*0dBdKdFyv^cT&zgX;x>!eO37o@P4Jk+o_o0 z;4SmCxaPZ{(urm+t0zBX4T6tQql4NG{Y3aRl5d>Qu78)@Cz{s&PSc8(*A+pl?=Cs= zfXMRJ2JL(twEO$>^+5*0{0ngYtL4E`**Vxa^@ATV-pQyY)vS)Z0)Q~X8ZUMI)Wf`kd=U}V=J!J(${a`-rM-sY>DC~CWYWb5qhyBCG+ zt423f?Q(d&X931cs}%Uy={|^A(h}?Jc^GLCbcMkYbjA6YeS=EJ`JrU7`JwfUl8BBn z?XHt(p=eV;6~%s<137AZA3+Fi`qYi-5ew}eY`J$_UXgF=C|ZnHj|9WR?CA^i7hyeP zgT8i(+zIwXn?#P5wM~RU_vC+7ZXqgNh`n$HE57UQxP{Twu>P6~%T?PEvqq@1041C< zkwt8cE4t3zPrfNig6bkzp!Y45&^2liN;ny{xZ9|tyutCJBiG5fW>G)lnmbHC1B|Ya z0!DA9>Ld49jFanqE`y=m%haFy83&%M`FWqm;S!2g&PQX&(r33>-*fZRZOWh9Ydn1c zDaV0Rr$#6QqbNcYoNinHp3sL|JKg&IqpM`xv1Y;>byC26*t2Fr7u_wqzi$L+4{Qzh z5lI~i$X~}ANn6mHJ1|D}1Azrk8Zrg!>uqK}iOpp4*V{gF3i0GksM@w80A(2#+u47* zMpJt-IM#oQEJur!yNgk6#6?m! zjj8_VqBh*&Cckr!g98KP*Pu4T;vX$^XR%h-t-&2-%SF*0Ddm99{(WiZ>iB8qbg{oR z+DRsJuT3e=+suy)XjdaC9_rRzHVa~F4C7}rICoUS2pY*)Az-$I)Dsu2$WH?^$l=NrBLBx;fbmi?F+&;wad!-#&u)%~ z$Fu5@(B|o4(`vLZK?FEA6ol_MWFstf;zNIrYFK?${ShCAQ66My87YK zqVPd%`+QdpX7QaS=$c_25$L5zL2M%kcf83fuy^W&;Vu`Ccb)T(eu#4&;y2x$>m~yf zKCaiI>JyJmvHfHgRe`Q+TO0?$ch37;9HUe$?3b5lH$|Dg`i8F0H}24ap%CQ0+V4Hdpnly%?ly#@G|ipvS(>?@UL2kgv@4 zHe6$YH_78*tmKuUfR61>L}ARmK|T*&DTYc&XpmJeEf@(Zv0&{qii*I<*$`7g6RP)l z;SheDe`gR5tc&FBfiGh}20z&E-fr$s>)20~*E|(Dhe&9epzxeS@->yA>B#Rs2d zO&7;mqj6<1_u7`?6#cRw@#t?0+AaioX*}9_q5vTC|Gh8&d3mh)5EdTjG@J*Z-UGc| z@HyhXXLY*^ORlT$8xJ!NR%nhuIy&EawB{cT$`u}+wbmaEP|kwTLmr%|dGIg9$Nz2` zpsQoxA6=VpuP__3XDV5^V)tH;Od+EDLe9cv640w=hd3+@sdzTvWFkKW6D|-TfGUC3 zla2lPzKmghM%|}iyoYI5ST;J>5OeAcxB@QRq;Z?&S!;2~Sl|Z2y9v}$F8xo{axlhx z$0(f*B5=nuAwM2!Heq3!ML%av?|efuhq3X)Si2Z)J$N*_ck)~Mz`+7{Q}pw8F%|)| zP_lV8x9Q;*>g1fii0|Q-WINZJkn`h=8PP*oyU_`D#Mg(B!di1xJJ`(A%Qiu=XP?vYWu=f+Iip$aV+*e6=(??z2H) zBFZZ`TKFopTGJ%C+HMpsCWz{X6%ce;!EiQtw8$_VkPdglJ}|ah!jLE@c_>ah>A|OCB{geCueESezBP93Kp_r6Z% z(@1y|d*AI&uG1G^)8#U1L5ifd7R*UR9b{APVfY(1-Kl0+&pT! znAjZ6EQ&dtx)diO0~n*6y}XLj2%&)U3T=O zxu3E@RfcxJ3U294H$o{}T8dq&4kPD#LhJ7OKEez>(~oh@u0OSh9-xO1seMuOprolt zbQ8GSB3MoU#MN4NFbxtpmSb)!J$?x-Lz9~iI(bXv8??@Pn;cSC#Y3}dcRy)^7O7{4 zfI68#s9N`yy-~UDeo_=|JSH&zxC*L$IwvtBr z^nR~pq?Y*vB`3dF!7A8mNIZkU41(b3G*J?jfmbZp5|}|?=(Jjjpqqa-<+A!2>YqK7 z0+YVreQ#I$8PUt4ztaXW!sO&yq6`Ww3BazT^1c;p3a^_G5|q^`*WWPj(gGwnpde^V zbFL{W=u9^%f->5`s@ZFFYC>SK;b18c;6zYVV%j=CCBJfd{$v!9HXjw-&=PAmQ1H zi9X-pO^8f#)sd!vo_OmV?#Dp?b<2R7j|#Jw?Aez0XKJ${a`p zC(hl_fOcKGrLir%w&5E7!w2sAlh@t?Fa{C(%F8}9rm>W8b5KjgIe{>Vm(4Vu0_jVX zqMyFy@7<c!S8<{ZtFnnKRQ<{8zPdXL7x=I6YU0a7beJZVEizZ0P>{#!6!R{Dthz7RRPrUu z4)bLrH_Glq3I238t)$U&A;~s2yy%)|UM9WHMCyB--uP@F>(( zn2dvm2%`A&Zf9S!jzgaur-W~8I0`~cQN`qh;3+N`Dur=Rd)@O&!P?q zN>Ve95W5%$$)K+-Rl()FQh+H_IRujtVfL@Kk6yb{ZPbcg`|HFX`*446Erot2`Dp}D z2z_j`XR}#Pbh@)GVw>mO!{yonB{i15Qw`ax~?M1<}lQQcR+rb`-tY;CCLiCF0z zzv;fqVDJNx3V;>@XUxlBpmLBvXQartmltG#6feH7%UzgXz0oEP+yZC@`5FU7CZ6Lj z5#)AMf+34tRBp_J@H?q)bE$wFpyDSRWOgHtbLYdo8Gf>=!5U+dc3sC0>vRGI6`@;A zh)ocV?FDj38iC}*{3;*keiEy$yb2m&DUNOi*whRy1OgxdFs#bJzoRfMQ1p7~XZ**3 zTO!;oD*orFAPVI&bU!G0y7*D0;y4H&;l?>s;!y=B3+1x=pHG-}X?L<{ILgJ0=&06! zI)^9?dA**Kx10Hj9z|y#r`yiVK3wy7n3l}=J;dz zyIOi*)UOk4~#)OO$ehSj_nju2!kVz`xGDV!3))P9$}O8EcbRNw9#)W z1=lUiJSO}AmOt`M1sI1vJ+xX@x6eMR64U$nAg|>xhpU(Ja4Mc>3{@3O&M54BjG`7Z(dEKv+| zU_ocB?Y#ZWGv7)@bmjI6v4y2YS0#<3v`IIB6;@GqsCACv*oza@5d~Xp_f_u2Dp`z| zd~X@#R#Yzd>5P^eqzN_50RJ!{xcbOntncy2JD_5 zm^@IE+P{W*MI^s}{NkW+6BL?O{K!9%boMCC2JBlqmE>;zG_a96v1Z``>RRGh_=?mxyYf?+3Rz5s;~1xX z><4l=N0J`^?Qqg9IJy3dut_kAI0zCg!CK@OyPeLaFcEmkm@rP(G{Hx*(=dGR>0>|V zl-vZ{Q&ZVf8!V~Dkgk#ZES?#mr*uMj6%=arOQVL7{gFN9(~5VZvqV1^ej56K3oO0_ zVlYe@sbhz*euvnYag&Q+Ic_A?yiCoB0u zr8s8Uzu|T2Za$gYFQSW|)u6CfItI4UD4)y!0?G59XhN3&EBAwh_)ABwmfoeN>Govr z-N*irwV(PAIA7pq5p#ib&n+WoK_mjnF5kqC?40mzQ5)F50u`y{R>JTh;88JLMVceqjcGFTJ7S*5nAJg zGNcF8AyRzwQC=bmA)Y4* z$=EfkoDzZKGJl3-UU5y7w-l{4W5O!J`ZN6Fb?*!^(I{i}O6BpV5n!#=up_UK=9*by z)P#TeG0G_Z@OL*IN`0QUt-eRDI}!H8u35<*w~H-pZ=8bUnwB^Di3nZKrPkG-R7FeV ze0PL=amst)EAk`o*NNeG3;tJh=KSWH5+bMWj`wl4ZnTZzOz{{enG2vo0qWOlRQh4i zI75o4%_z61wSi-bsQ{cN$zG(%C#;8QEU>sa0JQF!^9x$%`SD+9-CvHq@;7;=dMwDk z3>%+zeKiK)>loX;OlomEy)Y91zHTAa%rq{ZH;K2cS5qi5coY(EV4LkZ7~~%dXoS6< z4r!{yt>)zt15gE*HiWSs$JQJpgrOhD-JJEU*5tnMKN&>6XpJ`RCxvgaQgBtMk@z6Q zb0Bk5VEju)_5a2cdlmvOnPyu574&74DJOjzoAiGM8q;5NRJ6pTv$}nzd+AHr*Xyk?8I=yI^o}O5#^Ycn z6f`cRPOLt`W2^t7RL|-0S-OZ-;j^?9mC+`>zHLIft=X=3w5(ofTza@lotkW`mP;}J zO%qmfJ~#+1Ws|D=OH`PD?XkcB#EKh>M*K)GAxhtj2ef;D_o*|S_(xPnE*GjEX@vqu9&}kxMrJT;<9Xy z&%-V4 z;exfAAO3}H^7dwdjA}R1(fG5v>Ee->Xk2y7y(6VK+`j;=jCsKB`r-rKe4I`qh~F++ zBQeDi{|(g_XMXt{vkSTI-zb4iaC#&46#+#kw$;CJIbY7{9HlrnCuFnz-+Nv!9J~rW zq#P@3oi2t}qZJ7wfW1({4{->|mn#{aY9<0QC);${JZihm^IL&TWVxXh9$F0%mGWYH z8BiWZxG-r%?Qie?tvdzkM8#-wH(;q$os=#l^8Ty@>!Sv&z~k97qdls8&$zTa-7Eb_ zo0RrhNpE5Y@+}*Q55So&afi6C^&19Zm@6GjvSWHttWKi0FjwQOkosFo_iT9{KIjMY?sF>b2%cxaFO|-vLBWlVIh5(uPTBs(l1YApKQqou7r_! zw6u#$H9h%&IMIgjBXdDI{xGbl%@A3_&vlpeyOFz1N-Fj=hZM;J#9dl|go#HMs88mAcP>*zfLMp3uLT0g+M@#b25Fm-h!Ikap^2uemfhUv9S%cy}j3(Ww=F-Ul)>4 zc)Pu+hE3e(0wZv+iAW#c6*eQSWy`o>b{e2z;|^@xAB3aCd_tm9_N}nMKnAUC&O;C= z!V)EpU4o_UaImgU8P;J0V|rj;P4C>~^}tTSb;CY$&yIwzDndaWXzpm3%_%uDcy>?S z_C<2+Sh)uddzbgnHPKWHk4R#G5f$T1?((S@{j=k^$5s*&fo-qK0f{4f5)#|wR*AE+ zIs^b`l*|Gn*rDMTGfO9yRz<^w@>m(A=;0JMu96puC;!{K)RB&}%%GMl<&;cByy43d zWndkJ?(@6+gmu;blm*TKM*K!SryNchL@-o5?Cag3qXLeX#emxJ^s>$CcNNEKlwS>ayP|cxbhicC~wS-`pA@)_~ z+Y+QyhCb7Jo{xs6DXH*uA5F%{+ZbJD`6aFsfphXtke13%af2W-qS9;V7jH%ObFulO zy29iDnDENyvnG_4)Lfr+qLS22CLftC2LfsWwbyj-1m~CKv-wZ zl{4wm`YkNG4F#!%T*5w$M^A<%7sBTVq_x=)eegCL|CSWgdi<`h)i+Nhjna68K4DuP z%XmP&Z10zp71u!E9rA_HR(wg#0Qs=@lF$w0?nB%x^>Qn3mAYwM6X60e3p>o8?O(%YKjN*ufAvPoJyfd$|BR>sVtQyKLSk`sm{UGG zC!D!G6Va<&q05K>$qNPH5C>(6nx_Y}`VU(9SJ&9zBVbnF4D*J(fbt#?^<%LRTU9s6 zjkFXHctkP-fkFm2>dedAv9-3cV z&2e_)&(yHR=!G-(5&*T0I1i-`L&eb0*LI^YFOnfo6F6zMV&K7LV#Ot;j-C)C!xaHh z2U7i2XR&w0nxBVzw`@P})%3%c!i};e_uPq6#CsI@QX>40jyhGJr|@VJg^T;EZEqh@ zqcklvog|%u^dy%x9FSs|mEweFf^n?TBr|>iFx|hchEsarl^|=F_isLHRh0B(8FkpT zcm)}5nNQ}|0g|?vQ3xO6lwAnV73<`1yG)C-I-KWIt}ygz1#u z0#u0-PVl=6jK&=;u|_`)C$B(@6FMIyAyRdQ(rYD}AR*sKh8s1Eo*;4eZa~=-Enlb* zJN-iBhRRX?OwyIC3)!yF;CTH(6kAN58_)lFx7@yDR+s3RII}0y1C?~Z=QOdopI1Hf zq6y+6l|N1*e_V(>xVSnuZD6OTPy}7ck8fg+vxq(%-@Ot(LB?3m^quR42^R?ct7eE7 z-)_O-Z0r)k5{-YpLERv#MtZ}qB#8MLR9 z-O2-UB-Zw)lVC-$NOJG3JuMScicIG9R*kaGQ-bn3V}IPY`*S}-@#c!Sy`PWUAn6iU ze}!6nhAp6Qgqir+-!7FCd)FatQIlKGcy!HU2XA9IEBVG}n67i2bql zE0>%5FZJ!G=IfbxviTs^UrQ%mE~GTr^EnRr@@8w7__3cd{`u)1&iA~v{v#{j(la~$ z=QV0d2nISzN-u_xcX2)m-fWM`(Y4D0eBjsi=4TK3r7|6){|%Wi{o{guYje0 zTcr~zOQ5VIVCmm0&Djd(X6C(_6vNcR-iz3O;epMCYeoeBxEz?VvDNC2&oeD*?$G#q zl#0EExh5nU6At$Y0u3Jui@5=AHi33;BMl!2I1cn)R7^!5%vgoZ8Ef)I9W+?6NzPIR z*shU$bnuB#c9D!y=_pR5!Y9Qs|LTl})W~uR!?zsz%~)q+QKhMqSAiV0dWZ1;q3bH3 z;#jsV?jGFT-6cqH2=4Cg!4e?21}8v(;O-LK-GjTkySw}*_ulv4`|EvHO?UO~nwp`z zs;19AyG~WRHrY=8bbk55Ahml~P1#Zo9C+tj9AD>7{=CAfcKx(!d5mb9He2fx{w;@;lW=H;-(rN64 z039z)ANmq{YFt9e9lvo${&JB7ZEy3@KBNz;!43#h-L7EMOBE1ojB~vRrJ} z#tEmCzQ7fDlq`HBYZ>l$fO<*GbfSBk1>mR09q`I9!7_?%Yk@QeeBvK4<^+R|SM&dR zQ=}gaJ6zrvb>ajzx6K22HX*qkNaxl^8&S`C-0xq!r2DW#^@?h z#9D5j+8VKH6r&%U(Pc6fnFGZ;&~YJE4fI{2|7 z1skW+2RklwU1STkJG7sBBJ7#6WXL4ni;3Oek({ewY~w|CCl^q!#`X!}FZKS6vu~Fc z32RHYus9RS%50e&=Y%Iwgl#9c_9 zyz7LVzw?{QIfqo`ZUyn(fJXtGXolmP@Dk-4@JEd5`gQ(b|XUHFY^BJZcO- zo6cD~M#SH;su9DdHhSo0us+cWjmdcEVX!_(2nKKER#e}4x5oA3&g&Skg{;0B>bN_7 zV*(_C47q(cD_ZU;>$YzhJs?A1N;!fufd@A_b{u4fs$K7;ZPNiIY{vP(n}eaP-D>Um zG&xuGnn$sJoZchw;#SSWvUQgFq7#I#cUhy=Z^!`4qhq`;jD%c}EsI!@BLGRS3{xRP z($1O-s!>!IfKRA#n+2tzbjrC7v>&Wy=0L}&yaIg?dQ~mpJw=P<5q?wo*#>YCe_9f| z_=oEs(Ov$BdQ;*b4wQ%31DPk$uhI1qv(k*vRV-n7-sk+6hNlhGRO+FK2U#dD@z#En zE#4ZFLN3MDS;c>8cJ@Fu%_m~E=s5xef0qRs9uPG)x{GXZSOiIF6@jNf3zqVQURH7++^I+TR-A(I-Z$pClCDF@!W2KdYYvdQM6Nsq9mK2L}>QJ!ddU zFsGZZ2-vJfAVe7^2`Ix5CppRmiEjlQtcD>5+MKkc5Ih?UY(Q!#bO1j*)SNm*D=KdQ zXxhT+Hgh}QM`ZBk;w$F%rngK!knwoSlmnSZAoDe2NeP>n-HT))D8mDUcxzw35$YiU z$@e8UHp6=#cTXRI^*Olo<^5GS%xkV-3F-@tdHO|Du{jvWHe*;Ck!EDupi_y7$OaML zCQ=lvXru#W403t_b*#@fm2W04l!&L$@77~45UG{A~m z{ez3eG{Cwly@3N9JCw6(OYGKmTKoeRtqoG}VS}agGaiSAfll< zSLkP|d$E9h8OJ)?HKsU|&`%zVN)pI8HAV9qvE6%AgM*8pm@#yJigAK@in%1c%Vx?X z^5jt03g!V%$3l*@@);fealbO#C({90X+H>=hk}(Ttdk)vV_wS>XM#h-7bsF`e-KTx z^_FN^s^)gaSdPXv^Bg2^WC>UU@qwh2%QwjH1s80xIz^(~|CRtb#?re%{6Q&Myum^} zi3V!cL9rM`#?Wv_+8Kor4so7Y>}?(6IR6;je{e;UIR8}iH_$0Uaij)ga2R)6?elV( zf}I(4ofMpny<%A+avYs2>UK3V=yoW^ply)j_c^dZ&t~ViSTq3{Yie@|uw8P0$dpIX zeQv5;wUjEdnDg&n6HS^QvgLo!EO-{#i19JtA3~DwDXhKBNzyGQDdsej-&4?(zwAv? za3fRrte8vt=y_kwqvgyJd6q#OTuY=gQTV&S3dR{JWf&N;{h*ZDJC3c@)ncu=&SFFiRL$acg3A_8D=ylk^?MkvnFlScs{~Mu_^V){#H%i7|&ven0*Vd z{#IQ(I1`dUmUBaqRW3uBX0Y%9kbV=e)b}}$Hjw>Skc6?y(1fupkWmBJzI`UxJ4tL0cj zZn(9x{59W4i*#d~iB6xZ4^YW#oZczja(+gzTf5{l?8;9lwc#d2EVTT%P^h=tNzIBS z9@D@aIuuAdQ$NPBBRrc3uWQU05QF;59JkKu=M=2S+fG>OCFfPdW``^+ zoAk+>)U7}~_w_o3J*cL(oj}(EMBT#Kg(QxbAl>fOEbx~go~~7+k9Wlqre|0-KI(^} z90ra>PGGPjw-Q)L??2M~mWj!Il9Lj`&zDy7jk=q0OW}YfZ*AVX$G6hz;AWpmi@X(R zi?U~wSM{20HAx;l00kjD{J!=J^9EQCwf&PMR@RC_-6x)dfrpzq7Z0-Kmq@#qmbmNc zWG3Yv5H-_cbjzH$|eL+GpL{YFUk-`F;L9!Wn9pG*XP2((ar0ectz z6nsL{j?@2Dvd+iUfHfM2Is!jW2fA+jme`!9u5S8PSM8VZEBv&5{^H`{P1~b@cVWa} zRpK8bXZOoGk{VRn;6(@6E0 zx6BsUXg)a92v9sxw(qSSZ+qo_>si`#p@-TgvTn`=Y3<`Lez^%Z#=}Zzm@k&f)rN=z0e2Ei(>4HNj) znz=7CI?A41ge0HAiF%7C*7BWRWd3laJ=|m-icB&qTjC7hv=L6@Yw$46H!VlclO;*y zH0@QL^hW5AqV+z_J8n z#1`Be3pQ$H7p_(A&s1jTL?6ud^&$S6HmX)O^W%dCq(u8=@mdJx4L!F~i65C7Gp{Zr zKt;x`g%EN`bfEHEQFg>sOQ4Ny}&vH`&6yjlS z%VeM>eF6HhsMrjiBI@}&MN}N-qys|*BNK834Tx64iMrAl)GJv(vWJbi5FHqNyqGG# zxsY%sBQjPMdemwR=;9i_FDY;;UeFk%UgHEBvXDirPp`Z3RGU@A(7yQ zCnGi*96CvPn|vdx$L^A_6;k~%Z>Z$sf|me{A7(LQ%a;Sj0aVKbnIz4G>gTT}V5KU$ z?ozXi5xNPUlLaX>gz@lI``@+pYfs1u`ZkRjOTTH zTHl-bZq}8;d4lq@<}C@9rbo93Qyck)FZ_2>Lgzrz4NzZFLKL+_0kup-x+6~uGI}u! zG8?99#gZ9M4a3?@M1~$INLo%KGX$jXEWkUH2Uf20T{a@`v^y%^R8&` zIzY}?OIm=#BuCf=^gh!={?IZg4SoVaC&lf{wdOw&I3k=L>wKBhvsNJcA|ztB^Fc_& z>t_+1#h!K57jf^ar&efouc=#~UinADu`eFwT?CA`*zWY3X(CakOLV=gCoOLDT{_$u zr}DFDY@~Zqv!$!FwRl{<1E7Fw(@7GNXaG>V35rL23f;qqyM!(70LszCqNE8Gwaj0SvGf4iVspWuGzt>xLZ|V8>9zkl00Iz!4R4W0)^qnIZWb1i{ z1VmH)?a-p;>o*QH_JD6|QOq4Lb3;2@Om&SuPuq1>bQgV(!{b0qB8gXzTY~4)`OjB^ z-fN@Vqn}=AFdA?PMQ)pJlb;U0QP zPc<_CT{syGZe)X$RZ5O~3ANO<<~YUu#lXAx9l<0zs^E- z?z^1*e?<1+ch>)pG8^^L#gxt{3b4p(-?tvPG)Izdx}f8fXZL?8H9{=cHEwo|IA7rC zwZ<-7{~IR|>$RSf`UB2lm+NY;U!SaBA8^6%z?VBQmj>E)IKPWaW?qI&O;$+zooHzH`9b4sUfR2<7 znEm#garIR+C?<9mM$$Ei!Hwm9i)Y{RfdnG?GVEBq@vHw2Lhg30mzO8!JQaYWI{MdgJOB9NJW(k8koXJaip~y*BT*bG}V11Og`_ zX6K<6gSA9JY?PCp?lCsTa@!#yu^Rk#iZ>;o!RXbeH9-@xd2Z}hwjHC*F7wpBa8aZY za?;Q7LoKle3ez2?3H%`B{9q4AUi>?_pYfTc+ZCoDR?JNt&JlMw1MI@qvF2pGD+BO6 z7A<=xvKG4K8P^55{xh@Yg`&W8QI^1hZuBfPiz78MQo5xHNkUd}77zg+{E1=6=%jTO)28vtL~DPG>G*^8Z?!ofp#P5t zGXc$M-ZSwSU#?JuW<`@V)n8D}Wh`fnz3qp%;7s9DK7Zp8q~J_PId5ROH9~XQFv*!( zg1-*;z_!9er%OxD_rSyYev5TpT^EgdBwx%j5sjf zioIoDE%+>IiEuJXtv89f)ko8q=YS}ep{SPFtGSluD+8>JGy1(n$)k9`y{JrzqTCUgFNKf(j>%~{B z2kcvK69SqSx87gW4!F(O#4fVN%F0x(gLo0}yK8f}K~hp!+}_Z8ew3A7%|r1_7QV8D z8?OVLW+7FIfLfHgK$;LzB;t5Qv9@x#-V!jJOp5e&8>v}m0rG?~bjSrToJKfycxMw2 zb&SgZ%l{u-(WJ~jHSP^`if9c)B61nLQ?HBRN1c3jZV8?IK-mVnrv|EbIG%pK)NRGLVWXyYV%<#@sx7VcV4@F*hD}g)G2B{%z|$EvV6I)IYLDI zM@SA@bEmzLlRJ^K$FPkpzIO6c$5M@@6nsQ%DOc7mzW3p2@|2S#o&)NItsv%69Nd$$ zJ2sTPe}_xbc9_>pei2?KS+4EG{<=gzUC89i;t3nJA9k5yNE&FlUV+t%+4ty1Qx zltsls6OMVzsQ+@As+kz`6CDT)lgJWi(2MSe^QOrhN(6eQfq4AM{pjca?g?nz6x2ZHlZ{e|2)IL*r$9Q?&G_X1Qoy0`hJ-7{UUGy5#p~w6@%Vo z-1Bg3>sv-KJeucU4pT}X$$q77Zy5=2HzcyjscoeGjICjoNGXx99UNet%~3~;7y0@6 zej|XsK@g>KNuts1MfpbPMY$gLUf%F{6|f7eIbWyiA^?GF&}+FFfV9-*_>! zd>R|_mY%r`uqaD=MK_Or{W{ownQo8Gt+{Hyc0byO$p3nOm$@r=DtPv^8^iv3A7J?N z_3OsiWx6~ruaThJ-u)^@tlOuC}ULpbSVekjL-bHx56N1ps_qJUwJ_}{oWdXj)4Rqh+8Yy9ABi?m-Qt7pKg zvuww^>Rb>=kRie_^aDx6AF1oWRhh12&*64Y0X}(R{mu#b9kdK4^QVGOhLY)-Tk?uf zn6Rj>Za$T-oah$vl=!-Ysq|bCe$QJZgI^!_l!GQ$1$gg24O~Q<_@uq(Zus%R({PpN zl*)6rx%gqk?evJ_djSb#b3PKmSsJHvyT`*A=qXN@ln>PnGGOq>w}%0N=M?J957hFo z&JHmjyo_);Y|=z}1|Y`=V8O?0-d|`y`S>yp%^zpYdW*eVu&W&!yBRN}`4A~d(}A7^ zq1q_2*q7%j94VQ~9GC)dYSo@!|1DUf$RgE$o~*P9tX;DdDYVZ+R4w(_M#ovo>R-bY zgW|%lUfaR-Pqs#|D`TnekVO0&ucKzI?~sFg0~>nRb{624)YkF>iy7)M!Vv6w;Fd}% z!0Oz<>N@oeaa}KyaXxhs&DmbW+EdU$yT;;ycG$(HyJ;`iQQvZjyTTJRAcoWla@O$F zOT8eiQQq^J?1_RmEE}T?l$ z_^!=F&G+TA{9xew_5mEI_5n@s_8R^cH7KJr*b`9ua@3_l^K^HsYjAddihIzXoIFul zfLf07L4`nK>s9M>EXzgIyIxct(jHW0bO|dW&YjB}FJsZgQ#p-v#=h05`kgNw)fLUC1k1IIXeg=cqA;EO+g zV63^B&T&VjESCDDIbo&LDEI3pI#?-XV8vds6m+q^carka(aRw)y#iM>?5VsB-IjPE zV4BgQF;L$d*4A2~E-3Hv{*Wn??&@Tsye&XC2VlCMgK{(U`DsPk48WVUsER;imBrA% zRoqI*pF9?+NgaR&FcD4^&2Xz$!hP+o8`&)389Q?d+COcV9Hx}PzvCQx*?aPOz^fP~ z*!fWvdHB#!lGn;U`AO)-*m)U-$r)POo+Ar`c7kB_>vIih;#y`c% z+e0-xus+sWxFSq*v;vn zsbu3{rrga*tY8D)nbpS`R6N&9Tg#&V3lY(h-pM9Av1(5ISJ*E^2AL3B^y0aoudLR# zA8kY~Rzhr>4HEyI!$Q=Yj=1ZE54QX&vQpQ}b@b);=>?tCzl3G>Ue zgVL4AUp6sA7SRS^6DJI4Zx(9qOO{j}W-@B}s%tKS?I}%<;YL4^QPp&On2OxTKD1;QFIqO#glTnQh@Zs%hAQ zENi|a2TmD0So0xI_MPo{woL8~=w=^rE?UaOY=VXeZb9siJ6fI<8(dWr*Jt0WozuvVfm{%<4} zYho^b+vzp@_?fT0Qruv#bLf#7ug(Ev#0uPCenDhq!)DvG_CU-tZzIfCRFfYsVg_%K z*)q(wt!!}uR2?ARx4}hP7>@dp_0Vz~L1s^?I*0{WQeIh`CJ`6Pu#;BP}OzSJ-k9K@M&9+WQdG zNkrg<)FZ}B$tpjlN5*;)lqn&;!hO}>pB$7dE-tLCBKianlOdt_lOdrY=^>&0J_9Pq z{%B-mzMZ6J*U_!E5#bs3X!zq;ed1ffYjYtf7~SF~Us3U5GJo=NW(9WXac3d6l$7*{ z_o@v7+||-HRn1yIjib7FbGbkRoyf~4ZA#U@f|^ruPf+d}BicIRH3s8qdl!4D2L-sf z)Xj`GpMTYjbQmG56z2L?{GDn|LEHN5n0JjU6+1bmMX8-D5M&h{>??PUyO)d4Ro8LE z?aPRn-n;tE>@*%2=42dfO4mIR3%2 z``Z!tXx_jhT<|LECa@t}#{`=;9p2-VVl36phWeopr~O9oU18!8U=*HzIgksQM!dR& ztbTXSVFLv6v{>k#Wvp1}USqr^XZNk;E5YP*2KU?xjjN&(FGg47u!7#hgDmy7L2uMyAN(_0y*t52a)riP>3{;mxAf* zQ&gGLQVLIgzgpv*{2n|uttSf+6A!zaA#Z|lev62)YUduI2)+vFqZkHknV4Hul{-)6 z^6-H1mVB_)(ryub&^9?M92{6o$Ak01Lz$cWF%Kz8_$?KFA0-OL4e;{*Pd^{{J~AaA zI}kxSSI7zuw2%goSha;MT91Vy`lVtBv~a2#wD7=%7%*-v(QzcR`-O^(5G8euiCrRm zr#PULunR%|#&N}K{$hiD@K!kGE+ z7`H+ClR_RBb~u5ddILy%nWJz_Qrx+inNlx&k`zwNH;BiHd-6H>vSso)Jf=(kmhzNv z5nvE4j`LI&U<FhZG3kW^?y9m9WP%hMU2@h)#1YPP?&#CfQV0DLeM=scx<+K zv*KXa{gS-PH>J~q(kG|C9v15?nn7g?4Np`w=?*6)%M0b`c8)-u|sA{z>)vR`G`gj>#Kp*`JHh?m!JKsA!=3q z14$kx0-0J!VPK1d48Y!p;M4{rYRwTE1<9(9`q4mkoUypemU8#a4oi!9E|A68I0ES6IF(i-493= z%qNkyYFtd6`}ItxZHb_2W=xIy@%20n9Hks|F3v0d=!+{TrBDwcX&l(3?u@$Ns{*x> zfIbbJ#mM*vFx9~U2-U$mKU&jfp?FsEcLhrD!7UD=$R3J3&?;_+o7O6Bn_FC7>c6#g zPdbeSlif9o@z-rQO#*+^6n!_ZQ20%%Hw_C1_+Q{;NvPR#K?93EkC486WkshfE8hS%^amfh7r%?XJ!$`>pk8<9?H0$s$ zWycg7H3L$Zij1kQ7n5PMB!W6-qF&C+zE8v`_rR(F^cz;1hn=K)i5}hXd=X!IkW5j# zz7p%riFMQQ0z0$~OGC;KbsO08tAb+^y-fYyep0;&dq(T$uk*wLZw>x8DOBHkOBkH) zsJIcgtQtqXIcLqoz961f@CN+D?;vS^Je+H~09stqnOa|REhHdycW!8`c}NwMnotvZ z4YcO>RL_fJw`&c@OxFC-#@s2YLMbVQ3{2LZsyqo&9okDd-|Rm=Tes~ZQcWN>q0Wa6jLF30+PPVOX`=W^*scLb7T{E1XYll*%0Q8KRy91oCkq(2k4^r0gS zv=)lwMZcw@EnoI2n9H;-d_!qjJi{VAsv&AtL-80`z(7o-oq?I;pp=brKls3T73)Kdpc(uM1BO;u2n~8j zP^(OE&>(~t$icJuDWWB+L&?C$hiv;eBrm1TU`xcOqyC4B@lnycSS7lr`IzhN>~w~G zD!;x{L0C8h0;R8D;g7~-r3e<{F&o90kzQq2=;?7F0hKanJOY}O-|*AvV?`t7?I3j~ z)trRx3Ny`b1^AswpN7UJJ<4q-1|9jbx%QF)-mifOdKQbmc$B z>WS3n6Sn`*yTs0w%btLl?ILS;(BHwy7uuJdsnj5uE1GbJk#=dK9>UV(RqxqLKgN{3yBc*i02_F8x$S@QZAY3zcwghnRupc^&vhhX>RaEAoJ6Q9N0IRk z8QPea(EEkqj5oT1TJ1X%zd&Ngf<_NO@VZIf&cj9T!@sA@M|w|b3iiG4+Zj_)DGJ4Cz|J z-&p(V?*}f9-$-LWq9^HO^>1YvMd;`lJAR57=;5Hm!Y+*Y8%GnP#F~@(1I{Mu=xE3M zE*Z$JBTW#aNMOF*LUaA86G|XW7kE#cz-$g2#^{7Xk|vxtUp08j)9A7~e?>(k$|<#m z1#fL&9v@Su`xVfO*149H&4iSs0z^E6;!zpz^s1YLqcx1}@pr%5v4$3jl)h5c=DN!@ z+;#Dv$OmExA}rhO)%KDb4o>@#^pS8|f{{KPR4OqK;rQaaG*SA}UyCrF3W~Lg+{j)M z*#xG7DFyA{pSFonvvDVhw1kt(N!att~}(2R2Ay`N3IFvt=A z8`ncmx-g*1eFJrj2c2w@Xv!PWwv^(#xGP+dMS`YleX zAvZL;E10l&gwo@pYnIr_S9OMx9->|K@Rv4I?~LM#DdYYKq%|++L&~U zcII2Lkx|MLlTlhD#-KLY?BTG`)Li5ed<##c#}*Yo$`xtTwe9+J`MYcB*y>FQ0G8r2 z`TVO;ZHs-fKVrbvtv{m0X0L&T#$`($!Hm!EMnWIQ-RP)TBzUYGk)Q46dOZ&vmlY!P zSB#sS`|MAbRCNDNCDJZiUT6NFj;lt*`R5+c1&S!cAjw*`XCAm| z#e3DaoUb6KBLu@P31r2-R;nMg(QLKRAik=eLe7e;{ z&5B&rgMRcF{R>lw***~@JG`$2rr*TTMXt1ZbW=sNw$3xLIy@9BUH^3FiijPHd315$ z@drB#v@-fRi1pUWS>h#fORYVwhM_VA(6M;aWDwnZS!YmPe!>*G36qidz{EA3`*CN7 zli_^ttvr--z=dei8;^(FQGao{XP`slVyY9a5uk&B>~z8vG}5q&&%5hoy_fQMyspM` zyMr?l4;t-;0E`3HTAEm8c4_YMt-dbX~7`mKM_^G4FByVFOU+3vHs zNeFNOd;%eWPe2;*37{}eB_1huop+P;nQYFNPVq%tYbxS`rzd5xLkX~LQ)cz=@uU|L z-j`f#jJq%e6=Er!VglOhtw5T9wY&nX*AK_VfNp!5-)v6WW%G?suq|{?-OK71#S)_g zoCV{B8f1WrU{A+5%PHa;lDO4BxLC|LBv++3aD;=BclPG{-on;4S=mcyJ!X7;Cwq5p z;5?eT1&aFIeovv62I}lr)C9~t$}*$TZ*(RO#X>j8{nypLWC>f>^o4WsF?1$qp@zXT z1zzTfWr{5h(OonQN1yphnK5eX#9eG&E({r^3d$FFr%!Qt_pRSKR(cVP-Ez3YRcYqa z5};~K%#xNVKg-`*PN4ADToh1bW?J1m&pjNq^%5(kvxd;HKXL_PcgI2b7Z6tY#A8Jj zuTnrm;Q%X7*&z}pZ4l05D;-$dNZk6Sl9%4y!M4Od{I$qQ=AZRX4p@3L+|C1R>~krP zOKFmC)PQovb0hD9Hyg$%|Gn%+OKBX3;+T`_MZ=H+_&OD0-(-DW_GVsguWrC(UO||{ z0=f&TTyhp;r={|g7&kC)W4oI69>T2P(_w5dk6rvvPCM0>ky1qyy9iPzYxE>-)sj!e z)ux4Whqi!Tr+c=Mzyn zmBBCc^2bMnv`e){&lw-TYod=8TuF(aj2|tZHpuvO@L0`2D zS*#5QwU&2O+y{$hA@tp3YA`~Xpls)m`cw0ZK`B1m?P`4=Pc-LzsgmHBPfR;-i^w(J zgs;*d<)HbID69d5r29q_Kmp8$B~9me^OI?0Nt^6&=D+Lql;*p76C?loGH*-!dj$s! z^^a5ZC(;p%!4i2kp-qkQ@FxPcU`rqQYjkM>n(|Oieopv!Op|S>>Ai1hsT+qcl3;>l_;0tIklr$*QFb#Ju`Bp)pqMo)+AoU(xpxrFoV_b5cvc7tgPS!h7eZ zXPfyeqi%+gnKv|iT+t*vlGM05lq1|Vf(7uoTg+l-0|w9ExHCFVwjou|8>nj>?rN*f z+{oL3WvYH6E!*g*j-l58@2hqqoDtuI;=FBFX>h0cf5Hq?=rVteP{lS->;mawH}o;O zG4vrNf*R`#xT?YY&Mb7s>@)Eymsh^afRGW$0|#zlINt^G#SqSaAA!;+5uF5#STr0) zsK(%KP|vud;wUIe1p;J?9}I}wA_O9YD+IFEtY_O(;iyOOO0fmPUXJN`!X^ zOk6LQZ*tWzf_zlv&Bp_)*UMfsA@w z8eGCJQRcNi5qheVC@)r-*>|tbdmTRMi2T?N(_{Xif<$s~P$5i!nhj?>8_|=gis?9e zsQ#<&FSpQWAB1R`LS{z5s?yQ`@ekhak)VWx&S`@|l4L@~k4{^>*zkphHVLS$=)ODl zUqIV@+2i-u)i-|Pk}nrSnn}306#|yKtE?NawGI#7`x;plNyy*yv%>FJPN_RxCjUFW zU*|xiSt^u=lp6!^1w8q>TmdmVWtaw8k}b|a)}gm~*W9WU$oZM#KSv2_zwi9<#Lg7y zPiC4ZrM=uD}ki}Gk-CiTBs?_NG&D)VIVNy1-)SPYat>I` zb==OIVF#oNOuolz!ShL>J>0wS4R4Xxan+JZ)DtkL(;UFN=Ss^k*CF1s9CgG0 zulI>!$8#}766QWoH}!3T!t#53V1~lwJuqvgO|}Rama;;*t*BV14DNfn)HIONe#AeR zX{k-~GE2nx@`NJ1QF>~>p#CQE0M3pL5JCKn15_UyAcFWq=*G_B(k5t#C3JB6(H3@QlNg?!XZf$#QkIlwHc+W%oJ1Yhs!y#d- z;HFxOxRim6;lZypU(9>ywt8>$;RSu2ECMf}Z~8OeAY0aFL11&sJ;-vK7S!-O-V(VG z=OpqI5kull^5E_qm*_P7A!*Wp+k`T^T*Q5h(mH26%5|kDNrF;kgpxIrwZA_=T0aIh z{zFnb5Rv!r@$%YW{Se%P=u4sD>3H44Cfm!!sQuR)n*5ccyH;g;)WTb88KX_~BZ@9h ziP?MuY$KeRj)Hnd@s%(%Yi_NmM!U=;1}UBTj5!DQau-S`@uuc+Mk1SpQJaL<3|;X) zmkah69qb6Zb$z$GEBh5~w{_$eheOZciqX>BXVkbV9iHFuB6B=mCJ}-(FM9I7@2-*c z?j&lw&6iii>Rxjey=RVJvlm~dW9bf7Hk~e*v>He2oz+Y4XEiSESJqxNl%6KWpCexHKRnsHNZk zpvtIBTc-O1QlkjT;&b#;$|eg@al_0{r&G4WlD<}_QWsYO&6)2MWW06_pzJ8NX;4E% zYsh1nzAR#fgMoq3(jkHd56(*>?871bF;O9Rq>d{dcKiq*8$YLWv@#LJy#4X8?%q9Z zzg%CmQ&v54y=K)U>hJohGVHWH4!1od1oS*X6558LqEu=1%t9Fyqj)N+-eO_e3m;3_ zK7VegZBvSP)R*57c|d`RQs^z-(&*Q&;-*y2kZ-p#PGpZ@={#u~p;UJ4EY! zK|fIYZm9Im$+)|12ajcuF^OKgirMIejD17j82+V(Zs>N_jsNQO+_-Y(wW4N4-}v`4 z2j^nkmEc<6;qZUWqVMD6nbwRix!`s-Qx9sVYFKh5URbrQ*^RO?r0TS#BGxST{&o29?8KNgK zOXSyI*2px+^2{N}Rw%FHtRdd7M5R#YOuPG}!NP6fVo%6rVkqGBq#Z<<9j~=W8_>_AiwJ(zFVCkeql02d37^D ziMye~?iR=GetmAE@rTI@S-5-+;hbAYYqRo?aQuc?3-Q&a;&}tlrr9aoX1mS8mI77K z)8knGd0wB?|6bes(f(DJ!0hQ-aK_gh#Y`pkKHZxpHdCk51nta_wJm($Nscq=RViuc z^r=Wzyobd5(tDPYP0yIs`|e{B!ZsRZtPgVReUI!8m)D{9eS{60xBi@rkynj)tVsN{ z#mmcUW(4INak=5;5xi9zJRMVc!e(c;X_kJ0@ z)NgxzCC`102Nr#OEg87Cd|;R9%Fm7XoUqt;dpSw>{OWxF)x+D}`;OfPDIIf~E-}}` z%fr*n8~cW!HQ|+V2dl%#Ywyf>2$|pOgFSIhERK_fiDaUT~5fb@AeQZJ~95R$d0HNjx^W5qE=q6ciFaAXUF2vY|T zB5~}a!{qdJUUHTov&2!&gDcOit>L2VIFs?J?5DlFJuAnfKk1u6xb@T5NA}N`vdpU( z*@WT#O~Jd5r(|UDus%t@Aq+D5A%s3j4|&05Xf03Kg%&1*IR{9C4yopX>=Y@1IH}V3 zG!&tG+RKr(#hM(+8yb7V;XNv2M}+HrZ>?t+_mFx}#&!$S`|jl1Kb@+P{9+gU8oA0bgrok%~2bo`+F-PU;@HA8B!(_AYQ3mB9Xbypb_$ z(^ExjvgfP4#=~KQUDRj`VN@NMB?s2!IU#%LmQibV(+H4RH(Jr|=xJEG)>dDy_M5Do zpf8Umsby#0`~l^kk&6W?A!`j@4>4K9qftZsMp+vZ{@Acs-PT_KU67 zsLQ+YP~cns{1&F>@+>-AkDxUVKns6CGoJw{0Is_Ckg8E!zIp`M<(*=_Hh%or5-i{4 z+D6gV8CBP}xqY%2_;T4RAe|lNAwezo`(-erq81v73~|sf=L0fIOXhul1~~K_CL!}s z?9V_dgi*<8u1H73P>kS@KA|36mYKY(8UL0lcvt_GET&sdghIG?bUB}H9CKdZOG>dy ztNL{-J>v9O=H9S{21YN87EF1G19{q-bj8Bw3Ap^>x!RO&rF+PrV>0d9Z!T?^UwWBU zs1ae}=6ts^2G0;hGo|Ct&Cy-saaC}7Xgf>sG8s-+enpI);)R^9P(j}gmQ!(&NB&;r zy|77juaj%h&R;22K0TV^nGcbV`Mk_K5FRbRc2k|7`xWVnZh1VMUe6NCGC8*2r z;kIE9rC?OTpx`toCSDzi7fOaCLEhcA~E)A7ODj8*xMV1QTnbO z!$?lp=MKkwTL>vDl%PjX5#PrUcUJj!V9WxWs-M0dVDBR9kJ3=q5!XBL3jMiip>NO< z+X+Tgh4AsFdRZVw`9HNt5~pFHcB~M*i~RY8urnZ=GHsuEeJ^TPNz@_EA2#1HQX8cM z6oN7ZAOC>Z&lpRFdqW95&R=oGzg|;)oa2e&OPYE;)NfLk2n)y(B_g%@-Z8Krs+bz_ zsfMaIgbAsJ(}M`bv~2XnDV!C9V83bM>5J(rN-EnUp;A{04SBm%1$<`WmBVIS48wRA zx*&_8I4e2M!WVT7=1;(W<5JBXh0jb&g$+WPsnC*TR~m<*$bl1RxX=^gLaNmU1dIEs z#((KX*VwOiz2{aZTlh1?~6=0xBaq=7X zZxp_yXvq+Jlp9h_bpt2AU2eW8UK0(dOf??IRck-4bd8~mwX5jQ|NQnbeP2!D!GD;v zV`>}F82GA^d^tkb7}N!7anlku#2(8{=OQw|)(9HEklhebNFqcI zMYXh(#lkn9^wXHCL9Qe|7s8w(`kYj0Q8!_Idr|yc6Bh7!=8h>ycm!nIadOH;V7g8ksUMzg>^zC~;>-lb5xD4Sz z??JjM(f#iJ7zkF};gdk}c5wnt*fv0EJ#h(7#boOZrdj3+F*IBdT&;ln=JUK4lBxw< zWI3`=mjCLUMcXx7ebjL*>nYm6AvE6nNQJ*3~-+d`J-+ET8(Cl+5znkqNx@%*~ z51xFsUS5i=PiVCxlzy+&Pq~)z%;Y7qWfod58ExBs3}#2<5bU$rb%K--IpARup#;Js zZZeICW77mFR9?|?4C+34sQ965KEGC==CZIwp5W^NrG*oRz;8rQEZPR#q~Va@owbOI z4i6X3FHE~oqf7{C`q+02Xr#?pdf;uz5)f_Ry`rk-s+z{4gwVkXJ7Xw=`1rzsq9O&+ z1)t%0#7uN`K+t#+OF*|E!^R<&_MFC8w!ikHnlXNV$J03^b`9Ag2|lHa8>Ntr;EozK zE)3Pu?>`lNKL(FpfZ&7ns4rX4Ko(Lja&B#M`MM@B$m{s($M+vk2(7<4*8`l3_QTV6M}_ zMcsxWnu=Jh_z0{U$RBjYZw;ukwO!6hCH{+{z5&Xx8T1KyPEk+tPe`@t4cTF^;vYuY zHaC_aw8J_3GCtC5g}Rn16L-Y29D1HE@8}Lc=`8 z!{0kb!8wAW{%lZGo{nmYYg@@In9CL_kGqGzl3o`oM@RZ=H=+;ssbq^i6E?n@QWHJ3 z)Ik7a3yl9KO`C+jp|3l;a)tyO{Jc=5+fnIN@{SD`V9wdr9igq`vZULEitN>K&siX}-7N*vZ7sB$?Qm*tTukc5-6d zwr$&)*tTs=IPbZC|Mh-&*6O=9|V||y((lv9+m^Te=RMxoo=hgL@r?!`V=M+CS2r=Q5rsY zT;i>Ts|VLuSh_SFhac$UR<~O2I13xw==l3g4+Zp@n&E#L zDb?@#MYb7aSnZ1BLKNFF1Bx*mu%ZbnAcdQ<#uje`0~JY(O&MxXCY6sJ7+|E~kdHkY zV1(@+JV2kTPJs-)BEeBF78t;adZj_4#-$rB%4mmN!-G2zCVXhA=JUqiL!=2v9aw!* zjYF-2Qk&Gg`07MynhGq_EX`rVoRh(8+1r?J9NZv^@D5z%jcV~(iCV?C6f0MYn7j{J zBD>f6*1D~%Ehx7hQFjHMzr>V^iWP0K2Cdd&UG(e9rpMwn^ywn~8h1>ew6&vabLaut zDtvBqh`N!Esj}!8yC^P&sk^nu*NLtA)rp@CnVMVDFZV$qub(@xtUYJT>Dt#V8pBy_ zdezLS6T9TBAYj~hDUq7nc(9N{#uK9vjVJ!;<^qrSmWqgz?;6)2 z8uJPOc9^1U6KjGBq8TZq$kcXRyR1rFOSQZ#_ z1MP4|#h6_FY?~U%E16cMjXI8Ku`-3RM$2)r5`(=)>pmwaOT%zPwn=RZLXpNUuzZUV zn*CaL8A|3`4$mD2#_64*zWEJII*Wnv&J_ZDR)VqBbMMN2f7k(N=0g8Bv8-n)wK^Vy zK5{xF`RIxkMGGJur6z5oh{m0aBvw&1YdK#TjadU;p5LerVG~$tE(YM-};=iX#0k5UhY^_VEOdXF4UAwjs48s7(Ph6)gx1aK`ff5oE~C%gh6g zI=tO0e%fg?T@$i-yeXHhNwXS@H#g07J7Xolm%OY(wSb1!1bplLqWI@l(-zXiy%!(A z{re#&$5;~S@)`yhZB)USHF`xjkH*W>Q}?@5_TPL=Uv}>nzmFdlEEhx%W`+f;$E2uf zY=D>{Lyb<#h|@AP9oDjW>F7u&Cc)y*y~=l0U$vf`wV5c+jrhE|&bP)wX?EHa`hSCk zwo?)zEKx+DL5|XljDWxZvYiA1;%1~83|sEy(8^@U^m781b8d@o_bm_qjT$VaWZiN! zxR3TuY0jEOe+{#X5(=BsByT~xu;@ZuSLJal322T%wVn{U8Ojs_5;1E*n#|DGU&2P9RhGEw@_s~I)@|u}7>X5c=YnJ(z@8>KF9i8rdwmT&}jVd?M z`yX$&>h*|T&)^g=9ZXVsvn-*%^J%tDlE@EBtDd&5XdFOP2TCuzesb;bkidoWGE+I;6DOxu;sJ0}s zqC!20kdS5OXzLsO%0X0-(iNGk`ITe!3Rd zX*7;;>jWBa8sL(x-AKg0Ex;Oe#Aq8uL8o~RiLT4ygkGOO4Y|<-8;Z+xO%L8-{_&VZ z$tEeVldGXi+$lX+;8xwbnI_xnw$Zxpz?apzH*0TM1p}oaF&C9eEBbSR z2CBJ@Pjpua z$AW-+TAVceC;~(D)Jk=+P(ifA;|##8IYb~Jw3;n>mdR|1>F3G5H46rCA|HYh%F7=2 zD*hR7@&go}*V(YZoZdz^84HniKG6#n^{U}WHlx&(MvFa2YmuRN8k{{2683RzIfUqT z@MJY7bmJ4$fTVxQH*jObQn5+C!LE=PUF+&XA~SCigcP4FBe;k($uP%(#{{)AT4rz{ zQIbQ02^LzKeBXgmDqNF80}dWq+OUX`9EqN@-3dRBbrKedo~o4@4u(_&$lMNn0B^+r@)8-5}EQQRB{$AM`!%=r{?Iw z#iS6d5C%s2k!&}e&FFXkg(5y8{AbYp@*gnbfPO0nhb8DqYKmt;ZckFUqEiZ-Qxg?A z1Dup3B{9W+0N?g6Co$aK)5nhYtLPbt#TF|6tiYfg~HXwb_0G6W7&U|95E*(W=S z$!uVA<>ncS26JXcFpW;rAn^3-y^`5$0$r={w)yIiNFPf=+|=EPvyKI~eHyaYIc2QFpb@g5YJ5j1&9-G2 z!MXNF^HFVdqyuAA$=BAD17mo}*04#$8@FwB+HrukXATpR@+2QEDLYFv!;^c?L?oQEj-G2S$IP+FVf#j4G_W7V3AN{#9(j3NcEDpac^W*!k)#C>tGhj)I9NRTeku+akrDgVslWP3ZT=|d`^qnXR^KaoEhLnTm>A2(Dn zT7mwg$pTr9{v=!vmOr~kCGf)Hp5?L9ZSx_ro38AS9?0K84w59qp29y-29hH5it6X4 z0HSsLInNDhky18WFKK{Tv&uWmKTrjt8gYa6ZIBDHW-lpFGFKbdXR$s}0u6U5&r_vW zBu@_~uz6UV!fXkUdxDezJqwkw$rl1FppsvwJt<^0gUWlg%WcfcYz$LugD1V9qW$ZB z?Bpt1O*%Do{8qiT9{DU7y2bE&t@afzPwE@nb(z>rAp$!sV>Qeckj}SWdxgX1hP1%2 z`Yu%;Fgmc-@qRs2|DLze(A%lscJtUi&V21;dDQb}s@p8`TqGkOn3HCFL6srEDboXW zu5$k7eVCJZW?Vd;&iU+l=y~yYzWnTYZ!77LHks)=LXvHqw|}vFtpf&JZTQO}?0QKh z{G9hR^;qojf}0J$^mfUTklPZ26Ck#Jpa%$&sr|`*O~PIZxJscD*)MI5(_1~+(*1Gv z3{W+ly6jzUHRma(?`OU-V+`$X6(bR-3$(2Rv85vylppS4y3L*WLEUp2S9>FJ?ZRrG zX@Od95yaQB4fgr_5)<3C+RO>tA6iN~A|g(9&KArJE!(l5R){XcU?pWrD_RqJzbVyc zMe%K_C0szoQatMSxkg%_90SO9^E`Sb$(N+~5(!Oxf;5fG(Vsrhzu*VILL#1AtGO~I z^r3S<=PeUN;kh0gOHov!eme$s=ed6rtKWQ5A)l#TW@A2jNm%N|c}{1k23_Fwj5f|T zR<(oNSF;pyn=O1XUWPnQnW~Uo%(GY*m~SUF)w-AV7@~o zNfe~jquqLqqr>I@Xo;fr;&uD()%Thxk0((-@3)DpNIljS*Y^WPa;_%>&P z*S{XZ>`2ZRLs(?%IU{sskm<}5YhqdXpe(v68-FUkDboZG+F`Oi9C@N$E^$|I^{vU0 zoHg}YG+ps^S?PjmYCYGy^j^7qR=l)20o@KCC{pB+*1HvUKs?aA5KWu?>aueNXUEz$7^_d(4muiCHw(}ylZR2w*<-cJ64IJ#or()vQy>F8_`l#)8#6@ zVhspNZ#W?n?nfyTv%tJ%h>CAO$pbuXioQX`C)f}awebvPb-a5ZG6Ky~tD-@f4Xwl% zVi;x!m+EMqq6N4Wh#Anq@dn8CcJ)BVd*THYF6V8NV0Ug!ya%r~@jZ_ERF`ae`B*Ue zZ_i-mu-9?P+!unvpO9z}HTeXCRFNlwVGbLD3G55RqR=qz{8o|;prn*w4lreuk@*B* zG&xvjNc$>s0g=KSt_sybEC;R}eS$79WpI3Hcrb}$Hz$h-4%(wU6>pK- zqu$CPDg&We``0@`W=>!nw@g~C!wiT{M$)r;~>%pEQ8sLbrjdjhy!zQ z$?dl2Ax_*jy{PbU4LsA5{)8d%L`+OTkSS?T3gY^SrqNsJGr?BH2 zjZj);Y@x$d4_{m%Q;3>KMW;%h0uPqHz#WHQvn;4=1O|l)Bmi)LRmP6jCl~iC-2lm& zEC!3#JM90TnXH_uw<5b~2A1PF3jm2m?aSc4TdsZkdQ6RH9=qeCXke7;wOc%I`pLJ1mv(AG=E z01N_3{2|qNd$qN%6GK zH1!t57BVX>_U8ow(NMzncRZGn zVIKzOi?(6W3rk-X=%4c4%)BKqQrsQ#z)0UOoJq~&iAO$>O6qf*?G zF8s_1+!Swo7kf@Zr?QNwBas^xXGMS@!@|`mRn6D9kpPfRjh&_l(zDstB8!?82Ez~! zP)Tzxq^B=|`j0>@2uR{w8u_oIZuWnQFi@O9)hSZl910ck0Xfw}pTzQCV5JO86hf`>XAt zn%GXizyK?!Pk^|YNst6(%O(RtZEf8u4aA`=W#*l1>bjrDnbrTX{%m?sm>Trpz}>6kLe>tT4MZth`3Qf|?EXrs#JSzX&{&Rsy@spCjk<2sTmx6pl3L6INl8`m zUVL4cfg^2Ve3~>#M;k572qyL>-#6k(a`>GqiQQ<-&4c`wsNBVmMlLLIMf{!rCRTi$Cie)#i8@w zw~OZCo~NWB@fDf+&$`9^;24 zWn{2>YJ%S?P9N@_qO%A!UigIi4odaaww@*<EFxNHzcT{KVJ62x}&S5Wi_HBWZm~OLXRVlS&8Q@OL3@izuREp;VE%*`gbB@F)Dx# z2tZzSGa{(;7lL3gBB}IqD1aUcKt^*P`0tb+_20qpB`5f3_91u{=re~|0%OcZyIS)b z#2Zv=eH%~{vcFw#a7@3<*=_m$Fhs+2qe8ls;c?4vg7+)z8Q zZd?RwxQ~mYZ1%DiRR-1kq9Ssdt#n<_@`F3=mkM21%S11c5)>drVqzy$z_64&|5y1@h3V*)OFk^>k6<6)rcmT09TZX#w=3)NXeQ zUFj|7xzd^~a-_AM#Qgjt*$7s&VKh9KGho|0`9RghsrD|iArZ7PKKTR!N=NWl3I!I8 z>}(V*_rM+87c4Gjx`vl$q=gZiGQ)U0hVVv`~#9aS9<`}f*w+RLC0vD#0c*dwgH zIxH0&i(0qni&|x{TWaWCTXv-{hTQJV z;BFA$xAOJMFzK2wqc?r@fm-M?PVOwkC(0r;8#c$APG`#NZcfBYYrKHKb38xrb<{Sj zcdZ^vRDj=P=E!?WjVu7g9Ku$fNW|w!7hqegjfEU-6&D>j-NphG zIt-@DH}Yxgx4{h7epBVE2HHA^X^oU;a8y07pVZke{IV+wiaenS)AD~}73S?99=mV; zq0zT(_Ry?v#_YKOy{3@+9^ld^YBp^%S}+{*?@-<{a~&2y#_LKb!3l;?Ql-{nbSZxB zvbi~fuehl$oCiif0ZnIeTwmnNo7cKrcDr?+29h&&2-1>YhiX+dMC^f97kgIYyTdd4a*T)VuQ~1 z*UDn`MN-L^{GOq~BKeLik~4Lbfvox*g|Fst;3F+_%o?XCI0~Lrub0Gc{B8Zaj)wtE zUZMqzxI;}IcTQtekb=ohM=xW_=;YYr)+O7@0 zC93zzcbFRTC6a_QBuuBH(Gjui;dO23SgsglW zy>^IdPy5uiph6i%;SGIAp)2J2YX(7Lw#v4z@#Iil^{QzGK~PjH_9QdXhumDm7gQUe zYzVy5ygh}Z_ez|2Lph7W3=zS*Hu#Z*3DgHX!WQphHc{=RE0D_R70jBt1~{p@1_U*I z4b|%2`pWcmOYJsGZP{e8V|Um5k+$g%UeHVTzzmgJ6iA<}U0$hq4wbG&3i2#Q`V0Q1 z@iMnu?IjyDCfqkZ9)*ds7>N%3DhZ3JjNs91NuY@4aee%N_fMY8DX{Z^_Ot{0xA;vP zblvh2$p-WiCJ7p&307mg3=u;k*J3bOt-wVNeCpt$K#&Ds|$zs;*;G^`8^0^6sw&)JeA2b-HsA-jEz&OuR&6eK%|B0gur! zBpP-qCIJd3YTBpGjF`}z?Z1+VT%fU<%@k|2#;7)*TGZUAnBuz`!A*kX`#gz~XVCE^ z4PB#>7F!}b*T+)APRP4dxzDSGZ$Ts`&|dFXISj--E800g=`k;;i5ontlS= zzJH4Wr9b+{&8L4{NN+!A`XT4?FVp9mdVT-t^hiB_Yg#Pil>&bs+Igp0YWmjrtnNeP zzx>fNOB)Pis7OL9)ciiZO7&M1{U-|hLU|uo>$6(VAwF})F|p4d&3-}p1?Yh(MMv;S zkx$I&N9VCHUX03h+J0IK!YQoGhAyWTh~_m@rP~Kx#+Ai=;=E(#?~r7su-&>%)LU1Vt(a3!Zxcd4-&dqAJ3Uh64GOU0OaIMeurG# z*P`7qP)0DFqlFg2)DV6nRD-#YF@gFes~;JFTRYvYq0Cm6JaO`82#gyJwhSFCub^Aj-S`fmS0up1k+`Mnmyu zlYyP!JD+-v2a?edjIL0U(M<%%sit#5Ug7i6oae{56gAW4#b7al4;9ayB>2M9qhFM+ zUUVq;_YS=_H(uZMy!Yr?8db-?(y~9cDBtKa{P>hqO5pneIlI8>cXp?hm$~}B)V)%6 z(!$5OYn|tme07Wq+0skGy9z?bt3I2R+Y;yvMolQW>yrZc2hJnDIty=dA^F&vkm8Nw zk9a~_S;~vz(Q)LaICBh@e;*cN)&?H8p1zgdYspDZ!+g1y?c8>p{#zNr(9wqY7!HM$4FtCAhIo3Adk5{w9A#p#J6h!V|y5?S&z-IaqQizChWZz;^nkLjk|DRbod zNz^rr6TsFc1%dZ0*GsMosMe?^!wvcNjAEULSdUsp%Pw9ElezBMaNtVlS;+YC?pvs# z^lxKZ67qmnrX&HnQrBYMi_7-pJ%en-|wAQBP8|tDe?I;F_Ufdq$koG&hZ+mq=HF`h zvCIA=F4-o3zmbPPzqgma{8U=@^Z5C-{QC1__Wb_q{O#d=`}iqC-OOIUFPHu&FUb4x zTg{2D$M?yiaH-@oO$`&6S+fpuWneLQSQlj{OwU04BJr{(r!hb(Q91U))-3tOa<1pE>*EJsZ$CfaM+f{ny*u`}8laMtD9=v<2Rt zkS{KGig=6ed(f=-*i5!YBcXM##@B~1HcodNryCBujq3aJ-)xx;#!k+0v2gllbHC03 z3KHUocoxo_#llfr!&=8hro;p-HU%U-v@XTVAxMC`P@lV*B1`GtM(m*8!bV|S@Z#rQ z7Ncdt!W6w}{J0jwVL5|g#lN^%V7z)T7ZMsu8Du*P7uAGGx$<7%&&wa4MyA4Jac9Qn zg*r(*Sx_;#aADyIVuJ%NURu1+#7Tz5`2zp`F^GsmNc~&CYJZ?dcpjrIA^yeoaH{Y% z@#;wQhe1M!tC`Ac5oN(}@^6oyh^3{mA z=)}Jg>~G-(;)DkW5plyLd{#g26~9P*EpWo>oiVvCHbP<%j0@Tf|1bkGgOB1)i#D2c zb3L@3++8PnHsni^&G?tGtiDv*Cucw>9~&kcYjsiwqigrVs#=g?g?>x6Rnjb#v-b<4 z_QW71PN}z@zpv~*RV{Dc5RH4d0_Kay!mxxolenWH4_X$G>rz5JYFLJ?$$Ihjy?S(<_T;6f%?u;9q`*T>H z_+vW6g+qj+GWyIRJo+9vqU~YvnlP_4=i3^iy@$&bd$I)aA^rz=?92ztL7m&Wab2-T zX6H_Ro!heUnr^o$@_a$T$R@f^`R`(3ZlK$wfzZ9;z{ADskJZyjn!Lxvy0Mzj%+*7| zGRJm6Nn_ciaws!w*q(YSiyi@4wAXsUSB39+jc1SAa`(>MBG12G;i0@+`#!s!bLl2v zaNT!S{(U2MY&RuqtOA+<-QOmh{^-x!IQ~kGaqM zLG_V;4j&GD)M5FFQ|*T>2YmW=gz~pQD(y9mFz;rjE=qo<{qz3e6L=R?qjr%6Swb`t zlk3)DY(&%6;7dOGLIj^H=y~=GCjOv!r^_@;hhJ|_UYtGjdXL{9i@&F;gcZk;h`S;* zn$8+=3;KoEvLzm_-<-ByP-zn`m&o(^W6V#pZWO7M83fhm3epU4C=T#bmPfh7z+*0& zoLdnxqkv{1Brl~|2XnFwx20>Y%4Z-F+`R8pgc|*Y)g>uN9iRVPI(YQ;X5{sM%GvcD zo7e?@NXzYcoXjU_QhAx0{C;m%RL^dw`Joeq2}#49_pK!iC52S8lvVB{s$m(^UDb@!C549$QMF! z>h9YoUt1rOyay+{a62dHmHunc#wk>|DGA=NZhBgI~%8#0WIS{q1uof zA%$#?J=Y-F;59`BAa?q&ElpuNrh=)wZ{K`ij`Tc!wdkF&P~F(&p0T3}21 zc5SJ@56r@`?C-oKeTW0Hd#3aN=`b%VktfXSLeT>(;d!BSkn^tmEd#~(U_1GVd#uBG zYHCSr+Q)4I+4OSa(O0s{^5*IYUT!^hT^vI=c9Ku3h7*53u-ph$<6(?3+iInuZ3;=)NNp1# ztXhy_d?({@$NHO8Rsbw(B!0=jRUGSI;>}b!F~x6UvglgW(P{|od3=|J?Bb?OJd){Y zJ!5lwgsEOB^Bbs+&_e!cqqq}06!@O*9X-9>Ueteo_S-GQhL|jyWt{OI3W6_13DD0v zRiw)uWGjbMD_$n3ok-+o8Ptz=^-`>Qsh3?;EAJ~+fHiY65(VLUD-&CBv*PctJ}k$D zAeKQx6^J95PRCSLmJB(N3p%m8^zMd{b@pQ)9FQ#%_BHG1v9?*xXw;|IlVG8eGxDda zU5~81W`mn`as1hNw>x<$aeo-U41(mRBPT}xJv+U>v37~7TRUb&Co34`>s^!s#4NrC77e>pi%N92vQRa)~6^q9PSpKEXSg&`XKT~Lo=7k%l=Ir!H-7& zqSn~v>D_^!mnT=pFr#vnakCD~HZzkufOGR3IrfDVX4m`sJ~fbu@+vJYs)&*9DsoYs z+Xg{={)n7gRx>{}p*Z&wl{Ex+#r(-USkZJ5q*#_Xd^B5} zgb+f}y9a!FB#k+ihi)u;n zuOARuG98_u!w3X8E*J-MPK1JpwiJUhB$#8j1_i)%XLyfgpWi`+Q;d_gk~0$72a=~a zMRx1{PG;~A8izAGl^0thTmmLk<=R}19-yv>ps(bhuY920Zj`{E9`$_;^~vPh)3&g| z_s`v_iR?a^zE*Jb8C%8|x5>kcjL17NzzvJ)A@H72r}}~|4Zl%1Ac`8r`FiXjPd`Z5 z-b7BHiEKiV2F?S?h7HeM9PkFqUEWQ(RJiu*>)vLf=yLr^R>{kDXM#o^w=onDbU*wV zFJe*LpU<99{~d*+g!qo5w^$S&A?GgVQWBuq;78UFZ_C=H4nf)7f-o{w?Rb&nXQ z`@w^fmgN!qGgc?vo@@>-f*OPmNu7hR1}l~@>({e|ES9Ckm!R5xIt?alrr+nw@V8pS zyF-!fE2O(PZXe7zWZn~<5dLm0nVX&W;z&$%ASK(EFh$cnYq^u@<6;U=LH+l+hZ(>P zaf1Rg$ub~QNyrxGu*5TH_*lQVPk01X!XYNdQJo^c9CUEr=0$`MvR?&L>hO+YXrdlG zgmOBia*jzcoZwlSC)3Kf4TB$S?*sULx6H@ZbFuUm;r`-?k#?s~daWzGY!Z)Lp-4-% zK;a$6`s=r0?-6}3|Beu*VeR+e&J1`jrO+yFF(f?wZt8&}km`*hI|YVH79RJzsFN2> zESr4ho4#Q$EDC+D^AJar=Ep>tCm0_KkF^gg$+L+#tKYuI`12h%9ejP#m9w$fs%VkS zYUAQ_Y8!pq{YCZZlOzA{X*Vgc%e!pWo`Hf7bli{5Z!RiI4;3JYOFEAgE{OUig#lEG z1yKQZ8{{2@$N)Kf()rV2ThuS1?b11*0tb-W0pyzo%_qwq4xCl+ymnqSD~M`DEf-&&W1Hk;Nju4TDEW$I&V)~Ut3W1P1tF4_0sN6ajbPLJnwdI4-Y?$scV7VHcdk5 zDPfwA#PWPgX|VvyM_|em3t+D=MU?6ck%Ufm5hFtP6Ct8?2CpI{=6b9Z6WYDSI{Shx z9g^J;borsUrL0gzVgpK`4Mu5$h4aQ%)MA*mu(X-y*GcumeR{X;$rL&vq4!K_&9wRt z1j3Tjt?v%sc2wEn>}Fvs(_nWZsdL$9%7`cAZ_K6{uwqU3li4ic1+y zr!`F{7UXu@a(cAOYNzcSQI+a6foX}h<`OBP>4CQ9L(N22Qe34g(`^o_@QOD(JEHGi zz3^OPDYxcgk5ymwx!#HkgR473mJ0(-C% z@kN$+3F$kzJhM?ShY7@Vk_^=_C!AxfP0xq=^TYrU2<|6g-NXeBPnpL}l{-IxPK_@k+u}EB~waJvnAdLSrqHS|I7IPlL8Zme{T3NopYZ^f2Wd zsxDl!NFi7bG2AhMLDcxZ34j+JfDw}Ff(B-f>>*&F8Qi>w6rc?;1A~vkL4^FO(jaZ+ z8A*ESj7OhH_B-zvA6xYmFURfd<)Sg7U___&;t+6T9S*=YZ zOBQDMQe0v6n2K6TDa8I3M}m^IP}-){eR^?uO+}55N+;z`dQwCguIjyV2F>4!DU2<% zf;il^-|9R({1HsnwEgmpGMbUe%1i2psJ^JIOCF(lB8aR=g=L*!2G>dk>Eq(_;m7B+ zxgzY%Z~*j54|FMSbf7ZfYa^uL%N0@9gxoy3NE=Nnrj?6a6Wa7iDpIvi``?*4Cgp`N zSldg=aDx^W+2>(QEy=`A%W#VxjW;oV6w2Bv%*Yq|ZK^d3a`MAwSsvRNo7c)Wx3y%5 z8_LHGuKWxk;Df`{%?)1Bvi3z!{iYNfD8eR=GkR*Ce;}D1W0^G}d-!A^G=I}DI)7?U ziX)?yj)Q*F0E=ibqLW~*=TJ~^i7;L{MLn&aC7aR6lg4l4OXDyF@RggB{TzYUw3Z%T zw`h*>f2)`W;DJ6SEddr+ZHK2>S)N-Gn4P7t&|g_>l*b;HmgYyNKbMj-5AIJSEBc0) zs=SIJ&{@sB5LY(Ems?m%_3~7Am(sleY5;BHi)YRAqS2+xrpmtm@Ztkkxo%df_kWoC zA8Kh!{ZIZs$QS89@~B~SGbuhbO_}WOPe(rXgyQTsyk$EPm)_->=oI_)GCiwk^mg}lhtJQm&)Zkm=+?7Ia$q%b$M2sU z|3hYNj``ig_uCEph(1fV%>nCF@?Nw+I$&&-i%G+{XC$Xbcz>l`B@ns|{r%)uSR&Jq z|2$|RiHLc)ov!3r7Qm%*=L`cY&u%koSh%yn&XEDonPY$N60mcCM|*@A`U88%*duJ8 zF4P=BI4G!;iO9i)+d_Od%eg(nPLpdZmCy3b$v4A?Yq*qu{9m5MT{mPkl`|sJFHgq0=kJDX%1Dp-Z3A0E`{@udNC8*yd>VrtuP>J?ANd{4CZxlFnifou53vE8?TwYWIQ^O`p8y`Eb_$ z=xbVxu&wY!l}($<32Pun9ZFZFfBY+M<73J8+d`rhkEP^sF3U%3bH;1&_Q%2v!16~x z^RQl4UfZX1NPm;?`Qm!P$ zj8ZZVA%i zk>uf$VK$FtF;{fimKkmULDSK*c|-@h^brJ}{jc;Q%|HqD+HrQ41D-4ka%YP^%ihqD z+QpVh&o_w>-e>QF;#7}hb>rf8)kB5M(k`K$B)0WPanA)iaLWkiYvbu~**eXX5m=o~ zol8uoZNGoOpLGtO<|w}q1yd`&E$#ae`hN>tS1YpCBia7(FT?R`aC$%ihI4b!g_%rW zYBZ-l`}wQWcC?eQxFniG=ReVq-d=V^`I0=amvP0bo>F#nD`Ebg69FPyLNMfHN$*w= z2-An!3P{0>W^;G}1@eC|E&u7zW@+_U%_E(>W(exDhBNB4GEAiR(mtKBK zPQ9F$w&vpjvH1XFL^&1%5E$hRCJNM_{`oSfSpKb33BKGwA@fe=1rf+}o@NRPGr^P3 z^rKv6!y0-|=|nD&#|M!OWa{J?%LndI(5`;mfHq&!{PK7O2ce|txzPw?l-Gb!`{!%L zK(!L|%SFtOJ**~^8+cDHdbBQixrI6!rC1`#4Yv9g+^N>6>s^C<-9MkuEDBI8#ZVgL zxTWCofLh#C${$A{rk8EgG%!acSOUO1U7#TZ0S?C$5kxS<0+nFyiYedIVlNF)+iKD4 z9z)JVc|MUs2Bo8tm+eGKQARyJP9^8$WR`6NiMEz*M91kA(1(A!FVa-s-6FwhXfyL@Z_X-tInB{`C`z zXC8_t;rG2Aa3Be)&dGS#BIbZoAS1GbWSsBN_({qe8aD&z#*3fXLQpbGf3|e_& z?-0!<4_Si-Y@iV+q44Goj07g*K~p25?FFLpLFv-|V%;N#ry77qmP5xL(85fJC?10x z%5y9hrMn5t-})aMfr>7DZo_Tc|8euA#G88I#Q#Hig`>DO#4oX%`=={A^`8&!=u^}l zm@Hr94+h(_Rrw-xVJTv_V3SC@zz(2C5)7j&497FfgyL|er;)Q2CUU_dbY0HTT6|;m z*osXm-58{8E~w1gLUdzllJcWc_3?CS*vND*QCdfP@fqS26cwk%q{6DGg3TpjRn(F- zy@W#b$?r(?9Ia71?a|u7_nZaQ^EKOv^i0(7`Q@{82*ju}$$8n|F3_867%mq`t+h<= z?>f*EpAosKmSG#cgwtJC_Jr%n)9D zZSd2Y9xj9q-vA!^pA@w{LtE`b&zAde8kIP-d*r%-$M9XS;ps-P^Y}tX;yKKj&g&CB z!Uu_P9zsGkztra8V=(YHLP}tS>6ap14xo_^rsUaryswtu7dP-+1=0c zbzN^S`jxUa)rfCfEP8%kIOR5Cc&klb(U4+6h08VK;P!5Ip5K zo=>VLJr|(Ze#Wo1xEdd>k`0zZFh`7 z%-qxTiAM5jx)55Q>BEhntkqowCdqd)LAP~;JDYx|=wd(l#M3xBXxsJBheKL*`(^j1 zcs&2(JDBs;-fUuiRP^sXYn`Vg$j9=~Sw68U;cpQw(CQ*voO8idA&vW`W zovkfgcIP|5P3a5xW-Yo&;Yx$Qr3y7sv04jzNV`#M31Id=%l_3(0%4El`5J4J@s}R0 zQ*M7d4$O4$G43uCpNnmx4V3r!fq#i?FZI5SMm8TE~@R;%w2M&(y?c#keT24DBoosko~#o zC)S`)O%$aGz?c>9{&g0_3?gBW$S@PZ8{(@}XFA|uwnH5fLF=K;0Z`yfzp#YLaFJme zNub$?)WySy|7+Ja7vHg`{QBqLm)|WWc<*IEVWIvWCOB@nAHDr=4dmpA(WAVr7OG{b z#=#XDX$l&1(D89i0VgNS`0Q**QmpY`;DqDj9Xd`cVBfU2;%`nrWxU*=pqh^L{8+bA z-LF$zTxvdNAK*NZvH#?)l_G#=-awl#vf5tRa z$mqtal)yovVWM4`5ai?egM6;EJ)&w-JSn*IPt|0}tp#17SO<=h$*N2Xh>dJ5= zWzD}I-(0wSH;#h5c6D?6<_CDW%G(GYYZXPQxUt;)KAiq_k5hp3Kp3-+EGYJ%AD8B3 z838xyE=$*5a5tAn=c(9sUz6(!&3EMX5 zqXp@jsd*xi;}-fxr*xdu_0B1xURg$1Sqiz5brbSbEL~Ik^k{}Gc}2#3MIU--QQnEw z`9B5pKOiL4^3(imZ%e1jJ?xZe_LLFmyc2fJzoGGuIMNG1WA8I%kOIW90=2}>-5vq! zZBa~T1DJ{Q|1ntS#7RguISd)grr@<;nf_M7++98CoxDTcF zlsNSGqag?KS^3(VE*rnUZ~-0%!2LbNNnse_Dt}BwL%5`?qQ;PENE(JF!f5N6iK9Wv zz^%8$iUG@MScQxbsZ7eshOTd2Hh<){Sfm97hydxylR{p>1h}8%xyA~dY=|fz)E4pP z2Y>b6k@lp)^dik5cDdWs;g{wDMBwN+mk^s_EW;pIpZGC-buoAI@O1(`?cvV**~%bL zxX?yKwSyf_f+1Kqmcie&Zn4ZoB4ynQ5T(LMyE@l*b5Mt@G4EtAc__*#||1sHE4{g(4cupxL2TqJCN`~SyA=GovT=( z&qy|=d`}wQJ>@X2x4R(5j<4Io*V<^Z`xDn0(+Xl(;j~gS^a34M7zYaZ;nx@&itypr z6ylfsfg)9tJ1M86Q$W_3u|?3`gqbSihCOF!SDZ}ib66t9k(rW)9Q1-me46LhAW*Tr z;)IRdLWr5(3iTgJRO|5)TU!O5(Z)`aiC8AD(~s`dc3^jDc&ts2#_7o(&M%MV~aR(9t! zC)j(}h5cas6BO8bRAs_?|A)SQ2vc?9vT8ZSMa=Yac4W;Ws(#UaAVzq!fXh%k-}|6I zaJMi(r$=%lSfUsJlSdXS{)R3XNfXN*#zs_YCD)WgIL}0cAwtLGu1OxtsO1g#;7ftA zHc_!GOf?Gbm=}o|eZ$st7oNhee+tVMzvLTGZPcM@-Pq#P9Gf*9m^K_ZvwS|GFv8W$ zGziy6wL!lRW`cPeNDBrSP6_ugHcqC&?F>ZGlgPH3=!k%B0tY)T8@UNWA5hO+`=O4g zW^DseMOAWQN5LOZ$BcAg5N73$5Vmm&aCJ_l!wsYa!wKgE!wnSw@aiAl^uxP;_~36G zA@laSeDZ9*mn@E@#|CwB{bP_Ae{3F&FSi@#)v8F(-s|u({`tO=Cs=_t~X{G z_iLT2;#PVM?#L9V%=T~zy8*J3(-Y`%F5*_C_Q)S(xB|@&|8FAxhPdD57qefiTntar zsx}@+jYKv|N%uI#9e$rAAOvPz{Xb0gQLs>e;S}_Nt=$9x6LcXb*{>XB0&YsmTQ)@;gOqNpg z5r*m1zUtAL?cnt=bua*U$Oz(eEMjjz=d=|f0WQG#cD(<8x)_PTv>KuSXWOD)Mke8P zQ|ce^u$$q^^8lVMNM*hL%r)%)k-E6seBS zwG0*hAW6vNn_s!Zck3am~^b1hcBZS^(x-zYVd#k=E?xj(bqZaW&bnn`Nx95~pQ z(CKy^k|mDBy-8bJxYl((HZTHB@w?2-RO$x-3iLdlnO+!RRzRT?@%2*DBmP8SC?#TLIbM%x6~+8e^v_2Jc6)E^Tvx4h1n5{ z#BDZOb8TgKp~m_vvv?cKZB2Crv1hC?TjQNby@g z-4Qham9^PT$$9$EdFkouLTb~dR)!}JRS|(8RYzbvakyN>wL#Gn>Kd7*oA9sIEwwo9 zO;mgqacQzxX1hdclN}*6YEA~ZNuF5d+5u`4y}X}}Tckc=G9_J{F-kiMW3mIcB*V}4 z+7TUdmWcro%zR^HMc!NcEh=~Bl~fC>WyCXm?=|0rKo#XdpoICrU&MIqEnx`YlkqX4 z=wk4a^Gb22aVC2~@<54qM{yw=4I9E~r3r5Zs3Z3}jk)Z9eI&cUuN$NHUX0QDSS771 zP@hyQn%-r`P3?0Kr4QQ)Ge_A|Fp!r~2P0;ypf z8AKg{zmj@$EVP*#di4;dd2URf*Tp+z^1jwA4I7=NKG#${;d^_WW%;KVw#DvX$16+=s{ji#p8$6-U`d1U|yET-H~sBdM> zIGDM<(z7y|eyJCtrLGeO_m*@zq}^@+S3fNG)==ykso_4KR{B;W{v4fb;<5k!CD$rgfFS0!mua1alKv+$sG;wU-l z0c4f7E){zyF?xYeIeyweRb=gc$g7=c2nB zZMq2h>_~d_#W0en>78|!CNGZJe;0-u9ZVfSHMN3B#)L-?hBT{Du}8OqM;zSp9kz7e znNqgGvtXhRu7wZEy5~5L8Jua~Fe`%##=~M<_(v=qQVfG*miK9aVDK~?Zn7#GZxYw* zjLmi_8v9@WvY-J;Z@{kg5!~*Dg~5Fy=Gug&hZHF5JK^fTeHpu5N{gv`xh~c-);xc+ z+A(rQK{0a5X{hh`b)FkLq6!r`-CQ|9X$1|LEqz^RB#KYr@2wDdmB&lj+_yw+Cg8+! zx(Dezun)};l_?VmkszStw3u%h4pfVH=BQCKL~0qc2dz4@t!+qcHP||D(R|t&r_4yF zPK|hQC^qNIgobU27p>n~NH$h4COC=8Az@umPDQ|v40=J`Lh|ujBg7sZ99-XO2ymw& z+z@c8qs<}t0RH{L-|PLZ0M)rasMpDHY92&+~AV$rBZ#cB4e0nmj2z$|)&DnavF0fGoRN zDR8lVM+Hwrf-`3F7Q-j%D0=i3%;!{zr;^Mq6L&D?Gdc5qQM0X2iU2CQ6QkjGo)g9@ zc_^Xqy06IMSECJ(u@oI9*MnW|;qH52Wfp3^jk5A++Y&`+pAG`}Qqa6dE@2`U&|6v02*`#&Nt;(&O$|8RX(M{c!0x23kmSalH zwOZI~W`Qx&Vo^u+QYSt4x7pkX5Zs{F&G+T`cZ0iPuUQ(b^f{;U{Q;&gO%KD@j!~ zR#`PfH6;GhzHfU^A{^DW5e6qGJPW8QzuLJaZZ%0nS#yPCOw5)st*zff>rFWqom_Nj z1i9n==6|i$h!^`yys{eW$)}3HL(UaMjjq1Tp3KhPAmqAc!r3ihh_c%K<>MNH`;=7( zrPXI}87rG`&I57^_1gsB!nIfKi?$^R`D|Aay*Iu#IlMbb?AduuOaMxMmd9hZ+2A(b zxOS1~{XxP=Y7vPcc`A~B*KLQBF~B+A1k>@Pi{yN_w45XRq;rVvBBHsK_|9mP4~c;5 z2om_dO7zD%ob}}~Ht>9V7z@KKbdBMC1uWQ|U~;9!hvv$IyN=_JFoC^Sosb)Qn5C_=fXx5*P?(MYnbJ|Gbw#xmixf+>YHbB2({7{?b02hf7uebLXzH7;IMn79++ee z%GR(hr7K2%vkvbp9RX9IcGSjPguM;YHE6tcqZh>a)*Ma=B+M? z$EfphyIcM9;|_I}IhL<%W{{$6Jg3ZxS|ZV@T(nKKaE)r|7D1b#_NiCwR8+v_i0*_) zG1HGZk=r|xB@l9Ka5+!kIeOQzv3KgU$d6&M#E|&0d-RFPm9e`1!tE{3lEXmdjk|HN zBeK*Tn&*We^nxE?iW_iD5O7_*YR-R>iQ)~mdGgtE6UcrUSl=5BzR147?%la{BDSOA zUKGd~{{7a(GB+c<+4Qh3*oqK4%TSxUi;pj}zr7-SMwjNdfM4iW<=*)?p)P1)CK}e- zifA5`Y-M$nA*iWVQ~f`Zjb>xARg2@aTFv_T|Ia6y>xU73HLxu(A;GO6A!^*O!q2qu1`dBsI$ST0vD?+otNU< zXo*$>DZJ{PpZINu!)E;BHm#GGY}Mc>t5!p0Q^0?}9gJ2OU%-U@0gm$v{C?qI0g=^EC% zw#&_V>%kyjfafFJD)0kv2=?F*?!uYhz){}(n&8o}+5u|h_S=8XYvV(-Lm1i&yjSRE zrNDtrV@Weda6L^BW;5QDKVOP_f`zycfM1!!tzzK*rC6=A#LyAnC#lp+X>JF$sonpq zR@aRd)uADxX1LUk-Qc+WD^`TXf5iNq4Ra1$w(rNN`6dfS1$@~o@XbTb1_O(8UI~S% zY%rTY!)&aoOBrW7*?2O!6G<+Lj=jKL! zh0z|d>;>E#l&9u}d4KJ(-S$OgDnV#L7455-5Wnuym@(rJev~8P;J^(#{g-kDlnXq(pFYww9a$K`+jIpVvsR;Qx$HA^`C02;}rV z0NWqVrs-<)4Ni@Y2v{6096dQWqqi%AJa+8h!=Ghv^H7(G+oeBQMQPN+*gY)?nICS2 zSpXYG!3LSkCeh%=rOIK!JQYSHz!$>x0KGd#8Y&h??8Uc11RcfytpX0RuLvk%LewLm z@?pa)!w`KEk6`*SpC{>RXp7Ylr(@l8b7H>;Q`PvUH=w-i58A3znkUya3l$?tZqrpA3_K8}uHx}-_fjFAWYQVn@F z9=oBVRa+8Q&~hxrgyT1tr%K#*)scq0NDFPmBdc+ytb<+#)9af*!tMN|U$Z!l^G0`R zWeN8kP{A2^ir87Opcgh{stgmpl%DA_xEzC;&3(9@k055r@uGH#eF7MHX)6W#v0EaUe>7GpOIboLV5*DW}4J+fN&p`YH)F>lu z@T}DOVI;bt$e9XykYVKMBZl8u6S&`l{5h3mOI=*}wS*uhdPAV99H14Up9M2pCq(FM zjQD3#p3bmUFrFdrAX$yohYNBKD5;+tm{Mv|od9kE8kkZJMi%^IIDIF!fSEDkQ4D0e zfTb!%9#ROi+^HaS3jLTx0#U3dV3u3ceZMnD@KP6^O6@V{8o8iF1`xQ^2Ox$R7^^N) z=2U~{??+IuW_B0kTjl4MUg&#gpshkl2Or?OLA#!ztg8!jxgn`zTRu#|vOAWAa%Qw1`3{veP1 zKF>PU36D>kCDO)`2vsM%#w4|bNk8^m~@P0KaHHEZydvWeXS%ia&{`DE=R2q?3#F5Bhwb_`Sfy<*z!1my8X3V4yh=0Mn zTViauXl8`hEoZ|N3t{}D&UcUNXTW+Z&i~K)X`)nF$T0^4306Bc@B&Hxm}K@7GGuy4 z5n~-8B!6K1M6j}CI?{MqfuoL*I}gr~l5#gmlu-p34?FZ$XzQp0uyu4VzaM;~7HaVP))%b|>q$zMRs!LBkX!;KQqlsp@?6%Y8 zgc|3c`r0Jzm+()nd|-g$+qv|`rj(ah-gyz@NXSbD_6|f?i^CBnmijI`hJup zVGqG5a(@IQ@Cgu#xc>yxYOJi_ueM2(yx2}1v@z=M$ zgp9Fh+Mfn*x_56qOP*!aB2H@xsLFZ-^T=({G^H5H6t;NCf>6!ZlP%|w{850-K*8}w z#P4xFl8wC(u@;UT!HrMi=vIdawc-1PT?raOW2g-r zWBr62O-FJ^45|^-?D+kmnTY+P`H20cxPmxcEpTEJ)rm^5hYuCS*1oKz}NNY$Li$Z$7HPhi!r2~zG9)P$4N(pl~I1bu!&KZaANSphCtu2ibeYJ; zNZT7Uk;->~_fOzB>lZ+X`mr~ zDS$X>CNB(xS>w4%O98YQ3l^4pJLPH3|K=Ukx=5f$|L2oNaGysO2nPTkS@ZELvTlzOz=BczPiJ{;!d&8wsJ{IFe+e)p-O5$~dFhX&(sU2id#a`$V!n9l;EB0d7dn|rZYLTu49%Ycd~ zxJ)kqemB|Rlnj#xDy8`@3Eu7;G?I8mUOJ;zUw)J~Kq!Nh%yw96cX(Zwtjd|ft2S6V zYDw}Zs>#|D@}(CPd^adZ4zi~B`hTf|Djyj)7o>ul zK}6Npyj-^L_ge))^A@W8Q4G)D^OVTFbDUoyvizzXmaxrFm*#l1Zaym=xyEJOojB(s zHm^soMzf?7I%OyTZ!Ug;?0x^<$TJ(6+4_Q#nANlBR2#dY*>0p=9QnwB~t94h^d8fI}oIrdpsFv>Q^YFS0m(`k8-Oe18U0FP= z7rgJeJ-aTZyZ6^;FPIyX*^-5L-}9%3EtcZ;(t`HV!*w`Q#{fB?MOg-+Sr!>S?JUn0 zcDKsYmV(l=^8b@^AdTb~jpX5+(`{Gvp!sZXeyd`0yD_fPTir z*I;W+PLUS+a>R>}f~gfUv_D_w*N=x>7|;0A#B&P(6FP&hyz4F67&vwPNi6EIqfx1o zjt%xC0zmfH-`7j=6f*%a-jDbru7xG`zn`}+xIxn=gj@W|X)$mCA?Ujk10Xc>g`HJ8 zZ}o#O6K)XC6P@v|6F1xdPECgYsLQT!ldgu%7iE8 z5V>%Up0eNDQ^zwh^8OUug+c#%G5L1(?cVW%yR>STdeBNyTT9PS>k!D!w2cLN2fjS; za_K33d1aa? z_#Mru6!FkYTGPqG-QK3O>Odc_#Xl|Z{4q8ghLa$=Y=QLILaVcYO+i=KFO|}OSNf=+ z*Qkb|l~lJjagL=zdY+(5$SOl%X8-!Z)@&6yehDMS!ohI6T+FFc`~6B~=z~F3Xrp25 zskJH5-g?Un!^ZnDWz3g|f!B=ifmey%f!ChUsub;fqCz8laqNT>8q9=IcFc{V^=^lj z)|=7X-mUKLBs`<>Ze(@W3?Pcb&e99Uz%nkO#)IQUO%}5lN{IO`Eb?so!2yTDy1y@# zfB9SASc`K-`q=IjZS3@DL+>{VX{v&e$Eq|B6*~?R#}!fmCZ;9xQa2R62O&m3h{Htn z)05L#`%jBK*Yd^ax^lU@v9r55E8^!#dI6pC${iR$D0LwnRPKYxO`-@RLPybwlt7_$ zioiRa;mCDvbkqq9^%ga^>#t$LH|C-$jyDow>kod`>&w5a0n{-ARJlj`J@9K1)ZbS( ze$giMAfOG33`1r_2O?PAAnJ-9-+1nv2mNk}*+(OOG9 zH7L!gDX>U5&8-fTen%u?)q$jzcin(>hjBrUrn&wE{X8enO9gZG7hCBi>Vv>sw!ye+twS6g&CJVC>l38MP9%%i`llpi@!L-`bmFe7 z09LR%yuz(l%}hI5q6K{57#$M*W3@Bi;Lun!$D_C1z+L{H30631Ln5= z))!ztj$MsL;}htDTM*5lx;7$W5am7P82GsekQQ3En;d=`^J)<}h=vcAM3F2WhM=x>2z_ z^K+n_Y{joXC7Gij2+~b@nU|R*>wx9{vF-lDR&WL%nL}Gyv2kUvAJo%YYxpb zi-T9OMKJN5vIb%zN{8=}?*>p3SgF0Sr5HY%w5ZL;-e1f*a{Ba*%^x3!@b?Iu+8%yI z)vV;3UmdilnQ85PvnQpC4+y1`C!r^HZ>Qxl4*vjN9_1F+t&uYKda(8p|EMi}uUk9%SzcWNTt&nYg zqFw1Hns@wos#W9ENU9La&)aE^lEnp9xe6sL(@QjLOjZq;aNxUCqg$F>)CQ&pV6>n{ zjWKg7whz^%N4ySjEW10mS z^&+ZO;Q-d74TCr_gnz7ImT{mG0$mlPkWt*vFRz|s1Q(yMS(1Y(+2~`I z7z~<>H>_1TyriA=Vs<)ZP?)KxHht!-j=Q*sjLKu)o(I3U6^xg+q@UUOy5xUdIwXoY|OL*fIg{>h*T-iKFv38Fk1eXDFR@53eKT#OsY~=!+x1 zx1jo#!I%MADfckx^?505@l6!Gv8#d_({B^;Jerk%kvpC|J89qkbFBY1x@c6CQslzd zSVQ_OKgyc5MLa1UJH%-%(IFimN=EO!ViKS!k8r8^0K8cKceK>2;+NAIDwjReGO#nO z82-!i*+=p7(lFt}#6>@;gpQ-9#)ml1QLvq-e5eb|=5x2Jr>I${kY?pHQ7*uh>k8ESOmYhXa%5FEE)`zDjcTR2(ZAGYDhb+i)uNWr6UYC+j z7g+q$s3l=#Alvx4pnLa=v0=q7O` zmgY9(!P7V+1$@|~fm6Fk-xY{0hW(~rCWtL`n*xjCNOW_AQ8W@^vM`K|h3K16w_(4A zod)9y4tms62-x#(-A1dV+Q;v4__wCFiGDHJ0!>^nA%6b{tvaCL0UnGcKj)6L2@R4V4meq-$sjZipxea6F>E zKgMBsp=$|*2uu>q>VjaZBaM@L8ugrrshQSY&a^!6>#zPFVk)`>WK+ z7`;;M4xg3_ey__#KzEgrAp7cx;6t_Ka2mf=gYN@?lk;sBMQf>}ubM3-xNVV1(;}&% zqVf~^FrlGL&j$Q8JM0Zo=yB7E|LH&P(DAt9uA*N_u@e<3yxv)eyPLeHq+T_2Gt=D6 zp8GP?{8A#tM^U((s8H^lISL(RWTJuw6+$#t5FETkKsqCTZNS1j+*6GUne&oG+v4SA zWPZPekck|cuB3E)pa$LUiV)(66^(E60NaWG3mSF%d&Z7*@HHtv(A_6V*nYxZ(M&Y2 zb)5>Nf1XNYxIj4sW?CD2@ir-c*)LnE6jqAZrQRY@{HZNqTacnm^$~7ch81`-137Sv zpiM(MvW7ALTuMyV1o=2jzB%-n2cCp+1t_TI?-&t^{gy;R@JUeJt7W*wvGd_ET; z)~;7zJrK#J7INcLF*lM{}Bp?D7y-K^`yBg!dgo|!8=`h>oF-3F+ z1MB!Zln^q~uZcVT+v=|Zb_~ku*3`Pbu2YN=CP$#amJ@B~3qDsvbm7}GCu^`*XsLe> zUi>TPczq#|;8$JU%g-0gT;;3HfrYFwK+RBqn5H-KvyvHX*xaO0cNkdWI>2bf_ zi>4>{_p>vgk^xw?TC6HZmM~(rKvi4t$Xi(9A|R2z#Ib~}DhTCNlA$%XfN~yxRUhC* zXW*WRA;~_t=$_F>)=`*I#yi%R9jvlX(5Zze73e5=$;#5J7E zHM(GWKfj!+=h=rpRAtIocEklHSvm*xg2w^;V`!&tL7^gHL9=uDQhqXi@vVGvs$hBX za*=B;)T4@`o^NjlU)f|Hz5-`XEZpam|K<^(pj=l@qn^i zOu6wqd_DX_N$fr~#UdX1Wdk10qyt(HtkG*@i0d$ntFz06n(zumnzC1bSmm2DLKPtOTUplXOBZ=bsC~L?6r=;pun=%-wl z-;EZQMq&?_QC?V3jY3~9V7;3#c5Z7kH$a|=6d_%bF3})tWacZyyghiMp0Me9&uKHL z$%P$3vNt92Gl%u5N-A%VRS|EU%uw?!oFXjAg!0TH!w5LO-@LuuKHgp3pMVOpm#de4 z_;uACfhqif-=9^6`LE?ppG#am5nb_+5xK;@6ahoYoBPD*pl-j}Js5k_uEhRYQLqqW89m1I?#SEv>JmgU`5_NLai zvFW{87KU>OA&lw)EFo>5F}q>o8>`hWY~FE+DXaUaytkw$Aoc`6x?5M>>GXveTl^0Jv&^^Vk4DZ7wXXMTU9DOs;hFngcuvk ztA}P#MJKhdQqQdn1kqCm5?f_i!L18(dgY@jvLP?dOmabHl^S;<1-`~#(Z|~5xb8!x zadMIYxja&yIL7po;*x&IGNrZMT(S)tu!KMcG0SBbaZrONet(q?=#m?3%!*p`>^&y< z+?Q)|u#?*Vamcr^-W)uqvksev8ri)6rL2jR2GaeTij*K<*+wz#es<)tDajc0?Q~v6 zJyLFOMG@Xn(xOF#jx`$k#81ndeP18`9V!flnA}nt!a3iegM7i%H&dw8d9-d|JjSp@ zc>s1gZ3kvQ{SyC}b>mnc;TLwSaD&K9VSbIR0`Zs?a1gmUvFUd_wFnB+gI&qf@vH09 zv)m4YeyvoF6ezDl*p~#(+3FruXyV>pTGo7I>{;Qcb?|{f6H{81GOi8>cp_A8i1#*v zJGP{uTrs1WQkpWFtS;euI3*AY)90{61yUzVtXlxNZuY;z`n2U@(dX$4wL!s?2I7Le z0teztQG5dhWw##74>Utqvk+XcYVgEbVwWFGoc zPKJ(@(Xb^X?}rrifaRzfO^ym4xlDr+>6yMRBVe?+9)xBdDH|YoqdPc<5jl98=4WCy zr2H0?h7FJH{?Pm9A3L+-&AGGC{_cMh$|-I97%j&uL&{(3`1F=1Y!gtusS4!0Jq-XB z2qbJryD^-mbxOStH$c8iu*|YrlFjRblO9?@XA6@P?3@Ltr1P&t!HaHYfGXyL{Iank z5*5k=^4}2}RNO}_j6ZWC5vo?QY+6?j+pn#X)oHWQ8NPeDct#NlW6M?lW5QQ78~h!V z3ln)0{`yey#r?bqr$X@s$_%l!SyEWmoX(q4i` z6juk&`v?%gkXH0?sMm(1Ep3Flc`zG@&2 zcKL0Dedsll4fHh6a4^rEIsM52My;xFM5Z9rIO9pHs%rfrPFhkejPX(xg-tA*=+Y0C zNAOO*8c_*@DxLVl3?4L?aB>PE*Ey4|E2hNsJn>Ij!FV`XIwfzk=i1GOMoce~&GyLx zX9l~Q=oxNCu}yVsU|+q5x+NQ;`i{J3?c8#wI#4Fs7u;4p9r%xI%g!~I^ln~m4;cNb zW@x%>4tP(Hpg#ez!rwv2$1qqkj0F3cb$rGg+t`tHq4rDY)xv-J;VYRu4|< zGf#4vC^@kVn!=%SpE0~_@T!CxUvouilaoE%_B1oX)Zn&Q7D6)bn?9$i_w>8L~BebBflg zU}2bt9zWc}M>6@}HMMUyawcs1RbD0S4C}oli@&N{g@krB$O{zeP!=VX&Z?>N)(**4 z4m5)}LF0;l5AibLdz=`{QA2sg1^9D%Cys3&V2qBWi3b`NKrzLq6#~vQP(d3;&+2Tc z^QohfpHOLfwJ~W4c2f+D;*{gd`MKFQ6Bkam9cu+xufM2e&MY%G7H`_?PxUaoUPAGLSu0$I^ zH&+6A08DO9l}AYjR;p_mE{-0!#;U@|3Wt~5S1Va{l_MvOIe*o+G|Ys(2tCL|CKFYj z{8tlfp#JLzose5iV#toNA08OW6;WDYZ(psx&+S+!-lUOI&@|ts8ndYyO{Aq+*x!bX zwYgelkEW#>&2%%vy4N$0wa<^2A|QGU`i4Fu#S01g@0o_ATP3r57iHUs&u)aafRcta?ZPjaaILVPu9%Py}ATNO4@*(XOq&|Rqy zEe$(l8l7ywbp|y0LKnx>h`5KYx2Go~!yy@sTE^6KTVXt4GtNyicUAT-eg8M5$5a{x zK9+OOAGVeG<)ibp?ta8wkk5%k0PhOs_2D2<+RuVQ%fW*|%i)1RZwdPYn>`W((*#0& z^fFvJN6|sK-mm>0T2=RK`8bL^3K> zVCLwC*~Y@K)mn>B9;mXgdA?8yV!Fs7CUJdxKx(1d>;1j3Kr&HNISrsC z|Boh68RzJ)G7V!OYUkxb@%+kP!MQ$aOS~)-+JG2lTw#XJL`yF0it+)?dM%i*qV=W5h!&++f4<-uOXQ zi{Q|e=R$_6d})>yO%=a_3l|kQx#609LK-sL{r3K{vih=TFDY}Em#U~ZQCD!GEoVho ziWMYW69I45M>;ZqLMAe+(MnB7)I}P=S^bv83J#2Jvz3;E)nZWgUlC9>ARKXZ5AJIL z9?nZk#TVU?1Bq{46g<3gCL**eEgaiCqr7+Jj_Asc0pDo3YR+>yZQ1`w2Ig3_8F`_} z-<{SWd+bcF4D#V{N>&H}S04ulT}*Dd63ED!|JFxH*p{{n3@XgGavtc#s6=V#3gG)w ziFR1eqm=J@4Nm|rP$B`K0QII?hL(8zZj1Mm{`WN7?D=LV1sat$Y2sMkTS-{+Cs0#J z&n+v)#a||E&-#eS-S;S8Ykp!-qwZd3D1`@neqvFu>7Ml`z$u(4d9(i*v+kwEK2tM= z3RMGzCVE>W-}p}?wFd0wZi&yFc)=quD5kL>p=)RAaoDa^&qrN*2fnJKP~5*ZKf1 z`{Gif^;a{YDI?6;^q$0*`(6mvRgR>VKfqKBxf7TH&h%$?~Kd_KfTP=D0`ztI(APExaluC-fZ;yg+x))=J76BQz?Yp3g z2Ulcy)oIqTON9q&Qs+fx{w92js6YP%LuMPeZtMqvVO)CW4KfjwFqSOCWvQ93;hFL$6`$QFWPejJ za0+Inr$CoBl(863VxsxiKm3yRLc#(yXu`^cDY>|M8g}|iG3N}QvCAj2=h8#WDbRe?NH#ZzGRg}HKwQ)aa;Ej5$2L|q-2T3)yM6> zEo%LCVPx+0C11w+q4=h$eurCJna3QFfaN>U@;r0)6jmN<9UvyG^tXYqI2r z?JyL|Bq>>+cVY=@n0#&RfS;wc5_g(FB>i#%iA{hUU~S;!Eo64URc4JC~u&IKN( z6ivw|#abPJbxr?23r@-J*O|b;dw0tTg*sU0QODal{oP)g4Z(*tvAAEg1BFvxfe&iHVTKJ z%aQ%v2_&Ky0L0RHI!=y zk~s&H2-CQQs4q+OMrr1EC@lSTd@D{{9>W%2jVtEUolEY#(ifR|yr(k1Urf>uimZ{e zop<6Jlf!8^_$*?$eU>VYx(jtum8O;C{_#nI{8E%nAK@#Z))rE+q0!A6>lZ^+oJhA_?JxsUB&Whfu3Mh67xK`E*GT- zyHAhLeW!5JE?U8*dGM)!PXb|uaAl#}ex7Up!#Zfdtyg7`+}Ezp(e256 zymX2gUt+7eoTVC8HC)HtQ@8CO%`++A@nTWc<@h%J2Xjry6@6kA~!xN2k69}nG!}@ciyL~cJ!7gbsA=OfZ}E_a^J!_~eu z);RNmQttj&^Fedogfmh$lWug@T8CREWpj*8wA0jvTee_I`toM68mO2&xe33;e-HV0 z5WeT-vn!Cm?bZb11ZRw?CdCXvztAUfBWY7Am7|&K`4&EqP6IZ824XcW!OD7JiT>Lx zxyJI0deSA@*{;TOo2|0%F5|aZd&pg;6*b*z)C3#M;vN5LoR#(X9d;m~Yg)g^$ME)+ z?PAVx+8n62_V)T%?0o@u4> znxW~^A@<{netLR_Z6lyNyvX*4Ter}p)XLbSLu^uRRVH?ktvy?BSVd?CuS34o}A{ zPt5g0OyNh@!72Yq%yr{?^2*?wwdan9+clxUiS6ivF8kz!Xi;InHh1SoL$e%AYTA-y zt4Xt*C6;COBASb9_rKRn{8>{j}yMp!!G``aASKDlhf2yTl)Mt)L6-`6Z4#_m6 zPSK9KMBOxxvFrw&E=o=_l{08sGB{1!-0D#;-$d}C*K4&-jhmG!R^)Erq>?mxrnw!D)kDdxElB z#yup#zn=Nh>rEhs4`-~sPaHcQH!(JLCkDX?#P;nv^ITPsHJ3O676(>u$>5G*v+tBK zfs^&p`C`NGrTX02hrwe{*!b6yEN}F!Fj)SyPLQ8z&3`K9`nX8jXv-x3^b9g+S(P1t z(2(G~N7W7uj*dFrz9_6tH5q)r`KA#sUM@p4=%Ks{IwiC-mRNhNEi4SuCR457$=1~$ zLc)4f|4PT^9E*-%(C|%LEH>xrr+RJFvHyq~+t==oHfITBV=sRj1eC3`)@9ETu#*DKUW{RVU@?pb{> zb!vdJRj2qi77{H^B%tkozrNi+kG@Zg>Zd3hbt8FnYjeH3M&%!tuK*$R!^oV~Hja14 zJmPa}C*3N(XOV(l)&2uFUKM`M*QpM8nK1C(3#K#SJk_ZFUnW}yt$#DJx6l#hsK|n}mzZ9DSPD z3@THCyg>*bW$<;r$#rat43h58OBSwdi&2jyKN_i zMJ31f%JLPSL|6+AC8CY`G2w>#akcyud`I9y0>IY%ELZsB32p1m(QT>4s>UuA>f!6- z?L)qk>izDT^u&(vT|45Nys$%J3e{yjjq3b^z9dgNqMuv*a(BQYEtAQb%{vu7Gw`pz zv>jwUSAPkpRm6V%2WCfLx1^{mibyG@CCj3Sf2gp+fY{%B#HGv7iapR#2!vOM-K!FUDicy%vos&jpd_JfpCAFHkB~@$kFBpMUM%3NJu9F_HW-gNm z=E_W;cug>sBR;lsBqcM6Y(mu=Ga9znIGW3wtl6UOpVdbOkC(*`YpE;mh1AC~fE1>j z__qwd&nthUQ>G<5x`Hc^42JHN`c*Qv4^x0_M(c-!}Vwt#Rs7Ebw zKFY@yQO8TU{Qzrjk%{>N8TY{CT7gC|Rz?)#sNvLHl{CP|!h0$zxf@{>#bx8mfFCEl z8{w%jelIZH-gshM=9Q6Pn)FmupEj=a`M9UtadJaASNTp(MC}lvpYVVG0>#UZl1sz7 zjX;C&EFIkyd(1xs2hZlm6O+UhB(B&4%~L+a1hTvDijPq3QrUn%n*v*R+kijY0vWW2 zihkv8+!VA7q~Cy5C%Bi=KK&LF%9a5~v(>a=!jC8$;EBDFEZbg&y4}S4w1w#1O}sU% z9cWU|*HqBX-&x)A_-s=D{|I{r;7Wt;Z!q@6HfCbm&cwEDb7DIa+qQLMCllOcVoz+_ z+WWrW_pkkGcdNGQcK7KA&GXzo-Tga#b}Z@#FAT!EdJvx0J=Qd+HP3)B^QIBFHtQ-V zKfVDN8Y0&ItcuxpT@(VOpJwclHb#^vE5(8@k>>z5gI-HqeRKB|4!Dd9Ytqrr@IF?bM!q78@Vjgdw9Ce zwE^>a=6o00oUc3#^$m2V0wBAg-=!;u<`ByNOM0y(?@tkV>p#_wy}XKepAh$d1eacl#%ThS zM*^j}Pv3d2q+K?X_q&1ndEaTA4RwrHZH-s$jUDNao6EWf>*fGcg|!X(lqlHBz4W>K znZ@?ah_g-)wKx}SEf-H@-jPV(2*5ji(bpnh$sinZZW362n&v0e^++n+s+~*E$=}08 z3rKec5sNfZNpnq8P(OY@;R{x3WVMrN;2AK9n!!QYF>MU-D&x!0(zdd>A$r1`ZoBf` z;~JfxqA;ABx^cT3Yjai2@lD1=$^DETeSI69|69ygRD3`-@=IJ2Q7!r^GA?Zlshooo z6kk@|*Lm;RZye%%`;_jCBk=$7^%pSA`= ziHFc(6oJs;5WzQl=oe3SF_js-s;{DA7Ocvj21D|IfByShe+V>JveJ+5&JdPJ`Z+m5 z+j}~-{K47TQ6c699q=_?dhA0Lm8YL|JSzKb1HV7mo@QSC_1<)HAs(*( zbWW@H>_pt~6Z`~ZvfyG%`=`-obACYFGGN;RzvIAN+CLLo66aQ7fG@BAd)TkVd&kvUMGK+eS+^ zLDC*-^+Nm8)gOvKNcfaAiGK_!7~Qep_*N5E&{6^ETL~j?aK;98EWXUZPp$=qSiwgp z_7sHJ@QFELtv8B~ef#0jjfU@3`)9ZMLF{_IAVrJM!S6!%vPaOxr*;y^ajejkJ$j#r zOCcU?%sTQDr9&6REWei=^RB%YFWGl5?G33zwboU@8jWiF9#BoD$}2P8=xY#)nWose zPE1Z5>1BTZrSYb(Xpe4Me11Iu-NT~D4FtwAT?6`H0KBiL1Ii213)O^s(0S-;FYI}f5=|tE8%0Xb=fbUwnVm` zJ>2sR0=DBg72;h<9%f%8VtrV)`LX<;S+8H`@`kd?`FX6+o0kt&o;XkOY>^LCRkX+O z&1lB*9LAix-~mtRW0_46VcUPpV<(X9=u4(JNC<Kh?Qg>Rsq_IS-|9DM$W{pI7$gntf z%OUY@MGn2x3|E4g3ZK#KO0_4q@8W_8NDu$I`7eHO*%O`mMvKMl`c-V)lh&vL#EL5#k$={?#DTENuS1jC)3q3@~XP&V<#aC@8FFV~s(k|lST95C==O=Ea z>BHw4vOg)sd8hMs{nJtm-mhPO{yP4Loj|Pj)yMC5TR$wL z^sriQSRP+)D1=@AbYz0;p9%aBnnu}HbJ-*2bCjH&2w#Bd6X+A{`S`rQxd43}7ZZhb z&i+2n&*$#%4b=m#Ds_&IDu8D9k^Hclz#K6|WfuB)P8W?=x~}Z|>|(IlL+HU?Ktl6t z>rop?y`kIBcc+(+ol=lxE!x(KA?;G^ZqDk3B4o={orRs6IrSb}<%njPsXMhjz<4{4 z3u-H+RPhp&^@2|3gx=f6cRU@Sn#74#c?SCObu=IxZH1D`rY&=Xu4FYhYfZ*Gj+eM1 z4p}^`ADdhyWa8&B-ljP*E}Q`M)J3S(x|Ya-f@Cbf6pUegiHS-FVCS=TPFv`;LtBV< zNL$!(N}KzR(7UuVh)v+%mq_5~w#=J3`-7Xc6RYcRq|HE^(PL!Q@duH%4}y-tz*)J* z0YuH`^6T?@TYSW_;RU|~M{jL?Uxt^4Fdz4u``VGObS^d{N9DX|45hnH!AeP7?x#7r zI~9CjqtBwqc82@RnmDvh_~|hqzu_XK@BRS~7b6Iej2)?iQLo%^3AeyVj4$9>`ipXb z=eO;*6K3A1oDq~K2Y8-zL&AQrD#;6GyKSr_2On29DdVnhP;(U*9vDVP);rwU<)uG! z%ipj|E(+K&+~qxz5AAUDnP>Hh{vR&a$Y8d{r|d1C1^2fl_=UJ;0S6j_UAZ2d9hDed z_YH50$1i>GX%r?$k`h^?b>~Xp{^&ecw}ciQzrOzu;~*M|?X$IaHfS>0ne8bozpo3$ z>aV(BfvpOxF@sRm&fV$yqwSse@;=884Z47lJ|Vy9VU-dG%_RhrH#eGj8oQ+a^5w_z zYSGM$MqG zx(fFtb{isrSRE?m;-!HFY9|GWUjqZl!t=R|_DAUK0rdyk#V4=1&2}R-%KYVsuSSXn zd{Q6_lvqLQZG1+(ToI6s3)q-u1AtOE_UQD6I0+c9T(JFW!TP$YH?;Ep-toGh2Ancp zrp$ime8culVJrH<8Z!MW&m(ha7;rAgz&{GPS#ZY1EMBdrZtKb82Gp%D%{g$ML- zs58zWpkJAkY}eUsE{cBY{!EQd%H>}FG1TR1*}6u_U$V^UL69JYz%NU$% zVjE^3Eh6RrR$0KAFNX9MJrx+LC2L)7W}QNkk$*Y(hjcr=e=I=1aX8>YPvW!skl?Mp z4*A+ppJ=-97N@^fXXk4Tsv1T8Th|EBDFf^jY~V+U$c8I=HnWL>P}3E~PNY zDAfU1tCRpn<0L#tz0>$PBGL`RcNGcg_L^2o?6{{4!GV|<7tik*vP@$4P#v?w3oF>c zV5A^hvPkM*H^h~c6U5JF@*}P!W8aAx5q=>VGH&zs3O4eY_4AaV?c`+%_S4sCkURST@?hyNJ6Tx;8`cOajMk3O8%sn;zYb9I{}3z$}K`polJ zl&z@FRss_FM(MK~vrRCCa>{e3Ip7p@iPoj?30);O)?7*x>?jOMPuA_|nBZBZpCE{C zwHTkzy;9f@{!0X4kS(5`5!jV5Iz^rV1|>+FFG9WREU~P(W#AcbT7$LZXYOuJoN7sz z35Gr1U8SJ8+|{CmacD#Gy@sE9&U`LXmMh$uIbQE}ZZ4jvd>Vx74MaL8ct#W41C)WP z@6X7l@rq220eY$tus`1KGc1LpuLJ_1^b=q|$BP*!z@vSgpupLU7NAhK_uwF02u}c= zi0DBEJ0U`WxI}k7&q7{xc)I6({AJax^&~Sm7Wr?kc&(-Tps7HaG;Rp&<~E z?RdyX(e>&>*4RuK9T+CqaKk>07?}?>v=iuC^1~N|iTicU^*iqHO(YX$vZ2wqv1B#* zpJ#~OfR(4`+Nlk5UbK%nD*s603|-Gce@nv}{>>7BHMZ?6D5n7(e3cC@UC%Sf0_Jh%> zODOJ}0<$|+!-S$ymO{U#w)JPvy+Bzxj{$dhRC&kKU*Q8Pqcn>W6(e7nS1txYVpdrf zQ5XXZJ7A{ucCwt&cZ&wQDNNWu^E1tLPi)MzY)p>f;gnqXQ9fJoc-CO7Qbs&S?fiL% zo;$c8f0G4rE%Y}SvlN>*EORJZ1~l98`fm#otv9L$U`8NGCm5t=(*$3Z&($zYF(EDL z#pEn*ZBi4uR#G-3eLHzUT|qY&VdVq528nxv8D@ zw~^&Wc~tI48Q)&D+i)b5chB#>nZKFuTs>p*C`2#FU(%C1RO%Uts0wNf z3fF6WPfZ;R|D+{SzubjTq9i`6>hmW3?M*sAdsbQUCEj03s-amh;1oAkF=MNPeWi}} z=KmAI_5isJwdIqiXo%jOo0aG(j~UdXWTg!i+=oqF`_TN44{m9Vy-tnumNI{4@JVI}5M6Ou*9 z>lLDZ8_wosL+%#jJ>|5YXYwgNtc?t4J;KYEFYW=Cwdb5Amxfl-9X@+=d~TdZ5Q9A# zmLwBTeR3;R+KNfQwG4e<+M+^#uTmuzc3tt|>)p%W=i}AWhX(ldf-1U?C$994`9g~J zy{-*WsuO)zR8z@xvzDwk2iZpWG9N&~Lw;=JfAxCv>8kJD7m$KCq zS2;JO-gQuOfV>wW+60WzA>3zTR(S!mE$BY}ZdTroROx#5%F(p3eKR%x$p?BfMjL>*$#+2s<(GhS#%ttW~cOiPp?r;)s@xQS#Bz-Ov>N^&m#(OEHXY8DVKe7`?h zQKUICW+zJ`ezm<%3m%diG*d{q)7v!x7dx8c;CuREUkMWp3F7%!Y~ zRN1`ZBPwP_lqT-&vdpJl$0L0+YX0v`D|`9TjyThr!)lv`C#Gd><0jN7{L z|3La+17+M`A2;U6I={}qnq__#O=W&y*X@YQg%}(K1#o#O)_$Uc7H>PF3Uy5nMwqyr z#&*H-CrJO0YKf+Lt-OP?{5@w+s`|)`X3&-lLxVwyW`VU@BQU<8AKLQo?jGKt5oDf8 zfe`v%5I4#-a|Rxc*ue{RG-r(>+B<(N)cW0Xa=TY#P34~EM~iEgh}y&M*?5x!F|nnk zb8RD^fw)_?^?7TL<0z@>ngFu9N2SOBmAjaz&JDFIb_= zZ6NX{=aCN4`!E!>ix@AjSexw2UvIP1Jx&krri6dqM`t+)q$?gZpKqq+=$h)HTmD@# zUJC_v<8P@mPsepC#2A$tqBk&lKQKG`)oqSK%jP%+F347PF-MK+etDECoAz;S*j)i!CUl>X3UTkZCJHW!fpRW)6X6PENjDOlNd1O|hJo>lBbsY6O zJUeGuDJI&Iz)Bf%VF$Rmf1eKb58`Y{ezv;1| zD1c`_$=&;uPBwx1&4{C4Fe`pH)udx(r}lVprxXGp)i|fAYx%Qy&>p+uw|dZaJTWYV zweEA*M&Reo&AYnC#v}CFSY2)aZ!%ZvfD>?er~B-poFuX=?JYk#lBOtLi=m4AXoU=H zeXy{dXyG6Ly%M3Jg@yi~c~BZe^e+`B-d&o+9up-`Vq)K;NPC*Ne9c6iK&6F=`V$6z zowf4;Aq5W|eus$4PFdznT;uT+p6W67wE8I>Nk|djFvwXE(lO-SASG^8w?w$hI%ZN~ z6^35Lz(yiKl^zS`5kPeDQdd=`g0(cz*g8506`m1M7_14;Fqjw z4bNXUbqlsOEwl^K70Nlq8@wl85{N1QLn?uKtAT|_5Ua11pe%PZBR#~peH#T<9|INC&u~&8;G()cs~8p&`vK$K z#)s7cHKXRlI=EgsST64tkq4{VzX<-nT_ek#TJ99+z*Q&Z8$NqO>y4N)5km|VCDpcL zm&aHW9f(n}g8m^K>J=h5%yW9EECR&sK6uP$e~2DLaphgHQSzMb^Xxox-V6aQs*Gpj zzs9=}N)fr}=ad5u0v>2&_o)t!LiNwwIkz;JbL}Dhy>6&lWylcLT-SmFm+16o0tq@p z3Wa7(<^3z1NXOz=-Z-*b%m)O?x1qY^xR-PMhsjoF@hl!HA6_`5sxE7*QL7YYEPH}A`<1g&wB4nT}$qT zN0%ZNn4CaVXmx_orZEOaQ=kMQ{CGH4rlt|z;WERr?|U7cp1#I0bFo@bPINRPsseHn zRs>B`JrfA8nyD1eh_Cw664BTw`xD74u~>p5Tp_VzRw;<7E+*O*NvbN*w-U>J3u`DR z#(Z1|8O-xmT2VzQtD?Q25w5i@urpya;Aa0el`n7kkn;DGCU;SlxB7oU((s~WUK8N# zj<_b$QAy>Rv>K_$$p;w8Icl(eXqzE@*H+VS%GQT28*KPn0Z~gp$J;%GdaGW-MjSGx zX-#^ojvwip$_TYz?S}b6OC-@NBbit~H!Hjjs6E3PCDhCfD=@qPfzW&kKp-@2x6~Pw z%sTW}=>_$PdWHoV&i?eemRyp8aT;XQB$1}nWueloAPVYq_8)Y6+w6DXXCug zizC1PfE}G%0uPBC+p^c)4T>^1!bgLe`X=hL1qo7#friQxmO=gGU7dgT5h?M;JjaD* z$rBMiz=JXf7x^vX5Pc?=x#g3DNHyu)%`h(E2R$22vmct?kdBVP9~Y8+My)2^1z9yn zM#DcPAq<1ZFQbB69QX8&{8n?uXi3-jor*W3dU%|0pO%Kl(vD+!mbH-m8>JpNFT`C= zAWwMG_$222!dyS~;PyXKVICy2xWOe?VGlMFLWLngxkN(#DGpq5vnQfIXq$dVPQ#wd z9q_nWQI-|TUdVQkk-ZWH5*SLaQRKFsS)dcebRur1g1p3U{!t-l81oJ8$brqeLjJZz z4I>_3l!Ymg6u|>iGJr(y%gtUsq;tY1mr*d1rY&2{6fO0MMqnJl#JWhJ;e{i8I1C;B zmh{bukVx@PEU>9kshdz1Qk7|}Vb=>>73D{X=&CklLbFv7sYBl3+s~bO`q+?LpTgH@ z%-W@Sel}`H7=@8tJaKJ!>fjo4C-6^g_6|dqBN?1&0jh zZqw)JTtIg&ma4-XrtHRv4n+=rSA+Pmj$!-;Iyr$9oR85c31bwOi6(6y3qqca)OLwL z)`iQVOMPZD`0mH0>CKHWl>YJE!?TMAcCgDK%9vo*c^Ay#@ zA)IeBseQ!}-lTCxAI3^3MT3r{kw{2Qio-s&SQ!Bi`D>zS{HCYTuY$BIrfZ4#ew=Tt zuHKI`UPx#H)7w(e2k;W(P$9#=42yBZ+0N* zG}*4YJl$nt;bdGB_r$oeTFyU)+}UL&;En^|0%iYjtQjm*xMuaH1FSGN{2up*qrA(U z1G{j`hbY)gwjN>2=jgFPQnDN&VHKa*SxWQ6vP-O)UE))2;YA*ja5J%T!nPS9oP(wg zX^z{o5CJyN`CO0>p)4Iq_+v4y@Rh3eT%lwc|5vSZ@`1}QMrGAh$suJ8M?#5A^)l*t zU`lZ@b;wl8xH2+x^&g~4jFPIb;Pq~ajrX$?E`Dbbaq4|MwALr(sEbiG@+`E;rdGu=WqpnpsT2*elH>RV!7z4RJg+r#l!>NZhz}h4MK8um%P4wiJw&CyfK!1-{ zIanJ3YewV_p)00)X{)TIbnB{62wDR{CPO2)2_(;4+e zC$)(#9(G;bB${dpk4bcZOeRGcwX53DBd+U0#RMTo)tZ6B!rR4Q>gCj0YI(=|=jZd? zOKLscgdE;|jIVUc&D}$CD~GtYu2{-OFsi^zIm`_YLqsf1AJi9^9X52L*Dj9z{`Vl`cJo0%o^m%9TUC&{H71!gTj}s6OOc^rTD z=g@6X#{uQPmq48-6wxD9*5+5+C{NEANL zH#5q9-3HuHP2#%DvUTDJGA{{?_Sx2_vUP! z4@K4;uGF*30qo7GuW*S?Vpx`Xaf`K6kfqJ$aw!0zPi?9UijB){*+1Q;y!|`F%l9}` z^y+385AgN%>+s?as&@MR{Gnf#^6mJ!3*3xuD;Lvzpn3BBw4yOU)f1aOrx%#6Z|03| za34N0f$QZbVIyWlNe4>4LD1C9_6j4|PIhrTzG!*awlKRI$7Z{!O@EvjL_RUyk-q1k zJh@&T2~5*CPODx<2p$=n-~FBMzC@@VrBU18%g-ptr1R*P=tL=^^NA_{RJWfgwEw}gQRmROtsl+H zTD*1q#S)O=IxCfV^XIE`=>tx140(p}&SdU7QLC5NoaB63vmZ2mU=P*@Fm$Mc9h;lnzxF*d|RAo2Bo|7keqNHuxUY#n+x8^G88 zlLPQWF%VPK<;}Uj398SZ`wyc4C z>w^soaY{{3SV9W&DFM=}!+Sv9#P1;@V`uBsSK=2CXEEegZZhyo{{}d}ja+A$5J1%= z{@JnMH1tHp@GY{z_q3AWoy4~eUXU6=(EaXT;B?ioLsPE zmvrMbddJWNk%D~KH>cc}rl#6q5>I>(a4meX{Sv+be8B}@BB4tvR`6Xk{R3!eA9wf% z-Vf7BJfAC2_wv9N>IqqG+M0RJhM0vkI*pV*xWKNBUaj1aG5<=)&_6S-jm{DyeRJB) z*X7+j7f#K$WLG38rmic;&3SgDnDK8X_eqie?2Q4=mD`O5jywvx=IEbANG!|wro+>U z4qltO$>!!mne910Z7@DFZ9DN&&EFM`u;nd6urU@8a{jc-M!vM9I7n{}Z91JnhTwlkaw zr7PhbnAzwlCZ@qL_T;W73aR*#dY#Ip$+QerB~vfmsnK5R88lV(7n+(MD(rXS>-C#w zDhrR*Po0>$^&KnTQ>9C`jI9Q)l@D36waeydVpF^E4H66+U(%`9z6J5$(Q^CR(Ua`$ z8~=z;Z}SXq`3ZMAsHf`~wnOQb#dszVPwK=Cgq|q%x*ACw-(?5JZ4pS7`N~5c4^$-h z5X{{8yu?wI>^;HQqK%e_-W%1@wZW4SW$}Ni;3O8>?_DLM;jPJkHmxPUxJrnJ*Y7Km zqT%(j-XcApaSI>Sr;`%NBvND=@e=-s3lBa~e#^*T-|oRghMWhbTSf*-SEU0$Of#qZAA|1DPZFsW1|W54bf!&blx;wyE`Nhge_|+>Jj|Xjal} z3_Joy#B7DHv6scr{$YQP!8?>eSLZS@+feAdH%(AuVt35!x?ZN5dX3D;5T~lRaNkf zZzf9ZrO#Z@Aa_GFv1DwJE;3y@A39Hw2Pqy?Sw=j$^yMvd%TPcXdwcc) z7nyYedG1e@dl!Z$2RmLTN0^(xDK9x){2!+3$5p|du}k%_Mm~Z(M2>siXoRMPvKg0fyAT8bjhU?Fo+X|dLuU))WFyWMFL(ar3JruC= zzhsi}y7&YXONn0Z*-$`=tLS?G=G`9k!U6T+sPbfdaR!Mbi%^`2ztov{56unF7RK!rvyYxaoILEloh0{Bd7xH!zvE|g9|RY#x|?H^|j0d5oO)6 zD_2KsG!NnS)tMS3WKE(@9HCS_@0g1iPu3>9J2|W4ssunSX9854MnZm^ zELdgjXkbicPpcj}IZ4jgFSr=`XST0{HlQtQ*{zUm;N^3l%nEf)rvlYbp*z#kT2wVNc z@gbR5TK~HG#69S%IVz|Y6%ddSmy5Mj0He&ZswV%~(ifJwUH!sgrTf;7Ju-$FjGNi^ ziyV$69Uu6p>m`&>)Gqc)b5kUW%0vtGL|zCHHlsMr_z`-3j(_TZ_Hsf`d4!>;Xi|Gj zpWG{GApY?5`32m9`j}JP`KwsE4Dqq~a=N|{qXs_UCG^wNC%$I zSTIyWR;C&bk<`Lg**zDh%BNW;(fzxYlntWYdVG1hd3l&Yaj(3;oolgD^``tX%1uBK zteWevrAd{#`0jh+{VMX*t8crde$r#FPyE}>DmtBn%SIc=QlI~HwXOdK>^g@$UW|hzq=RcSE`S@tJ-B&!Zq3x68lJA9Z6J!|}0oj_OLIi|&Y>z2CgTpFdLe zO3%4|bQm=AwL4`}?2k?G+`J&?jNn|RUX0uCFWeM&68`H~=;zgRBFg;N)w>5uX@cYfwMYiuxHSJ{eiv0uQ0|aZOJ;PFGHf3Ym-)E z6ca}N$d2oodewBx;b&)zPU=7L(I)Q|D@yv%f<&Q-u7_+RLs#ia>D|n7&V-8Y zyp6WqVLLsij~;fm2xIjSdB@>W(~6yoHqp;C34YOWesp%#jU*`SgD+<`ah!~?0^YX~=sA1chP2<{lHF)2xC zkZ;0n!W9Z(s5r>ia(^b12f>wL6wACe>C;nd@tFYgL%wJSrx z%b60?WIEiYPA;B|o9hTT7f3zq{CcB4jm~@2eps<&%~y|ikt^l&nDWo*v=BmY z{g5(kzv$F;lo?VDxLbJ1jX4?@+*x>`vRLmcSatL>H zu(Kg*$y)!R#CQs(REqXpRMlo?g_cqS+|@9%1()3Q&n4l^a9+RCy8M^KDdxY@@BPnb zBr`s*M#3xUaml1QHdgO9t+>0a3OZuSUD|ZmVNN0!s*@we$TOsW^GP<2 zf4o--o}!?YpxCO@@jH_GdLldNQhPOAy4sul)pZ|065q>L_Vwm@PsBJo@+FG(N*wWt zbA{zS^CWZsHCMk~N#r18;|42gPC+YUpFB|t7_DV+@X=EY(@W@`AsxP1l%k^-b(-z|YDk^c(CW9Y_(d>Jm5pA^5~ZoQyEwRz*Hvjh zij6^Jp(e60ReY`L=}7aA%ZNA~4FvRF+qToc<1=PIJ?w4jX!IG6g97Y4lJsu@AMI@b z%QJ${S7%3t7*lMof4VZ4?QKa@G$2_1fePOx!w=_wKqzO*gE*hedwn+PG=n*8V-)ifVbMuN@PXl-CP*NL0!>NRDq z10%sfiUJoXR}<($`8>kL3n~B8?1?CaqxHUz(Hy{HiH2Fs;IE8OMY2P`EsMFY4OoZu z^-4$Y@?NaGR~WAkO-_dPBu7V=?fU1JAt>d;c=+h()cW`JhQ(8REt`&Sx(sc`wdNoE z0fVFy@2f$B>OMc}i)hcDZpy{0YPLpssC<4Q`R*%vNn{FHDx2%)_i-tkkN8UyR84dW zD9BWG&M(ngEUO(UMyqRc1vov(yH?%37v|F2DBng16-{pat!x^3P|E6^D!Xbn>ZLs@#_Mr}2fAv1s>a41HGu_u!$&G%xvD8Lb{@6n)Qq#Tz z8ooa6>@$s;Da*#Ii>11s{cL@X(bZqNXgsXCEirV=Y&GBD4?z4LYV7=pES*}b-{3KV zpt`5A;^B0@&m00I*_~)oj!TNwP5Jiq1EYiX?C4uxOrqj=YaOT##d<$t+G)=oe-klg z4ykLmSyEa`v(-*y37H~co6^g~X{j$=+?ZXQj%=Jrm>zVnHC5!9&jO2@GU+|F)#Mvj z%#}IJ!~SB6v(~PeU?obZYB&B6bfcG8#FW*=R#(+nqOUa&%xV$@24rD$ZOYGkD;sZ%SJL8w#U%v5{bT<*&xEqsKYC`MZcdoT4`EnT8?!lEdfX1It> zV$CyCA_A)(N1Mr9S>2*GO=1M*EWkEN1Yp^L zvyfEJ0?h5@U-4VYoU9L3!>u%?X$=>ZG(Lv64rkGTw2kzVY8#NFpT@K3i3c+O?7Pwv zC?|1fvle~t;Kcs}BY}PJW*(R#w59zfF1>`Aw4<`;#sEL=Bn=?{KJ;TXIsv1NMi)(G zFX>PRKwbh!3tedc{@=Krgw?!`N%f+PovMWNS(FhZpxJ7MXWVrmu$e}&tY)3-5@VEe9@Ku$`P_g^`s^Rp ztp9UGJBd3ylM&R;Nopq9l}!%u>25sT_?&dSlAAN;AG~`(PQxf4yc>Bp!rTQhjIy>S zVV3VG$RS0*PL90*r)Ml}&QI6~*I7{78C-O~O!De}*vpU993=TFOs=(lw_r#&qcd4@ z0aew4;jYMs+>WleiHOC%JzW^p87lXz(TIIS{_w#c)3TlCS7Uh{u2NH;m- zzyYl%Ec_qq37wNJ71|U^lr5DMN*2ZcSpxvVrSL$Qvl890L6~dsUS7hbRE{Tvkb>>Q zrC7tzCa4y$fgUHHUWXr23wMT^s1a{9wf?Qiq?|4>%88%>OD|$6@9PyoZ6Ve$cTVQc8Juc?!qS3v-u^TrNH7rh z2A87!g>yw5#Of%gouLVuCj!ll^E&7!e;L=Y=`OL5B{j|P1Scqy!Qo;3AAy!&C*D6? z+j(P(mXihn6L=SP!~qvt$!1jg^8b=D;r19b7{duJUQiS7ED+}SbLY~@RQ42NL99YQ z3nniDZUzAjgu}sNU-8131pmLYCtT=>_u~~nmh}S~IUSUy*#A9o0?nTawXbDR$@iL2 z@zTS_+Uu zCEl%q&0%lyS`jmZd7N;jNj*D+xks?)?0>r^x`{U-$xvarX(v7n5+qTBn5l^SY*!fv zN1t7O<9N50ar2}It@EFh>nhT&8O$uLeP5IE1b~Mv{PsK=_;d8WupC)>(0nKl$$HSd z+SCI1w{T`_!-a)p!6X`W@)yoKD92Q#Z&~#J5l*M<(*Gl?azmIST!Dmu&hHi*Acg>% zu#K8GuI@FhAc2HJY>-fxHe!(sJY|-t>nQ!V{7;AT9X5eqC73RnF)Yb~}oaiPH!kp21dnPG}u5n+_6eP^GGTc3EtK#U3Vg!HO6&-FE( zpi#SmxL1O$MJI(qsf<|H&@leL)lc^CF<(k^zvI-(9Ra=n@Co{WPd-6~%)$?1mDg_L zf-paQtcf?bU;b~8rK$Lkfc{ZHNzjjLfH=({sTS1cRW<7b|9QI40czr(%zs+wtg5O;UsKbkfCpqO*!9!D0%<$NIuZXtQd?ZeC(Cjze_>`jd(D^L2RE< z{1P&h;vGtAfh_J2gEVn_jL~Rc@O)a}0XF(5Z-k93(sQUE9lRW_Jt}Y=u01AD>hp$s zE40`PA@v$_;uh1TAAFH?Py_(+-Jfy}AGsBaG;zrZl{@mExWK_4Ph`#XKiF3{3=~Mo zM}&lmYQhaV;i9_DBzzbt_J3_VP#v*+!-c~MNyJ4@lddJvbm);Oao~{1GLZtI4W;o3 z+nMNKXk-yHQiPGVNKhEhsFk`=S<$G1i}4BWHR;iIXMdN4G~71)R3sT5$(Sb%8WIxR zOa{VKBD*kY23c6sBBbgq0coi4iv{AXND0Dg=W)Vj76QUjQMoY*=ufP|5Xcq=CJ^7V zT)W3e%{UH%{*^Td{m8Ni6#v+X%mPQJ9>ZKqpjmi>BX+Z6r>pTHpr8EU04#lu5GP{jS5#?^5&`QZJq? z!DBSLzcXKARdl!)XR6B48aC8BHhf{GeL$sW~BBP?7ou4?7E zyoxi~Xp%Z(>#1WxI`hf1%YANIkYDBwz;tSYCjJ?ushs zM$86v{SzJX<{6eL>P3fKKMuNCe7+kA--g~zI9&>uCI$2i6A`vE9ZYW^6Nw*=dl~*M z5=!+m5DKY*ng#Xu_xR<A*K2T2uiw{a{|dZu zK1x2)pv9Nx^EyUxd-9-%jj$&pKI&x$jHn1gbrEmGlUEegFO-s?z+X?Fyr4Sg~+7w51PWc zJ}-_a>S+t4$g|bgS4-|)Tsr-DyGP=fe})h`qB)@6Ca6L4;c}l`xl7_0FA*&XY_DqK z2sJ3NVAyV|jGae4H7g>6TK)_fr%-A?<#1D&HH~KMqJUg(U(UZmN#Bq>+5p*T#Ye