From 834c2b01df2d9a24e30792b2261217f7bb08cf41 Mon Sep 17 00:00:00 2001 From: Lacroix Raphael Date: Tue, 30 May 2023 16:29:31 +0200 Subject: [PATCH] added jmp, jmf support, speculative execution of LI DI then flushing, boolean conditions --- .../ALU.sim/sim_1/behav/xsim/Test_total.tcl | 2 +- .../sim_1/behav/xsim/Test_total_behav.wdb | Bin 34063 -> 54107 bytes VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.log | 54 ++++++++++++++++++ VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.sh | 2 +- .../ALU/ALU.sim/sim_1/behav/xsim/elaborate.sh | 2 +- VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.sh | 2 +- .../Test_total_behav/obj/xsim_0.lnx64.o | Bin 50512 -> 54360 bytes .../xsim.dir/Test_total_behav/obj/xsim_1.c | 29 +++++----- .../Test_total_behav/obj/xsim_1.lnx64.o | Bin 5712 -> 5920 bytes .../webtalk/.xsim_webtallk.info | 2 +- .../Test_total_behav/webtalk/xsim_webtalk.tcl | 14 ++--- .../xsim/xsim.dir/Test_total_behav/xsim.dbg | Bin 18436 -> 18988 bytes .../xsim/xsim.dir/Test_total_behav/xsim.mem | Bin 4966 -> 5094 bytes .../xsim/xsim.dir/Test_total_behav/xsim.reloc | Bin 2137 -> 2278 bytes .../xsim/xsim.dir/Test_total_behav/xsim.rlx | 2 +- .../xsim/xsim.dir/Test_total_behav/xsim.rtti | Bin 711 -> 711 bytes .../xsim/xsim.dir/Test_total_behav/xsim.type | Bin 7424 -> 7424 bytes .../xsim/xsim.dir/Test_total_behav/xsim.xdbg | Bin 79656 -> 80264 bytes .../xsim/xsim.dir/Test_total_behav/xsimk | Bin 58288 -> 62464 bytes .../xsim.dir/Test_total_behav/xsimkernel.log | 6 +- .../xsim.dir/xil_defaultlib/aleacontroler.vdb | Bin 5211 -> 5567 bytes .../xsim/xsim.dir/xil_defaultlib/alu.vdb | Bin 9789 -> 11221 bytes .../xil_defaultlib/instructionmemory.vdb | Bin 5645 -> 6065 bytes .../xsim/xsim.dir/xil_defaultlib/pipeline.vdb | Bin 30143 -> 32337 bytes .../xsim.dir/xil_defaultlib/test_total.vdb | Bin 2484 -> 2029 bytes .../xil_defaultlib/xil_defaultlib.rlx | 10 ++-- VHDL/ALU/ALU.srcs/sim_1/new/test_total.vhd | 6 +- VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd | 22 ++++--- .../ALU.srcs/sources_1/new/AleaControler.vhd | 6 +- .../sources_1/new/InstructionMemory.vhd | 7 ++- VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd | 36 +++++++++--- VHDL/ALU/ALU.xpr | 12 ++-- VHDL/ALU/Test_Alu_behav.wcfg | 28 ++++++--- 33 files changed, 172 insertions(+), 70 deletions(-) diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_total.tcl b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_total.tcl index 74e0959..bcdbdc2 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_total.tcl +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_total.tcl @@ -8,4 +8,4 @@ if { [string length $curr_wave] == 0 } { } } -run 50us +run 100us diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_total_behav.wdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_total_behav.wdb index 7f7600272924828f06b22805316ea565bb78e293..69e344e58e79caaa54e90b04dee26ea18d89f6af 100644 GIT binary patch literal 54107 zcmeF32Ut_f+Ngsls3_R65>ybZfS~lyL`2!7aq4lNTcZ7pM6aalQ>*<`-4gOi7&wYv)mhXhR|UMzUh*1_3c9J$5i^G&9* zr%%Q!4AGMRyI2-fLuD!r{7B&*q`e}u$&5WmC*zA2ld+Hq0{(9zA^S<7=*0g9{1+Fu zgMo-boiisDo4lNi^CzR9U!`$4TTp7cLwk$vNjqnE42et&&JRiKLduc()dv$SZgR*- zSA3`bUNG@s=7$sB37oW@1vvUN9Bwy=LD%ApO?D9X8tUom;6UbtK+8i)>VOnZ$_$7s z5IG=+ftUi>3uG6N%~CknxC@ZEK$D67Z@ReI;0BKL7#Un9gDZIggE!7(TpKd69%P)R zw#WqG1lYnGsxVEqv%%r!-TTxA(oPP}I9yJ0<>l=-+;Qlqa{IOv&cW>gDYWAU{@;2o z4phwmJvY!YIj~=A@5Jaq7LLp1(&5OofXw5y5%Hj|j)~#^36KvLhr|>8`E!g=!8p(m z4MZHIVFUUGCc1jMll4HBAKJi9a046o&CZEvO(I!S{?rUt8|(t8{f}-Td3X@R0JKcB zf@;}sXa7%Vfi{Pl1)&NMuv-4l`hwL0ymlg>`${8nzX>)8!d@f}LgGXuW+JgN^g<92 zH{NezqCc5|ToDX6f+X_(D?j1(JGAwH!zI2dIGhnQo;(gG4crYY zBWl3WG;p{FdXr)V*3<|Paj;gPtOr%0&=4^NF*X!}e*F&mq&jo5WHE@Kz~u%5>^2{` z@WIQ(-Q*1XSq2htM&Lafpbww{U8L|HYFLBBE07pO6YW9&fh=UUB55h)9z+uXwgUrX zz#4v&8iRK^c&om~%K6kdXk4Fo@Yee#UG73|z^u&7Uz_LD@XO2v5Om2W9gCvvdkZ z6O=6g%$g~f9iVI>VBk9cS$}qcvPFP_ZT^fg24!%)z&3xzn1Qk-fZ06-a|D#Z$F9i~ zj1?$b3K&ZeL%&7?oCg@7|H%S@YruXA25cL+w7_f!m#DH9xf`Mxo4+QoH*{Zu%#;|@U5VRcDhyDZ0VGQfX0YS^* z+`%;TQy9bkJx0>7F6=v;Z`gkr!}>Wu(E6|(&NZ|@jA8rbKzPgHJiz)ehV{P#LCayE z;rzk5gE3rlSo=b|!+jXF@86ZfJ$R~exc^R74)?*S%HbY3RXN;qrz(g0?NsG(ubrwK z?z2;s!##GYa=5?#tQ^jvEMj*MO>mHfeg^|Ahx_W!>Z5z=&&r{mty9!T_tKx$hvm>e zrz+Q(q8$4DRP94woT@%7hx_Kw+K1(E&-__Ax?ldR9IhLOX%0?$wAgZEspX(>TR z0zngru^c}rgWNpiA-EKYu^ikpA(x7jfB6Xq_j$-YfEyVIPmma^51IjTe$bIYIFH0w z4t5)IX2=823W>2C><;8)kq4R*5@R{o9mvf@7TP={#&WPbkZVB7+kV2q?m#XTE*KDU zkQl2Eb_a5yaAANDiNshAHUqii(7{1)MPe)mn}M7rbaW7QAu*PN%|LD`ba)WNkr>Ot zW+2y#l#l#`gUvuLA9>J!Kw_*uSRHb4a3O&30Ew|2tPVLZc<2Se4~elHtPVLNc<=?m z42iKEtPVNpiFZ!GACMT!!RnBkH~@oaCOim(faPFy$bCV|8-BvUY{)%K3FWQTz+%A{^ z!3c@5`qqFl$ce)Q2+~N5<>1)~awACj#9<2LVL5n4gWLyL0fa9|jOF0j8*&d|1rVMf zF_v2g${^_D^974({D3w`~dz?olWQ zKRdyI^*`7y3@E2KdHWZ;U^yj(!#?-1oHD{;pXXRk1>vyg11ty6XfR-(`&bU1rD4Ff z3(ptOXVCGgLkI+{J}gI=#Qw6cVL1(i!|p?ng8^+r=`x=(hLOAUD#d6vRhxKPH zr-N|V^AMKXfpFM!4wlnJxCe;8OY2W&V7Z+rhdC!gpN9e6ukSqNC}IKpA)1Agv=0reM$ z$=ko2+p*m52#1{yEO!Fou=^yIb3{1o=Kw6{gmBpNJ(hDuIPB*IEa!r7*!LFjb2bd9 zzqn4`{^flHmUBZm?EZ}9NC=0uAC@B{9QNFV<=hbtyB}aV4}`<|0hT+7aDQhPxJ96L z@tlH#=Sh_FLOAT_0BpMygcCVug~V8Wz6iGz*^tDM z7|T%+4vqLg0)EzjF&f}IJz;PI&+_Ow6P9lR0=Ea0g&cgp0XZ1M0D1V)5oKT=st@MD z_YE*W4t~~v`r!E#< zY~*bhe%3^}4ImA}-*NmPALV2xsK4i6`zVKgUimwHu>UBBejb1vd?xeu59Xm9dhY!@ z4xT|#ZZAl~@OK>4jdJKY26D%M@U{z|*(ise6aJ2a&oGoj+<2m0tUkD>qa6DD`a6Aa zk3%{1`2ab%_IdjUeH-P_edg~t$ego`PGz!@c9-&VcmK>{ragods#${=q#3?ce7q zxJ5kN_bIr=JX{wKcNe62+g-xL^-saUy$tQ&$Q0aC9*)Dq-2>^L*<~710R0rsHE;ix zfqS%F5gzX06#5qNaF3_p#CW&_OuNf@+Lhwr5-}WnXN&ev9^v5LBnbq$1*w1R<1kN= zhg%2kf5xfua8grnnmnBJ6r2tZw;pi3c82ei;W!|o$HT#A1TVJ=;ox%w{rxvM-Ypmo zKGRUU7$N%L-YJ3M@CXMH^tlSTsqA-vM<2AGI1u<8g4r+)+lBpuzY_ri)CZrxun_tf z%w7!y?cY&^gL^D|p7V}(4Z=YL{@x4>ki(7x)`R*W;>g3naq#Mc^-#`@hlAtbK3;AU4|k7;o63IiJRH`3n|bsl@NjT^$Sp|y z*L9B08|*I}-_N)wJo>Qq+XCvr`f%Q!^Kfu{ymp4~#!>DS4+s6;4+vbNFdLrbAU6XD z>i6(0fc1M=4|1>$>i3Y70K(huR&bAUsNX{l>-X|J9P0Ow!}`4f4~Ni3Yt z&Ko?FqIN<39&%W}-_FCKeh)dU-z)NPsNX{lJ8w!nTskrz@C<^r3w(!;+Aoub!`cO& znNcpAhl6(Eoe%g99p%tJcYy6;?E>GSqjo9e(f2cdhW=N~!~M*kAy>-7LAxNgAoY*+ z0l5!69Q5a(apgQ5T!(+hfo$A29!?Y7!*Rns0cNWMf#ZdKU(ds7fqUc@r2f%A-t_^` zgxK{#0C}jL(e(j2?E28);ZVPa9M%ssc{tSXAqV~7@A?PxP``&9)(_yg(D_CE9&%Ve z(B|P#zlR)ledzFT=<^(&fwAM=fpD;Y%}B=~haImj5BGzIn`*r1z6eq0y(VxcJpxPcws!1{ZM~_9M)gy9?8Gd$w=RID11_%d{@hLb%9&S49BnW?}&j{qh{y|kjQ*iruxcNMsILPPi-+mr$ z$rPM154Um(&V+|s&BLw6w0nSuTgSt#!Eo^X4m#eAJRI~Z#GD}Y55I?q91jQQ?e93~ z&uaf9w~L4S*>eHvJM>R-f`H`>@EsVO6X-j<0p^Q?jGytp@+a-~%MSlpcKDf}qrT5Y z5)jJNmmeJ%62o;3pEv)f`Tr_ApxuGzdKjRc;aT!urT>4b9@JjYW-!2c{GV*M z$x(uFB8mSg>+wIuKZ))xt~e7rvO7q)TRY;k?T%ZYbZ{Yoq!IXWaJ2*fHoy+2fOerBLdD-n~N=_C{ZT&||;m^E3 zYsf33c?y4)Dk!3P+t4-?&wp91)q)p8S9sNi7ae#3voUeA)4|!=aWZ== z4j5buUH}ZP+VG+?ad9U(61Dbv!1R7QGEvKMB8k*BCK~K?w6@0?6Ah0|#yZIT;fZ*+ zhm$LDA_Zqk3px>O0Y=&r*Zrmwk(RA3X(9n9oM;Hf01>2-{dS-xxPXe_stqruIBQS? zI5Y8g&;oAa;_Tu|)Bz6Qh%+=I?$sym(4QRqq#B&g-aWYe#wNJEhFUvtx(1-k8Mgyk z%i6;WH_<-y>?gI79FkJvumOsR=`o?8vxd(3TUX0G-_LIhllg zHFSl;0#{u#c!eDW5m*6QFh^@5Lkr$(Lr!PnVqmg=FU}e)4{!%5H2ItEZ#V}sw3Ic; z!Tq?Cox6h#%m5Tm#-zW=9CvZDlOZ`;+mKuwykxXJNOsm9G71hP88QVJ&B6JYi;NM; z<##*x&Bh?Z&dH9vSs!GQoUGj)+&t{aG6#3<*ee5;Ig~AAk_}mg?BGO{lX155lyU!a zW7=}^xGhe`lXODH>$vT4TW6P}Cp=uY$~d`rI6K%_pOo@8JSb`nKEgs|Muqejs69bLZ*N{1UR&ejf<13 zgQJ~^i~iqC)5PNVx3%WsOm?t$wnM!5zu%77r~AKPp#ShkXAdXvu(Bb7H463q>l~d& z@xRr}Cyq0dXP!wz{kKohpGT>GQ+tym1g8dFuYXgE@BuOL82E3u<>c7F!3I1>|4mJT zmcSwQ-_+RT_&}53MDTBF^1mFzC)%7iK)}zpFd)ZQoD)bu$wg!#_&^B71rhjt7ZeTf z9u&$0M3#UT9Z&)g;er^78F&c-u3N0 z-Nfl4_wYLps1JT;3cnA9|EmE0KLYqa2jKq|&;$biKLGq60LDPz-}1x1*S7+)74Y!$ z1N@#BeuoRcpGEb+?>J%E-<3n2mxbT2!hY%egol0I2}BRbE+DYa@H-gzy$SqI1b*KE zzsrE%Q^4;a;P(sgy94;WfC&)zJ|DiTKLq435ZG^dAZXus)95&$y|5hoo|HEr|62Ul#af zfnOH*548aN{OH9;z2VYqX}2`bkm39|bJw!L+fQi~$4##MKELI-!us8T`&O(iDA%|c z7NoOz=e|34KjL-6y`PFY>{R}v{IR5NrgYFEpQo0at}UV_cbeCanpaWlv&CY_F${7N z!-tyOLyct~`Q*Vop*G%6>2E)zUixD}RPzZ9de`guv0Iu&J!yldw`aSS6#Mw}N#*rg z&v1FSzOUccfGUu{wObs$)>Vooox@&L;MR7T=$X|tGN1MIr95M}@it2;%5<tC?1(W}aQFAKgb46L_HrT3 zP&V_`!yy@JkPr3aY7xTfo!z6Y>w-A-na|T+W?jfA4v(TmN>O_Gxy^RYZ}UtY>ep}; zqEla8mr9G>Gqjkwv8>j!>Sb$3K|7HyxT?Qlp=g0=d(pVs@Y{zg4pSFWF59;byj43y zYz`mPDeWy_mvg<2osefZ*Crk)4U?kW3QsHJD>^}D^f3b(pWV95AH#V6m=YvP9qlW0 zn#+CIiLwF{VNMJLPZaKv~?LLSf)3i_RbnaSFHJ`jJxpk}9Sibi%5%#nC zA;O2q6OE5(6f(A_F_W{`#?yB_BBXU*+<0r-*HVFIrL2Cd>mim> z@q}SzN?KWb%E<%DyNINSn;qdku@@7MoB5v9JE_>8J1*DVf4SeB$hN1N5tY_>5+pw= z__Ci21u^d{MAsOXY#c9$9#>2LoSr>;S;uLH%eIgwgEdM<^(>R->WS z(ZQB$hC5Gt+{LRhdN{7^hX?C-h*e428EDj)CWI(AWyw+_;@J{1oDjy)qgZVzkIq=r z(kx}crhS5=g{enmYDKO??H{$Ii*@a4SI;&5QI+Lp(K{w5#>~xle~}(wzlvR!c8Hp< zH~v;@_=@f;6-qu>njf`i>4J>*SQ?*8J?v$t0MoYreiACDw?0BDMr>L93(b} zDpOlmCJ>q%*ecxD!JkA}akLr^DO$w$F-uL zW8)*8?g2MDhf^xoI^z3+6g#8b&ejZmuD|?Th8b0-VP5jMjNIhLZAi0MYrVk8cI&B6 z^TdCq)vuzaw`g3}1F=;Hho1bv8x# z<>CyF!)MeDE$|N)`fA6IcC8&;7%P>Zt3-|?P(B^%;Jp4+#-fM>R=N&_1Cv@N>;Vo( zeaBwINa*SR#F*0=O>eRBG~p~#dHAjOI%%jxd8wxgX?<0|U>No2;A(uj)B$<1@+U1` z8)IWK@}-#HS=T(;G#JO&Tkvk-ozYxn#m6Gd?}c6!jKmstcb!aUjRd~QfOxcMkPvGp zUp4Ceg>w6{$hf*yM*c0SWYQCMgI?=briaazu~izb&u;AT4a-xDU_a4 z*+FIS#620^=1=j$X=Qq2q0f`I2R6kyI@ELeeA2dfM@LZ~>U575ZX-p|Kg{ZE>Bua5 zcTiyy`>jU&3YHZ$C}K1)YW(^NO0WOL=2DIAvn$yE~q65 z^}q0DpIS`4oEO#S`^0kU0Qk7=``71Y8?!-al4UemT$%wtJ+<({gug9QAUH|B+l z_cYbL{HmC=r=!4z5Lwl6F`IZOV0`ndVjV*2uIL8*_JX$=4`SbYbjY`!<2tMOx?R0l zyr+ABK|MaGOCWE#wm(?NUF}&`sh~%*9DXE)y(YH!u`h7>Cg}266E4plC|ALctjwzZ zP$)%?(21!#DdfDy6VG|E&!Z;8o2pQnW~J+qADuOlXU9F9Wf-scS^3VDn!y?G=$>Zk zqoiyPx>Hi?)vRXV^OWO*a%K1GMu|0twVkh6mt4EX-66)Yu}H`oFccf&Ketwd&_Xy& ze>t?db$9K{DpTe2^!~UIxBRy>=E}1Bz~yZRJnjU9e40hLC0|3b*%(_4_K-t(kI~3ix}Y zfBIkiUCrBYEq+ANviU=}sj?ZhcP*LfMzq;0@U zqWk-LF~?@VZ2$L71TDh*>;BHFhdi4s@%`6F)0!0%T#_RmoqOwkG6Hq?oWQL7i=%Q? zJ{n>Cotg9!`?q&W2A2m3a@#iD=hT}D%Q;aJ3J-PUg9nbtDpE(8@#ao_iJ~{CEv4*<9igLHq@bD>y!)6p%e%+;t^HbcnSj1J z`{gVv`f{1!#mXFcMH0jKW~fi>Yx>^$DxuhurQFd2#e8J}jG@PdLyO(6Uj$Ci0!~lZ zF`iu}_%cbbLpAo1yx7teX^rFCx~Uz^`qYYj)XLGpFmJLIV=OaPIP*it&HN_sM%q(v zcB40R`I;x*3}c3*r}AT6l4){=&#>g+p*E>;!;=Gd-pT4tc>TUg^{UaDGi7Pj4&!U` z&a_x|4dOo!*RZ_!oNiDb*dJJYF2v`=wp+{i?<>%EC|H^7UVT{LtCrS$!3FD$Ht6s3 zpY>u_AS?xMi0$Gbc#pVLot zWy*N1icN2|=o#&%mS&nq-S4s)XAn!J>E63FTz+`=Gd!K>b7Hf@-;WhhibFEU#ay?_ z_u@xstE*-R)xjl>~DEKT`v9gV?NY8vp(HrAFP!!pVeVr`)#DS zQ?zUaV_Rum*v2A_Vlv4=#Vc3ZsO7h~;tWxP1+#-)XEbiTD&Sb@CvVw$~+!=vekHE1O{@fp#V zQr_i!d^qkzVAr&txhe7Z<1EvCBknC8{^lf)WR19}s*{$^>>o-G+~)jlpkL^_*sLej zO(L{mW~eKxThv`FYgYVpMq35D>4^Ii&U-#RoV8bi!G^7?&d7_quQ!xbb3ark8dCRY z=U3Hj8Wf+K=J#8u_AC7*Z)I)2q)Lq@j*nc`GJ5#c%g3{!%^0V)#H3`wI z7|LtMR=xL-*4*QK$M|d zg__oFe}4y0xpJu~e#k1L&zKP%+jXuwgL%jI^-!*shVteVf?AuNw|sEynl&O;mOX4* z?4B%K52;#BWm`mF=pOg`qr$_4voacLw^y!W8Y_#+CuS=x7wFV(n$y}oV#tBiODT#mtT-9_r(^AxFLURF_}tUFX)p6G=VrzM zsUKI(J1=IJX9kt2WwS<n^kGwm!-m`y{s*K+E{7i@jLau=`FMLRD7r^q8g)jk;(gyCgWHQqp=+7G%GE=22H zYKf0^ruh5$N&Z_JPlh{wkTfAgd!-q!32xkU_mJ0UbB;}uYIK{Pb68gpt+_R`Kcy~Y zQ$SO|vBpKAxUqzo`&Hbez;V6bm22jN9Jpw6Q{?6!d^J)7DoPLhSCbxoI-_IT$ov+s z>Mtp_a_vgK8oZX=v|)ivgWk1Aiv=~_M5rgf)Qc10U#X#cq;g&AuyNLOPxhndSv^5o zmdZz)x=!U(?-A*R;~8=`rE-tFR%BnvFderCWAWMMTwoW)Z`e7=T`@f@C9rQxsGCfJ z$n(CX$K&6=x#a3|yLSt#iHp`ZbZ~g3MivOGD&A+eo|7xn-8!kGaRa7?gK0WUJ$A?-$ z0dcqGxfG&HhA&;6qt((D8CwW8YxeNCteNO?d)YF3YO3LyXT1%@eXi4Qm-;GAYb>e@ zc|>#E5uB51cw0uRspM)BQ;R5eYLH(vWX#^HX5-wiYCh`)>Y5W(RwsY^#=gFVeTMBY z#%TF!80;e(j5oMK5#Ep(J31hJyv4%k=I|SK!U~tu2UjgQw&Yy%eo?R}3AHERR_FgWm?nwZ~Uj8M~F4Z^%&%J)h4kOd#W-&#;X+v;Fp4j zj%Cmh(lSO)#XyCi;HhTo?R7J@cAp*WUJ-KYB-{*Bq-OVoX^el!w~7g}IdiP>>H4(X z?e$9&RO&*^gH(Dri?X7;yj&Obhj}-;`Yb3<`FoEfa&qJFeJ&a5no6|aUAEVcJX9$S z*{PYw+Lx6pNe{k(w z%tr>U*Guc=jhU2|7%)%OpUZvyLCK$z#`22YVcVE{y=i=AVNo!JKK*R6C*c3rpB zaCe*@loB$BX-RCZa4)M^%UvcmyxV_!nPuQ9Matvg`XeN{9-m&?m~SI9#o$5NI#bJ# zq$b*>rtt7%G5mWgk`04rKgiga)0+7ti~o}13=`;+?B=iMXN@$UEi+VC-D;-1Q)w3J zlo@!ZnjvqEvocb#D`Nf_s515s4-MZQTt-@OPj);dYvkgBG;7~6{QXt?7kTk{e5JfN z$P~-#Bi4&Z-rKc*!^z!&VR!H5%Us`Dl(6F4l|%QPYeQoX9V?hyo8;87{PyD4X?9<1 z1NSC|HNIb`Xl$BNe7&12JVdGMOo?IUJ+$oPa1wjImQ{Dfl)81Nggo&&|C!@##bMMZ z&=)c)AC6VhxFf$sch-MN+j&((I{kKcgn(>z3~s|Iy@#ok&1aTuf4K56OS;UUJK>Va zsg@(#XGl}MEd0{4O85#}lLTe6PwesAokM%}{odgG!%A_BH6>m57aUo!=Ea!d3cf2_ zPVmcm{(i*o8TTcBB)&o{?mI&mClui{+i2G3(Sl75*Ti3p-8aBp&C$Q-q`FqYQ%}_I z+2+^NiVf=*#2roV#tCu1&W`(I7-u%FZ8*`4d$#4wlFeV2#4S*I_~Sv>yc^snBAV~? zseDVaeh3x8c_B*@b(KRnPUGcf+NLZ^QWZrB=6?^ZddD zPx6~(b&28bJs+MPxk6!4+=A5DXN;kp$7!)tz72IXB36y(`Ek1mO7pB(7vAD_o35NA z>)Cw^ch!$$TP+f>S^*!7dobPL(Q)5J+Co)x1*IL-r&+PO^!QD*2dl3}Z0owRM!~cG zDbDWON)cI&&gp)Er`GZwXWOTTnPipe@p7#>UPt za;sFv3Drjn8EvI0;x^o}&VK!^_L*t&j^pE@vv7-hEayIP{qYhf8EYx{WUP1vZg+Ot ze5>x$7l7qCSE?n>v`W=XGny5dpk{i+VCV71Z6a?vvg^b)4ke`+S?|qj=m^qfBBghgQr1(?iEiOwU03%o6(GJk58+ zW4KMJ*Kv}jglSKFGxKn}rAnqnp3qnkx8Mn}g_g~9JJXt8!uP<-YfFxHy%gW>7_Zq@ ztR!X4_Az^0t8kQ{(X1N7>LX>V6*Ruj@C&q)nYJlP1s`Yoxd>O3w+ScBX*uARRzwth zaOM%GBeticZpU%jcWq#+yMeDR&O4lHBd4ifC5bDF&z@`al>vNLxrgrx>mH6NlOq{~zyT?@g#gjH2SS75nXx`!R#ys5B53&wh*Q-;MwaFVb_#T|;C@2)V95g=X7Auw@ z_#oAGMviuc4Bu{N^I1{H;EYQTUR=^i_c`*u<_HQV9}L2%`tj*e^yTa zhedHO^yU51I&bn{dFjk2t8q_KQ&QoFDR;*f6(_$BZ>Wj5-QF(+%m^pe`jtm-7l^!B zwIt55Z5@v3@36e+**=c@e0)cP553%p)E>$8}D zgP6WT^WC;uz9om9<{FWXi1@YKbmBKsNfga7FRMf5cD(K6%o33=VsLKP^j!S#CPo!^ zC8H8=#aazkO8ttNvYs`iIJ;QkX_5FAz72e%2fBw{1lGQA&7J4BpiB6P?;Eh(I(ufw zDpI6159LvDtv%JEa1{h54(T1YZso&Cazv(?jenB$E3Xydi#*~gty%r#EnjQB^0c@G zkA@znH(s;});?~{a-Enc`JG_%czlO%ciuf5IVhN4I=$b^kF`Wh8T%r{x8c<2!x1|*ZJ`Lk$;mxVo26YOWjWjEYd6U+ zt)81b7?St+S(53~UXkB$58B9cbKXRqfYsJ7^HtZrC{FJaSQIE;%U!o<$*Ci1^Utoy zKWC_IJ%1#`S?ku!z;LNMPiDR;JhJb>b_MfzHhq}{J!@6?60PxyMW9xu`-a&Ua}s?7 zXX}R6M&5cdbL_q6Eu-o0KBez7n|`Y(Q`%&OSM(J%rMaL+-6&zvqq#~)t`TS&>ug-p z)y`|rw=FZ&15Hu!J8yl>;h1cSI;DS6e5LZjvukz*>KU0$XXWP^NuM6beh$*z8P(E@ zv|hEkfqrIt3D5p~uA|drIE5N6CA&-~bzC1PS36R$)6k}TO@4ecKwq<-OK+Oy$yEa# z?Hp7C9py4-EDDVK(k{7ZiB_Z{{_OAkNyaT{FNQZpURq7J;RAyZ8($8%Os)z@NB6D+ zY5LQ7XV*xVTAq2cW@fz%>}TiQ=G&5yFJ|_?tOphRH1mU2 zA`iwrnWTlc;X6&Vqk{aGL;ao~CjP*GzUd)sJw^@&($x?99J zU^<_TV62U)GW(=YH$T5Bz3H^?rww3esRmaWO(~D%miedmo|qWw^{jVybsLh$h0p%3 z^R{}hXGP#3J?F0OymiT1x4s%tPqqljR}V;mChM8rXQl~ zfUd@$)t(@#! zq0e3mf@bjPbAbgdrS4@9y#dW6wFPOv5`lB;r59LBpVOx8S~~CS z;yquVOuMzM?d>tP_1f%3g_<9Prt3;eKX~$ek7L}aH>aiDORtklqR8FcoNsg;&bAE`fgc9_;TcetxZ#T1umWaf`~y+}_IjZq5|%Dcn#_K?c@;ALyU z8Ts_?Lb#A2tuLofzFwW~(0}~?&>5+a?vS#kkCe>!6%p5^qM12Ogf^-*fn`VY9u52y z5aUW}Y>LmbNaN2a7-WT|mo`;xYu`p4&)>o=?5hZ1eJ3*dOO^=Ir2DR`*ra$Mp6lwG7jR zQl>3KV{G62-2*Z zrU@-x#!M@FUtpJ65fQ)L^yJLo*qGiQ5zKb6vEmTLmGbm9mr;?tWNI^QuB+PB2*!zM z8S1(AO1-Zh7v_kuztG;a>(G^`8)T>l>FpIEd1B8ABUFoxdCBIr=+AR<+On_;YTQiSN9jkn>RM~(R;qwx3{Zx`44#-;4PA4$X@*c6z`4< z%Zdx4U(J<2^tM%zg$-$}H)EeJChD~|dQGpMQ6|P>X8W`ij17!AFq=Mhzqa)1&sWUt zYs;`?wpSeqDSjI+Rje0svZIc&k#ePdhCS^TDVv~VJe(IJ>SZ3`XfGl{Emxx*x#GK5 zur7&KEnY3i7-{Dhp&91_=Mqyn!r6moH2SVHNGj~|ot*N+%UF>~wCvWe5e*+*ItN(| zDJ<4&k&?G+ou|WvV!M^?V`)0$qc?uUGoRGi#YQ)!7+sc&9d(Q{zZnriQTfmsC>TSs z(`#%l$H$NrHPVU=r9=)p_kN?EYO0DM;RTgq3X6PP;M}vWGrtaY5R^oyj2j*wP%A+l` zTGv$XA5m}no>(eT8!AGAO+q%$jTzF)niX7Mx!9{ctu(xdP@I7g1SWQgEq_~VW*t@|d=)E9p?D9$r)sko`QZPZacI*)ojiqJ7H%lo!Q zX@xOk@J4;+y@JIRxDc8;157^4x!x&AkKE|>1@ASKUv{=e$FP-=*>e*gaL2>a)4Q7SE@t_PfDu8)iDQ!d5=vk@e`xH{*CEwya{DZV1Nw0x#tN}RZ z-4_CikvZqG+k(xNxutKtBd8~X>$P>?`*uHfBRN?TUW*1Rrg`HV5%UdhTxv4)9G#|=(Uz4O)X1{&68~6MyHweB zn)>`+uk1B&wfp3#gKmVTCw2Bw^pXbL#W+J^t}z@^Hf@yA@suo__tv{{;8Yoxtp}I8 z8{QFF?!^%?8>J$sZI&GS@W(W-e2dB!W^;KgE9~3b0y|nAH>^b<+axSoL?y3B9eQyS zQ(Cu*^+k^|{4nCC`H^HYsl7lg?P{KR*B`#c2MBnYO&(#7A;S%ykWFSk&?!@9e#A4{ zAA*Mlanvw;<9$9cj(2I}$7E(x%J?@g1<~LD&MEtlG2b%v0o$x}t~#>Z2fk1TC~7Ln zRU^ICm1|e`wq0O;yc2z&m=UWsa7JDvmd>=U#Xnp>TtorO{aYbA@wGh>T%mR2YI#Gs z$~3kte(e6~CVLvKU6V+&d@w1EIdO^M+aX02k@@w8l* z;Tge1pF--&2Coe=J((U^1IykY8M-m}NC<59gtxq#y{E{kXMb+?efAOYtZh}w%wAUe z+wJ&R%Q|*d(|dc>xS5+5(R&p+PpGBSa_4ehw}n~ixfV*xx8fdLVcxu!k4yg-K#(I zvF>99vt8wDe2!b`^6M_TV%8|lfA)gxmj?p1!8TA^ zpP1F*GZe^aZ$2k5KqQva>o{EQB@L>%ePti{ciAmn7iY+{UfJ8pCy@L}W0_GFTZNQ+ z^tPpvam%Kb@1Hk)+>tZi7I(TPt2|&!{{w?i$+y*yFK(L`tb{wdqL^)%=2jsclpc&x#pWxEHu)p-zm(@5r6N_up{i+ub`T0=Xn3fmY_1m=6MEjxChG@Du_R%ar))- zvu8F(yE^7s%848*PhS4o)e?Kzhm##jOuaP@lJ zb(v2Q?PpaZ^oJ4~B%Us8ouAiWo^X|3Z}0<#Rg>=xzH% z=SA<~2PUoe$yl)n=TAF!GM+nfA!)&Z#>>++HTSEq{S9z3Wtswy5RJ$d6KXL_gYp{RjuE47jPf4%c4%cV8U3w$_eojtXZC7xe zkMED9s#yeg7pBBJas4;D!)}k}E<6!7p#N1#U%@|I0vC2vQs2CBph2ief-d$(|Ms$# zPjRhBeJ)vIA6 zT*QLHci1Q$<#Rt8og|ap{hd{>FzD{jR4l>G+;zi}nILJb^D{K>MT%dz+7g_Rd;4{)H+$u|3cq7u8SQdEc3R@#{Q#N6>k?yR!4TwI z;j9B!5YZ{SqxRI{dh>p0sI^OQ6OP_KVi$AfvViOKY2s`6WnW$0Z&_20y19ni=kSPh zP}yo;(?IKi+Bn?O0r}_ld1;9jl8@f3o#p3um(=(<8fU3UY|8NIX;+Njn6xS_ORAAs zF{GMVVk{w`oFH4i>D3db(XYoIx=o)JDKr*bN68dAUwV&Ept^IQJ=$Ww!k6q5HIKLK z4w4yK9;Im=`bbX8|Ku`qTKXmZlktiM3xXOY&idUo(_gT$!bVZVXE5HRY3W(5OUwK| zrhi*S^(y;f(bS+byxnmBoG^(5iS@5zzbHzyQ?EkTn`01$gI?s;Qgk9^Q}`HVrql&s zqiK;ByCOSRq@+de=3DwlwvX;ehVGC(Yq^xs(!0MW+~w2vC=xv< zQLhcuMNrx&<}oU6G-=D?#?1__VO{;B6dfPU1Fdone>kr^c~E zc7~Z9svEx3=sofrOwt?i`OEyCdPKY)l#0GAp>%p_)GUQV+y$>V=fSjrt@(b)`0dF( zVd%#fX3?K}LgwIcDL+F`wI<*33q=MpwWB|By3}Ve*!$)gTwdDz)DP@7 z9jE>lMzCH1r#)=C=KchU3mb_k(TCTunDY!4lr)^5AuIY#&QO)xesseOt9drn!`DQ@ z7L^ReT5hjMH>BTJB*YHijB}fIR_oFG-OEE2YB{AhBsfMNzydnAc8R7`W&1$RGEo@~ zw_H}vBLA7YHq?0QVTbI``v&wgHP${ew&plMU?`s^36OhyNaL@6{L@B3sJPI zwydH>>6cumPk%Fi7b}xM9<6_$Ks%}!oO8JP+I9OAe6q*ZeR&KX5#HY|itc_^O>zr+ zP^k8OUh%u3$|%ckZIgXgeqI1~(-n29t_!Q)gSEa}PAhC#X1Qd9u+L|g{7*`Aj|=Fn z^xt8>Zr4$}TDNyw9R|-hfQNxxh#78&Jx)JMaSH{qo0U(z4(G6iEZH5d)|dG z+K%sEHuGMC{|tf3;ldFTna_MG~jKXZnv4$CgC+aAD{9{qi4^s=$DmBOt+;R_a@fK7S zmMYV?r}`<4)1cL4X4>_bpwup^zAtUS`|0pTFOByil+k*f`}qFLD!q{*Bg5=1v4xG# zTbl->LT;QAW~G4r1D|NfAgHz8;n2E9HpLdkIw*hY_E@bPIQ?Mt$?NMv7Yv?Y zj2HC}&syJ+cG83umBsuc^7*3E6|c4Tw3vxfw&)qm;rza$!HwHoXcLnd!%<9$F*+fU ztf;n)NzA!Jzkac5cs!S)k^lNY0ChvX^XT)$Z-WP}sm87%8F3pDk}0Q|G@*>Hg}F{d zqv=EQRel(IRMrzTisDJlR%>pDupg{VOA{_TJ7%@RtL&T3H^v4wU440_eS}^@06~}8I%5YBDuJ~puvK0)w3++ zk$iJ2`%1`-hl9=ZvXag;VxnQsn8&pvmRuiF@o!{T1NQPGi45PvN=;dHrQRpP4A(bU z2ru)^&-M5cGUze>Ej-J%yIyJAz&%1P7%!n?p+@hx6IDl}Rfc0Zn5-|{ALS`Ftp8X~ z_!Y-i<4(+=-Il9lqW9bm26OA@-moNUneR@Ge%0?QX|6>7;o)scqaW*aT!)fH!1klqh-Hk-31D`v;kCKM<{Ig>C0|@S5?gsgTJ$}=8 zwbst;z)%-|>;U;Gv%ySMr6D6JhChDHU%2dIXSMzF#dA+^hT7u8eQmQ!N2xP}x%qRW zILDnEn(K$Oyj2Q_rR(3(TE?j@p>A!D^#(-@*gnFRhGnPnMSO)HuxXmHzBfvTDjCIw zBR*zZ`0QiLo%y6z9l=F0ZVzTZ99?A`b8JS& zaCQ{IWvdL!V)T1N0OgQy>7C%_rl?lC(OaY&5ob=DtKDZGEY}q^=)asD9-ZfVm&mB? zG5x%Z#PlxJ8Tfrlr899 z#d=$CA*}QC@E&`XiGlK`rM@ZozwH?Q z__Szm0Q)7gK$*Q@<9&VuHtGK6TQSOvmaL6?v*`rCiq|JsDOJ9sz8>!@yhXGS&B&(1 z>5k!q`>>A(HFp&}J~l4oH6TOoW7laY^$14uD~+1O#Eiaz-d!1PDx&R2o zp30`w!ipIA2U6Vk5r!dq884|Vqi-`_$hek&JimcKsV2BZhlU7S(XP0U2tN1S>J?$w zQp-&2n3I-9XjyyAwq8Yw>*HJs+?%*1+xps(y5JhM*840aDQZw+C7G}}%8eMm!sUAN z+VN;lW!v7>g30z8l~o}w(F2m?Y^IbBv16fH^Lp(DtytEnVVBMoDy!)q^@qnC!~Dk# zltV^$^t%PGbQ~?93D{Gw?`f%tu=HoMGWKrE*hEjQ1s<;K|5l{?MDKW(fW1c8em;9{ zVa3Jlm{K9Nk%)JnUzk_Eit&7!L37VP)S1&*pCr1t>UNW_(O>uq4e4rcFOK4i7Q60GIHJxFAvB{dN`h|PcO^uY*(JgI#tGZ zpkAac+^|AFqE4|ukK|fPxc79LfyyhKQkiZil|oOhXZ9zK+IKGLRT52;$q=K-iuOj5 zlh{|{4{1?*=$S*qt??D>+x0|NZTUjYYC8#39Q6nyfdD$rRVxCETVgE(Fb*y}D znQ91YS9WQ7eexrI?l#3_hOcA2iaq!Dh3uN-%4EF|LsB?hQGMi((3?X-2JBJ70NAb7 z=Yi9Qq`NZh&A?l-VMmS);d^~&y6*;je;-&OC7JZfb={}Y24~VK@WuKg@)L!)FfPqu~$E@TYf(v`K{X<;5N0*9g z!bQ%j=(=+>4~yQ=5b0BSYDd&ZU7QP2kGEa!zbMb0p~iL@%%p!}(murHR>$|ZWJEaD zCM7?!=f&2HQ)e|V$nP8^-NO9 zisv3eUWyTgje?`I2Q5ku#P+{n%;_k|?i*w)#xr+oP<u#fdFNw8#jH?dl!TE&M4Wn>hBJr0UD2_f((H=kfi0fB%hp_wM_BU9ao9_t^D(z4dTvYT)OgB+sFX z;F}IB)z08}%>C4z1a)T4{ZUcKG@3l~NM>noOi* zW=(BoenFS9qBh+XJbZrg$jwc%5AIYR)L8M68#dDsFoq$s`{4qiN84!+7KXK#95VIQ zC_bbOpFPvtTTf|kw`b~vnuOU4B!u001Fgn=?q#@a;{&BnPCP?%y@KfV3oZpK%qc&M zl#Rx4t$K{Nuk3tilS!5NxE!_=A(=v;Guk``MhPyBRmS{c)OZQc8hw|@vsNCc&va4Y zB)Cx1)^q)05KnDJmvijPyq|JlPk3$d7a!g~x{^VBBN;&cwx_pmm#m4*w#w}>Yo4>57lyo!Xm)x)1~^gk6iJZRZj7W4lVL7RII=!WcC#Hu&W=xF7?=fGQ4GD6&u|$j!K3au!pkN=P zP^d$rz>$myGAV##N`clntQbxQKiXc_6fk!KW+qdYaW$l+zWSo=jBeDj~7~{Eo zG`EAOpUDYjn+=qcujO9+5Y?`~&SlVcZb_tS5j*!GX8)4|c0-tF4N&Oq_uc9%iU)&d zV#V9O>fdzD>%*S#jd1RjT%kgKk$*Ftt&TSKjiFx_Fhgpw-`ExBcab02>YkOrq_eLE z)#aC9b2Aa(nS(b@ilqglvuhMnx1zo`VB^&|kE?f7L`|(n6No;$RIpdP%4_s`JpIZC zepOb`v_=%gT2JMAIm?FT!FP}#tb4`ENShYyjvYv*S>jA(B*i>;m#hj!PEogHr*X-i zWdkORm2K0B_}yp2?j8|mXe28_$(j$lqhJIR9%r<55U;jH(}!$%P`Q-&qp}?xu-n); zcFKMt#A}%m`KxP&H~%(_OUHB~>g%Zv>DzH>J;X2slu;8AZ5n$hfya?~@w~F+d9J%# za9f)0p(-fUPO+xJ??qpi6VG?h@+w=l9yJ9L?8 zO@il3ukQ&7fL-j6Iv=yiL5x!>E+bABYmZ_N9eKt;%ruT0b>*70y!uXlWL`%9`Hw2| z7J?(n^MV*-rWMz;2O;TmUdZdY-?Euf#_us0D{K->(5RPI!elBk&Nz?J6_>;7{fw2@ z!~uP7$TIiY|K!$MzSE`Vfr^y{3Xun>f;P7o;eLKGo04`0rawk(%v}us2f_g=Mw#C1 zZ2SokjdL#d>k3p(TJcTaw_}Z;D;bj>ZJXZRfH9))E>{i<*`>nU_h2e|!fe;)Jc#WxtE4%+plru;nCh{e1zqX>)d z(@RMYM5@d^-Z-r%A0hUSEScNji?SqyUjA2>^g;W>hav(FIa9vP_+IZ>Bcr0|aBY(= zHTot7Gk2zFK;=(t^aA(374KOr*pzTku=w(s5g)nw7X%>8ved1aUZ&6jWvp9ER(N3q zGz!J>!@stl^?|2kGKq;(!wj{~sWl^bT+FQx+j}iiU6h!^9;4n|jNIUQ}3a`8-A+d<R5yIaX7@>xaGD{qSX zfFe1SMxS`*7adpY$$mLs*{KFapqzT><9K$Br7!3gg`ISFf9y^YhE{q0Sv5o&QjV_I z!TnU^q2HV*u0_P2SzUrmQ(aX-+&s7Gz*1t~w@5L2J7jO5o%}=4t)v>+(mG4^pyxre za%lvjsJEXf)O;T$rig8EjL}+@rIm0nzXwCkAUN49$};z23oLNF8~9W|s8dnz18-;E^seFXhB0yXIoZ}`GTB(jLl#X;SI9r8s%A@8H)uCcqmTaC=zQMZ8-X4AF^@;~hW~mINSgf7c z?mDC&>m?pUei7orDi9Ux0=6b_@B6-*w<(P`EY-#prg!v3qkLu^PETD9icAk4#@{gJ zoWL^4Jq0F$85 z#~-{XKY_TGoWazH9h6n6a|;O?J(A)cus8KTEt9kGV1j3CZs(&9z6&Kg*C@BHJsi9D z>pGh)fo=y@N(9+#zH#T}N_DwAc3&SC$lv&K(^^YfcuZ56as1Slp~#!tbRI6(JSezv z$Mo`LjRz~=>)zXP@MFeG$2Ds#q#i9@#qG(bCWp?HVs?KH3TR`e?q#I0j-^_;bgw0T zWB7OA&<0tLYuh7D!&LEp{?keVyR#E6$OvO@L}Wns9^AXh>(2UqITvK&BF(q zHogkvusw69nyUcNqP#e`Ex#JPe~y79SMjcbseK`Pn7z3$S&dp(pwRE`171tMuWx(QWMA#6+%lEas4lECNTqT5=il+7> zhI(PF_NvMA%B0w*l_7xB5HJ$67H9T;cJRU4wBgTgx&G&ngF94^yo*)8$M!lBRw{l& z`cdY%)XYo(ho$rV6Et5d|DD=5C-2pa9sE40-n_ggh{m!U2VW_)h>1e$uov1=e~68R z6^gOo%rQOpu_h#W5!v9^`?w6)yp~VpCD*Y#g3B8iz14l!ob%F@5S(NjvKUIzI_foW zV|gB0#UA!Aoz>rTKMyxPfJmj>j?eNn#3NOFQ$*NC*SAbKnK-lRpRxV*^I6y=cV`h> zHd(Jrs|z!LG1Itz@GMV!WV{F4H>Ib$psv+wA}PyI`rq^i24()A=?BEunH+oPiN@-g z4OS}rs^NI-i0%Aal&)8&n~2)jAwsk+QzHa>x zjLXBl^OcK;RxdOSAX;$Fy6h50yU2boahYUP0O{r0WfeBRytink|#!`e@DR( zZefTHv_xN}=!gNq9{a2PleuYMWwVIS+9vrr3>~!y^b(D71UBFR@#hyk8 z+K${stmSvjf8@&4%LsX)=MZ5^1?gm4%E)HwAl1U;Fl&q%9e&~?^qWcY0`G>B-Uew1- zb^+z{@Qc-2bs-ZJrXS2m>!cTb0;_LtX@uZU3qXPfqvXjH<@(=U-&`2F$n2EPyMewh z1c=^*gZ5(K3mDJ;BLU-*qTdqW2Qhg` z0}-^Ce*dTO!wBOI%u}bJ-uxltEFyFWmWdjCi<-_vI%9G8=}H#!ogyirC4Hg0_dC^z z@x3aO<<<4xg;#DEOU&!4>r7u>jl%nmTef>Mpd$XP4c=?W1%zJlubI)rO8_-R5I{3C z0z4kubNtt4d&cyR5>|&u0*Rr_WbgT@(y(jZ|Mvd+P}qgow$c8xDueS}tiS*Ob(!g{ zW}=6F;OL`NkFdaaP5cmR509_Bg5?kk3|#HYKH3cc16TEe{DqpG`TiG^x7g}d9o}+R z{qFO$mp4{xoS1sEw7aHd-@(qt2Cd>p8lT(lHP)?3ey&wqoV=wf+NT$@j-uZ?on6>A zl07{<*UKcOb4T-gF)=u<117=Pf;(`|aD!gSVcC@Exx$D>Acu3uoE{R=Kk3A-0 zMfjZ2BT3;&TWhDrcE<)0}pj{`NX!u%UO@Rgn_~9EG6cnmkSAVyR5GS!>>+~zfifAi8&VL>nKMhR!})WqU97!m z^GoB6x?<857IaEkWaE$h_aQsb!BAIW%U8%Y776 z++ngV0CFKSdr6X`Kw>ekm?}m$&h*l-yRpQY@c12bIR`F76A)*5z-jO*}z8BuO`aOcXHTXaZ{W|8q@-Z_`Bx+uc*ldTRIyM3b*0rapJkBb>OoQ(TnX1wX@E zPhvX6G9XFWrLxifKrXLeL$+By70XWgxYwjzyF~oEWv5I==~rdQF?@$y2BZr`U)8Yc zDZWtG#9}T~%&zp4ipgo}Itb<%45H5JUhO(;4;2$7w?oYrTf2E_13`aH#+O2IhYv0u z;dzr$65lUF_`0{%n{-HM1rX~KPY~A>O*PM`z^wOkCBupSYTz!Z zcEZEKU@^lGYa;D@IL}2%?5JJ3^!szxYTfV0J6+fQqnuv*fbKafMXS~Qzv7-iDsKaEx6y$;t6!JZ1 z{ZebB&&rA)D)1%h5U#C$$Sza%e#s0P{~1JcKT$E`>aShlM5QQ+$Hu$FT`#jAfh-iF z8)VSrQt4+rGXgkCEdgOH{cNo#@EvLuEpZ+H_yIy^p`q_TR?9#V>Z`@RqxFmKd&lN@7`s#~HDfIP7*? zZPH%J760Clyuv!{dnn`>x=dv;K^icil0a!tET^$bP%x9*YT2J2tCnA z{5^*YO3v+~_;>Hw^`a!iIbPiS@mz`;q;9+MvR#F9%@8^abgYi4)8TdP7|38VLrmJb z5IrWRpS&P$s%cQV)Ov>O6i)PFL+Xq}m$_c<O(H^J!7WXrTrVN1xC{zIKJQZn$RuY00n{I}@0*;*@ z_Z5H#icVpQ{696f!8s#owheMyP6vb@|7QK56B7AOf{=5S9lh)Fsv<)La9wP(rRZA5 zx`)8ELidZ@WTzd$XVmr<0H4pALvm~0$?9d+y%?SK+_fPhIMfmRU0N_j?gVb5BgP$K zk?+j9KY8@Ne_|9MaueC>9C|ilz0i?>`c8X(G3mjx*=z0~b_yRv=Bdj8;3X*x2EtoB z>;ht=|3M%8n^gppJ_SvWkArf7Bg(RBgU^;u8Hv1mFBitc!F8bco1eE#U<`o8 ztoB2u=sNa>&#e9W^fj1)2HUm7xi0b`8b$u}L~Hkeujf|`Gu**jFD!Tg%`7+vfMZ># zS~|n^#}@T4mdKYy<$y&LMF#;b@U$4Be0frUEv&9K6yzX`8z#yZ-nM}~`A7&tcL}>0 z#Kpz2eXoS*{$9XM!Ts?fMCqzc6s6fP0!XI3b0vuC>HTXW4_R}{6hY|7Z>K^8{_8>L z%%VVsc^#|3g0FLq08^b)FK{CYZe;5-2s9zP5}zUAx|VC|YUKP+Kuh*KAaM{d|Du!-2YQT2<7#qw7e=X6SIC>e|X zxpx*p<%N(K2yQ3|=GgQ8l$b@^8SQ&YagW!FTaTZtn8Q|oZG@g|N{tMp7V%!1C)@y7eb9CtaP`xNaYH)R|M zWGTyWxLHd}<*0{z$lG7Xj^ZWxyFag5xzv0Uw)uvQtG&A74KK^W749CgyAA{ROe-5s zA8p-j5xL3=u)lY}?b@5IkXO>#ouCMoj`kzT#L*gZ4Rx-qOB0AV;|yk~nm1Nxi5++-krBu}e#s^2{}+G6`(5^+pKB8G99!|XS( z25?J|Eg|A=Ozr-fei3wb1Ewv>S4`w|#BT?u18{R;^16sR8~e^_pY$KzVY7DJ0wSp@ zg)NY)Xs%AzEf0A;6#AsFROedR_WqEtHMeZPK=pU({`g+#BYd`a5t{U$<8F7i0 zCf8TU?5c5LQ)B%tdiqibR>7a#EeEPx+%EqKexsGfZD;S}e~8p%wOT3FWpb(?@)QMg zAMK5H>KztyOQh*i&LW=+ydyCtLL)K{En zwf_-fxH?eDD|&-r}MC+>~3`RL784l={JTH9a8NdQ*gjPX+>+9r%z?yp7>ky@*E?8}FwoV^K4{^#ymC`<9{8{mX8r*?tj=T6@}JZ|~>yF^~PrqqnZC zIN@4IDWj%@4<&7MeOUG+%D!0Dd*Vys>#~ujjkE9V8IsZwC#RQf{206Y_0*U~?f4%U zmG~P*y*;3d3jXwmOI{V;RLoSGC+FA(SVo`#h;M;B68An%l@->e}SFD(Mn<#9_?>CVt^ zs%sDb1yOUG>E>D6l}P|Z{Y(QOs`Q+!mAI#jc^~A4Y^%|cVpisM*X6gF%TufFK_qo* zVBOv~>CHCVCaj!9gt~*Wv5xfUiQ9{W`e$1h`R2Rut&MiY7xWx4;k)-#x_F)qwSWsl ztbS;U7}VbaAiNCWoRzb!6^mG3b+`KJLsjpY$^kk1YgYhn|Ar=~`nG9D8b9kAfq$F! z?Xn3Vja5_)_8b5d_g9iq{3uZBA3p-}G}yy!PKdfaU%p08Ut( zFLl*#0j$X4w=BJJKy23~_t%sdsPN~h=Q|V+NN(DsVI1^PuA;u*J{q2`0e;h_l=SXyzZ zdpf3^PjVAc$t{3NrisVhN>Ige9jUDggfU@g=Jr;#tA8=|sRY&Gqgr@wHa>ntVUffg zjX3r}8AA++`2B1cmRIl;1^LTs;^}>iZ;9-UOVz9)B3V8>S+@bSgN3b^QdKurty-QT zM;)G+Yxs{GjuO0+B|A38REUWyy^Gqyn^pU7Kd|o6cSk7r{e3Fj4(w5Xe{4fcxQU*) zg#raHbxO?K^%F<_P|Dw@Lhi@l{_N!p)v{kAy8-~}9qvv4*eM^<@#Um1u>5f7lul7Y z=zt&Z%H@4qb<8XL=qi&FhOZp#w3WD5G9j5_BJ|qiqk_e`3q1i}lg{@R^#NKR7)1`6 zLoCJ~pChvBcGtfA%OiImS*^*obyjDf2lNhw zJgoBF+7Pmw5$fG=kqC?m4$`AB<$;Mn3L>L|2fu?`qU!BaH4f}4Vqd%d+Y)T=U0}ef zffnZFKZ_9cR8{$hG)=HDmu|zc*7Ot6Zfln8J`6d`bUF3}I<8#OsZp6Z?wJnWwfJl> z4sf-4M|s=z*Siw3&7$}Ot7WQgI-`k=&KHqEKo9IoBWO`9?>Fx-hF ztZbW;LQa2)Ity9g9sf0@G~xFA+J@^dd*}kA(DBSf`{cxP-C_bSV#@rAyH&AAIwSdI z^~7=%UsD?Y20l+A=)z}V{7w_9bB1-3E0YtIWbV!XJRVI~Z&ZvgOC4xVxb&3G}N+XJ>Ny=Gwk zHn>;I_s)=_b7Yq@bok?I1$g6GuoLEZdk44=nrmDKfoiT)+n^61>I(Uux#8AeN2YAwSEtoc zDh&?s7I}TOe?U`4VL>w9)O|f;F50d8aRlZ^ljGZT#w+AA5rp@VaMnO*qKQ`qTk}qW zt$DBaq05G(l`E-=#VcY z_LeB+(z;4!y9lKwA4cz~*7QFW`WEF=XM63P+I&qSf<*KlvK7AG+dX@HE0mY!tIWMi z8&K5$InzuuR15ut*PFh8J`fu0Vz=65&=J2E-xMo|(E}@SflvHGybhXj)6Ym3z^AaY zuLeuEGb%~hS+9BAwU*ye<2k-<20pWetSlTL@Md1hbLzO`ywHU5ws14tvn`}d7Ygr< zichu&^UH-XgxmbJ1x>osC{nL2QdWFQTk#TY>s&LI zNT}#nA>N2rao#^Hx$v36b;?Mj2ri9n zy-7TY60TJX9ifhte5&3^tRG}={m$}{tUXi zxEXB6dwGE5=k*knNMg?gm7BK6%lSw8E|{>NENtY}0M-=lJ5-saSeh=_$uIU!7N|7k z-k&=>H`q`lP z9jAY_Bx22s@Z2j$dLqmHpkyF;)Zjz}CYqmw%T+VZ%NERiod)nMw)~C02svG6PY}$# z!%vTt7rm?#!PRJwPf!tDUDjLtO~u}he+DWWIus7N9#oOpaR#d}Y#!XRAu)0I%R66f ze-%aMnX;j9c5x64L4#ku*<5;DIyLmntV2x?yFMhya;r)^o?QROL?GBXbC)T(V9@1EbH+=~y`pbQF@is0(*8>CE1 zXh8EPQJVDRYnz$

fitemxOLtvNe9QCQvSb?N)DFls>#VeoCxo@oe{iPg54DWE-!b$wb912Ijq#Mi41wfU^25E=YOC5*f4pavu(;a+h0^KRth^Nz& zR?^+3#oze)6UE8-hP$IZda|de20ok% zy^AgabYfP|Yd>#${u#+dp?fU~-SmS}!!~Dj1M4w6Z%$;A$&1cn;`Z*`wB>&Q>cIci literal 34063 zcmeI42Ut``*Z42%s(^`t#1czbEPxekhz*t|5b2N8;7Aga-0wlubXtdbj*jLm zL#WBi-8A|C>(LS}q@qnn3)0xtT~pXRL6c9OtjW7h)#UfRHF;CV`(!kmWg22~cvIw` zTmJ+G&=FPYYV^K3pvf<2vZjyb9ET?RJK7I)ik5~)G9;M}E`}6bNIRKVSD09l-$XAb z(O6eEm~fc!QSJxsM@`oQE01yE<@CsTTA&QfA}LII{o{OOQbz zlR*4I+(2AF2AFZ!xg6wu(B$Xp?!@WC366Az5)PUap;Tjd60YQaCKDQ^Med@&~k_&rx%0 zQ~`9DekFzT z|IVw!-qGF}0)8lm;r%4L!#?Oc(<+ z;oU=qtmb>bIbPS5ZAjl5`!E>54god$!N{ma) zsSTKS0>OE21%j&^_Ki;m%AmdvK`cO!!TG>^1_+<&0_SKewq=1}Y{i052JORfjs`*I zA_%&9H3(&}FPLI(EC@3BAh?+A1fdM(cn^7kAX5y2>()0QltDcvAORrAJOgP1@*N0e zFz*2(0YRn;cQB;zVKe!4-ER0Cdy!J^#{RwiPqtD?@Aaq=m+#6t}CEYy|!cC0|fm?+fg6J zhbhUio$9By;&r%&Vm)N{B8$oD0UXO8u?U>{BdqDW@ScXTVx(@9-%4 z5PChfV;tbzV2=IY2jRElJmK}2k26xiu#_-XpC4>6+M-|JCyclccR3*FtUW zxDIM-$2Cw}JFb7)+HvjE){g65Q|;(uOX3&E)Eu%H516nW*Sn^!r)ynP?WhOWm8RNp zj)wdayWT-dJI1B9{xMeUv|NwvxSloDKV8e3YR9<6bxd13u3=5JV_a*SAG&tY z>#Z?hA&E&2Q?E`hTbkiA@-|uH#6l92oaN}bc)Et8M_P~i1W<{BiPcBzUGB|O_uB%P zh6Lak`&bUwEaWW7gUp)bEQjkba=N$(K{6*f%VBrORg-r5U}HIS19G_6$8?9}EQjVG zx0^iJ4w0PYush@u$-^y~e`_D~q*bQjR7fwiAUVrnHFD;-xrAg%a+bqt*jIm_W*6uGHbfHafjEQk9k@R;o3vFVT5Djifkv| za#n<6{lap?3CBLCSYENYc6~t(6YbYlbIP&n70ZbU$KJmzXGb{_aCAS(a`uE{_b)8xKsa_^ z!g7v;`WPSk8@b?0${q+zH3-!&uIviGIoU`0ah}*@Qlpqw5E|uVXoHqL1Czv78U# z*!>#I`4W!Zud$pT;n;l`%Z(=-yRT!p3DiCkICn_Sa{h#4 zA{@I;vfMPvG3zAD1rv_l@3P!+wLzZ%Vpo|Io#b+L0AHG9UZXlE~y^CuB^^~LU7w_V*f6CGQ`@1;w8|CQ! z7&&~_^6kSq%8i9Grgw3;*QXr458lP0ZpzW;I&!!c^ZUg;6y->Gs{3U*j1kHOK^fD# z^x-p+ax>J_yE%MDP>!ybs1NUbzJ0i6QjXqt@8Xc5+yW?LdKcFY>M6HeO=&n>OK99- zHUBt!XUO9+wQ%iuTsDtGUpD2JA9&nCDD&;Z zHG|rBO$+w{k1No^b>MMjJZ>A5`Tcg}aldHca4ny^V8XSeH^{sC#X7p)BWJ3G z>&??=riJUvHKlvvjxY2$RHlqm*Kh*4%dAv9ygHT@S|Mnmyv|SwXPS# zeMC4!=<^LZZT`c*@4$o{`cDKxpIi9s!+y~|7arFi&Jo0zz-lPIwU7Q?8Lo-={N?+_ zgm8F`Hy$7%$Bv^1)KULU;Bhz(zCP4XIsDrZOsEgX!RJsv<)~kf(-sFoJbmVjKD2}S zC4|SZae#JE4*wiOe9J194oaCna6!#P92YP19Ev7E%?&~845 zc2ExgMggEj$kG;rn?YkK4-QaQ~MG(i#M-aleZFv;?7XkI!y4?kx$2*U-2} zt``WuU(}EKkfU*r92@t8c^r*<t7Im+Xjif81G^SGws8MzZY4*fz-q4eARf!s+Rhw9$vW8)e1Q;x@;Dl&$l<)bYaCcd;}kh|-o#Lc{i0uJoFd20 zn;nm%af%!p&-OfyK7Vm9%8u88aA;o+F&sH|ypBBX0*})+Ub;@AK5h3e^&fILUZNgK zzr_pIUE=l2j@Jp=ssHG6AN36c!5akrsr8P99J!Vtbp69UH5)I^gu^arydcN=&xOa) zctMW!pDT}}<3&!J|7g4*$HvRYL?1t1kVF6BScM>H`#2El7aA|fvHo-8aW{#d@f`y9 zQ+$2yghS+>7S4mm6=~r-d0d$m&Wp!A<8dOW=iBGa<0`dqK0K~k3+Kz@8hD%u)2|PZb#@OK}tQSE=Q+#v1#&6Aj`2W)nO~o^faTO`3?@u`V)90}T9T>^+{>A6Y z|Fr(k@&h#o_uH7z&$t)-v-JO`>Y@HZpJBpz{7?3qW|S~aQut4~AO9)wDT$OvaDGx{ zBorcpLOFZs%%EtQTmeNd_$P~y!q3X3oI|KQc$OqWArF=+l^j+{BvyktSvXu6A(z3g zmR-F#_(iEJRB(7uLrx_VkM4)X9wg*;TM zAY&M8ZB0ufhLX|{%fW9;RD-B7B|ic&*|lcr&`X4oK7V>N^p zC}TzSSkvx?j-Z#*!chE}gbT(A;S}I)bx9H-RmkMiIUip?n5$VZ8xna`B4z!0d#qrLh_svOkULkp^bCUxe|`K#lQ^MU#B zw&T3f&=4j3P{p2eP`eKN<;!`%FHt9P4xB=&RO1pb%V&XE9~&xUOhnd!NbqTjSGUvhBKsS(cQ_NlPS>`K?+&q%rI%BEEp?*;#I(Bj5Fn7 zQVT_BP_RNSi?OheQb>cMEQZPy7Rp#~kt}?M+`>yC|5O?|z!xf{VN&G)SEy8k1x3nc zM@f|y6I>kKEa2`$8K_hQD=n0=Fv%c`aOoV2$hQm2!04INIg2@pSr#!fr_Y=oE}u3l zDq^rjm^><6791395d#+~YiG|Hq>DK&GtBvc+E3ziHTWHl63hyE`;(3I{0)8x}*Ne6$$e5&U~ zN#>M36Ewd1%e!;+`V%Ha84D`}1hhr4JS;*MD)p1QzGIryH^$%ATU5AG77{KcQT*5Y zk$out2?PBt9>b%;-~kjYfqNA7{&|7UQ~b9^xq26(*{Wz9^_Nf3+a1u~)SqUAuoG~K z`I~yg2ZZ`D@R$2iGd9?)z%%r3>JoZ_z31Q5nPz;@CF}5v-?-KBP0{jjDKi|jC?(uVZ{QEn!cL)fziC?DnK(hz_Ru444KmM)m zZtkqv1I-?2_CT`-nmy3$fo2b=JrJWKy*I1#7vFdJp<=de55v;_dkmMhH)$W#%c)mh zA0Hz_SKU?HmYOd~_4$1IHpf2edmQ)h{kTtWt0z0YKDy55`iPxIJD)e~Z>PLcJ4H3I z{L;z%O;uZ@my?_~ozP9Vdh)q(yMS|NfB2v}xNqyUE;kPSDETq|{Z7e0soqodDQatb z=xNdFxOsL1&w3}EzL))F@|hPatLi$5wsNnwO>e$SLR zr}=_K7F`XJyXU8HPs(oS<*t;ualSkBl5+RB>lIxbDaflDY9`)&eS!EFhY&;27KK$( z^|~^HoS|XvqKd^zy`p5ExjsfWWroCFl=ZDy;>5@glX54W(Jh(~=po9SZ7f(+c2Hz+|D>_b z_0Rh0<<80aoSSi<6D&Q`s(sFUt7LKI)>*m+@ty5O(XT88I~JVN%k}JH$N4IHCFPzv zV3s&4`h%pB)?)psT1NYrHVxmcNlp{3}<%qFPU*4q*J9a+YGbz!}5+OB7&;=ZSri0cau>P_7nKTcHf z)eGICr}bh{Z0Sm&u6tGc1^4PAduu)12YP|&i`t+BD_>}x|o z-YNa|qWAhZilXH~f*la&agVkMEqy;Xbm>1Ol?(UK6XdO1*-ISYw^|(f?7GmU-$jFD z+wI+SE^n$7wx4Y5#8r)jFyGS8P!u}qGx0B_Uvf{5K$y3NFt0h>H!1gD5aw<7c!*+s zAk0^r877}OWvX-i@G;$7i~a7LQqf(obmi^diL)a6CYAW5bB|JQ=;mfUOyTwf_Y_pT zurf`2a(9uqco&3uD+u$#VP@hJU$smMkhvxfeH1FFa6Z~2apSE`;%Pgrgb`I4y;I_* zjS?)9LX?Na=;&M@(p@(<;};k1NESqS+bOz551)T5s<|F6)E#xwD7pKO3%Mto$LZ#- zd?mDOIk&yb>7AW)0xKR0SBJbY5%0dUUi?daywK%Vol&x6ZU-H=h5Ph+*$hkJ4tzgV zkXL@dL_E`Wt@xMxAfd~c&tSS^ggS1jRl@dFfu7v(X%OWSXCu+_Ho|GkzAzDQ8uX<& zZt-lPWx|6O)pfH1ryZM|8L`q$loBX!uXFZko^aY`PZRN6S>L4U=o@-dk6#w0+?j8x zQ{X(uz~%Xvu?^hUch3piO9Jh=+i$!Dd7Hm%FA6-mTpSv|oZE8^;#{&{l=$Jv)#BoZ z5a+|cF--}q?ju;#2jYCd%l6sAT=45p9s4>a zotfRQgI7x1*v2dgB`_EFraaT0!$xqQUIo3wf!7SAGYFa(7kgV81rLM0O+27 z&j$wj{cIS8>f;WeJ1}B2(Ba>JT_?uv^)=D2JU&TQFkstAu7lUquRn2LY@RG_1rz=} z?K|iuuD}5F_5TP4d}vqTI2fhbXK44DatNlEXI;Q2qpMnhC1Ks1z$a6}VQ6RTl3;e` zt^5i`Sr&}5vuQStQilG17z5E+r0)*HDal&{-FrQ?g6_ABSq!6$?g9h0wces{Zh0&m zLNB*;2n^wNwFh()AKnpeh)EB?z(ZYUV9?$egLC;X5PE;L31TdHR8LUd>I%l}i%u9+ zH(LNP`B%HXTZ>*K{M!Ar)%ZiI&`Q;3g*qAIig(87%-_^z_k{5s&#w&G8N1!p>)S3p zzgfQA>)R!(79QK!W?iJ+yw3N|<)rnR_|;UGP96aZ21|p74hx>%uI0SW1-ln@%{Ohm z`ND-BCi4P5miD^ixS*rnk^Ja`$~X7wY^%hD4bNX3c#*9t&wgW5Xt;Op_VuyLUi`NtFiTC>t_aC za-JH$;k9?e*pEjn&T`r}!n8E%S!3?vI9c1LzL}4=#;*;r*;D$1*|FM9lLMAZj@n$= zHEz4^-tflDXXEb1FI{^-zWn$nb=zWO4Y5Cj_#d=6CP|3)47mMRT+%qQZ^W(jb1M!O zJU%xfckU_Ak>R)9Pdu=l;`rUv^=qEq&)OY6z?I*uWaYOu~Wj0rQc=0o_PD>tzB3BGq?Er%NxA*`Ifx-RTh!uUSirh?eof` z7FVylTHW|u6+icGr`!E*XGLDVcqD31sjPA7if8MU$>m?$j9Q=h=;VQXcVXQUmsp!l zrB5Yg+X`wQ)%o1K_Hxd_wC?%Gl)WnNo1V)w&!~w=JN$5ezZ%Ezt5Ys689nDg!1(jk zAzPjfnZ3I3p!Ky2!_uuKK4~>CVn#|DQ}TBy*DAYg`9A&Gi(~hdI+YF=pLMHg>{t%Q zdS`BFQ&=_aOy0&T=EG|&G-@LXlMQ6f`BE8ee3QQoG1)PpMUN6$b0^qL-uE6Ry5cu%Cm1|#8%F4y!^@9kSS9} z9=YtRNFIG@&DzaTQrq*jLx(>IHMf~FUb3-kf;jd|&r8eVwymf**Tza2vaaFV_+v31 z|B~M^TXjD^^WcRW^`$q>J#P<-+g-P?e`kwZu4TPP9@sef@ws&G@HgXq4%P@`A39D- ze|@XsRba1?vg~a;M@D2h8;6};U3-1>+@6)57r#hoOi{c(SSN{%HlA7To4$H;epzg7 z!WE0E7Io3`hpnm>D%NZ+F)F{&?fQ#n+Z&WYr#DqScN}r^Vp!YL^58R%4W^$MRJzgl z)7X*DcU9hISDcTo-PJfMWA)ZE_2=ibP}Ps=n)&+LwRWraC2ZMV8Mor1Z+fwPtj+nS z<@0j7)x=i*IL+F*6vDcMs|jgov(7CUc{^`}*Or^v^>>Yrz|G$R?u&a>#aj)|D%1TS|uSJrI!e+f21PKGG@e zu+0_sxV;`vCC~jY-Wa{};gpQ_r4#F%_SvPKmiN3?*{gKMl)EZ`2D~Re`)Ofh-uQM0 z`Y6|A^|)3ki(Tcp-?L#+yuZ!FH*LGF8o~gZEw=}=3$_Vb}``&3~cjHcE6|_4&WS{XT1K%uswX>kav?h1%_G^vn zHpdlRT_0IpQ*ztgJbhB^an=3W9_hP--Bdg~zjg9-hBBe&@ku#}l(+RvgLbIDe^U>2Zs!gdb`n zB|Bd~Ir&+;sE4KE^W$FM$h=_u;L3fmP4|ql#mZ%`H+iO%&EGe=eBVz`VnZa8(%8`Rs3gaxr$y}-qbIMg-#mvnvO^|R8@%r;&hx{B=!T}c9dO;L5|)YGH! z>xlgr4Q;3#~J! zD8~(KOgLdUyE6M~>(tjv28dG&^fEe)F0p++FgMS05?JFod69MI!#dzXEbLP2uZ#m* z7GE+js}d(%Jty4c+$A^f;Ka5tk_^FY#pVfL2G+N0d>{Ha*edn)oC9{L1rN>Id{n8b zk>%#84tI>mw+-)JT;ehJtCHe`;#Sbd1L#BYM!&eE_LEC7$=TtL-xql_t{BdRH1ytV zXjb*(R2XsaWCOG8d>DyEm{V%Or*1viIR`*K)H>5W7?xbqd7W#N70kCml@|wv}yNgUzyW*Ww z>uY<02g}EYWfhj%xu?ksOS5+t);-c$(I7WYvaXpjCh^D*Sw9(?&52n1$Rw_&vAb2P z*_CF?Tc*DD9Mf{PqAYciA}`@yOm1FPnhuO=e#hdH$DVy-E+jn4OkR^P6{akE8cbQ* zi9;pDs?24EX4$flMf+86-pkEvIA1z?`PRF4Vb0FroT;`fwa)B0AB;VJWo&A_>utf+ z+K?$LtutSibr@ZeaMwL~&5KhlBl5F@zz@$)p806hp=6zUPV)MiTLhXUbBVMJ*nW)O>ki4er;k_{YK`VQB#Gm~MhQHPfCU+*fq)fn zYy&sk2IE+rGQ((|GTL!E8o%iz;dW8^CYAneUtP<=%bvZ?C=9+H0--=j?ON%?GbAutfq?2n}76-XKa-K^!?>=5OuqQQ)g5#B^bpt<3EV3>CaW5Pt;ZA{g9AP2(6)RrhqgI^v%x4K;7GL#@&oBRSWoQMq>1G%oIf$mf)w=1J@`$(d;Fu2bxl?S)9T;RKz6W=IjJy*)r$ftKb7w$_jEeIyLY>s4-JXTUaF+`Mn3Qp{g(el( zAwvU*X+_zlRGVu%O!isudq9(*@2Lso-u>hzIl}k~26m;62XM!HFt9E)Gr49>V)PH8 zM*!0TZ%j?mrZZ@<#KbYgO&aLa_#D-^dCC(s&?KWEXh7j1d`7_IDWnJSIe^b2q@03# z9#IuP?Q5=K&HZrBr?bJDJaFoP^cpzwU!L^+p_sm(`cJ0sFa9&>+mIM-{ELOf16)jZ zPk1*^sGG;VM7i7ur2A4eNRRAE9YZAZ zU?(9I?4Ic4Trh30T)GX&_kf^66^QW1(Ub@ZmMW(UUBT|dQjqAaib$$aHg`5^^MnV* zO(RWKDaYiwp$3rFKjGxv`}>Z^U~mGR|68bm5|>ONCro+5BX>B((;1$F>}e!r+QML? z7SRwBfQ5w3lz|=T2*BJvsXj&(gMlMT1Nk(ISLz_jL@A!AQjg#a99)8URHb;r!y8tO zI1B`4m`6e};7$b9e~API&mmy}j5v%$jmR^Q97iJU`Ac}TS>8O<$k2v z0@NHLh#8J82?iQ^16WG2Lt+86ob{C6wK!R;Oq1tkGE&{e$6$6Jv+#!4&T&mv^^pq1 zQVJd{l^LE<;*AgtW<@S)kFb2N#*l!h;{uAdWes70d0y8lNQUzYmH^>!k7O^BhOxJx zb3IP}bFWTbl%{dXsa%UerF?Z2gjrv4=s?^}{KGiq#DAt+%dpopm0>{lM)Xz)nmN$I zl4+b^1_@iJtAtLU&!sz2EDHi!KbPjD)5ad#DbqTqob{Z8+8|;I(!+{fTQHThU}kT} z%3B))Wx@w<-s7Sl0(7qP-3aq%HjRZg@t5RI7OYcCx zl{9~JlBhbQp4#UK{HVk-sLCFTzI+UcbY7ev@aL3B5X%+L0q<^jk1klk^$KSPj^-RL zDkMFm!^prs8EY^cF=}{_*Kwpeh#kV&iK7rt^Y$)tc1E7Ee>L?OcqJ}*0)3o$Bs8cD zTs+|cHF-+yXz*bq7^o?XLl}#S4`HCqq7cIsX;wUIN9jmC#+Im;!Ab8cp&m~VQN59F z=(>pVU2_#juzo~oUJJ1@M17bT@@QHZf*p+qPU)!=I95!o_~vEnQeD#A)qmfcybLv@ z+3d!76B7q&GU7lzjWpP}3oBqO7dyoS>}PEppVU_irq86+TOj)PB&Ocn$opP-v8 zD1ej2({8fRhU(1F;%5fAUX&6YKxOn5cVy@+Xw~Rjn$uCNk(Y}Yrey$a1i>{NK+{l& zQ6CbI(A1bB>JcoB7#>}vaEc%?>KxEy7zw#HqX|66xPQ$etP@vtpPkv2T6KD||F49? zh0b7r@uew+(LRk68PZ*ewnBz-X+@fsb4x?5GBgU1DhjKXCM-pE37fzXJ&f2~*Dfeu z0p*uMc}E^j0A#v&U@?pU*|{aD$;B0mW`6_L=6arbm#g|`B>NvLqJEoKAKu7QKXeLa zIoQaCTh6xt*Nrq+;xZRz2p=|rk(K8MX-6Z5G;%>xT)k8Hp~d+D4J)5}O{m^rmN87z zw`rFyi}o)J(Xc1VWgfw)YXTCDc?>5xw-chlY^wUc)RN2a6m=NUnD1CM+5fBObiUJA zj%XMirX(JXeUOS_MbSzY2Fp_W}O9U>GjPH1?9P7*i z<@ID%ocsOcMU7IQxx)U-EgrQ4Wi$%)C39RTZMzv+|2^$Zel-Q37cK`P@TRO#Yn7d|;49a5+`KjEQ zR|k#BPd28$aqmlfF^HQ|6WH9I!&QQ*F_lZR+x;`Jf949fl>bjH?_tRMzzdhxaEtE9 z$fX2)&b^Re;E?R7(C1u(WBEm^@Sybx<$Bvd{4F3Z5$mE?J`Kq1Z;*naG=Wq|eAi&g zSU~q7P5!c3B*^}bTQB&zjRnc7bcaG zYa5rcWQwJ%#IV#I%*q&Lb{JHbh88Rpb@(#d3({=IE*N+s*gc!!DpMNlc8szahyaP$ za8GI*rPW5Vq>sO>Zc5dF;z?_R&EjI5>WF<9G0i`M4}%1DASm z*)zeFXtICYtu53F*faNon6-l1b3uksmxnEs+Y(u6vzj9e2}Tv~`>+r~3+>X5LGD{r zc%RUyJ;V8VA=YWL)>(f_>qz{nb!Z#k1_T1OXLVtY6SpvzZetea%wyq>;uc>qm)?bJ zK*e<_(t>Q73tDoK^}E#S>P8e)VFqo%Qr3}&t8!V%gS-NRI8@@=V_mAkEj&btsP9Sx z{ALGD2fO+XCS}F8WrRo6i6ulb8LiA-gJmjtB>RWlGF64rCgHXW&NaAv)BREeeMUzo zEK$2K;-o!+UIaKDnQ)AgG=Dgi$?lK_u8yVA1_a7xZ-d##J7opoNB3Q++OeC!G3o}P z(B9q`KCIJ#zL{=VFW9z@Orzt5giAPXXq#QUYoFM~b%fqxc40mPG2sBAp?7LCV~l#S zW)?lf`Gsc2>PNRf(IbyRJ<$>82mLt>JVm|xp5jc4eXoXA-1ABUc;+Z^=TuI;qmtJ> zj>$D$(pIsp+vyu-IhsSv-M&WibjM0AY~*SRfUDFUZYJ(c$<6@e&KM1ddjc~+Zw#SAqZ6oy2*unIl24|%s{Q3l4%Yg zFflp+M9It{CA%k}zDyQwy&&c5eNDZ6iUEaJm%Jmr9>nW7f^aBl4$0(>bQ4C9^%xu+ zc{qYJgOoyWmBb;qDb=Wx$QyliL|F^h0qSC#TyH6Ghz*_XeL5tXaV^1W zNa!Y08zzS{cw0dIi4l%fll_(MGE15++c7F-GTl`+C*yTgg8h+nUhtxmDD?yBE?fzG z0UUAjnhAQvQ6%UP|J5Iy0spH%{3q`ZiK6|X%=EH_^@sfDH%@&$_#&@A-x2J72C=sb zV;x4MI$Y}3Vl1fPBDtEw4NZBzXq038@L(57^_)~bq#ioxsLU= z3d+}WN>TGk^_^J}*+;PigWRqiXX&HBNAXD!w2D!xmK16|BaSH#zu@-9B zH<CvBSUQOr=nsw#6r9U; zlDs_I#st$Q8yF0_)e^mpJsHjvG`p+{j-(gk)SoZmtulvKQ0>vL%N0N*s+KF(3DyAD zqfEiI23&d0gSBhG)l0zn5-8(w;mSY}-4T&#?gF;VNL8?^Cg1&}N2V&k9nC;EjZz!Y z4mj3l=nui*u2c|RivVBZ?ZC@93_E8A1KEZ61?migy(%&OTAWg*W}Rgb|16kV@PI z^+9=1$KKRS7i3U{E|3K(39vX!v$Te`t;J?qI(aV4;ly!hp(E=>4C-BoPc=SEL46@M z2u^@SLt~s_HUlsx8zV*8_8PXm%C;@Ww!RqK1Hx99v^w18HGjWh+pKIeF}9pr^0ie{ zBV_5Q!E>(QCCNaR9+!SpD-V=J`{{kp90v>br+G;a>GLOuRJsVULW4*1;ir)+HXjOc z-;sKX$-n&}_eqskHjUz3Ccw9knrmhFm#DdpQF9%l=B?6fRbVp1C)5!*bW0^ZR-a0OqaObp| zWt~B{2Z&KjMoHo4p9zcxN_i5?jg`XLkCg!{a3eZCRwe<*$I5a$R*EDHtZAL%N}CQt z#*)V>!0!4L6CzCqngaW2G|B8Hya&%o>+HrLm(i1*0X@X_4|W~df07jYPh#xrK$yp0 z;9T|q@X$Z9xVf|E4BV=_t88vH!mwNOR)t&J&Y+B}V)-C8)iwVByXxWQwc!Qei* z$t7k)Rk?eBv zGfWVHqfF6~3CX(%6jPr(0)2aAw(j+fdEOBy-ikFQZ?+aya*HdlGCm-;gIs(Jj&;!j zeUn2#qm{A57y}BcnGBi)if4+)*Bepz!sDwg9$ziLi}-58(h2)}Cyy^|S<90p8eg4m zMDYIjulULv;hs-?1sEJSb7=IB?brCK1A4Of+WpLbxb+{05b2S+M#;VC^>L}Ck>!D;- zlt75tlh55Rn^Vk9%Orx!G(BYM3ThKxJM*M10<4`HbI;bzo5L z?RhxWMS4t;v{-H=3)-N3B!eXC!15N&7SJX8PX|>n{$|VZaoG_82k{VZ9%E*Na-*LY zNe%}nV}z&6W$L&eH<(@W5+oSDrBxZ`Kzfi?EJ->{17xa|^kI^6M%H~5RIZ?oTx|<- zqdYwTqC^uPkzp$j34d%Mv2GK#ue@er1Gi$?J(1?}0UHTT-0pB}2NtKWEy64L1k#EP zNU^b+ss>wmw7FrFkYDgYnIVXvMS4Ne1j-CkgmV@+c5ayE!Nv-dUd)~oW!?UbFRftE zk3Jf+Y=%NgnyVM3Id9qSlah7{sk3Z5Ne2$5X0Q=T+sz||?Z^SPV<~OtWvlIUHr~xw zzpU-ZA}C?I<0va`yB1LZHiVJrf&ENj%Vxp<2h2x=2OCcmdtcssnhnjTSur0sP9#>* z7zQd=m$hJY*}$1WrL&kuXX}=$;sMbl5y_6Dl~FRMABQ z*j>Zw(2+*seks`}tIF^gQg43mohD)5WE;>gh2tCa?LtL2p5%4D(Cgaoq%)U4>;}t+ zQ*G$9qhqmXX?8tcZ4mE=X_SW1!cHHGDCn|vLh7PrJxL#@GoUKm^l+*LR$$z;A%$_s z3voj_#*NoP<3=~Y3i=#bl!}{9logMg8mi*^9Qs-n^0B@qP!ueh4TD9q+Sevy)=Q9i z$-XuZ|Ap3TIw>h#9gbqxh{_MA>YdHflFq?wWCF91fM)aJ)odlY8@ZG+TTs$$#~6Ds zn{+qC`~>o`J|<9PHqC}+(`-Q>YoqD|KMebpjJAl65z2!lHII~S9z>s%wjW9jyK>Sc ztFUW5$y5-7S6N3K-V09j|4_Ek+PYd$H^vAc7SC6ueop@Z$cx&ZvN%`iFAg(kXAXx za?!Q4&L)T4Y=SNZo-V?r_o0SB{sx23x_b;h>uw0R$sa$o;4Y;Y0i3KjymGRtQ})by z_5wxhH5;b2l-?04 zXi2J*j<#g~LPy&?4~6}{EOJiyK{whSlxBnx5OWsB!DCP(<|Kh4D{3~hqGnI(BshoN z2W+i#1d7;dHe{>W0_V)r=}ja+4=$s^y50!3^!8?x1Gfg9V{8n>behuk`X@Kp^{_)7C` z$VF%-9M1AWA7YR~t!UBIMd}3)wUcLqIvi?ev&fEbijAE#k$3FL#!gO#$^IK9D&Atp z&SDoKRCDy}vFTf)92U?aDZcn=K?sG@Hy12asyJz_kebEMjbpSE$44%DDyZX^E06;n zY=1CS1*C8pK(M_|l zLDStP-pp`lweleuF~fl% z?5Q%Ap^;r^61_|d`GD3Ne|z=u5v<8rW^VuKyz8Ip(mfh6Fxbf4Y=)s@hHWmokjcS^s8TkGbcAB+|-0G zu0&EHe4|y9*bI!OJtGNv5<$Tl1}5r{NTpJ1jsqwSr&GosEvbseS2Dvw>Xs*$lQeIS zw1x(e=epowkz5M|vkAFkyMZ;h-M|2#5LWi@FK|Z`+oF6#jGB|vK(YB1T^VJ0^K1C5 zB$~RPEMcoE5EagD)nto{JC@3<77Tn}&0n~iMo!ObVypE5KYIz_0{k z<8On>7CL-}CYyp<48Uooh^GoQ9kYJ;6eu#CW<%3yHs+CVE}VDlk304WWzVcb222@D;W~BRIHN<6!}cEq0cm1DkOB(iCM(4pHlX=vkDZk*KEjMvn4~K6MW+# z;q8#zb8nH5IR7u%Q0!*phXmmTdMp_C5@vsj$ce|?=}tf_BnU59fRF0gpQ3%^_r-#v z3eECE(8(_Smzd!A!{0@4&}OmV5GeAVW<&33cA>#Bq3msN2o$l`Y{*`-&nq~*9g=%4 zEfO3no$p4W3FmG%UJzce@4STBzxT08U%3J4;^M(Uc)NZ4!af?DDs|WL+@!;yoY+vcP;L9PVU)O z#E~~UJ0E~j#*u^K zk?;6jIFdGtIZ~j=k(v!1soCe{$ZPl`DSr$>$^PYNd_l|&qbO{Aba5gxHfE~~XKuG3 zhN{f^6~=yQAE~O@P*u%(RrydK4}1ML%sj3+>QOesLMVzCqL}dB*zEoI65RCaO~r$O z@PdQEM_b67exJ;=K#fJSe*&T7pNIvBtXCEgAm2mfWdB|G4#wA^B^*0MN`cjN%JMr? zC42fX7{mfZpvdZ)4Xv(OZ}kQBbQ2&O5g?Ol{j%B9VGox{ME54|02++vMZa;+oz6X3 z=xqiA!V7j!0q@8?b`vZ9DADY1esSW&=x4-pZW2J)$`am9FS##@TIFBNKs$MW$p5f` zJVW%fIPW(wK`?$3C|m>UhF6`s(=jdc~E7igATPJzFn|ZINoI54u+-n$hYOkTZBcweVw)N`af$Z&W5Sm?F zsGq(IzJM_(gBIp#iSdY#SJY=igKBAMb^Y`Dg&OCLL{aqLTG+Ks^nYBUUw(%oT+k2; zLtpzlo-309<+SknCm8rfFfb{uj0TR`>XUZ0`&?IxEq)0ypg zChs0?<4>Gzb5phNAl>)IhR%@XVD?e*AAaN5%nQVxpY!qtW^WFiyUXW3SX%mI@#rD^ zJ5vBp+J+rmW~bBA5-?%ko^AOFUM-8g|M^Z>-t;#-w~41{t6=%uP&K~gDcTzDH{x9r zzVLZ~y`*n4Tq(;tYSks*UmSmz<&VcgmsS8kTIJFuOoJRAh|FbWAzYrFfd~NRwGDm2 zumbOFOgL5eg5fZ0_DvoD6g`hC`rw^8|9&LeTU+=(WiMM1zE9bToTs<%Q&K?e<+$*D z$^$41UmKD)!i&96$zSc4#!Y6vf>VqyufzAb_{`v=MZrm)r>7}sc{X>775XN*q==fU zFRK?YEcraW1cqbCO*k;5)h)xTAScf7RL|4bva33_waS(;WZAy9fUVjjeDU!kaNE<=I-WxZnWJAQ{PL}w$j zydMoX4}f#5zni143}+?`%j+5bI`Ph#+K9u8DFv$4gZ<-e>fN5v1pxtkN-E6B5!LJR0(eu;f=oVH8xez zzJwS(29zZ)%mzbDF;kh>4@WP0~ZD7N+Bu!+uM>A;Yzz(%?BN zE@ z0^3NNV4Dgs65B|Cd;#2({Z+*RWS9yS8exjVECOVjDfHd1`Z4Q`AW&ok&4xzMtQz6B zF(V97CYa7UA;+DNtO{Y)8$qDR2$~IzpxFW=NSj~;&I&$2?gwuK$hVQqoreH3Ob|F+Jr@K{FDOHsWjTA{?ek+yaIde^HLA-sstd#jA7;Jf1d1%D z+0b&Db&Ybvm&}R~BFhK}$v7cdC!|w_FzbZ~6baF6C`7XbmXnsjaOP#5&0YYTAd{j6XRC`Ds0DN-4RriW6e6?|PWNWjomsEDK#}g64RzOS%s_HERi5pF zI`l#nnL|jm6B4KpX1x%BA|aX$g=n_GK+-Z8XcUd`p|uvg5jZps!iWiSv<||ENm4(6?%v80M z38uqN$N?v$MujlTgK7yB^+C;seNeLnhLSeHPyrZ4k#rHbmzb%ls8Bc%MLSPUzZ$1s zh3dzwH-bQs5i}bbL9=Rv%9s%nlu64vA@xqkaYPX;&8#tN8yZ2g1xAoI!3a|o zQMCLDyb&Lb5G^wmPZ%joG2mQ)q#!_M7}ef3@gH0{0Okz!5vFJicUGZ1v))1iMHbR* zXd%t2h0c#zXo`}-bixTa>VzCqASJ1Ord+1 z>dq|wq@F;L?wSpC*KEu{A~Kq_x}1<+C!|w_FzbZ~6baF6C`7Xb1`;taP&K&t(7GMG z5jZps!iWiSv<`AikmHnvppuzO=CF%P&tWZK5E?ILw%@&wV+61#5fbvmX zT?g(Z=CC>{P8nhlMh*#aX-n_z@`F!Hg(6(Hh+zcCVG2SPAc&Zhn!`p>AqpaP3j|RO69iE$8-qsiA%AHkh+#Qqz2!y-MV8ZS zXgSTgMwOVu(oRU=gd9*I%z7aLMM5+i3ejwV<)me>+>C$zOXjf8g1gLNlQ;?IuuW)i zi8*XPN=h1Nly|ORpb4fNGC&LiG3#{~DAHZCq3)WE8K_si(B-^v%n6xtLb57^SuaGO zNQh=bA(|~PkhBa2>II`2@MOf?uL+HVFk%9b)dnK}(yX7@@h*i84&k ziKbv4#>g~N+$G>EAUyZl1Y!4Knco$ab8YWiW9?0|z4MOiamTeDTzSrema8}I#d+9X zoENmWneE+=${Gdu4>fQz+X^>Jlcn+Z0VvUUxg}I|?Co@Fb~!a`peE0K<7-UgaUMDw z=i2z2V~xjqID7N~LYOq`xK@EH&v~%%Ri^Pc4;zp3g2vaf@wKSz8=pku*;Yh66XY1+ zFa?uDxBMezwskU-22ehi^qyBhejAJBxVt;V9^5w>a+ljE+}>kz-JYH`EpgF`}d?4ZaJnQRDVF$-?ylkd$})UoAK{h@vVjWGt>3B*~A|% z=LH4tDc8d~Bc$n@l2pOi}crLEU)T?>t+0PF>AHqiN4R1 z7v4Rr;9YQdBBMaxQCNQNQsE89F~oBj-5uo5SLZv9wCr$n+^{;1`&fmJv(H!H{&yC` z5AP3VB;}kqzB12?k4i%ig#?|{r#Ck$6L9$`=i|~H?{?`dJIm%8#>QfN(9Q+%VSMAW z6EVJ1`%5?3O~%+sd@VpNuv&{xH`sM6yB@OpdW@Y!+QQgzWat6A9%XkY*?l|4PJ(S= z>^L~w33hiXJN%My!`Sy@>?Gb6#*Xo(zi6!EdM_d=3!mjOL->x34=k)YjfK_tw&OZV zWPIr4J}<%yOQ$ipTIic>29y_OeNQo{BI@A}p8T&XIo% zY^A7=<N=ErKM)^vL zmN(2X%7aZtfxa0ua_$B`T}iL<=}MPk{fHWDmHr0^Tx%Q!0wC|{O0bemoc3YT2N zXg%!5=Lqt;rY^an-&^1PObh#GGb1(###^jC$If@QlF~F42~NEpm3Y3cyGF=it9fcH z59Rr>rf&9rU}LYe+?D*)+-0?UQIB{ch0nx?;a3GL8mj7_976xin-ALZ>~XDa%JZ~`L_|ST8FhC^XlV7kTpH3;&t}-*!qwiG&SleZ!Ax!$$zc!lvHMhrR4?UF>h2 zbQZqkUpctvnX9|2?0AmnnXC0bYe2S|a&*2G;>xj25@3%(W*P91ANA({H0OdcC;z5Y z{+H3ZRE;~EfiX~@M@Vd4d z*oBVfzi~I9p|Wai<*~BeSXgs(u6JbzTuQ8|2-a2v>vq@&T}nAwcYYqiC$Xlos-dzP z;uh^Le{hlL;^q9J0_CF1@FK6vQ?9P(N8P6!b_TsE^wXj4zjS5oE~W0=hv(t38k}F~ zuwC53flg~Gs~ak7)>cj|+P(O}@((Yf?b43s9NLHmLPDJL2oH->PM5>I9O}BqVG~TD zYhz_In^nW8-`CVg!YoV?Qx_q^+Xt#erVa%9jR*lkT^&6>*EHI;P@mGx^YhpKj; zvG?@B(;i&>;qvcWrsfVV_{SwlZwX_ZZ^@_ETAGcz8Bo&jo&p7PgSOB?fBNuW! zzRR!1$_&ZnSiYlU%ZBCM9lhO&<+ttJnb3UuhVBhKTfSxc#tmB%%eQUs?pVI|tvCGv z#;%QbIHHcu)=fJ$Z0$%a-`Tmddq?+%+i>R7ySGYy$9A$xESGOD^F^c_HmEyOd!$`v z;G-5*KUjoyohdAw7&)lf^s6<+!baq97~&x|M0z_uK2oK%*pq9dih>|pXVDhah+H;{|%)GG)YiTT$zZjggjqN$s*r%Ef&c!K^`59NgI@Y*&`&XiFj6?+0ACn|e;|ke+KH25#`}SWC zEbcqj+`pgSiNsb-!tc2L9QWe7lQ{dZt3shTu&fdv#O3pcSc=Kd{yA%5{N@~Hj<|eN zly^$H#fkrWA`e7{|16?RB0)>MK4&@H=Y3k~aE?tpJkIm-qMj#StVP6&d5SnAv+yXF zdoaatt3^~^E*_5ZJlhKAoCiIJmnkmuF-~isBJuf46_@!KrPR41g!-pg7( zKDRi0WRKFzyo=KhI{a9_;xhN*^Z|!woPsj{0{@u9(*sH`b1+W1Eg*i(DSkwg#9unR zYp()+*m>09RfCF8JNlP7yvB8GSvR2U35U-+dYPwzKke}Jhn0cM)j0i*!-t0ym-!l} zKXmwr8#rao2L2O||B=$myp2;14{Vn|WX+CD8Xw|*-EhDW@I7_nZLTYMe2c@m2g<|a zAHq55CminA+8o}9r=b@QS-&IwDbLBD111hxwn)sB%k2ze{=qTrFs^s5%k&x^98>iEr&#UtB9*56!0>$C^^GRFs>3U5*Ug^ex zX@^gMj>mat6s{?Ve;yw{<}vS&3(y~n;r|GNVt6y;7Q^2Oe-y)4mf-*D5`2DCLhe^f z;G-q*hY?T3^l2!8FDs${JtgpGOYr%N67(s=WifreT7u8}O5n#z*ln-`ep?B-kCpHX ze>kO>o($t+_=*yGzPAK_-ZU%5rw;L33~w%h^Cv%x(f5|%|7Rs|KEP3o&z=(a@e=mr z?+O;<^Q{tgd$I(c=-%G7Wy_sg6Pr6aI$FD0S8rI=v1!G|+gf+_+}2u`*nG$4);l}f zx3q5T?AUlm>y8cEZtrN_zNvLHc5-@Kw|5n-wxTHd+M?*|ilSE*MXwTdHf-5^`!+e- z+10bN^Pa@kj;%X8x+8?Z#;&^sXeWs)DxvMlqOw*MMeBu?7^LG)Y*@AKgf1Jlw03Ra zbzgVKj;MUghMnE5cW&6y(@}&-ZQWkC`l>5ex2vsJdx3fA3b>#M@d}vOduB&R*Y+J~ zelfDp=J`xRqqncxxN1Xt-6rw&iYpV{aPZCzvSA13(~eu=Vo2Hv|F`#SL4@qszOe(B z#i4k$11^fU+{P##YOXHcNE$c5LkF?r6OV3WVx!-nn_(?X4Z{w|A(d z?ycRe+qZ4myiN0B>`=UA>1@A=hDHETyaw#f?)KI#+i!;k8+Kr?v9)I#Njuu3lddg2 zrU@H%?AUO3>&ER{;YNDv9+lkQg@_4NzA`*rsZzw?+j_Qk?0_JO48?SBNB`*VxEa;jFdEwBiC7Z{Frye`?x=YHeDH zeWBJ!oq`ZSWcVm?!G5Ypg$@zF1*yD+-P8Mj)r#o!wb5x5%&VdRS+y!6SRJ0$>zKD7 z(NXKY{CX2<`GJ}rr}dGZ^^ut7zk`LD!1zTV(#44yG~tHIZ}OLFpQF!&CGzsKM&#j^lB;`;ou1pcpQNA%n?@_L@{ zu2aaz^1s61X+wW?3H*l!w{|#<8#g$}-`e3~gIhcN$l%rvODctp@UeQT2Df&5$txrN)^4i|Ztb?);8yO>4Q}6aNi zZS;Iy3HL9z1`Lt{5pegDuMTxz#l1rKUo6* zVF|o!S)`}cr^evxjGlqPt^IE^_%(*U$KaO!BL=tpe`W9%!{_&EBR$_@@Ec0teI@Xb z5_s7q5&w4@{x3DSl^c}6Yc7rWG#NhcF?h4VA2PU=`{xFKy`ev3aI4RmZaMDdOrRy`2%4ie5~9%3~u?{V{l7<*x;7_ zYX-OUFLC?l)Zfy-%HWp%y#}}RcN^T&pUp`H2l?Cf-ez!1|FIJI+v|i)fqnW*;4fVf z(OZA5G`Jn#b{qWNsOtUlM+Ucg{=5YKrq@Pt-)8uH)!i;=|TRktmD&k}1zS-bb?yn4P>8n>pd@TL@4Q}%C*Wi}^euG>3FB;s^PZ-?N|JvY|zT)*!yDa@R2Dj^hcNyH$cXQE$gX78D z@$vQ<;pB=Vj$ihM2)Faj`wedAox=uyC#rh>M-5KZJpLVnTRmsk8F0k)xhO4g0e-*1 zt)4$Ocq6KMxu zo|nFn)JkvdxzgZP|LYBIvPcHmj71_Zu$Sh;MP88TrXm!y_Wu8 z4Q~B)>kSb-o8tB9GPu>}34>ev|G?l@?$6dn{B8VSc4KjT*x+w6`pg^L`fCM=a4g2h z`s)n_xB533-0HcCPQpPxRN33%CWBl04;tLs|Dy)C^!L%|ILMzQp8u@Dt^J=fxYhqH zWQv1)to`pWxRraxTZLTc83JDJYYcAbpEkIiAK%1D7YF59{G$ec1Ij%A2k9gnq_=+m ziovZP&*G$ugY?#q=NsJGp~m1=e-Y;RFeJS`FQ*bX$lua88r=GEufeUIKWA{u=TEo@ zz(M{2K3?vq!7cr1yja2!Z|}YF2a zEBA7PTl%{VZs`vj-0Jxc2Df~^dP^kN`fVTZG6uJL ze!}3EPr5adYyJKMgWK`^BW=a$4;$R_KYK$&Z`*Z=!7cs!4Q}ZlGPtFG*=-Sji{D{z z%jc|(5xs5KXAExb@Lhvj{iul{>#Jde{`8;lL%jc^GxAaSHkN8{qR~X#VZ#1~2-(he|{~Lo_ z{omdh$+h%-CGdj=XP5H*?w<^9`BZH#&i_G!Uu*b$!Ql4#{W*i%eUh`^Tb%!GCGf8p z+{*nw2DftGa!179+WCONtv!EjaBGLFw-o2|CWBl0y#}{(|J2}?{(l?X(*NAxmVV9F zNFR&WZ;S97aq9j1hV8}icXk!W-}AoW`2HOcegjTD|HpO~$A8!z;Z~o2=_!t%c~^0K zX>W1-t#?Pb)o1%X#qrO)Kf%TBPH-3 z8Qk(e^TFc$HyYgXzx2Zqy>0J14Q}=Pu)!^#pOnDw7%I;HF@szFKQp-Hf8|FaJ{Et( z;MNXbF}US_!r+$Ar~WA7Z|(3igIhkY`DjFcIZplfbECnnJ}m~feC{wfFJ(L*<*x54 zo#``3E8KY*QsP&*d{qqR+K5MueCs;CnILKlgt;hWqF8<%i8swg?~U({_Op*3e)$Jnr8I z;O~-H+`kXt-@EYiZLd3tCFXI6Qy@>LK!DK`gdZg#K}}w;2-L_4U{PFnas<8v0SYmo1cM|j89Dez*b)fp zz*B6IC!Pgn#z~wGO_jz?)Rrdd)M3O=EK#U%5=u2$nMRYLsU}TQYl)_Ak_I=W@qFLj z=iIyBeec-F(4h(y5iL6+v!?oafRl+Ygrw?MRKFAh~-- z>i3cM-Q|me;UFCh?M&?g8sySA-;v77xvx$nlZP}9HKn$B2D$WVWcwkFM3ylpqh7++NV<6YlJk*;?<+i0Z z*t~ zwRy$hSu}fb>Y?UTTNbCVDi!e#7vH5jVc-#<#ywg<*8TW}frWao1Kf~HuW??vOzoc> zyylljju`$BtFiG=x^;d7BkxGx@z8SH{0UGZqvCvwP$xBMuVgEaWQ3;SSxOpNQH0FVDbECL9g);(swsH?r zZ2@ZTB8VA|EeVDi`vX`?v3+6zw4C*n-nBScr%aQlW-?OU#m8`VH?#1D*v@fHRu7O0 z#8L_#ER`9aQR0md3};0yYLBser^b+gsN({Pwq*@rfq7onDo9513Kj$5aF1jslE(d4 zqH{e>{`2ono|C3=$*Ek6L8W|k6@*z|ap*wYP5dJ`<-~vDB2|ICrnw9Qx;LV?LeRp2 z7M4uo1T#q3LR}?v`+P3ljbd34(E7PFC!Kco*lwBDIpwV39MldGGmsuu?Ad^+q!lxJ zCuVk6!_DkUi3+YKyeRdc1scP19V~86KGBrA8T?y7DdE|aYDPAo2$~7gN1&vuyi`n1 z{cKnR8io_MK9RW5hShn>oP-4^QW3HfOo7z0d3Dmf6tZWtd0d9ngp_0Ax8Bt0XkH4< zb2Y++3s)qv5_za81>Ksl23nn3oUJ(3b3h>ZFqA;b?4jr|f<}-dsgz+&7)B5^G(2bp zzf8?#m;>qKNU#POL6RK&AOEgv5HW!mM?+WbQxFwt7Zuvq!d`(DH?)xe$YfQDi*@N8 z=(m#Qk4_R*`_xmr9f2Q}SO!(uW6_tVkx1vo`KUjqM1okZa1OYo@itwsgzFW~4jj!n zTvSTBPlu7A-7?l-IAYZBAg{wnbr9Q!vm*x}p62ae;_Qq(WxqP}BzPsxdlr41c_cKb z3|u_n0X2C_?P%~3Bp9eEj6)cUiVtC+&7u&)6=|0J+>X+bdWhirFkvH$`JKoV#uRuVF-3K9yq0^j^J1}x$Il#uTJ$yb1(g${mJuD zLz>NQoHsFXpe7>@)U!x~wcD@)#&WS+Ou&BD&heRT!1#>8WE%nJ`_-v!O6Huvk(pd@ zErsz%9Wy0il^EYi4jA#2Qxu+&b@H5t22P>|TJ<~<9yomwN!Y;M;$+{()6Q3?OEV9E zPMXOR9#m!*QZ{#zsZQ#m%W!rCh+o0`#^RE&A9IEjp>h@^GG|ap-!ydf$D}IOAmkHt zlLZBEvUt%=7TQpq8Cw0!AlHjhq64UmzT%DyodvC$d`ojWiZ$_a5yP|$piLlH!2vW4 zg&6fA@fb~wDWU75wFOP!ImZ1Ji?B{y-g|OpTWb07$-zGr z3Ku%V0mhf+6h`|rPGm@TCE5xZ%B2-)Ue28!YL%f;fK*dhjWpqOWEZmu9MQvw&Gl@9 z@|95jbSUr0!wG;)D~2jy1jx=UPEA!*E}Hu{ur}B8)Vo~OKOs5zWD)h-z54J*p8BCv zFw4P4Hr#T)1-Ndcxf18QFhlsT5sa)nKS(>8Fr<+Sn&Rpm!w;>_4`^8V{Ch(6hO>-e zn!a7TbXl~2VTeXNQ7*F=r>+S|H0Cj!BpKiFSUJ|2 z1n)2goBytp6_Mqc)n`u$Rv2;xB z3Z;9qL{VN>uE`xEgo1~lbu+rhZt2l(b>tl9{nDPxj8l!!w#SYTT8I(YlFRTk9wDD{ zyE4`|VMhx)mfz*TaA)H}7ecJ+){miHqA+HERXpzk28+oc*9(1iyV7VCROc_^(Es*= z>p}by7*nDdldZ=RhzlLvu?g2d1^XY3W#iZ35@I3yHE`?m_)T(*;5N(~xjyImYns|& z{dGXneKKtZSbvGu!}q0^{ro8?uFYCe)^~F-j1>pBmt+7IDyY%J=#@+^z(!i@LltG% zvJyYSF2Wm&iOG;rIR^f-lDnHyXY$W`=8v1g-vq|f_muU0G#Fk3dk?MQ7NEaC77w^x z=dOb4ALz39RVPMYSdH2^$Zel-Q37w*N(% zEuEo_xog(MpqysN&*V}+VyURZm)TyBW;=Gl(6hnz zxeQmC(qOk^l+8c{NW_LaQoE7!q0I>uA40 z1?`l*7`xv<+)Y6g$t7fcx$4B2aQh8vJB)hoYw3Cn)^^b%_aZu@Y$syB zogJdce#4899#ZzKIm&(mDZywpel29ygI?I8dk5EZo8fvCaGltc+~150?szOavcD3Q zqTvg*WcmYD1BJ4E;L-ptJ0`gjO%6`DwS`&%d**%+vsO@hF31q-^00++TOuoMR&#_Q z!KmVW9~MGrpvfHPDt7B=j0fDl)+hO*J zc3DCA(S2L0ZvTzo7PNRf(Icm!p6H14$Nf1CJVU()p65)9eXqtg z-1ABUc;x_b=TuI;6@e&KM1ddjdF+Zw#SAqZ6o zy2*unIk|ilW}wzi$utKLm>3-ZqGaZflI@dFUnUE;UXb!NzNX$j#el-AOWu)Q1LD;j zK{%AOgk*9@x)~$L8VnAOJRCtoHKHVjnaV^1WNa!Y08zzS{cw0dIi4l%flY>?6GE15++c7F-D&12yFXMGo zg8h+nUhtxmDD^|>9$X201srknnhAQv0VL=U|Jfg$0spf<{F?WNMA80GW_sDe`a}Nn z8^^vLe3RFo9}c#^g4o-Ju?{0rJuY?YFc#EukzB*!hY#%32E)&kH5`wA3%Y9Vg78jQ z%|UeG1n!b^V|B;1GQ5L6Gk)q;ToU%-`j<=xUf8oGyxx-HNAJliu0d8fiL}i4(0zHu z)yUf7f&24{E0MLu>oKw!*@w307k~Z1yy6yQZS4;|npZr7aZ`$)9zBlZCEHW>cfS3# zu#DQk%@D>|En=({*g57p*4rv5U&ARy%_r4^p}%wT3k*9UGRrB`)=+ZTygu0eeEOE> zu%6KM>ND=P**@Jt#7ujbBW*4-O3w^VQpt33$N$3Bjop7Z(#fsCXWX{q3w6tWzU*7e zzAjQ$lqCnh>Mn-JYbx`vV3i0aF2dgv@N=qrNkKQ3`WRLN&tPT5=^CybH7h?qM?M48Q{6hEdD~LMbi4@;0CF3 zsjV{JemmG;=_F>LKR7y2a4y?T^73pu6HJ?IU@+`fOY}DOWH?jM?6N9&Azgt}f4-Qv z${b!vwa2IA3Lp|y#}(@&Yk=zkrr=r&t~}?#+O^>7CE$E9l<~N5WuS=eh{!Z|0b37B zRj{fd-#w&9raHhK%|JMfQyb9^IM!$A55e%ZR1jT@0AK9wz{@!dJ0}K1*@gH8>WqNB zDlzdsoKmJ{onWf-QRgW*%Ecr$${%Wko35-SmQAG80r{goOW<}e&q1`{jtnc}iD z$cdM78F86J)#>QyxCV6*H7*gw+Qa+#=mx@RBrlvGcKh=+Sp77y@D=LI>w#HG_vv-R z#D&P6grBr#JnJGyY21QT;x1?i%7c3Lrhd90gDP}^EKo^+#bKJIwX|&=Hq+9{Q(+D# zjzbF_*&t$2?`-^P@H-vUXJdol2v|hELX4dL?CfzUmj@&0Hc=uwNlE3|aU`Hw9E4`k z$C;AV6_v^A=E~~isSoXfNs_02B16ld->HuKvt%$ZMVmfVi*wOO{KK<6{~(mNp*ir6 z%ux7~IY4GQ##JYGJV6QWd0UKcn6b8jbl`;p;1t`-eiy?Y9J13W%VnsHA6Kf_k(07v zoaDB262sx0qBLquW!?&xVocx=cM5)@uVWU}=h6*og4L8R8|5k)Uu+=54 z4!3#D-(%ReDBDboE$5beZPnBWSvqR?lnZ%DGL)sqr61MF10~UZ`oJrP!Gitikfi(c z`4dDcU4&Sn!K3-`3&<6l4~2N(g$9brzx^TiNtIVNjpAG;z+WFVm&@=kQF8^O<_bj3 zb<%8AU@F5W)DbvzOBH_P1hu?+*-Bx_dCRlBB02aq(#ZUiS4CPloPEhor{tHc&Ro8i zy=)*+F1e+eI|R`vd#C1RHCLs%Y0Z&WvO4=cNp}5Ml0Cm6Qmc4Lss=*iB2 z9%B0kyN>KXNs9d^G4>T8%;PU`E;|Z5^p7lV?(RDQx9aXGo4=G{OR`)~Lr!kZ2j$}# z&|h{j@}p+M+zZ9pP#;yyq8t@7Ob~(NOwp1_$-4*?Qy)D7eS2iK?)Qy(-4Q6>iZv#0 zwiZ=#6_r>SkCsDK^la5`e-AA%FtrP4v@(_$V?bdwlR=X}@k;Ud`Tz>wczm_T_nh&d|Gxgz(Fj_o4%OQp#At4MUuk-%G}}Ua+!MWqYY;lzXb_~ z-7!?jWtao$<7|CN(h(u8QPRgq%9&L6Lr}SrI&zgP$klS!A4G{}KH9=o?i2pl`eEH> zY&&_)#0GAqv3)YlX&)N^&D^GNY=fjbWg2Ragf`R}Xz#J2ylGz?+93 zPjv%th7HoBgTNUmD-y=(2;IxV!|!Y!xCs@UOsZ({4|Xe99XirT+~*|wWOW%HA37-A zFC1t_NjNYy4fLsST!OwmsOUzXyv`STUHhGM=JLnfAop0R9el>0h($|_h;;q3pdY4D z8b%8{eJG-Uv|dPEw5%cN({u(@g_|BrwZaOFn|7oy4tXJNNXNMGT4>zp##KR|Ba2dT z(~Yv?al;Fqu+O2dRU;qkYXU{VqS-K5G^>4Wf6RIbGB4TJ4#9t+^_q`LIxD2kdMiZb z$5IW>W@$<1VKy>>*+@XMdGTtt65WklN|`MvX|`#`9)eZ68)BY)Bi6?Rip-|j&}^D5 z=wt0vedzmP-;&W5@i9huu%zaZvdzQjlhXEmsS#IBx@0wW8!)e+2r5k@;bx@m$vw0n zdU8L?L=X_aRhT?6ZEvd_&X=wjgMB3ybO zY6#?S4EU_OH{i2wBj4$a46Lv!1;`5qr&s>@^$SC-9?f4^1@u zn2fgmsa&@55DeTryw{DkdsDMCINDPOUlxwG^+4$zp@NpAI_hXk_HT5w&GS&$@5>_R zlt1o9+sCCDVFbjSg>i5iYQ&r*P-I2ThE~+^GP)2?)@dn1*D2OK8O%qgPV2VMe z-Lx5-$FWYXx7{#~N=TMH%ax}@CGNxtglNp`$ZTj0ia@bN1eF#~;BPY}MUWeQ(u-VM13&4j~QUg$#%Qm7RzntDjR z;GuTvWKf4g?OYbwiQ8ghCr#uXd$fxXPKL?Bt0gKvXvfZC7a>%0d@445OO(R`IwZv} zLRt|*;q=V~3zaHPS|_At@pJWl+KJ;M7d@5K@oSaHfeyBRJXH;(a2e$FIqEVzWPcb=%tuFt0|<-$akSS_$2J5JfWkyoug)%hqM`}SvU>O(l(q1r4}wakU#1)NcL}Z8qDi# zfw{tvhQR;U2N+ZNzzWxLV@%;lhB;coEE*>fBTzKDX*L|)G#eW<-R@{03czU;VgORueF9PT?GMMltNbdNiFZDx~ZW!6Q=l~mOHjL(E zw#=W&7BUyn3|>kuJ{RG2Y;8Atwr{sUQM)x8wp+6?v*xe+u%zf#wsuJ&P{dZVAzRHB znDY>|cURY9P7XgbJ=YZh3lTZY6X)`uzN~jR%YW5#(Qh$cLNCm{hDG?qy4YNlUf^8B zF)BGo7Qw_daCbF+(#Y`99>1U{(MP1g=;r2umYLgjI`8_Yv2>3{3=B3hH=AK-+OW+<7cv=o2hy`lQSE>sgLLdt zVJs1Br<6guzp3M;3unYIV_&87LlV4*Lu0ANXJ7qSaOUKOgqxc1#YIReg>STK5}Se1 ztY;)aPa-H-%fLkaF{xB)%`uA7a5`oD(UPiYd?hm?q;5LBfTVeQq_s4NJdXtri{v^W zm`%tP+YPM2?FI$_g|M=J?|?g^*cRoZUDTYMhKkLv=*lR|n_t6c9MRN0WC>eUgQ#$J zt07xl+_6+Tit3a8sitO3ek z659n>DCGw43_9{HjcXcjB`YSwDOV6q!!5q3JXm^GG-s9&+ptJN5}>&#Y%JP{dxdA$!f1^voVG z604da+1nwxV;<5%???|H&iR%Rj9pSkTgMQ-vnCq$+ z*y|P>*IoCka2;C|bDcnuZ8aO(Rjp>j=*ss3>&ZI~{$j*AbrE1Nw;vW3KaiFURV2uR*!wUssg^iDv$NexA$Kec9w9E^v(0vTZ*tKJ5b z9b_RC{j?^$J2STue*`zay{))!3D4aK`iW1+d^-y;Y~E2Ou9rcV9K4*=6CZ*SZ@LSO zfJw}^0!0SXY-ljedV?*f3mM8l4Q_h`|a_%*Zx|P>Z-tW*pWz@sNw42>9Fn1TM9MezV;|;q>DHV65 zGH79*mJafY`fO-WsgN$Mu7B3LP~*I@APVAdK!aEhH9`C^qLtU6{U(Bm%{_S3*uP(@ zIp;swu&KhCiv|hu(8-sW)Q(Tp|!goB^5uADNI5`g^47hh$J;-^4s__A8j@mP{OI`=S z2V9ebzYE#|-2&E*MgKVKL$^ucgO}XcQE~2dOB>yA9_og3uiG@pd05>7x%(tM!_JB5 zzXHEa^18()e${CcIs@kzA6LB>1rvEAPRMdN`(^P2KI>{?irD@XhjxY_BI0FYL@bTQK)hX<{4 z*>UnO&yFDhU>@Vv7mh0N3^&ISzI4YIjz(A$uVw&>p7ZnX(D?VI@H%i^;rmr`$sWF6 z)dqo{-o9T&0kM~5!uP92Q5L>NAa95kd%ucb@s`F-WxkG63<&SX-&65Bh@Tb(M|r;X zpw!pq&aeU=s{#}?S6^N&U|90`+DZnTvmY<+!H|ne%aCt?#Tg#!`Pw?ewochrGfe1Q zZK=G?n9o*i621uapuPx2*ElD-?~5E}!?B}(NYaA$pCl^7_kp=3bCma=s7%bI_WdV{ z$Ym(dzr0iI{ipA+g%~KvEbk@a(*S65T`69~8yA+7`0H6-(YHole+kL=e~;a;9JiQ2 z#uow8Tz--h-tw!VA-NOlIESw$1!`zsd*Rh2Qp}x8NZvILd3!~nN(Kz|B{`_#-|PK1 zN|Cp>3aW&g(0Icqe62-Qv@az^w{)`Pg`sj6Qw-zgb)*t6Z3;KUqr3)E@cT;YpI?2!dcH?P?$lT+lI)#f!@2FY{lc9neJ^)kygwB9UG zT1H-|nL#GJA@=|Aeb+qGi4xgX22Odl1xfzUtM9u8`UcI|Ni=i#j%%$3;2xk+PnQi= z+RVKV{2y@q#r=8TnfQS3n;(A*7{1x@H_p&>-J&ldEO7kIXS>kxm;UAWyGzo2sVvip z3t+_4y&=Pel+xhF2nHpNJfsan9PcHuDh7ylVTFMLWLQoy>o3*?@~b^Z;TKgtE9M6Bd*;V8j5| zYpDmo9q$yND-;-^hYA%M;oymYVT40Wp>Mb9$E-JkK#>tN8(ynuR*mqZm=W436HNK8 zJ%v;{BebdzW_eI8fg&SlHZ+1}3ydIbf)Pfctr!6d)SJN@312;)uf$~oPq<=bir0lt zMp9s#0AOUBcK_67zq3uo*(MD#UdI{R2o%{yv!QJ?tG4-Z%r*^_3ES*)LiRWz^(ur} z9#l)9$Tpe{ZKK%&+en*Wn+7n70^~w)PYzZW3y@kW6b49Wgi5DhwbQRg^<<h(M7M zG#eU0vucE&$Ba--nJ_}F6H@1dRH_hWy%7Y8jG)=j2%0T0g0u-nI8Ye{$O+($0J#at z{Amb~y*NqCpNTU<{E8M+P_O`j!vulD)pJ4M9Ok6sZId5v)*z7MV8ZSXgSTgM!DfjW<>~*WdwwbIU&6DOd$tV2(w;@K#>s5hC(!3U^!_S zEY}J~2hkuMU;Y8y``!jq9Z#doorNqie~3(Ct_GO`1I+*|X`njl39wsnQ+6f7qkOL}&SuaGONQh=bA)0kUq5)W11_K=gmxDAA zBIX6~MndBtjF=!t>mZDnl=YngbA6F#bAO7humW?9Q)wSh(NcCCGH9qtrZCi)wt-pv zlNtg=ZP0Ak2F*$v#(x>>gQJv5>pLO5AIt;o{7H&rbtsEA0}~AbUQ73oR$r$C9~cX0!60K zY-kG2swvKlnWCPOVTz0sl66ArR0y-)6aq!2&}?W5%@&wKS_V_pfsqfECE$%#BoRwL2gnhk|$w!m`IGFWaLjfjHi1aOyGi#rnGtTly9 ziCIf*5r$UGKv`#?T};^_zy=0l*6S`%q`PKA-8CCCP(QRWv(|_cGU|k|F%ZJ67a~w3 zM6;m~%@!C)S_T6JU_=r|%s*&C;~=1pshnDs3ZC~A>r!xm{)S~UL7SdTmaX7ok96VkvG`AHSREDr|#BvQ-~ zUZ!Tl9;w*^vq_s^wwVeWR})}WVh$TaQP{;IBaEUx<9>`O^cw{k+{&z1NTA3FnhlMh zSvA7BF(ZsnCL8U9@L>w(_oxtNc~C8ZA|q%vG=gRej38}-5xT+XAUPp0czXuP50JyHfj0(m^(oxQPi_>3-|556L}!)g2BN(Rm!`lZhq3K1Cc)YUHw}}6 zKY?Y}8vZyT0L?c(h?Ywm>v(XR9Qm&y0ao}JI3Kk$7m>?VuAt|s*Llg^j z2p7acEfd5-tJA;T>Cf*p^4wdcK3wZH;Tw*Ap(p1~{}R(rk7LZcHL^7f#yt0o>kMO@ zyV$}OauLJeTXfikLFLH*OZv{jH=M32oBJu+iv|CWkR;~*xme?|;pcUXHU1z*Scb$Q zrU;2@=*V-gW3|x{=b?@`k2O9vwIp2QD_!F&!Id_L*zpn!ZTgyp!iwu{j)FjWUS zks^D#dr1p>U!WAb|NMmPxWrcZI2Q`CO)MV* zGau2C4!lG@!nM3?{__1-Stjt-f|$SvXW_RTU*cMx=zWLO!Yz+9gz5+F{Jv@B{M&pX zn~DD(4u7>!KYNMJA>THvgLinV4AHiKj5GsN)qwJLy0WfAw$CcD&yBRtO_m9?T@Vx62EQ9&pBu}B*G=Sg zo8=`ATYy5~vjo4J!0RUEbu)Rbv%JJ_3*$w<-3(qgJHNHUZ>=y!F4IoSxbNILzp-#y z3TMJX^&3jWZwH*;TH!b8$$0C%&~M%R1ZNZ2$Na_rwk-13CflSp>aV*klZH3vulp^p zH|ehjEw7q|>t+0PE^D>p(SV1^3(vDu@-7@akx^ivyAqSsdxbX~#}LnDB;Lahs>*+7 zAdVv~I~*N1Lg(V$GeEHp2glj_ySV?B#qh)X6B$W+vE-O|U!E5~Ee*w&1{^^*_36)z z*K;{iK3<2UbjQ0xI?L9w`NsX9i}67_7sQA0jo((p_*U&Ny=3>r7(0ot1*ip9tMKau zyIy72M|NM1v6Dz!7(0#(ePGw8?CvJJCt~a**cQf)gTvimcek>`mlYfL?~k#Qcv~1d z#+!a&QOEUuL=sn&xs0qQ^T!7k&Pd@by=%e@B@$+`yN)pHN0=QZ4IY7rhuOgM3@Z8m z9JasG!0{YkB<74Z!LQ&%#J9b#%Wr?QLIocLjwMbj4=ie^8P?(Um zREBMl&oZy(+$xP3Wq)&9J8B9 zdy>6XLOzuVuufPsk56EuvgYtUjy}TDqZ-zO;vnqnpYzD;&oO&9<>~h~*4`3Auy^Zm z(v4e?lg@xh!sPMk64k94=LHx!{;af6$_~*P;P$(mw5bNju0{3 zl!8AQ@^{}PuIa6|{>AfEHNgbGgo}o26pXjt3hHuP?gd}k<^6TAITxHl6HM?aj8G82o865kYDNI=A9=9M5sA*j zr>x^A=kXzC6w32Q{pkK_wy%cmt7iM~{q)Q88RGkCQ<)h^!19^p!}qsCfk^;(B!_|< z$6KEB+QcZHV#$+Xu?VdJbkyUjzD;X;*KgibyRoCcwrf*oS7+@#>wCL5_x09x)NbkO z?c1`cc581(Z`a1IO}!^2BJ%Z{UKe>s|N4!68y8T;X)8g8^RoMHNR;(gm%V-Q36)%5 z*8n@)(R{ufCyiCrtE#5Uwqt^4fHt_YQI`^HE`qfe!FnC`fJ-SS>&{POr&?K6-B?uv zaf`N>KfFkE@p67ziE`0pWRch9c~{r-qwX^fLX9r<@*#dpXo~hr<|t)U~Oq zg-sJaBd%CQ*lLG;u?`uu2X+{V^zbds$JFFPuO|< z@No}UJW~GPBGEDH>U##potcTb|4*PS5kxk&RTU9T1A>qkQ7#=V1Pg7Nz^?Mz* zTQO;C)?u@X3Eg83`-a0PXUt(QE0*zc4mkWS#cLBww{&gjSlZjw-4-`UaI z!IGsLHm~j2kXX8Db8pwuRoC74n;3i6-syDbtnSh}@)Ywwocj@xnO(|b2c ze#>UEN-UMXl=&tPc6+vksXfvz^Lal&xIFD>57w=)fIDybyq?USqbVm<(sCH#!T!hN z4*ZBaGZK>H2Qj%GkIN!o@_eK9&qUo~@(()sUQaLI>+kb?L^rMz%j%z1ia?VD^~4IN zp#5#YDBpDroVjC2(?@;YrKGjTxyZyZmOtw1TUwsSY5jyBm%qo=pNSbZ-u_F#vsnB4 zpH<1eKHHClc0v2E1Qrt^6?=vyNo>?4{2SN*W|YKrCvm$o+_Y2p6ktA9SnmDGHx!G> zujl&?IBGcunIkUW6y=?gt|IZzNAf^qeu<7&CXt{e-kysfhq%xClpo(E?)#m`S-Tu1 z#g6i#Eh2p}PZ4Ki79QoY;aUv0T14gL;^8RIvyE`hY0z_IiQ+P!;64opV<+dCBhq$Y{)JN%HtWe!EzKRSGDtrE&SiqpdmZ&|0f%%eCh zN1Tv<&mD?;KFtnKe_U~yGjZDM@OJ!%Xgp-zMEZckt20Cs37I>QKIHJ}0f7@Tf8z90 z4zJ&#_zRjOMjW0PR6yoXoc@W!M;t!u=&L-R^$N(GkJBd{o_SDlnPYMKtixNJA!VKg z{$0-}tMqqiB9TV>_(Rsp$fWTj?&s@KM{twF+g%s%_y&hp!w)<>{t29u&!ofs8h4Mw zd9leu*3C$N%yZDUbCAIy>t&=BP*HF$c5rw;Z*%yp!)3jU@|>qXOUT1@@gF#R+TpS` z1_@u2A^(0)MfDp8P&bF@3&W z!k*8Sz!SZjdp2yidt+jKS65eCPury(%e&SsTYG!k*1p@@>J#hlT;Fzgcjtz-wcTB7 z?`+%BvFVPkw$1C>)?;U-zio3*(Q3?l9>+Nd07z%{yuiv_U(;aPHop*Gpq~49aZJRf3 zSied0V(d`7W$A3cj)q16P`n20*51yx4V&+P1|3_l_t)09iKJbf(MivSKGTGbEn7P7 zZCkr}Biu-D-KUZ}dk`_9$`^&Fm#7pm_@=&%U0WcCB118~o6$ddyY4_Z`0AIy6TZb_ zv8V*G?n{o=%&i+X-xF2Rt{}F_*M3viU47Va2<2W9oi>E0%e4is&$MC~6L)JjZ(7^o zx?L%QJ2SMc-`aM^mM-kNY6m&CgEsbUSU78KGOf4(#_KmZ*B_g9p<0_(VxOliQl}t9 z5E(v7T(BQ2QlUe{Z$T<=VE6R?U%o6leSdU%ada95$nq#a8Zi7V$ed6wYH}zPW0?=> z{OHUN&W8F>jfP0ihWABiq;f;l{~99g8;r_E=sg|ldqXCdnqbGEvZZ%D2J9_eAM0A% zo7i+mJ$}pZdtah$RrB>L8=Kp%zv`+FU%9$%b>qtBE87y6VV>oI{9fb#2ZG~L ztcQ6J=Ov`a*BJZ?gJ%qm+c_cq%1UH#kPq*jcs^SUzQ*8ZoP-RHxIRBCf&T)xraV0_ zX+8fl-E|B3SpF9p{I?DLWhL!JS`ROHacb!K5)^2AT-0E|&!L8jcFM%(1*JI>=1Ag8wHyZo`ga4kv zR~!6kgIoHy)_@2H`Co#c=fA?>)-Sgj-1_B92Dg6sj=`-R2H!@hh4J~#68IP1UYvfe z1fDy)IDPIN5#E4P-`+17-0Jx)gWqWA4;kFr?Je(&_*=UzH~6iF&vt`bxj!+u)wAlH zh`*&@V({NK{NG;!|Et=FkB#$d#bmZgzgOaCr|Tl$X~+|u7`a7%ykg%N+--rEgs=|5Ehzo9L@%G+^-qj>hoQLTYV~68Am)$e%s*I{b?5`=bvOm;0fn2)Fj>G`QtIU~tR-PYiD3R{m37h^WBN(*O4> zBe~XJXIvHGX`Fid)EV6BbFaaz{Xb)HEB8yQBK|i1|DMjkK|L+rO6716XH~DysKKqj z<_vEA^)Cju`d3gn9C7>nlfj!%?&Y3xjgS}6FE_Zg|1}1;cDS6A5Dv=Ci$jB_QRe0D zGq~0NI5r*!`B?kE+u&C2guxlAp8ww(+|uvht{=d}j6^dB#QzieiJ)LTZCKseuG>7UnzmlmB3fFMf@$Fe>b?*=dmk_m;q4Hn_EO!|f3t4pH7dLk73}ziDvG=eq{C^k=V)_*?q(4Q}bT8r;%< z!r+$v#T?Kv?|6Et3&t-h~xuFCed@Q2B3a8%A*WOtiU%#O^zG-7|d~{QUe*mYR|JOGc$A8ij z;Z~nl?<$VJb!%}v)mt3DtuMl@J`dbo9Dm}T2)Ft?-CrDk>E7b_SMMv1zw|p1ZuR-* zw&M7*k4HGCIB(C77~ICmBL?S^*3*Bx1itG2h`)`idkt>+f5qUI|IbR`=?5bImVRdm z{D{G=KIdg3K31PLgIoT4OW=n};420aa&R28e8vrK_4y}*TYc(2QJhat34EdiUbVeA zpIb}dkC(vD*%9%v`d@8utN#NAw|02N;Fix@2P6KL&-Dhke1;5eXky^ptG)fTGH+pgHChL(MU_VB1b;oeh? zgOap`5B&+61vxTw135>=ZSONPXApC#SaF0Yf);0^AZNzbJb(+%G&NCiW;|J!xi#v* zN9UCL&Y-zGT6eqN5dA^ReUh*H>Au-Nz(u`SAhllegb1@jM_bnE9WHw`tnsQbP^FE| zD$lDj_c>1#RiW3LB1H7XNKxAu>)yc^WJ^up)6hkl!mFVXITe#i<SC&qr#O6ei4jWw9uU! zXX04oGrA=^hXuZ;;TQ6-May{3y32aVq7U(zRSyW+_(FTF1`24%vxa)aaSbunGV3vm rHgJt~jWul1O)RtSu%58!4sw3&c)Kgc>$Bat5jy_|N5gaY5t#o6^^~#r delta 757 zcmYk)KWGzi6bJBoXD)y5O74=}AB%WFr77B`wKjLPCb3gHbf}w(qD6uQL0VDTL7~AV zi;((V)G1x0W6L2H9BdKMMW{=$;G$C~3S9&TL0_82{(f-xd-r+oe!q8r8vR>m>N(0S z_2!RuOOn*&Lz;N^7W8S>DUwYNSLfcpfRobJ6`(q^5%JkwPrl z)xMO1Z1gqJSM0=kcteWcrd@2RZK6 sI%`(Y28f@c$$CQ2RSdG)rs|v$bmJgyy`(-AP0J|?*)1Vk!YlL6KiyKVWB>pF diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/.xsim_webtallk.info b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/.xsim_webtallk.info index 219c3be..a8a0d21 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/.xsim_webtallk.info +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/.xsim_webtallk.info @@ -1,5 +1,5 @@ 1685389741 1685390103 -104 +147 1 aef36ef3a0d94dac9e6058b656907afd diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl index 6c5c8cc..fe1417b 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/xsim_webtalk.tcl @@ -1,6 +1,6 @@ webtalk_init -webtalk_dir /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/ webtalk_register_client -client project -webtalk_add_data -client project -key date_generated -value "Tue May 30 13:19:40 2023" -context "software_version_and_target_device" +webtalk_add_data -client project -key date_generated -value "Tue May 30 16:24:18 2023" -context "software_version_and_target_device" webtalk_add_data -client project -key product_version -value "XSIM v2018.2 (64-bit)" -context "software_version_and_target_device" webtalk_add_data -client project -key build_version -value "2258646" -context "software_version_and_target_device" webtalk_add_data -client project -key os_platform -value "LIN64" -context "software_version_and_target_device" @@ -14,19 +14,19 @@ webtalk_add_data -client project -key target_package -value "not_applicable" -co webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device" webtalk_add_data -client project -key random_id -value "6ef722b6-53ec-42dc-bc5c-9d79054a9923" -context "software_version_and_target_device" webtalk_add_data -client project -key project_id -value "aef36ef3a0d94dac9e6058b656907afd" -context "software_version_and_target_device" -webtalk_add_data -client project -key project_iteration -value "103" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_iteration -value "146" -context "software_version_and_target_device" webtalk_add_data -client project -key os_name -value "Ubuntu" -context "user_environment" webtalk_add_data -client project -key os_release -value "Ubuntu 20.04.6 LTS" -context "user_environment" webtalk_add_data -client project -key cpu_name -value "Intel(R) Core(TM) i7-8700 CPU @ 3.20GHz" -context "user_environment" -webtalk_add_data -client project -key cpu_speed -value "2757.340 MHz" -context "user_environment" +webtalk_add_data -client project -key cpu_speed -value "2576.095 MHz" -context "user_environment" webtalk_add_data -client project -key total_processors -value "1" -context "user_environment" webtalk_add_data -client project -key system_ram -value "67.000 GB" -context "user_environment" webtalk_register_client -client xsim webtalk_add_data -client xsim -key Command -value "xsim" -context "xsim\\command_line_options" webtalk_add_data -client xsim -key trace_waveform -value "true" -context "xsim\\usage" webtalk_add_data -client xsim -key runtime -value "50 us" -context "xsim\\usage" -webtalk_add_data -client xsim -key iteration -value "4" -context "xsim\\usage" -webtalk_add_data -client xsim -key Simulation_Time -value "0.03_sec" -context "xsim\\usage" -webtalk_add_data -client xsim -key Simulation_Memory -value "122660_KB" -context "xsim\\usage" -webtalk_transmit -clientid 653659988 -regid "" -xml /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.xml -html /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.html -wdm /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" +webtalk_add_data -client xsim -key iteration -value "5" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Time -value "0.02_sec" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Memory -value "122664_KB" -context "xsim\\usage" +webtalk_transmit -clientid 2613593652 -regid "" -xml /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.xml -html /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.html -wdm /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" webtalk_terminate diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.dbg b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.dbg index 666e6a6546afc4a8c1f178762dabe92a415d222e..fca23f894577600c5ddcc05aca5d7ae234a9a4ea 100644 GIT binary patch literal 18988 zcmeI4U5s7VRmV?0nwW&9PMZd|w8_{c@#Mq4!c^kI-gxFS3Z?xv%9kF(w>%#k7U^uNypxnJg%b1 z7fg;w$MBuovh3yCv+UV*t(=caA*vz|%Fb@n;WiE#l4a`RRkWx0IcbCsv{Zgn)fqy7 z-(>n{O-tqN-6;(R({1t1b}p3%+9AN~klZ2JF7f)&ACqv+GGF#566zh4I73<5?vlWK zQ$l|~D@mD8=)6|~^M>g~X=iBrlal8w@2{ormi&gq8QSWQXn68^{!SV*|Bl2N>e(n6 zm(bQfN`6Z66^S#{^N8dn3CzDq?vdap&Y&Na9GAfSNFw*i{z~Eu^T z*3EY9lh2yjF5lP7cKOU3*2BzBW!d6 z^Kh3~$P`{P9qYpkaOZGjh4ZE(N4MdQ+70iR>B!L?xGuY)?KB-Zx&yb)Cfa(_k)u0s z?^ym1+i-LT?lKcb;dRroKDq;Ufr+8;s_Dql4BRXZuCQo2ax??ihodWun2sFHz-_?c z6*^2uj%MKAwfrk>IGTZb({A){nU3{Q9PT0$LE)0=$Wa__nN6?4In$A&INUfJUxlNl zBS&$#PBy;^U8W;PakzW~EVYh}utMZ04)?a@zte^z8SXVU%LBx}`x09Q=LZ9i#<@b1T>v_mj*kC$xBvaoHE&ts%9Q!r6*NG^+X*$;T zu=3zuC8BWAbmTge2e(K>VYwq$tHxualHo>(D2$tq^=(ofTn7<_PScTN?*wS??HPexMOnGqUD4=lObmTTG5AG-h6popWT&MEj;(dX2 zn=sx|`JR_(T<;_Igz@ouBlo0n@p>c2UX>8-9JwtmY}I`$a$Ak-!axc;O-HWO%3f6; z_bI|VKN{JK?&6>j?H9RiEo{|&Fmm0-tz%#m){V4uM-2wOMLA=VeUPq(mD>ssV?85h?fID)s^m!GX(*CN+%T%5m=8!#^VbL0k%i~FI- z4H*~rIguMS?vnX?=V&V-a=V=i`$T-6;Oo_%*6FH!Smdm^Rr|2WS$9^gr;*DS1+^XR z7rA}bzqqf9+?a83zZSXu#>M?#ET-^Uf?x=CCr!Vby%z+~Jf^oCvIE$tu z_o8vpukNvQUpHQ|^SIBB+%I^$+$H@)pCdQp?b-zM=j6y$ygs`D`SX6{P8b*W*^xVGT%Ro< zeKrvyH)~uR51u;-?l0BW>8gEuH1<%<8_m{=i>8ke;k$c6sxIRa&Zd|lqMp@a!bZVKZx9E?osgvMa?f_o z_X%rp+{2tRH_qFQ^|7Wq=l8F*>SK*_&hHO!%>A@~__lMt&aB13IQIp`32Sk@OLXph zPPI7Jl6L-g;X1ijuamoYo!q7C%CmS-JfvZD&RhxaNkb2Usb%F z{l1%UzovNFKh_lQ-`^H+4CZ+`lB;R}@d%eK6tvy?|pa^Zs2a;5H=Oe<$2m z6>n#k+bsh>WvtWweN5-x?uQcY4-4vhAmP4Nz&(_3Uk~kmJZX1x!u?U;cxUVV>oJbC z=~0QDDt2uhC++Ekdrar;xMve?a{BIQ159QTp2 zgxeZ8?rCn9apPD!9|_#U#)0^K6|TsBhm-oSUx$SI5Xr=8m;UiP5d`Yv{!1o4M)F39 z_wTrItg+nB)9c-290=UAuY>xXyI>sW*nu%aNTz<$iT`%OVTY8X ze&>EK;kdq(dn)0+ns7z-`-6mw_S=%w_w|J1`s`G(AD`zwZuFPyYsbBo)EDiyRpltp zxcyneaeb+sc{lFdHxdrNKPS0cLNa?fxDQC&@7W7Pzo#4=W!&%K9+9N&cI(_Z_j|bL z_dN;ceh(M@zBl3AesD$O=6(+s$BjLy+r|AJF8ckBgmb@#i+=xf!nxnW#c|u2aNo4? zU=I@Q!aH=g-(MtLvHhl_sDmvHX)aQMMm{Ugo&9xnO;*X85ueh(M@U?Ab# z@8RP77)&_7pR)&!*E?h!{rd;&I9$Bm;e`9=ge$sUUw5gmXgzTI!EwD-y<*qKm$ZLP z+Ktz{TlsE3-$zj2RtXbMKY6`-q~TJ3q5bGDBgWCB`wLvO-)O?QzraQN?M*npUUZTD z++X0LzwER6n3wJ^aM+J)y;DNp$0TkS_ZPTmzx@e!#q7+p3;Vsaz5~XA{7(UQFyZc` zlL~9qH?DO0M^*P0aL*^){R!8h^t68y3HM+DH<@ssDBuny+{T347}`CYaE~S2roi!j z$FKLvgu}1QoQhrZdys7j$GEM<;m@DCPHrUO+T9nZ?}h8+?iQN{-hnYD_)Z!~?@&TJ z{wL`zyZyut?=3sD^KUx7x}xLysV-LZ zceIskaIQ9es=QdQO;;L?thyk6u~uDZW~1ZT{>uEQ5;6|;rRiq1wxFb1{cJYboH|+A z)>7rMYI&&IiceHdRvXPqy^&pu0XKLv{+Bq4v%f?@>ut^Sno>ON{OCl zJgs*nxA$pJ+wN`jdVw8Y+e+5sQp%$W}veCSYE8u ztF;-8-6;)Ixwh2I>Kdl8Y9{mcb2zxjae%`h2aQI4vb(x4HP=e+&Sda@4uW+Y;4qjU zn)SJI|JV}oiAtl~KbJ?X>}2`C?zyRx*<|_PiIyI;^A~fycWHjHoW~fcew>IFPy_jK z;z+LgXJ+bogaI!f)HQ%uBNG*s)IkMx9N=&yn^FlmbNOYpkmZMk+G2T74ltJ;950WJ zmWM`L7vHKT8ywr0O-vrj#t!xmWy1%Qw~!5Ct*NEuEN>sr&h4kR<>qQshXD?Q9Mrrz zrc{mt`O%UF^YY4}8fE3`h(6eIHQ9tl6;`SP~X zLgh@Uxw0^AJv}>pm6m5`W@i>^$4@OSc9-UBOAFQMsnexp6>8L$>eH1xS{@nh zA1ckr$|V(?E2-X6qd8OV?&{j9$kf8jRDI?~*4eBqYvH>AHC8K?ig$CSJXbqeoi1cfSD_dd(jfmgaS{nl5XOQtyp(^rYfj>gD-% zrnTp38S17F(8^Zo7PZ&9LhTxcmv2#v+#vGXz)iPh>)N!j(S7t5HK~@giM>UQwXRQ1 zYDaL3n*6bCc;05df#CU;VB6PhUJ>LClaJ_u5UH8)y$ji;_n^onlLz&p1Nn86tJ26( zy#ztNVbZCWsK~1(_uQr5ZICog{z)1+qz5?UFHO=u@(R|^+i944B_EXV-ZgUkZjmly zRXV>*#Cu+TCx&OpO?JLnns@blo8-ODE{VFC4cj^2JgARvrhE_Oe--fm2>71^{9l1S z3I88}{{b*5;cxl*d;MdQZt*-n@ST@$xO|`Wdicgk-dg$aDa-d&`Zd^wr?0yudn6+g z`ph>NzMJq(gzp`E%iuc&-yrzDz_$aw3mlU0KA(5>FGyaL(C;3J_brY4bzrZ^@trgc F{|mRfK$!pl literal 18436 zcmeI4U2I&(b;qx**jAD{QNA4IqcNpgviu<~MNu^U(TK|riHs?cB4tZ;+OF5+N?eQN zGP_HeN*>~*57k3~x84|im@ z>>!^pd0aZCKffu<4&9t(4{z<%d_W43nLMdFyGe(eIAlncsf(A;UgGDJk#6az{J2F& zna>maP6_%Wrlqp?O1K7=y8RNax!caA@~)L0SV`xIz)&0xC-AUL3I zIQKWqKTF;&`Le_r^qrDv3CzDr6dKu|Nu0sX4#`Og%=abllzdy_40@-eB7ymlh2ITDNU?-@>nHq z`Xt2VYym?YZI^sfOg=WsliUt5LCg}n3u3VA$r;A7Uqb9Ii?PQ($DCm-iECo_Yyopn z^&gddwtyK`{Vz)B4{`0u+af0D56{4PG2DYEXNZZiglFJ)3K-_M9tnOX_C2{B;s!tO zko>+FV&9W9#Equg{5knj0rRk!Uy{%kKK0~wh%MUUS^BCNeCo*=V(Weh&ytsM-DZin z!4LS5c||7kxgEVzg8#f8d&I|X)6~0t?QYHO)O9mv}vM zF5`HQY5cS-@p|roeh{l@`gdO9^<0a;s7G`C?@7F#>xmoc(OmzcB&{c}r7wT{4R*xO#m2UNn z(f*%O&-_+&{b)fwaaq(pu~II$o_gl9ZteSA)~%knWgaW4XAbLDPh1y`kI!9x{SX1G zG;CT!pQr!0CoSzRbbT~hHIreT=Awr7wynN!jpUK2JWOia8H_!9L>P>@xUkznT{OIz-=Y)mA09V9L>O8wE8!?a5Mw=5)XpX z%ci3}E{A)L2SVw2(~;wHxJ4cerB&0B<8ruR9ss2Y(~;wHxa~X$Nq-KxW0oS&IzNf#e| zB%jCGOOfJyAGv!v*cJB?xqFR^_Yt}KjEnw@9D7AlTt6bWw}WM?yE>V5(~;{nF50tY zESs_VlIiHb$n_Z)*R9C0XCO^5fapUV9l8Ea@fGVJ>ltg6_iujz$DHikKmo_xM4;UBM>BtQk7uVm&J!o89&m;GcadDlF9D6iUY!@H1XYuEQy)r4< ziyZGnq&QziE`R96#rXw}M?wX&yhQATpW+cExNsMzmcmO7uUDQ zJ#AcEw<34OxVUeR+>&u|e`T-B8}YJiT%5-vw_;p;jw07EF8VKWtH#B3D{`MQF3ty$ zYZ@2hAaX6^HuK9i)%m>KF5uGr-)vx#QNbmZ2Jd(G-E zcHzz$_pD7w=S@d@pEl0bP0I92(4P2gjq7+fu&~eT+4Fbfe150?e#zE^#U7tWV2}5H z5_Wlo+sqziACZuL8h2+0%Q@a5XqWw|+x7d{op9`xdnC@mI(LuqB-&-oOYQLv>0Gb! zq|LZ3DtFG`FE-<7-#OpEZ^q#_=X`$*$6A~Ak22>DDNovrW3TU=KL?v}*mlm>bvWkY zv|aX4&RKZo?M99majvR7X)}AQiO!wMsm(do2g!`8Q?gI(;dcysY@@czwB;3CjaLi@izaJKG ztRv3-DB-@Od^f-Rcf$RN@@fA*q;t3Tb~8YnenryF-n$a+#R6`7!u_eZwA~Nu+}q`E z97xP1yCj>nOPSC2aJvh*U5UNB3%Gj|ZchQXH{qDm)B9j;;XXk2CEUG%`>1iu`-2JB z8#q47b-z4h9CO`Wf%}+oAiloA75R_9?;ye9zaELNTdaMwOaCSk?tYyE5)%|FyV1WX z37P}59fY6;fnmn-?NaoF8Yu7aeH4(IQng;%3WUHDD!dSI{Mv>5-$3My|DZF#e~Bz>3BSpaNkI{=$D6$!!O@VxNh+b_pOBM7SC`m zB^-XSQ{^r{A8>z}aKv*r?ynM#y*_^AS*Q3BNsMRqW-*@mtljPB@QKxERkP3FqrCd(n8mqsGy{m#yP) z@qWh=?v;cqx?i6su~+o`y8qy~Uo)@V<#?g&ACq?D{f?{N{pagG_If2eAo`cvJtPfx zi^S(Y_S7+64jV_49xrgwe-jDk@d6k9H<@sLzi>tV^LT-a@$w0?m&OYm{^MTXCZX?- zO586VFL2R+QwjGS^E2-d?59$DM~nmcZUJ{R;Vu?%(+T%_0XLIy-%q$6m8bnXmT*5T z;ARu<%>r&N;eMQOJ3_n16YgdPMG61yl%)OR^ApAcQ@14?ab@O}yBzl*wE$)8Uh ze%8lvi{F@Oo?q6L|84oT@`HDW{Wb|dvlqNp_TQ>@++X;N#5lf{{noil-KS;VD$nE3 zN_tyV@+r83x` z)mL=kYNNi=&L(HFBems86=WQmYxC`TV?{-c=DBRHT|Hgf*HM+JdS$HM$1N*FG zaKC4q8FV{C-rT^0ez|7`_?RS{XF#&1yq)JNtF>mmv5?Kq9oJZ$(P&f}Ywc{Rp2>q{ z4%4d~M>vdfki+wnL-m#FQm42-lTXVW1nW4$VKhIqn@g4Q)EfEOTB}lC%CmOuT;=GY zrRwQyt}=b9qet!hiCi-TWugPSsFD0Qdm>lmg@tCGVI(Wl>NSX&o~`Lh9dx0NBOFd- zRb8QQtvtQ9yqe{QmBwmiR3p2TP0v)OCM#o;+33`x+0=A-EE_+n+g-`V@JDrRJIAbWKXZTc)I(7nC_4$!{<} z96dfel~onlI)n1;k?|v0y@fBT&3b!rxz?`FQy?lS&}WQ`jpbUYxm2BRHtOr8k+o*6 zx>g#fH%qN^a#4NdRHHQ0Y&>0S@10XYZMoLkJE_9va1{RV zTcuWgxw5acQhTP<-k4Z=&n_-xrDvLFO6!XYiwi4_r_QXc_Lr6$Yb*8n>e8Vl#Ff$K4z`M9)fOnpu0`0BbhH#u_+2BmdQGlT-V zG~ZZWtuNJ%Hzqe3ru@OUVXdvLwCbl-n6?RD-@JAv`_8`L5TM7|7McVBkyO`8?1p*N^WwWPi0 z4Qi}&e`->@fg9B1PwbxaHuE<&-cL#P`j-D*eOV{pHhG_3=#YlVtJ26peO`%NFu6k? zR3N`;a!DFFsSh!bZ<*}Thn>jtChxdae_J4Fo4hWK9M=mN@^>a_A7%C@e!olKz1}qp zpNqcJw3oZ)b9vpy71!}OGM~rtH!i#b_DDG2CE+^`zH8)j8NSm~H}l^zb3QJ?9^VO4 z$9G#jD&YV2;P>kMCY|4%4@>y{IKL6^7sJZ{--q&DC${;HlJ6h+uB{~5tR6mPY4f0D zv6|}g6|~wzJc!&_@0380Qh{L&+hqLp1;4NzxyTLr!?>V M=iVd7_l;@#A4g`co&W#< diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.mem b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.mem index aba48d266f64608a57bbb497ae99b9ad33eb5676..bd35d3a8fcf5434e90f819f8b9644c22ea27df20 100644 GIT binary patch delta 3737 zcmZ8jXHb(1vlbB%L4ruH(xn#_K?ohB2uSZ;@Bq?76OtFDcN9EQqzTeVLh$`#9HimB7&F!Ip1E&yifcn^4uY&DlSo~6p4Lf2R87!Lj z%+n>q@S44MKAVs#Ke1oqes|Xv{E7>QL_)u%q1kUc;e_)dQ)jJBVlM8mSKBw_$sAq? z;k=N}Egqwn#;CUR8QdEEYjkTA9_<&j4hU@?E|f=%sZ$oNuP=69*HFzG#RBXVQW;rK zdpa*0Kgg1d&AS`TS0_Q4yhUXY@@<8d6x?RAjpEHW)6+2DHmW?{ynvA~`wah0NxjBhcg=&I2QKE=Re>y>PuFDjElxS|_ zy33oA zw&ouju(_x+BIm+#WyMEBrlGcYq`r&O!bq3=kN2bn@QY<`g-V! zKr#X->r$;2Hw*0;MS&3=jP+#JB-K{zV+RSd*E*E-%xV4QIA_;T1}(w zitXWuY1M4@^{F2s{>9OvI{XR#c3bT~qox&HXAZ*TKOWp`Y0qs3$yFROyl4adIvqc$ zM1XP_WoCADF^q!3kobGJvo9j!$NzQJgz6msfdR-rNH(+Uf6}lq72f}(kMC9jySk48 zD;nh%rTxy(|LOl}O^o)kqzv!v-^X2iiq8~Uhpocqn4@l0smyso3iZ#|rD*!#so=UF zZx^M-NHqA*_~D1I@Hp6*EFL^ONJJRIdX0G>F5py_WX}yhxeX05E(J8*X1K=xqjO)m z?zPJXWqQ$8B7xAF=KJOq^8crA?Bo(!rOszD#SRRnzMMBW5p+Rpopa-H)E=mteH7*A z-!7pv|5W+sO8Yv2%$C7K;79Sf73hHVj;8Pb~3;r zmfrSkDNE=~#NOn$Z?$V&Ypds_Pj!b!j>l7J&;Tz~z1Y4A(?B|_aCuP$e$)3k$(BRu z>PH^qOIUlqk32k~Wp-AQ&lgpZ(A0ch@lcg{#*VHc!NkPBR(^dRQwjxL^+?E8amhEh zbTziag3SMS=llUHQW^&dN;-KSn}CjUGaywIdc|8b6nNb z8yAk^3o5-+UJG{##!*@P3+?jN&C1eWj=2=Fa@;h5wHrsJmC9qTSLh}?A*N9e>zP@X zUV})_X3;0S5+;X-Z!Ib+GSAHR!Yw@4;coSi&{RR&?$VMhw?z7`_Aq~aA4hAT9TQ}G zA#`Qxu`JgLzmU~kE7Ho;PMd4s6539XE6|mW^|YmLO-tsJ_Sn!7qNyAGIvj^Ifk@S@ zG#9|HL2Oosou}PproNPy;Hs)HpT89q6877IWwlp6Q}5Jiv#$w% zEX36O4K=7ZPiM$9!Py7V9j&_o2$*UX+qca5Vh+3xPz;${t=uVB&y@PEnbM>|2eS;4 z_jx*tH?ayQTSDO)r5xdfXmQ`G>mvnhLe(Nx^$rQ-K?Xc)#N(X>=0fR~MVlgWqM%Mn zBt7!SkrAad&3C{>N%k9t5^N}Y z-r@&|*zVC0rP+;t5tG2Bv4!q2+w?LGYsO%^Oql{3OwyO}%#O!=gqDUSoL-NxRceQg zsa_AXNnqJbJFs~PIZiZuh1Re&+34{28bYyjA>5MQ?=pifKFx#WnjDtKcecT#l3_hn zT5;@OK@YT8PLF&8kAa$G7{Z$Ih)^%SM(O**HSO_khWKurm}@|TC+%xo+l)SSXeMh& z?bEK4W5q>|QzVXqPu{n|xRYSOYb~OMagY8p829%%Vyj*caIc&qUcH2S2J85~5pA+T z3Hh1mkX+A0k37ZZ%z_qx>rIQ7$~;iz`U`!BDg4`CB8GwfDgyXd)Dl}w`fvy_qddGI zqarqKE7!puM*{twahx2#r1c0x6mEbCi#^()T#!D{`V_Xwh}!B=qj<)gxWJBMPWw(W zBQKxOt|_OHtlMu3%hful{p>u8S7(%|zBK?ppj}}t7XKK*BJf>Me1&qwsC+R|J7;hg zE8#2j=|0f7w-+bce{|p23#;@|9c0}_?G<9L7ZmiCx7N5V8g^Aia56fhij-Rt9Qg&I zKDxKV0-||pR%MPL9A&(7<4ak!*LzSC3bY|Gnm9hHdUkBMdF^CJEM@*8FsSQ@R-k!;geI&%EVhg!M<+iV;L zbI7b=X9=YyY6_y^1b5=b5O6|GMadt*OD|I;K=M;2;BiwQ!2rU5)DI-aqn_#Dn32k5)+su)1y9U z=?L`&(w*c+xTKWO(R5#ysBE3&0MK55oE%}6(!CTMjOi5VYjG%<4-3YGk~jg4*~X|N zmYbfgk$fAlPbPDh*P~fNWN^0RF5{$eVrKQ6Pg4Fk=nq)6v_Ef^?Q<%s*WZG8=1n?z zOlyp3Mb{$9P+TC4Iota3?B&r)R<@i#l#>ixW1;*y3!`g?8psD(`&;%9z-eYIqrQ5O zU!1aw9IZO>6@Dnkoyh!ZJGMLFZxe`I7+>n7O2mC~C_V(^gqL|ZFQ@ic_Q${ywYnK& zl9{o&5h^L2vq!s0gq#0;M`F&%Rcx7Qnd%Rq@R)aAYQ}1CDARA!v0PcJ5(jE|=|vrF zCTQw1Z3f_G8d*19@Iaf>l%DGp#F=x8)&xZ&$oHr}Xk{J@3+q^zFhyNINm;QwbwGgsw-uxAVIjY0~Rcm|H4<9SMt0Be6sm5Ln2CX09Qa;EE|etk@CC zi47c|SZ>MCR_oGA>8_g0;0V;W{&agX=g4(#x z@8({3+$DHtYImjRTA6tg6&+IVl~xFS{FP9^I+3KUzo}iIFp2-5yUEe5L*h4$8w9Ab z1t)r&a^}Be3yh3ET3!R+1$Ced{M-B#bMe~&ev1v$t>kd9!)N#Qi=BbIwXae3Em_WB zhvD^x*s9>>nQfKld*8fchulBsWSd(Uqg|)r+|?x0dOyYIO&_eBo!T1=EWwx9t(Ez{ zX4QMg?gq45%PTs!6)QX3$cP#m_5(1vxpAF7qR|k@vk0H6m;51k$q%;?mrcg z&7nxkEdHqU0XOOS*YE3IVo~{315(YaP66EV22gmJ%i95@=Fh*!oXw(|gY5$Ud{_B* z48P#>6;_cgc{n;DpD#Jgs&}$CUBP!m4QrVsV^)y!ai0pEvF%J^HrEtgihuy+4oCU< z#-iLw2c~e@BBtWu?|her6x^gAtTn8TIg!QI>pnTR2i)|^lkXt!Tc4t5kzgCjf*0OdB;-Ea0pVxQOw~i#qT(Z8tCTq@APTk&m!;ZB zrjZlju99(&)}|nmmSRKqFo1W;Z$GTk?=U%ESIeIgmb21vA1B)7GN%g!1)4Gm?{s*G zVlPIhH$|@IP$6rtCD$OMa7QH4)dj5(90h00w|0?zE{h*8LX*PL3eJ10-xB=8&suta zRcn&)y_2Cc{oF9)gTzJF)drj*c<+w%4IjUb-O8(Qo|;1XK_ExUK&Hmla%&I-QJ1 z93XI3c*bcq8ukWlxS~jYcc^2@!AJ4+{yuwf#0>tLMLsFYK2y2lgT2kTPROW|tFiB|~%X?Z-uzTMlES%!U&s2zkQ3smqdm*0Q=o()_TP!8ZLyMBL- zu7VFu;)TY;b#PjSNPpWkc$(c^_v$W@f7&4KmPp}L2^{s(e#aAp7i literal 4966 zcmeHFXH*kfmqj8?1Ox=6C7?6`1q4K-geD~*y@&y#^ddqew1)%~382!O(iM>=y#$b= zv>?)ZH9`WR6M8T}K4zTvX1-<|aC-}OK2 zPXd1u_>;h&1b!!wL7`CoqyEn0;@`u6^$Vg@RCK2^*J_aU0rkbL(CtWZ;5HSTR}!81iPz$h ztV@iGpUb$CgNqMxnPhXade{%9WYn)sNH>J}3sZ?U*yJ|VPA4khEq-7hUKvR?aSyu3 ze<2tc!Tg--P0uQAFfg{*zf+JchB5dt4X0e4c2MpPNY7OV?RbwWDQxz}xxad%&uF^( zMAse)#!BO{55rP>H9ry+K9tcNmS>(>J5_G>e{UCPE3e2*w;s3dRruf%I%e{AytaB= z>U7B|Qk}Luw^x3OFI!NByWK?>=C{MMu5Mu^nJ!oM>|3HB#TWhq#5yo)&kEOtp?kDq z9U}kJ)vsqOIRFf>Zu%n9>tT%ZHr_eP={p^yw;DIfpS}sOYr<;~ADOBeWDw9Re|hfy zg(xmf&9W+!VDC-c-;ys_<){MljqFrrS?$gZ!_7gk!RxK^oB*+bMV|FHIEgK!LvdEB z!tF9wFF)X2C1!_3U(9B%{bJsxjxTe)?#mKjM7*}>C4(S48FE3z*^i!)LhFGYe6T_S z)9BS(1Zk>>o8zt+61XC>sM(HO9{y|{$Agpc+gP%InKr9OC*Do7nh)+P5B;#(j&SQ= z{RMpY%`w{Ce@l5VbF7Qr$Y^8^IRMpJ8XOjpmK2z=ihF3f+lpWPb*2R(rd2XrRzOeG zND>zv$a(U9)8QBe$?Gy(`cOKOV`P5+w zyL*?=2s1v2-oh@_N=C9^Js{M4ZNGkW%NsWD!rUS8dWa^0E)#@64jiiMF(R%0CSU(QO2lU+u&x)=?g-xB(O5IoCimEX3)#wH{i{5l zq~ZcLRwxuq&-}oD@cy3biQZXHR-R9S00WJ5kLtswO54h&HtqR?o1;$29YSvT$H?fw z>~amuk#(~CijXfXNbMgy$We)NWVtfjz7A420(e?SJ@#O6{Rii(>AYi6XV~wY+BzUH ze)&f~45zu-o9;nr?Yv55vi2KbSNN}CZfpURl8()DxNcs;;c$=dhNz+YCl7v-3!}ZN zfFI|FZN+bmFnz@o<|ErU)V-bI*IS6A!}_lTS8{e3!daAi4oE}ux3wpn*bG?tA6puk zZLL=p39p>H#P;Ak6U&^bbJr!FC)rnx9ReJum-hJ|J0yMad<2@^koS8XL*20^*)Q$Q zBtYjk;Nq1~BzTcB#86bAM9l>3_Nva+dq3=}P@my^C&88}OB{)iyZnxAKxpV{fm=P>)FucBfu-WA0!*O+P2Ik7Y_adX3S znI|jd7|su%o>8u$G=?9cbS_qzA1V71P2UBt%@@Rcm(QZMI>8J2Ncpm6yEq;atgtwf zz`z-yh6uNxP3Ckp76uNL->wXo_#|b({Ke(iqALk=+7P} zit{>Oe*x+i>kE%BuokGRS!?76PsaUAGgqNNiEe3*T?-XKhGl^5V;w-DIhKQye3r*# zoy->f^MZ=E}yL@;WqRv3Bb|CQJHPAqbf|>!;H{d zaJxO)W=DCvqhHNM>w>5ntFmHwoYIt@r{D?R6K}Cn9gVdrDHlpK)UKng(_RQOAG!_G z*f__Lv7@RNuC9_@yssj#Mi!L$$^Tw*4d=uAsPXVh22I#8${kx*zDYayBQZW$Wcgv; zwssKtj}?kow~0Wnv^hDnw#?l=So!{kzR7Ir!Vy!}`vO(AIi}^#a^yEaFqk zcv>)rzw(~Af9_MgbqItm$om*I6MD(=a9^NMFO(by%6XCz3D)+Vg0mb3sDAM#8_m@b zwmx}`O0guKA%4$wl+F^)U*PIm*m+Hfd(nA9f=oyi1y^YS$jutmL<3PqGO-agXBqKy zTj8>nsll=AdwQ%!;)LDrdTEO z@njM$kN^WE1#=Q3{ZTda%8PB@(D<^f%^xBf?F3ml_+g#Hsoinme0_^G;!}vRhaEIy zJdWF6Jcz(9$83`|#y)<*twR?7q#31(DrO~1&Codp;6KkjjS1)szJ*H1LSr$((kLkF zQ5{Q1`6|mpB+%-3S4i-I|637z)6DQ}Z}7`G?Fig9Oe+$%t$4#g6)W9xAM1vJPVL); z$gI6%Sh>s$hdD_lSC6G@eGaYbJXS%avtnpAHl>w$Sr6*$oWvyHWsC40#;r;X_QZav zp0csy{TA_%;{C)v-u;$WV7yWL%WnUjRW({^y}S4d39ZIh_tRf;!2+nJN*Up~g2uk= z3j)@h6szR?1L-cH@qsWb=bJrjn`uh=r{Z7t$!uKC@k+b z0vkpnR@1;@Hh@H95zRlZ^B&h~Cj0N@m8;kFeciiJO1E#O=h38B%{UQ1k5p{y^$H*7 z4VY1I4%pAz3z$JWiTOvSO1%mQ%yd6gy|hzYvm(XOfBZR|`9RtDb}!d>mRROeq!u9X z!FqBzFS7~PL0+jz1`@SW9i!Q`(8#EAep3v?+F6lu3rH!Nkv(fj(@wu(q=vkxVjs3C zr9F38FBX4C!&{g)ikYc(;}&J^&|T?iMwa2z@@xmNqd^4ouJD{b1movtJk2^=(tN9> z@MS{xT}T9Bs1C!A{^51OUV%Z$$SJzJsoMCOI3;KMlH#g@IrAVR4LD~TEZ#q`INxvH ztov$PB$=%HRiW@G6%r2YDv?`T*h*N(bCzh!Vn4|p5?6U1?T*s>heth0@P^A%W|$cGDyiC)|LvP?sO(JIF4T-&`R0NTpQ8JOMVft+ zvsF5^N^gRT$ZO1}1)5gb#huQ#&U4S@ul~{zZ9HKgqxn2o&3AKGjJ=1CHA(ilPxn1> z;zj3}bNC8wqaId=(gDdhh^2qV9^V1|$LLAn&bz$zPdq2P6_Ygv3nwM&b(SNB!Yv=C zS(a2l%qois9`(b9>mHUnL~fq)l#nvC}g+Bzrrqo{hD zDCdMLosby(;sst(6HvY}XF1g1QeV6Eh<-XsU^_Y%CjY8h*71ou3PcLLFSi19qZ zvE6E0w6|DbGsJebby-ys;!tzH*i4P^vSy*dwt~}xk@Y)%@l8)Poc4QnY|^s~%lL#x z$qAaha9p0(mYCDSp8Sw!*BSccx@RwDf}IG2wbz_o{S@xy9p_sv1cKF#p-A=@K|H!D zZUH{&uy1AsE+0%)3vQ%=3VXou7C=>#igQ&p?!$!^l9)ZWa@Yc>7^ey1{k1_~TPb$5 z5a$Ovucv`)(t?o3n?q!@(r$#Tzi63a&C!w|kx&$;*w9V_8xuu>fn*p8<^0YW+|>Da zz-Yf0pih?t_D<%dRk4x!T|Gf+@vIwl%ifdBque!8yM!3 zqSr%L(iw(p=D~K@UD rI=Izo^eDuTrq1|@I@Q}F7^Z;c%hr7?UoLg7=

6rlPqhO{NB diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.reloc b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.reloc index 1fa45608c09ee3b744eb907e7332c5effdc6b931..ea4bf548cc2aac74882bfa59c13a4538eb295104 100644 GIT binary patch literal 2278 zcmV)^jLE%!RN|$K<_xl;!v>a4;?sxBKW;AnW=K2Q}P!el7bgd#{5FxQBhu>|Sc+9QNS` zUckX4Rxg4pIDtoa4Hu7E{Q_>`4V*k?_6pv?HN1G->>ZrLJ?uSU_9MK4XYZQ+0Eh7W zNz>2a29Dv`H_X0*OE`mjIEPc;>Tls1-oeXnntcy%;1&+PW%dDH!y`Px{tvBQ^puqW z+`=Zpa1-l+bd3g zacTGNUvhQD%U_zk;{1+boyR$>^R|F>-qx_@yMZ;|1FZQTVa<2=!1~vE&S9-*3|HI- zD>&l(&)^;NpTmpSt=$$5=r?`e^x|30_tU-ytNpp`%+Er0>aStlHz}<9W&`Jpr-Z$^ z^*h2nOW%b?qJ=I z6|DQQg>}Dnueb$`YmT0c48wfwcQ`io)pm%?fpa`SI?ozd=g}V4 zc{IXJXyZ7-r}OMLrq})nVeOw4tocr0&G!aYyimf57iw7XKm#it=;0u?aU9?ZUVdcy zfN>|kz2xH+mj!m#dkZUmYGB1Ldsy+y0oHg2SmX76H@kUszWT7v*9ENkieSxG3M>A} zV8uTrtoUXNE52!9#YcNs@zDWR{4>Ccf1=;p_*1@X@dsn|7s2W;fz{p`R(l1kcxnSH zo~mHQQ#Gu3s)H3T^|0b4?~gV<#Y;Y{cxeeM9*SYbLpiK?s(=+w)v)5F23EW@z{l^} zI7WE$hOz&#l|3B5>6@m{VUO?He`0#^`cId9gykQzjoIZNA*}dw4lDkQVfn`jmVach z{4McCg~V9#;H!gcU!|KDF^Heq6yF-#P!Y*^Ap&F5pu<2&=yYR)0CH zc(Z^NZ`QERw+7bvHt2os&r$Dle}J$4{J~F&&VV7)H~9i@=zi5 z_uS(PSnc<`@1yVFQ=W=El-{qz@7g#vk&V1?-%Ho zu<}+7`jR|Ed(~bKceFpiYCn9z+ON@7)r2feJBdokw`=Y&n%7fje zy@yur;8Py#&Sif&tUTC?d;gU8Vm#WvOIZ7F@shO{pr6C1yjW=VjJ(VYR$i=!l?O|% z`b)3+YhJeYV)QkvJlWYwspuNuqWB3OAa|E}4U_Zr|+p6fNU*Y8`ohfjHz z*G;ecXbUUvQCxjrdG&qE*xt|3N3j04*61tZ+3*dkzeOLw`X4cS)9fDgkJwNDBe0kF zO9!9g%(v`)#R17ZW8M2R?rq)k)z!Ujwg3Bf3c@2Y$(`Q+p!XMvLg&H*QnXL8H_ zclzC(>1TSJoU_lJykgHfc^*zb)8phBd&|lFnOw8SoPNXpa`KwJQs!#&iza773cm7&QRz69kO%(j9AOLzt27C+`mS4 zF5f+vex}E{e8$=3T>h9l?QG^;e)Zvvc=J~z{>WtK=4)cN8#gaZ@7#PzZpXQK@v-Th zo422s?A$!%+;Og*d}?~<+RbMs2UD?nXtHzd<>w|l*KWTs*|~GaS>W8cV*fjL&e^w4 zUa@bT9Jzr^kCT1&o0Eq#dCz`x`Yrp-$sPO0$qD;WgI>;WeS_`Q?I zGr7TEoqm09`k5Xld-$l6>oeKe|JP5+e0t1rz`Pf%MacRrShJFKj9FWc^=|QpIi-)U z1o+P$pNjCa?F0Lg{Jxbv{u)tk@#Q1Fo=`UIgMcz;zx3> literal 2137 zcmV-f2&VT@2mk;80001Z0lk<#wCq$B$K%I^04m|@5dOqnv}lvAcmVKL>DDN~wr_wPU48*5B4XaDv-=Oj7VXP-U$ ze9ybSf}dC$(WbQBPfcH6S=+;lhm8HVu)_hoc-Zv0?2HTFra#<>8Q;Phf0q5q#tpL5 zKl+*J_uMar6W{bHoWmLHKVtSRyn%Cggm>`rQSJkG@Bt1VGy4&);WIqI16+K^`UgK} z92~-{@0xxA@8Kn!e9!D_IEOc||G3#pID;$r1UGOTSpODo;U4y$F#8FvU~gmk9`@nQ zlcta122SARQ)bWL5?;aK(`L`%HC(_0yoVRhSpOP6!aW?^G5ZPL!@)01e}+Rif7bLV ze1J1J3(a1@JGg}7=geNiTeyL}@0-1YQ@DqFc!ZQ-ofcRrZ0&*=NXs3zHN_xbDNJd-n@I;?r(2%J>%tX z&93;H!ivAS>-=tDozE?-^SM|5H*EeBe1?|~Ods;xs}FDUIOFOg zv+MisV154Ke0Y~?>`&sehXOlTfv%d4QsvvR(?~$%5Q2|`Aq{Wzv*D* zFFmaM#V_pnl)nV9@|Pv7{3L~ypX9Len*vsT)4+?=yS`fZ2>TzK-sicJzue{{tnb;u z`kn)<{B4AlzlERJeEOadtnayme9QZ**_CfauiydRX6Ug!O*jKkR+*m;rL7X!3kX4GkpcOe77U}Msx9 z+0E-DkK6O;{kO2{Bunm_@V_pDJ9rIid=}Vz3HlUPT_s1KagLU-=8vDS@f-9Je5t!U zX?Betv0v&gGkXVL>Ml?5d^~>*tL{=fZFbdJR?ir#u9Dm_RvpEs4x+lrX;vrM^B%#w zHm-rSUWd^175Wxd9p#9A%m3znHs2X;n16&d|L!@PzeQics>1~THoNAJU_I}ax{HT? z1FKH6eBQ=i>M}1FuRpgogfDd&Soa%X)n$$^+Bnr=mfTnSD}%K^YW@es>IYxyG%wkF z8SyBGRj0`!v#Tz%(LC(ue0IO&W$V8}AH%BKc&}imZc@Xl%dB2CyW(R8t1c72hMn>L zUE}6`Yd!c*Rn~>*Vtd`9REd`W^Ar$y;KhlT+fDlOtl5lgAr! zN33%CnmFa;f*9rG)t%{Qx}2Omdo5nee)yGqx*@m31J}P|Z##LzzIO7GJ?i9;{psZ4 zhI~{#V$$!~W3EpgO+VA+*6my^BM-Ou#A(R45T zHTcG~4*24JvaRvEYWki_e9VnK-;gVO&FL5T+4cMr|39zdt*`DDy>0UJI$rjU$={rs z0r^{#Tyb`Ndy*5*1?SowvUBY%=YezWfY|3;yFqrY-Mu&cOqX-*E%C*<_ITfEJvF<9edchZRcKex}4h{*>6tXv)`OtvQM16W}i5D!9H&E`G%ab z2b{jc*PXnIrl09@vXAdNxw#=H_^#7OZ%#kc<>ccHd5ix!eTe@#`RlmWtYKf-?B~B( zo3Z}GC)}0q)ECA+-}A`#j%kAjW)Ja?!+qlgeze24a{R5w9~ZPce09W^SF|mD9@3uK P8!4^b#q<6PR?O13J*cJJ diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.rlx b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.rlx index 13e922b..06987bd 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.rlx +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.rlx @@ -1,6 +1,6 @@ { - crc : 5669434041321685966 , + crc : 4961576604248800900 , ccp_crc : 0 , cmdline : " -wto aef36ef3a0d94dac9e6058b656907afd --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot Test_total_behav xil_defaultlib.Test_total" , buildDate : "Jun 14 2018" , diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.rtti b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_total_behav/xsim.rtti index e63d9771b5a24e77dcdbcaf5293c0da84e14af7b..ebeb0fd86d672898149f4616238fe7feee3562e9 100644 GIT binary patch delta 16 YcmX@kdYpBF6zlgjN7>3Y%CBGo06J9$rT_o{ delta 16 YcmX@kdYpBF6sxuGy4$52B1wlXs1x3Xc zP*E{>*ShC!oxjfVo#$ES{LcQ&%$e_=z0bXzndh?Gp@$!OBY zWz|Vvo$qR(lRqnn)HUXr}nP-jVggBkRYeuD#DE zN4EcBWcyPi>!(Mq^UTQlxsmHkT~7HlJdfUg-Oup7oZahraXszSFGjZy?sX>9%r2&0 zhVM0*uZQim_CANlKYE{TUzWVM9zCvBow9Xe`$i+{(d&$EANINTKe}&+eePWhkNH0z z!&W2rzx&kn*?gX5>J|U(a{kwg5%u}yAMch7m9u4P?+ego- z(Q{|Gj`uU1U*1_gN5i@1fpXQz;~f3Iqvzo8egE@u4#$a)Z8$bu59jG*)-#hAx34;7 zHL)IMcl&Vvu9qFT&i*6o;jv9-+i;(wYaiRwG+c(+{rdm69-V7Cu7CE$*H7#1Haysm z|30@}&rfH6{e~%i-$`znaPPy)y@8eceXV?Y%*toLtb9hy%4foCygxf-UU4kIp~t_M`KU^U?Xo`RM%Pe02VC zK05z@`(FR&`QLE9iTUsQ*Ym&E|9Sq$`RM%Pe02VCK05z6ADw@kkIp~NN9X@z^N;gk5BM|WzJ(^9|2xl` z*gAUrmk;xo5A&A~^Oq0vmk;xo5A&A~^ZRG$*$YoS{*V0c`OAm-%ZK^PhxyBg`OAm- z%ZK^L`@heB|BP5=k%{^DJA0V_-{*h%Fn{?lfB7(f`7nR^Fn{?l|9GF6(~pzsy7eaJ zzwTVO{QKPZJeW-5e3-v{n7@3OzkHa#e3-v{n7@3|)Qi6T`V;d{I&Wg*==onhI{&!a zkIp~NN9P~sqw|mR(fP;u==|e+V$zA{chUwE^WPcI|2Q9=f1HobKh8(zALpabFdt|1bZV z|KEQ{DIcAG-0er_ALrBaeXZ_)bpCO-ADw@kkIp~N`PNe|e*gU@-9F`b_+HFE&PV4T z=cDtF^U?Xo`RM%Pe02VCK05z6pE~}O-=W<(&BXjq9W$|Y^!)R8aM#T+;oFb6*Rk?p z|CA5=r+nBy<-`6dANEiAuz$)Y_Qa$~OR?B~#)lFuR}2hxyBg`OAm-%ZK^P zXXLS2`7nR^#H16yqn$L<)cKE|*g87Dzr!8p!~EsL{N=;^<-`2t!~EsL{N=;^OS5=< zi%+kFk{@$Dvjl`;FTeAs{G!~QED_Fwt1|H_B` zS3c~&@?rn@8rgL_P0T;X3IEK4t!!&YajfJokPsALcI~<}V-S zFCXSFALcI~<}V-KA5iXl1FU>_e?a;0{($n~{Q>2}`vc1Ty}yi0<-cZF)LpRv+|`eEBEgOR=zA|<;!7KzC32-D_~Z> zB4*_)VOG8}X6373R=z4`<*Q**YhYHsCT8VpVOIV!%*tPmS^3(Sm9K+Y`MQ{u zzXG%J^)M@6AG7ieFe~2>v+|8FE8iHi@=Y)+-xRa*%`hw99JBH*Fe~2@v+}JlE8iNk z@>gP3z71yOufnW+Tg=M0!>oLJ%*uDbtb9kz%6G!7{MDG1?~GabE|`_?idp$?n3eC2 zS@|BAmG6mJ`Cgcn?~PgcKA4s7i&^=8n3eC3S@~-)D}OC!&%~_!EX>NGDVIh0c;7xxOzRhCUod6Ul-HG} zVQJ;wz{;n?tbBUR%4fi=d`8U5XTq%f1(=o3j9K|Cn3d0pS@~?3mA??P@)u!NK09XR z(3FdQ_I?x3|9<~^{wKcn3QN-Vk4$_$?WxOn1Uug{kc$4B{ae3TEzNBImq4l5sy zkMiO8C?AfG^5OU>AC8am;rJ*Yj*s%;_$VKakMiO8D4&CUYvnJ-to$XImCuP;`Aab? zp9{0{xiKrB2ea~dF)N=Bv-0^dD_;P!@&z#~_vgBmFN|6FBAAs=#;n|*8&>z6NIHYhqTu7G~uy!>s(}n3b=MS@}Acm9L9g`71ChUk|hL^)V~o0JHK9 zF)QB)v+|8GE8hgO@=Y---wd!L0mL%*s#0 zto(G$%Fn>8{7lTs&%&(yY|P5f!L0mT%*xNhto-$um7kAU`30DjUx-=x8!#)s2($7x zVpe`JX62V)R{kc;%HNDx`CBk6zZA3b%P=c{D`w?y!>s&r%*x-6S@}CKD}N_uk^A!g-2!mRu;%*r3fto+BA zmHz~@@+UAW|0!nWKf|p2=a`j0iCOtCFf0EhX63)ato+xQmH!5_^50@s{yWUde~(%D zQ<#-Mjam6Kn3ewlv+_S;R{kf<%Aduo{Lh$`{{^%1zhYMY9A@Qz!>s)8n3ewnv+{pp zR{lI@<-?mm%BPuz_W@A3ZxFC@{{b>9pB}UF889oK5wr4{Fe`rnX5}+uR_?!_Yvr?I zRz4eM+io=f$jiKFrGJ z$Eh?=xR=x~o z<;!ALz8q%d%VSo)0%qkaVphHqX5}kmR=x^m<*Q;=z8Yrbt7BHa24>}JVphHuX5}x# zto-GemGi$Mm}KSaU{<~^X63KItb9Gp%GbxNd;`qNH^i)bBh1P-#;kl3%*r>#tb8-f z$~VWXd<)FVx5TV`E6mEb#;p96n3ZpXS^29lE8iBg^6fAy-yXB_9WX235wr50Fe`sG zX5~9$R=x{n<-1~5z8hxcyJJ?q2WI7aVphHvX61WhR=y8r<@;h*z8_}g`(sxA8qCUH zi&^;rn3W%hS@}Vjl^={*`5~BI7UkzYVkU%P}i|J7(qYz^we8n3cZ^v+^r2E58!6@~bc_zZ$dhYcMOn z7PInqV^)40X64soR{kE$%HNAw`TH;{zX7xI8!;SFf0E&X60YNto#nl%J0Oi{EL{Ce+jelFJo5z70k-Nidp&BFe|?cv+}z!EB`uX z<=?=p{F|7S--B8Cw=gUJHfH7bVpe`1X64_(to(k=${)b2{JWTyKZsfRLztC6j9K~j zFf0E)X5~M?to#wo${)q7{D+v8{|K}4$1p2@9JBHtV^;nX%*vm@to)~#mH!O0@}FZ? z{v>AQzrd{gmzb6R3bXQGV^;nf%*ua@S^4iUEB`%awt|pB1z6*)S`AA!g+-!mNCD%*yA$to+58 zmA?eD@;Nape<^0=b759KH)iGYU{*daX65r?Rz5#wGUmCOWWiTsW7PIo@Fe_gkv+@-%D_;?_@|7?v zUm3IVRWK`G6|?fyFe_gjv+^}CD_;|{^0hE4e;H=wFUPEWZOqEo!K{2;%*tPZS^0XH zm9LLk`39JkZ-`m>Mwpdvj9K|6n3ZpeS@~v|m2Zw&`4*U!Z;4s?R+yDcgL)J56sH< z#H@TT%*yx1tb8BL%J;>rd_T;}_s6XKHJFvZ7PImLFe^V0v+{#5D?b>s@R(=#_UBe-yLwTQDpC7-r=k$E^Gl zn3aDLv+_@2R(>mH<+ov0emiF6pT?~GGnkcs7PIotVOIWm%*wxjS@|89mEVb3`4=%O z{}N{9U&gHbE0~pk6|?fMVOD+@X61KdR{nL&%D;hG`8P2uzX!AOZ(&ycZOqE=#jN~3 z%*wxmS^52#l|O)4`FAlZe-N|shcGLD7_;*4VOIWq%*ua&S@|QFl|PDE`42HG{}E>8 zk6~8+IA-NP#;p7&n3X?)S@};fEB_g0eHzT__S0fkJ{@M|(_>aX17_tjVpcvAX5}xytbAt7 z%4fl>d{)fLXTz-gg_xDU2($9pF)N<~v+@^XR{j#q%ICza{H2(c&xKj}+?bWmgIW2! zn3d0mS^4~!l`nu<`GT00FN9h7!kCpWf?4@w%*q$Vtb8%d$`{A1d1;FNIm% zere3gm%*%jSoLH%*t25tb9ex%2&dyd}YkaSHY}&Rm{p)!>oLD%*xlmtb9$( z%GbiI{AHMxzZ|pjwJ|GS2ea~ZF)M!sX65T)R=z%FE;n3W%iS@}_zl^=~+ z`7xN4AB$P}ahR1Kk6HN%n3bQ1S@}trm7k1R`6-x{pNd)eX_%Ftj#>E`n3bQ2S@~I* zm7k4S`8k-CpNm=fd6<>I9<%cEF)P0Sv+@fuD}MuKXn3Z3FS^1Tim0yKf`PG<} zUxQiswV0K^8?*B3Fe|?vv-0;~R{mbh%HM}s`3;zr--ucH`!OrO3A6GKU{?M?%*sE6 zS^0-CEB^>)aDU{?NH%*ua(2F%K5#H@TK%*tPYS^3PEmCu4%`K*|g&xTp~3o$Ey5oYDHV^%%~ zX5}x&to$XImCuP;`Aab?p9{0{xiKrB2ea~dF)N=Bv-0^dD_;P!@&z#~UkJ1Eg)u8% z1hewVn3XSzS@~j^l`oE2`4X6wFRA?xUkbCj{nD6~FN0b6vY3@GhgtdZn3b=9S^0{X zm9KrC5YhzZv4rb-+VpjeN z%*xlptbBdU$~VBQd_&C2H^QuZW6a7o!K{2!%*r>ztbB9K%D2F*d`ryAx5BJ^Ys|`D ziCOtJn3cZ@v+`{*E8h;Y^6fDz-vP7o9Wg843A6H7V^+R1X63tJR=z7{<-1{4zB^{+ zdtg?+CuZe)VOG93X65@}R=zK0<@;e)zCUK=ufeSRwV0J3fLZy0n3W%dS^2@3l^=px z`JtGVABI`^>o6-n9JBHxFe^V2v+|=bD?b{u@?$V7KNhp{<1i~f9<%ZjFe^V1v+|QL zD?b^t@>4J?KNYj`(=aPP9kcQ?Fe^V3v+}brD?b~v@^dgNKNqv|^DrxaJ!a+SV^)3v zX5|-RR{jRe$}hsK{Ee8EUyND#C76}J3A6GyV^;na%*rptto$;}%HN7v`P(onzZ|pj zw_{fR4$R8miCOu(Fe|?Tv+^r3E58b}@~bf`zXr4NYcVT-H)iG6VOD-UX65g}to*&0 zmA?h|1@UhpTVsBvzV2C4zu#lV^;nJ%*yY;to%;Q z%D;$N`Ij&&|1xIfU%{;WtC*F44YTsQFe|?sv+}QFR{jml%D;(O`8}AGe+#qnZ(~+| zFJ|TUVOIVf%*yY_to#AY%D;JJ3A6HNF)RNwX61juto*N-l|P4B z`QI=r|2t;o|G=#LpO}?Dk6HPo8F>Al%BR7sd|J%Pr^Bp#dd$jaz^r^m%*toNto#L- zmCuY>`7D@~&x%?3Y?zh55VP_ZVOBmnX618WR{mnl%3p$6`J9-QzZA3bxiBlA8?*9x zFe{%Iv-0^cE1w^;@&zy}Ul6nMg)l2$7_;(4Fe{&oS^1)vl`n=_`Qn(BFM(P4lG^|9 zr7&BPA7k4;;=eS+^LdFM`MJfvJhU`s<;!4JzAR?t%VAc&JZ9x9U{<~&X5}kkR=zT3 z<*Q&;zA9$rt6^5YI%ef-U{<~+X60*PR{k=~%3qFI`P!J3uY+0nx|o%}0<-eS7KJa4QA!9 z!mNB-%*waJtbBXS%6Gu5d`Ha6cfzdv)tHsdE<%eNb z{yNOc567(h2+Ycl#H{=%%*v0(to#_v%8$jY{5Z_YkH@V11kB1$#H{=z%*s#3to#(r z%1_0t{4~tUPsgnM49v>U#H{=*%*xNkto$6z%Fo5D{5;IcUyoV&`IwbofLZy4n3cZ) zv+|2DD}N(qPzXP-K zcVbrlF3ifWz^wdA%*wCAto&-s%CEt!{94S)-;G)Mb(oc3k6HP9Fe`sAX65h0to#Pd z%5TK1{Qa1f--KED2QVxDAZFzs!mRwmn3aD7v+|oUEB`2F<+or~{xQtTKaN@XCon7j zBxdEG!mRvO%*t=Wto(M&%0G=+`DZXI|14(ZpTn&D^O%)?0kiTuFe|?kv+^%uR{kZ- z%D;?R`ByM2|0-tXU&E~YF3igB#;pA7n3aD6v+{3ZR(=m=<=?`r{M(q7--}uKeVCPh z2eb0~F)M!nv-0m^R{kJn@?Ts)Gn3X?;S^3kLl|O@7`5!PV|08DQf5NQ%SE}n3ca6v+|cX61`vR=zl9yxI+&HOi&^S^2J*mG6dG`R%CE+({2I*4 zuf?qV-I$eMhgtdcn3cZ=v-0<1R{lQB%5T7|{6@^m-;Y`OO_-H`0JHKBVpjek%*sEE zS@}mWE58}D@{eLxehX&hAH%HtVPzl~Y>y_l8Xhgtb|Fe|?wv+@TpEB`KL1heudFf0ElX5~M_to-Mg zl|PAD`7bal|0QPSzrw8i*O-<62D9?tVpje;%*ua{S@~0#l|PMH`7@Z6{{ge|KVnw? zC(O#9#jO0#n3ewpv+}=UR{k7j<$uGh{O_2R{{yq~e_~etJZ9ySX5#gKDxU_k@@X+E zpANI~=`kyx0kiTMF)N=5v+@^URz5Rk<+ET`J}YMBvtd^LLd?ovgjxCQn3d0gS^0}G zD}M=Q<#S?I{!+}!=fbRfZp_N(!K{2<%*yA(tbBgV$``<_d_m007s9N3Va&=G!K{2T zX61`wR=yZ!<%?rhz656FOKSham%^-Wzcgm$%V1W%EN11)VOG98X5}kjR=y%;#M<%eKaekf+; zhhbL!I?T!s$E^Ga%*v0%to$g<%8$mZ{20v2kHxI~ILykA$E^GW%*s#1to$U*%1_3u z{1nW}PsObKG|b9R$E^Ge%*xNito$s@%Fo8E{2a{6&&90#Jj}{pk6HQon3Z3ES^0&S zmA?VA@{2Gle?UCgjx9qFf0EcX5}Bkto*~6m45`Y@|!U$|0rhVw_sNOG0e(8j#>F9 zFf0EgX62v4to&BY%5TH0{C3RBKaE-WXD}=OEN11O!>s)Cn3aD4v+_GIE58%7@-Jdm z{w2)Hzl>S=S1>F8DrV(h!>s%+%*yY^to-Ykm45@X@^4~Reh+5l-@>f?+nAN#i&^=7 zn3aDAv-0~fD}Mm9^6z3+{vc-M4`EjRFlOc7!>s)Kn3ewkv+_qUD}NNT@*iSW{v*uF zAH%Htam>npj9K|lFe`rov+|!}R{k^0%72bo`IDHH{{pk}Ut(7NE6mD&jam6`Ff0Ep zX63)bto-+wl|O}9`O}z{KZ9BMA22KbBWC4)!mRvR%*y|aS@~ZuEB`BI<ZtJAMAj-QArc0tO-77Gfb5*kA!R7O1FTgMeZS2LI=| z%fb2SJih+(dOf?}J@1*>`J6Ln_wLT+vio629(MGpi|)SDVMi^x`z9N0zUV5ePP*ff zlMdWq(xge#PdjPSvTIH8VrQ`J;u&}|a+%^k)}tRk&qa3Iuzh&%_UUO#7hD#l8C`I3 z`|^`l6YJGR*6WO{HyT-QIkMhyWWDFedjFC2;UnwgN7kp0tj`}=Uox`3;)S)dTtBkD zVPt*d$oi%i*3NbF$ojUC^&Ky)z0bQww%2i` zo=ubY|8O4p!No72_doi1N6)X}^Zw`k49^E|J3I$m59i>btY;)IZXb@F>tS}+!~MHn zp1imo-EYHVTa@j?eU7euY>(4$8O|L){{LBz&Ndadx%a6@d^hQ_1AY7Ned&5?I`-)a zKldQF{9m7skGJ3N8h>?itb9St$``_{d|}MW7r|`2U;pCy?+nizJ8}Nsc?utJnPSrQFP{J9!}(u6 zod4y+`CmSq|K-E^Up}1w<9%XI-|Dv>H!=Tgr%r4izV`XPe02VCw;!EB@F<9u}faXvc#I3JyVoR7{w&PV4T=cDtFbI11L*PzEv zo|ymMGbV5PKY#uyALcI~<}V-SFCXSFALcI~<}aU^bmDvDWv5Kcf9H|^J-^>W$N4aS z`7nR^Fn{?lfB7(f`7r-@zuZqh`QrDO4Njeyf8R4FwoNhV@)ytl@?rk+VgB-A{_z^N;h<`N#R_{NsFd{&7Cc z@6R~TEdB={?xbgqn%Fve{+AE)mk;xo5A&A~^Oq0vmk;xoPfR*#(xNPGU1DPXYtR4h z`OAm-%ZK^PhxyBg`OAm-%ZK^PC%tg-XW;FZoS1+6F~`4rn7@3OzkHa#e3-v{n7@3O zzkHbApAjEhYGVFl&zsol^MAPLmn}WvmxXVz%!EG}{>%%0=ZpT(ef1HobKh8(zALpagsjPgMzkHa#e3-v{V$zAvf9_i+=D#!MALpaZ=3{Z~Hh zzw(JmCtd@(_4FrwxRX{n;lJniHKK7o%wImtUp~xVKFnV}%wImtUp_JE#A{Hq-M6L> zXVUGbPi!5Iz3+XEYMc-Amk;xo5A&A~^Oq0vmk;xo53lc*53lc*53lc*53lc*53lc* z53lc*53lc*`+Hq0_vbz$~N?E^6hz zE@b6y9~yW5__~AD?ft#9mHT^JEBEJeD_<0|^2IPKUmUaYB`_;r60>r@Z&~@$n3XSs zS^2V%*v<2tlUp%<{L6>q zUp^fF^5OWG568cJIR53s@h=~afBA6y%ZKA%J{oLM%*q$QtlY1=R=yx+baymcXrUza(bmOJP>NG-lgP3{wmDM z*TbxQeay-?z^r^j%*r>ytbAk4$~VERd{fNIH^Z!abIi(Ljam5?n3ZpdS@~9&m2Zt% z`8Jr9Z;M&^c9@lKk6HN+n3eB{S@}+wmG6vM`7W51?}}OZZkUztj#>F0n3eB|S@~X= zmG6yN`D-vMe=TO^`(ReSFJ|TYVOG9BX5|N9R(>F6RAL8XC^R z<=0?Vel2F@*I`zEJ!a)MU{-!3X5}|wR(>;P<+or~ek*3>Z^Nwo?U%0G=+`DZXI|14(Z_h43jFJ|SR!>s)C zn3aD4v+^%uR{kZ-%J0Lh{C>>JAHb~q%b1ma1+((6Vpje&%*wxxS@}0GEB_{DVPzl~Y>cQ7meE@tK5!>s%v%*r3eto-|!mHz;<@*iSW{s?B}Kf1heu- zF)M!zv+|!}R{k^0%72bo`7bal|0QPSzrw8i*O-+*j#>E=n3ewqv+^e~D}M^J@~1H? z|1DFX zFf0EjX666Fto(V*%7?$?Q9i{K{5=3FpAxfj{{w9+_b(V&`81f7Pm5XkbeNS-k6HN) zn3d0nS@}$umA?eD@|iI!p9Qn>Surc04YTsuF)N<~v+_AHE1wIq^0_f9p9iz@c`+-W z53}<5F)LpHv+|c>R=yx+_op_rHn3ca7 zv+}oKR(>gF<(FYremQ34S728DR?Nz;#H{=(%*wCEto$0x%CE(&{5s6aug9$X2F%KD z#H{=#%*t=Zto#Rg<1J+n3ca9v+~<9D}N7WI%*sE6S^0-CEB^>)Jn3ew-v+}=SR{mGa%KwI0`LmdnKZjZQ-!Uuy2WI8}#H{>Zn3X?|S^4CDykW}r zDKM+sPl;LiRG5`djam6Ln3Ye9S^0FBl~0dZ`3#tq&xl$1Oqi9w1hev)F)N=1v+`Ln zE1wOs^4T#fp98b3dtb7^F%9q8gd^ya@m&dGp z1X?F)Lpav+}htD_gJ1 zz7A&P>ta^^O3cb%g<1J}n3b=OS@{N-m2Zey`9_$PZ;V;_CYY6Pidp$)n3ZpiS^29m zE8haM@+~nd-wLzxtuZU#2D9>QF)QB=v-0gRE8hXL@*OcN-wCtwoiQul1+(&9F)QB< zv+~_BE8hdN@;xyt-wU(yy)i3)4QA!9#jJcE%*yx0tb9Mr%J;{t`~b|#55%neAk4}S z#;p7h%*qeNto$&{$`8k^{0Pj-kHoC}D9p-_#;p7p%*v0&to%64%8$pa`~=L(PsFVJ zB+SZB#;p7l%*s#2to$_0%1_6v{0z*>&%~_!EX>N!#;p7t%*xNjto%I8%FoBF{B@X> zUw~Qp>oF_85VP_(U{-z+X5|-SR(=U)#jN~&n3caDv+@sMR(=O&2*A7EDgL(Iw_ z!L0m8n3ew+v+|!{R{khv<&R-j{!`4#e}-B4&oL|i1!m>H#H{>Rn3ew;v+~C=D}MsB z^50-q{v>AQPhnR6G-l<$#jN~yn3X?+S^4iVEB^y#<$uJi{7;yb{~5FLzhGAWSIo-) zhFSTun3X?=S^3{FEB^;(<^RO2{9l-rKaW}YR=1xLv+}7hE1w#(@@X(D zpBA(7=`bsw9<%ZpFe{%Cv+|iRD}M=QoL9?SJ?Z znAPo<#H@TN%*vO>tb7^F%9q8gd^ya@m&dGp1X?F)Lpav+}htD_gJ1z7A&P>ta^^O3cb%g<1J}n3b=OS@{N- zm2Zey`9_$PZ;V;_CYY6Pidp$)n3ZpiS^29mE8haM@+~nd-wLzxtuZU#2D9>QF)QB= zv-0gRE8hXL@*OcN-wCtwoiQul1+(&9F)QB z&%~_!EX>N!#;p7t%*xNjto%I8%FoBF{B@X>Uw~Qp>oF_85VP_(U{-z+X5|-SR(=U) z#jN~&n3caDv+@sMR(=O&2*A7EDgL(Iw_!L0m8n3ew+v+|!{R{khv<&R-j{!`4# ze}-B4&oL|i1!m>H#H{>Rn3ew;v+~C=D}MsB^50-q{v>AQPhnR6G-l<$#jN~yn3X?+ zS^4iVEB^y#<$uJi{7;yb{~5FLzhGAWSIo-)hFSTun3X?=S^3{FEB^;(<^RO2{9l-r zKaW}Yq^bGua;ba@%*v<4tb8iW%BRMxd>YKkr^T#%I?T$a$E zR{mZ^8GO@KLE4x12HQ< z2($8oF)Kd=v+_eRD?bdg^20GJKLWGzBQYyK3bXQ~F)Kd?v+`pxD?bji^5ZcpKLNAy z6EQ143A6H(F)Kd>v+`3hD?bgh^3yRZKLfM!GchYa3$yaGF)Kd@v+{E>D?bmj^7An( ze;sD!7hqQYdd$i%#H{=cn3Z3IS^347m0yBc`5Q4Se-mcqZ^o?rEtr*Gidp$(n3Z3S zS@{*1mA@6U@+&bbzY4SRt1&CT2D9>OF)P0gv-0aPE58A=@*6QLzX`MQn=vcD1+(&7 zF)M!?X60|kto$9AmA@0S@^@iYej8@x@5ZeBcFfA(gIW1|F)M!`X65h4to#F*mEVC` z`3Es8zZ0|a4`EjRVa&=uf?4@TF)RNVX61KbR(>~Tf5{&mdCzkyl#H!&-J5VP`cVOIWa%*wxmS^0M{EB_v5PEjm&L4nIn2tJ$E%*t29tb8TR%3p?A`O27;uYy_m zs+g6phFSUQn3b=AS^3K`D_;|{^0hE4UmLUXS727Y4rb-+Vpjf2%*tPdS^0XHm9LLk z`39JkZ-`m>Mwpdvj9K|6n3ZpeS@~v|m2Zw&`KvK2-vYDpEio(K3bXR9F)QB&v+`{* zE8h;Y^6fDz-vP7o9Wg843A6H@F)QB%v+`XrE8h*X^4&2j-vhJqJuxfa3$yaQF)M!! zX63KNtb8BL%J;>rd_T;}_s6XK0L;n{#H{=v%*qeOto#tn$`8e?{4mVQ567(h2+Ycl z#H{=%%*v0(to#_v%8$jY{5Z_YkH@V11kB1$#H{=z%*s#3to#(r%1_0t{4~tUPsgnM z49v>U#H{=*%*xNkto$6z%Fo5D{5;Ic&&RC%b(oc3fLZzLF)P0iv+_4!R(=s?c4{#DG%zlK@)*D)*q24>~o#H{>5%*wxoS^2jy zEB_8=<=@4u{Ck*{KZIHN!_f5fc(Pnebe8ME@gU{?ND%*y|US^2Y=l|P4B`QI@s{|9E}|HQ2P zUzn9Yk6HPoX?gvh%BR4rd`is9r^2j!YRt-~!K{2*%*v<3tbBUR%4fi=d`8U5XTq%f zC76}Zj9K|Cn3d0pS@~?3mCue@`5c&)&xu+2T$q*5jam6Tn3d0qS^0dJmCuh^`2v`g zzZA3b1u-jM2($8qF)LpLv+_kTD_;z=^2N3P;Y(mvw_g&o@})2zxA!g+pVOG8|X62h;R=z1_<(pwv zzBy*)ug0u=3(U&5#H@TP%*waMtb7~H%D2U=d^^m_x5un}2h7TM#H@TL%*uDhtb7;D z%6G-Ad^gO>cgL)J56sH<#H@TT%*yx1to${YmA@9V@_jHX-xss;{V*%vAG7iUFe^V0 zv+{#5D?b>s@4J?KNYj`(=aPP9kcQ?Fe^V3v+}brD?b~v@^dgNKNqv|^Drwv zAG7k;VOD+tX63KPto%aE%HM!l`9+wOUyND#C76}J5wr3)VOIWT%*x+_S^1@ym0yNg z`Q@0EUx8WqTQMuY60`EFFe|?rv+`>&E58=A^6M}wzaF#l8!#)s5wr4}Fe|?qv+`Ro zE58-9^0#4D{&vjD-+@{AJ25MN7iQ(RVOIWb%*t=ato%KgmA@CW^7mm@{(j8LKY&^J z9hjAW5VP_-F)RNNX5}Boto$RGm46ho@{eIweivrtcVkxmam>m;fm!({F)RNRX62v8 zto$>Wm46nq@_R5VzZbLe&tX>ndCbbcfLZw$F)RNPX65%`R(?NbP-88IuL3A6H-U{*deX63VBRz53c<+EW{K09XRb6{3J zCuZeyVOBmjX65r>Rz5FgoLN%*qeIto%UC$`8V<{9w$=55cVbP|V5?!>s&p%*v0z zto%sK%8$aV{AkR|kHM_`Sj@_g!>s&x%*s!|to%gG%1^?q{AA3^Prs&t z%*xNeto%&O%Fn{A{A|q1&%vzxT+GVP!>s&#%*tPfS@{K+mA@Xd@(VF5e*%vmt$6b1!m=M#jN~F%*wCAto&-s%CEt! z{94S)ufweTdd$jiz^wd6%*t=Vto&xo%5TA}{8r4$--cQF+c7JD2WI8(#H{>Xn3dm# zS^2v$E59AH^7mj?{$9+=--lWG`!Os30A}TPU{?M?%*yY?to%cmm46ts@{eFv{!z@z zKZaTPU6_^Mjam7}F)RNBX62v6to&1$m46zu^3Pya{#ne*@4>A6Ud+lrhgtdOF)RN9 zX60YRto%!umEVV1`TdxcKY&^JmoY2<3TEYB#jN~mn3aDWv+{3XR{l-Q${)n6{9Bln ze;c#%?_gH`UCheAhgtbUn3X?_S^4)dEB^sz{0H#!y(plic%t`VDedW0uXU(oLAuY z>zxywBZ1EqnwCctpj3~xIk~XH(8~eYZl@{FhbNkP7Fr1j*{;-4{TeN?&~`;&@W=x-eK?p9AOi!3g{FJw%#QZ8OBSEm(fZbo_OAYcw+_@SdFztJ3;Vhko+WxOKpQq)dGY1W zqNlFA{IT{={O+P{kA`EPKV|MEw|(^<%1s%_k9BykzA=}aF9XhU>NOboEKe&)I}blR z_QfCVy#E&;+jzl+-+T54S2xqw}SaA^Jqk`1J`2oL3CP-zODrQyCwMVL_CFx z^!r^Hpcwy^CHOoBKE>+aT|%CpmEdzj2|gbxA``lVWuXKs}cb4e))8KP5`p!Qd13lnmRNIdd!jAY z-__T?zAN4uYwt?L*T;Kf?R~NM)&0#KQhP&NYe%d%-qYQiICN{5O($l*zFF2qSL=J@ z&53xg*I7$jyydD`Z*$lBc&vLJq-u>1#JYPNkt6|n-@5*;mRNj4yek3QbgXS|xyskN zyQ`(yh}wfz)L2^ffp2SnM?5Ahnp+a>-CeNJ`mW}VSYJo?HBz&=0|c*)^dYD*W<1s! zXB)4|q1di#?n}h_;t5JvjlQmnFH&alM69<3g0!RWmhS#8mG`h>=J4}G5Gk!HJzMlTRHm~NocIdwWZf{+udS`V%g^2-sWpz?oOB}F5XaQ99D6#&zGn(5$o>4XpAe1 zyguW7vF1c9(cT%4T^H}wQ70nww)eGnt&hc9*K5PJcykW|ygh+HKy-MHQkUjLcY8b@ zk9GBT#(ObxX%kodM7JHf!XQ2nZ|P6OW5xh+W0yFFc_OEi4u^cPTxZN1y(p(i`&VqhC^bV;HG8O4MR$w&ZCbRdOD9D zxk>BK=E_R{kf#UN7sy^EgF4v zTvE74laG!|CHH9a(b=~>dVF+iYnBc8=txZNk@V4D;Zm_rKKko?^cf%Bzmay(M}NJqzGLEzK750ZUg4vk7&2VM-TbvC;RAC zKKdy>dbN*krXne=@zGE9)sOh-r}^knAN_P6y}?JX^3fZ8^fP?)CLjGxK6;yv{$?M& z$47sQk3Qg|ztu-i`sfRN^g$o}Odoy3N3ZtLclhXweDqNt{VX4Sr;mQNk3Qz3FZR*L zeROx>gYroqeTlFBULSp_kG{`Gukq1eK7H8&FI(Vc3%qQBmo4!Bf(4!nyy4H$&Cgau zQ%8Jf!f~QoClhnB`=XooR6Hc=WzRWgx8r0_orm9nlOi}H-o~=bbQZ^{bBJ?EpV=q) zpT7y5OZm*C;J+u%C46Q~@Lv<>(mgXO_|J)R$(|Vz{D;JO$&yJ5{y1?i`!YR(f0sCy z?3pIPzeSu&^-P1{_Y>!(O(r7vJ;b?W&r}P37jZ7tGa9C3yq7pHoibyBe}Fib?3q!)*AnMa zJu@Qsdx&$1o=FORDRC~%Gd+U8lQ@^;nI^%P6X#Mq(;)cUh;s>^i3olcaW1_x)q=l? zIG5a+kl-g1e?9S_;IAeA2I7w3uOxmF@tOao{>Kmx5uX-(4skA}Gy4Sp^8>)Sgw9L~ z{(ItFI%mcN|21(gnKPq;|C~6N%9#jt`)3CaJ_=qEK z#n2l*_Lh$mygj`gBkuU+X!raG&}(7f=^Tj{Rp&m z`rmwcbo1LEM@=U>^vlG&XzJ}x0!g0%E2LZTYwC!fK#sEap>MZ;w$EIHLL<**(M``z z3Wo>6;{tbu#{_Nc1>yW87ZwwEV1-5L&Y36GD zvh~qnlh@%kkueagjRua3G_ei^NjXMdU!yf_M9EUyM3tfF8q^*I0d=1l zHH4oN$)+Y%A3pJ>xfO#N9D#ckqPP-23t*O3z#x8TL(FQ>6-_GGq%It@g2A&;dtUga z`fzLzr?4sou?`pCMOtCtQBZyE(E_p_z>gbPXa_sM4XMZ)=wRe!`;UZ_8xI@>A(GmijS(@9m^5KoXbkt5FnF`ZNe4|Tu7gxGfS6W*R3bD>}3>d@u@k%tVJ)htpHbmpkL{f*etp7WBICe%dq* zjU^`bA#Ng|K7yaU8aI1vg<6_q92II&xC=jH;IRkrZv5=T&mF9}AMUwBMZDd%T+Ws| z;GEkBqsw!M`)`UYha-Q5l%wOJn7p6+A13c}|C8jcb;j$yFuQnwi|Ou3>*h&y^Mv)N z1jrcNJTVxp%^||gwc_TH+ABDN)G&n&JrJ%IxGP*GaCf?kzMlpS~lTJwYlyPF0K1eIgp zI9CGnwsq3G&k`vJcuP0xNK9~ z8LolgCe}h_DFqK&R%UociDeoc9+ZC3dW_}UG=_v|JPmPaTec7unCEq^0x+6WFb@=F zGJtIWwYx9E;Q9vnA2=m&QiR4Or*bVuE#<4LAk6wohYX~fiGLKQocND6YZ;E3`Z6SR zYeZ{>Xaf^1EE&NGW)N3HT_viu8TI$IPxZ(ZGy^N2DF3fHFMSWN~HS-n#IW;NO6n5}tM8dZ1Bu zK{H|ch-%f9wpUC}15~UAis8hpPb6+su_{|LC1HUYVUMy6OhE}zy(&>J4D>{*$7M*R zTXRhOmIyC^dSR$%T2!R>|CcOWxFV62$W3)&$X1Uv(CYBK!MVp-4hSS0h7w3g#yiwB zDQOfKt4bNxgl+^;LLU!W!7fu%Nn)saHvrZkqX2=e_u)duYe3^@=&F4Rq9S6VLdTjr zDzM^)G!g*GLG8szDx(AAR-nyJYvE)QRlC$v+f9Xs)AzCr_U&Ozrojtic^^RfziB#6 z0O|k2IeTO|mMfeC-dp>AU9h<870wRK=1dnA0(WT|8QLzn2I+`V!-KpI0%{W5g|qBl zbWigRoNnw4oJr)5`@are&KVEFP{aXfP)S@o;Q=vun!f*clBh>oQPW3E)YE{` zmW@~eW4YLtz6K_stDBggsRQyelF3FAjPF;6H>M|P2IB-~W^%!`6!MQcW=g`!8Q(?@ z$au=h3s28FInINElV}00_5kp}=_3HHg4@N(R>xPqS)DFw?nOOOlP5eV495`Fog~yr zU1S*^90kR$;H|Q_Bpkq;Aw_5`&gBrKkXAJe_1mN=*5j}di-v@P27;(atS;^4!Y~0Q zj02C#D-Sv}3Fhs=$oyMr^8QBZRMj@CzWksa}!( z{sEZ)$aL<|To?g;r{;yH=2pzf`~|Fi*YlLST*W^su=VRj#BZ|V!y7r`yH3F@2OIgr z&D8+cjWn0@W)o%zA1cAf%JGBfQHPX9E>Oj^t4PnIDq0zB^8CNSAs07rsiPwo)p22TqL&02=d1Cn>WNqQUHIYR6JzD#=r{VMJrTW7ELaKVsv} zSKE~)InGlju%#>~*ayXQ5EmBK{AGr;nzRlJ7%QERs|+kOVlcAe&>$(Wl^}NDv2osD z7E;bgX2Sz-lzlj~CssIqxy7S)K!2=~H+~m#@ja7D+;Qkwd{Zn$1v(uWA4=uMEi9>Zh1jA*kuQkh-9G^LW`(D=nf2ra}2Y)B<}njaw_ zH@h-^j+< zifaQZi1Ejfkp3erhS@d!Tb$6TEW{Fs3mx6Dao0Zu`yaJs<5&EDczw?G*FH)IZTAY? zCDUdU>o1Xd_`1lV7w(7PPCE3fZ1%je{wt%ySaEQBNfNNsLZ<9-6-`C4k=A(A+%jxg zi63DX;f=+_BpDrrnf<(SB>qjM8$7yggyUuBC?xjsCJ&FurYO7OMVpNeqU zJq_B^TmhHz|Ka<)4gG!SrT15RrS8bc)f9Zry^!e8F4<9`&$$N2@{3mCLF<#08fZf0 zCqOYEz4_^4`x~smP?`ixSO1a&$GT5r0mFxNblH5%FLZcF53;{w)(duSV?iJoX|!ur z!WnkqTcMA#tFJ*CcC~|r80>6_MXbby(%el3@=pJOq8Z(|lqFLvWhI8C?eL(?Vz_XS z_%+ zY%j#Py+BsA6W_$?frW+ZN3!WsVj2H_1LE;Zn?Ws)n=z}5+~ zwoofz&)g<4zZKM;voeIb+|^KSOALz6Y7RFf7*)LQ!$NdgXqQ$bxhE*_cB!NGtVo~n z)U2)3`bjg+gKeC2-u$0g*i^l!d!-pZ(&Xx3wIPZ+J>pfMxap& zu1k?dpb;);$wk)h(yAwcCxfyVYNxb`yDfurn~dDBiO^;kGn$={ zN_voSBA#t{5nyB_V2%@L)9GW-pLW79+ogf4xs)3ary>R<9x`iT_K7xGLD=lRFFkdr$2FiTJHb^FQ*eE_PA8EI zY;#0xBL`~@A{HlR16m|E@>SWY+>A8a&n!@~8cB0XgXBwu?a*dK}H1g~5BrbrL21ilO&xOq*0QLz_* z&z-zH9@Mn|zl?|9?f<`fJY1sk{f`(APSNpD<{M?R8xOh9Zyf%5@bA3-ybKSIBK9^S z*C8WS<5IT@xgf+vawXFbAJ_>+haV`b!6Til7vwGo?}SyRLvRo7l5=Bq%SDpjQ9n6; z+%>q9(vRz3GTrp>jt!U-KmH`=L@EC02Xl%mfu=Wj#Y5NU6bFH(uk?yH-H=mU0W@9X z6@PLlr#J*O{Ti?MPpO>ZB+&Gqzvqg!36JslB(DIP{t=45!LRbDK4m|%`iEed%JiD= zqcu!Wonx#9G1ht5Ip#W+w%WpaK%bO#X=;X!7WRB|UhvvrbfB7rU6!_|#{{~_DTJ^KgDw&TN9 zi(Xjt_@eKmkAH$&J%O!XGZ#bTHI@7mSUJ&&#rVw!dedM2Pnyp56m7$DKY3nRId@vl z?>{#>!54U>;*F-FLs^Cgr?@;tn4xELzbTbe+NMf$c%VBvvBWn0r<^|I1`c#Wsn=6H zI>A?eq^A>et8>RKm6T16;RR*f$w8lbXEBQ=_F>LKdkXm zgKex{KG;NnNtOymhs~OZ-o~B`r&{u2q*Xs0nTu0cFH&|7Y?+(@n5{%-GW>h$h zQyP&Dbga)X9-_k=!%@8!dGs+{Z6JSGJMeN2Y3JzZ(BR?xasfJqIHO>nz7K4o6MSY@ zY+Xszgrx?pq+#{&vGaK|eQ1!wV$md4A{;)x{lCr6R%)6gh+^yE-F$Qd;WX-o(>BBby4}2|604s&7CxIs7rY*r7Pw2V z8)T62Dfsj|(eZdFjyi}Ott08iT!2JtqUF(Qj-~;+Ac-b)fhY7C#X9@)8Rs@3e6~Vx9H;up~f#YsS(lQu#D&y`!GT1alo8BM7dAbGih<|9F z9RDDcH$olwM`kGeO70~y&2hoNmV4QQdA<<&4Kvn8RNeIOUU0H|*>nFx_Oef=OTl4q zAIefm3S;xid=BJ*Y#0Z)Ege8Qyh?;di>c&m;8NrSrnqDAlfL~?jSn!3R;MC0YJ%15 zT{g-k+0>ZOz-ZYm18LJ#$PJCF8DWz6gyE$=hPVgSRFq+(k6{&s+cXt%8HR)*Q=>2} z^B4{$K}^ZUNKv)}KDGnOw#jAt9hgp&p|HK{-(AHAcM4m*CeU=7qy7#b+XiKublLU_ zTh1-Q_A<*>O^uMHqlS+?lb0kzgY>wJqbhlz#M@8b^z1>f;CPx5xJ#cuK{{X{Ay#N` zZ$7*oSh4v~DsOtYhTWLkACEmEAtU!mmDgYd#i?WzzirgKL(;!Q&H0R)^AR-{i`pu{ zRFY4qBXH;zzNM1>0N65H8I~2olJk~jd46CkALmz-OOvIhiD)=H_@sY2C7VDnx#BL4 zvQ7LhBCsWj&7f;wrztkA*a?d5Q;fUDJxgum|Ja`fE^y58gS>3gg+d7Evr($^$4C_R-A zPNP&75pHr+hnwWcS}DDeT`u9yX?2iohRq(J&62{;J`?CAN_i5?cl{Otx#=NgrfZc_QCeugL+mdL+esBA(XFrW5 zncY;2WBJYuh6jUOvL^=vMu>lWaOlYXlR)f0iLuW|MdAO$?&e4^z&)%SCK@^${IWfdp=IQU{0xgS5*U|?M11h(t} z-SwVC4y@JYa?Yjcv9{>&cDc!wo&%qtsoXsP ztVe^@nBY98Jp}>bw0dgF1CKYu02QE~Z1Z*KiQ!XqY5G1dbCz zlS#qNJT0a^bOc&`WVRkipMxNPfTbgFfhv<9f#R(^WpZX~5hXRZ0xRR4ay!Vx$MEhR zT42-E2&lR;eh^(qj4`0Fnn^+>)Ofadd|iM-9sdu%g!pR8kFUmp`054U7a3noSUO>U z@6hpuEo*tQMB}T?WCZIEFTOsv_b~Cb2?G94iLdWL)@j0oGi9O%^smzKHdou;uzs*j{GYzPR<@<$S=Q_VU`*e6VFd zE(bZ&?Gv~wyq9p|LUa+N%Vxes$#Dq`@>Fs^rp!5vN2xWudoQXW-*M5Ceuk!-SYaF9 zm(%cIUPDI%d72HsBn?;EhS%pbtVAmH_gJG1xn@fzq+!%HyfCL>Ob)E0(M}N&_S-wd!rG${AVrRnWMCGIF&olvVQd z04h24d_)Fi2oLhd782XmWBbZV#;8e|70c$y2$v7oNT}y_hhaN3H;io&UdcOv70rOy zSPfUAp*-4LJ5CZ7e1otIEir;F2nSH39yODM25OVh z>X+YkWDzZ4yMrjpwO#$B+OAOqfDK_JdSC}3Y}p|6Z^L|~@SyUfV(-hEPf^!=ii-KT zaU!t_Wf-VjT~>k7s-Y*+SDUV*pw|!I9c}}?9yW-u9y;6)VWo$0Izsovu%kouz)fnw z$*iRp5ntJjIe1I>JT`}nG!pkq$vzM)!(&JTxubWQ6*o;afqK8>w-5w^ygg``-VSEb z33u@Q;hR9M*LB>RLfo(( z6n*ID>Eju%;4j%0@iE5!U`fRRsq-+#r0BjYJZj2WFA&781?CkLL8N^E+|=}sDQtw+^#+sE$!gB@*CM~@&O^?s`Zx~cHU6B>wXPVi~vqnOs|}*s+B!a z%U(zxdqrLLin{k3G}G)-ZH8}?Y|E#6FQeI^jOM1z#3s+iFnh z9jOH=Sxd9+1z#_kZOMLi+4dd?WCP|jF!OonBjXw(qDz_QobtO(w!K@_a3dhkS;&L? zAV!{(gydOKQP+x!9@0s04u=oe`kf;rkFBCETSW_;Gee`pDZ8mC(*W@Lp*!3Op12HO znjcQ&SY(VCT`G@Iu*@rhu%28+yJeA~{-9Jnn3bJWx8szk! zphTt~o6x>N{_T71?K|XAb>o9vdG??I@5=}YisuaEd^bKI6IY%a8#q2Zdn@YNThT+g zk*ll-dE~lLNFG~7UABrAxUq@am=#U>b2J!KswNS>3K7Crikp;+&~yx)<%K>(l0qwQ z(bU7*Hq~ANOXvCU*{}J1IQ0tDc2jL;5a`6Zyxd6>S;rQ+2>Bq?kle}1FtBx%M8!om zcm8Hq@rw|OIsRzg^es^i3usEpU;H#8gxu+y3l<7hylRzH^(}r@;Tv3|b?0?Obvg88o;`!?q@q>qAq(y3zDaGOB)!aw?b9CP@v05&jf)j|Hjg5#$X7exNbc8=c2t-a+7X6b;5!f znJvAUY#CbXqa=r(i)aShi`|)kc{!wM))Cd4BirgNBu{TeUA+~}Gi&a;4@-(RW$Ry3 z2+3ossLNK-0&~t#dOH`bqrnj6G(Fc9Q5M30#7XD!pT4X%be6x!x#%HyEN?Dq`m%p6 zdK=@#^+M*aScFe><;_KrL(fIOgBmgy@ro?4l`NtY7sK5_{G^-z)%w-9peQj$L}3hf zT}W+_*S9c6h+1a`VFTa7LQ&W1ii*|Iju%BdO3u`ANbZYgD|2AW^Ni=ue+iQRG%veX zp#N{kb1OE*>pp`lwQ@<4G0k}JMXKa7DA@y*+#F}B>5ac_`uGUOfhH*T;TD~D?NeR4 zN0WBoPXvq1&Av3WPZTdmLrHoEy$=$inG8#>ckEIjmq^oXQihw4_U4f7T{t6#8T;uQ z;NBsAkb)O+;6pJ!`NuzjGbc9yZfe38ivcR~d?7XiqiHf)1vMn-SqTF2Pf!vclSZZ1 z96M3!PN$5&(lhU|SLVd=RF6wD^%itPrr;C2H8fSs_heSd*F zqSzMYBVv@CoQ8_cuNcZG%b8#KtYp{Ss`?JHgsp<8R5-g;k}WRoSSqtxbm*t)mM<2a z*vM&i6WinH8xva<1AYaVWzK+p>AUZm{h-~6rp&xmXb9o`6Pl11CNvc;URfjJ4pv3; zGH>pL#s#{v^-p9%^4KcsvQ@Mo^X5!s8=;>&@Dt0tsVsfZ7yOf0W!}C=4TQlY=5XI* zC#)qm^7dmOzqfWx?fdvT%=;di*pQb#t4&zSf}n64WDI;HeKNuVTlcYxiA!KINuMV} z9`^}L8G#rRx#`n2*?x${0GuX7wF)&IQJX%6P<12u`*gKy=}g%%=-{iL&*|V9(}5b??SSN2bg%&(WPX50S0=6} zAKxt|d(~MYBtDIX5)vm$Nc>PjqQQnl05Z-tBse%35*(Eb30f*|kP6B3nWC=G6fG4J zy6VI%V%YCj_Wr>tB#*tKE_+2whD00qrm3$lB&;0*TdrqFl--%*u@k;XMX{Ta8xkbX z!ee{r{t4Sd=C_EP^yvT2>EKAyL0(9ZJc|xmqysy;Grxs?6F24sM-XZ;U6u%rBv?pr z%<~1uyKHd${*IR(9JEMxYTe=fA4d%503n`IBkaD@UO*%Gy9cg6_Z0VvSUkAzErQPu06~@k7{`nk9@+|E9Q#x(J_K>N-0wsO_ zXLCAOQQVOv&!U45Ne6DE@BxI0Tk{+_L)VlZ<(~ozapbe&$e)TMFSCxE2We+J1>`%D zHp_FQkUU2!>N--g`>Y=jtdGM_@H6Q9Wo5LvI34v=fXRs!V55+K(|fUJfl%pK_i zpLyv4G71KH0U{*N>WaEnSJYblup|AJ(VPHjL4Zu@mQq>fNZ7+5j(2bJDo~>nZuHNG za=v7+!MJA-a{H12$+K|JWAul|`<_oLZ_hpcO)USTL_5(i^Oxsf=R3u7<`NZQD@%AY zy`;V(VwHa-3F+hkB7WH50kjq8JtEHg3rrB5xEwXac?%&!!H_Aj=FcD9>@ClELh_ua zsOvmM3!P`LxXs-l44DDhj(Rvc^l17KctFNDhfZMYZG~gpe2z}0sZs+RUmSp_-smQ7 z<&<+Hq?}s`y+Lgyly`)5M8mce@82OF9-8eoNhmY7P(FPZd=@zXYoFK8k@$mfd2S~1qW^ulLp%7-InjTsM8AB$#$C{m=7zp5B=KCCB$OkXFqY){ zCpz>W(V?mIW4AH>ZQ{sO<_@*nKb6zjjb^CjEq+O!ZGX+upB>AYGo-&OU{MMEvvd1< zvUqF>f$HPy3AB<2T0<2U+R4B6FL67SNw->9Q)=4(q`+tT?_{= zF@M}}_e~B5KI|*+&+UDxc=V7wTLI3_6<|Su0C>{Y9pExM9TAa$k+FRQT4x%610C|- z|9m$rFXQc@TWK#mw~41{dEJ>Bsl;!2iZ;akM!ajn7e04#lx&)kmGamQ+4tIvcRjuD z%jG`5KJgyQzXkm9Sm=TZ5CDS)&Ld>Mm8n5l2$v70(FF*;*EaM8!wS5!F=<5M3x=a? zxoK)ANcuc((8_~%=Ir~C(6_4aeaZo9;l58917_>{-=|~;_T{);ALr=kci*SniL%_+ zx{AF|$*=Z{a#P9g;1v1gZ2UeBKk3WBP>K%qJ|#^-%TwL`Y`lVaQE5Fr?L$;Xa0dyoejy(?h)Pm zzWW*GhjQEsMm4_p8{vft+2gHV4#WF-$0Qw+A?m)07*#`a9{{f+vPR}KlH?Uz;r+Xh z<%p65LwzaMzUqcoFaN?`Y5k_8BVWfAMgH zo{|wMsz3Nq&sx6M>Xpf{@{M}mYxNA0ht`dhe82r%lndJrfURr2X=53Afo?w#_jcgl z`+j>llsTm7ehxW`m`;QklpD?EgAItE+|;Y@w@3Ajxv^u^u55#h6w(0P0jiGlTM#^Q zBZM|H@BjaU^6%o2e_Z~36{Ji5=PmR$=ADoqVB_4iWV3_bmA5O7^S<1C3j60QoYw2et-_1;{7`Dm21=rdb5YG$G{Mq4E*6Mi7!`1VvpV zD5^&ITb>a{*e96Im`)CwP6o9TqSgpP@{FLUYXn6Lj37F}2%Htt7pEjZJ_6ndkS75S zyb=L&3IpW82{uK|Sx!;ca*7(I%*d5l5uL~~0-YpHCxfPwHtmF{?Ld8K@EUN*d_k(P|*a$ljo`6ScAn$&+1C zS9V473?!FR<%2yChh7MhIlNG5I*Dp0L~SQR@;Xt}?L^T61BqrZ&^VMxKQt(zwGzA$ zI5ZBzhyWO^gD@f}>pvFeI+bUcFJarVAf9T_#5I?1DQgThLWto~jcSM_qE-hXc{(WS z>Y%9TFn;7NF!9Y)RqPW?M@=U?O(!AkgeVV+B_wYQD(a3wMGFiiI>As;FiL;-BPNng z0{0R#RgeO?iOAb|GV+Ctd=)AmQELPtc}7swHG-mQg!y?!aM&j;YdWbhog73I!O}#n z5rpI!K~dKTiWV3_bb=A~SHKA0zEPs(kKm2?s6*E>Q}Kk6LWqR(YJh?ONi(XgZSp_3 zvJ=c1>SKgZhC8beo~X5ukUR@1>RL!qwa^K97TV9A!F1Aeve$I7Pdg!MEhHq*LW;T; zQdBzeFK9$FSf~w*(zkClVe%i~9@u&UeixWxk^+@7MU9a%Vx$~bDT!KB2+1>rqOK_v z6)DHxkY|c9_6$=rm`)l^Cp)zhqSh2b@=T$qYYIgROd*=V6bB({ddC(Cmd}GXg5?DO znYAutu*j@M64MPKYOnx7L{Mth+JTy$=fzT_q%gUCMAHG*OU5m9S7A$gWl z)U}+VMyV3B*0kv)O$bX4Y9~Z(CqnW%QPk~3(E`hfX0Y5KxTF_uHbKOjr!s5Zi4%9$ zT0sjIpS1>1ucUz*DKiYzL1QX}G5yFJR1iLBgm+LG9wcflBqYy5infbW&wH;l>|2AfcH(~NSuq-i$ zO`G0iXCmJeJHUlfjuOJJ+~|UoM6D@=w9fut5$B1W||pK~zO$pcEhS7bQ^{mLqB{H%5|YIYnK|DQc7|F^5G=CsEVMPVI!K z?Li5lz*Fo4{4ACFf??$$6e( z68t7D_IuDcefD({Hg(~cXu1{5$}-R3cY&r2UX0M(7(__|45Ixo4`XDS5S#gY1%&5T zny61-oV)tsT=l&;Pu~djoiSVw8m=|q%5xsnx5lS0&Ru$}%n-!nxm7;oQy%B8@;F!JugFs#@8N9G2MA%( zLBll&t~}>K<%2%uaqcRQ^8)3osC*R~r&kP!@&PDMT@mpFz!=~#1%Z>0Kcq}ur;-s6 z$`1sr=anGe#$q|{rHpU{Z<-SBjWDg;M&ZtT-rp0({p=|3XT!6Ja7}a~jCMz&vvm12 zxR3aLF#+!%q7|W<*g4-j9 zWwIAtBNSfEaKM)@mpX~pODo*{jG$BffUNysTE&6a+Cu7#KgWvSTBx6yuEEVFez=?$ z6uhTg1M7^j&Za3Y(e+N#sgPUl5=RT|gF()}>~lHov%${<(jJz{PB4+7QWw4)_PM-FcwIqW*ZX;i!ww_R z8s&95_+0^BS17M5$?HZxFY()9cmxV;aGwjP`dfq9Dki<{dIN%{<_(((roRiXME(aVPH>!@~K9)Le7y7o2^FLpK``?2| zKfFJf6v#PoVu|%)XD_^XuPBNy@|X&3lxH9{E)#J1IOpSnftTnrj$AK;rLXKj?e5)q ze4yuH`Md>u7~lBmLyY(7cuA1mR31BtufvG-6X*k0EAf*6yM(gqC%Z@U*h!=vhTYA| zZYkLHgI&L}+dy_t=CPAtI}E$?l^ug^1K4d)cKDKU?e3rEv6FZ^47-`DMOnt1e$iO- z`T!zn5I##KNANo@Kd>-(1Pd$i+n?7_BKe_>`@9GU2~H@I&tKJp$a+S!;^QMI4KW<54jopnhe~)!s4jex>H@14(It4` zb;1jUHKJ(5uV^&2nscitQ^8vtPzFnb-P5du=c!y}lITpvOijt>(I)DwJ{NRDpWhmN zLevMJ7Ug4cha4ss!3v^JATeL6PbGIkq&WxhCnwNYF+8`fkC5$D2}e*P{Ty0~aPon9 z@B7(#_KsTlZtsG8FZk^(Aq0Dm(9z}Ng8&7?_)E}A^6{%>7@y90>TiA~6=LW^Gid`8 zxa=tWoQ|+Q-BDeurjoo}Y;-l4=t^(wqg0!ws(CN=D64DDL;35c%dCD6b?RdgHE?g3$UD7W69%#?7@++;)R3l;WU0&{~UR^^&CFj zdJeQTt0~Fy5oG!K>{{M1N0tYhq!Kozq2z%Te7X{&MxL%T5bH;@KqS=YUx2{1#$HfB z$a%UFtmKQ>9IFoQIH7iJJz^l=_7_jjn zvcsj+;AzI87zOoYl2pPb@;Pv?{5{3I@%UbA-&b7)`z|yVko;Fkt{9JpTJOIeUdbJg zox7L#nLv`mGTD!=g~?HB#;T%bls`y)f|&6H2J{Jq!?y|_AuHEZh2cvKmqeOOt2O+B zprxY_+T_uZ{Q02C+N1mfu*W<6s?5e7$68fhT|yQ4V^8uIyiXTZPG>|Q1Id`gQ;UNu z{4Rtgl1kXL7n1ABi{|0Q7ydK{e*33Hlt|dHu2p=mDn161hRxd`qS0RG!vT)B9*(y* zIt#z#PdS+9nJW`P|N0EiGgs=ztkJiV{z)4i)|!c-yK-!kM6t&pvkZ91N4@zs&AFgV z$)72ezh$&K97=ye*A=*Ytq->iZgQvw{L~g5zP<`MB2CV>!~XhLFr=ddIwG64=;nvp zc8}gz=A^&E8Y1xUvF%x$4-IlpOLQBs-`Br9I`qrSkS_ib9X{u_3YzQqGpg{Vj5n~4 z067eQBMJG_o53Qw>7jAzf-h$zh;H6f@!t2z1)-at$PcXE1y<=M)X`!uf0^<{ET0B@ ze4h9V0Ppk5!@nrL`B}`#&(#k7Ejs-6GeoX)P6gnDx5(k?BdG51+h0RAuhH|fdH!j1 z36{66M=}1|d$0~(t9W>B7>%IX+m|Y*qiBuc;~NBsZSZ$7Rt-Iv{tVhpv{Ln_aRx@@ z2Q@?ZgR2xV;yf34!=G!ftRH^+k50y4qPSw!(37>7uNwM8?dsa0zg`|4e(NJ3Usiv{ ze?x(a^q1G6^@IOio;YdIkIdgQs2}=${m^gghJIC>UGTH$=7-9n%YM@TYqg~Pl7&Ct zv%iNR5FvT8Ch?b#mo4zJ1zxtm%NBUq0{=)0l;JV475!Z;iT3WUP-pW%DBjf?Zw+13 zo@ndtPlTF7z41hUZ&#=<(VU2P#=8>7_^P*ey{PKV1MQvtowH~H1-Tv>=xgs>*xKHE z=IVG~B9`b*G6E>${9Nvwp95K+et$OmG|t0ovsr#FW-;I+#9Ol2Fz_d^G>8Ch!8&6#%dv=Q z1AH2ABVa2Q9wRKrwfGnzHsButoQr!E(|`+b`|Gcy1M(sL=K=ZrWdaYpL;<(p@vnHpZG&I%PYWLFTf6fbN>kY0)_$C0M-F=gW+kw+W=P|gnWQ<1rL9fU3aNdHV`a( z-MphJc%Ovy6Y z=zH>LkAT*MxcV?456VA{pGQD@C3JO`&kxqluS9oqHkaQ#$Du5|ws-{Bd(Tlwk!5s_ zmE}Z~jbVe|@?-sK&`!ing`)tq{-x$+3c~j5e)=DI>*M=&H0`An!53x^<*PWo9PChH=&k(TB z^FW_WWwWnh`@PT5_!PSqY1RP{987A!>fhI4E@6Xn3L}KIpR|LYaosJY3vu->|ILhA#D`2`d?AWRBctZ3{8&)ubqe@ay&SJKFlfrTmf>N`|M0hLx8Nqf*<5tcR4`&V5mSy^ zaB}>{6yP?C9L%dcj#uFRHqD%>pDKw{4G-p79-N1Ga0$bM(;JUAQ();MOqe*V-1`k< z^R|ty!BEcY8y>daU$Uwn>?$ODtU>gZNeK0@bt$;DJ5s;k&jf^x^CyF!qUb;Tcct-5 zKI0`JrK~XU3Io>}xWT|14ZPLBI}NP1qhC_!j49k_V9!?+Z@9(1#b&gUB0%z zE72cXws7&n>bEZH7i`h>XH_q(Uc7M88A^9rI-0xIhc?7}`?!~K_QJ)XszmepzB4bq zu>Qi@%Px$az4**^?On|sXIS2)U^%ku&N+pp<<1;uPf>a~KIdGl{#;q-=a-Z_N4R;? zUsmoM>DYXlOFzo7c_x=$;n@6?OF!DNc`%oLjGHfW>B!C@KSj^Woq0~EDE(NcsYslc z;}1ml6r~3oI}iDLDd*~T(ofOza(qbVya$WXU+K(zs~G)N?!4wNEyt{3=d)b;@lLUP zTJD_S&Of>JU#%DRej?7xF`I;*$|DNh&bD4z8BXUq|CRM9dd7Ks4(v9XXE*+-ZPNGU z(cft3cAcPH9A_y`%6#&$KOQUaQ&z+douHGyU3ai9k8AN$hVOIOb%GG_YX^Rc$v+Bu z8D`_)G){2X`ScE)lU_MZsz=|6bJ9c8qX+dIG>i9=OYTvO&r?#R zFs_~ly{w4ej}ZgDsvv&k8oY>o7NUL`RyFx?brRM;bDYBQRgQH{2zGF$b7fx&K5{LZ zOLkU*ejMsooBA`R{E`y&-!F8;m6;FZ+8u4$E#2gQxz+}qdqlWX`&MbARt3(-$bU{@zBUpB1uDJu{+7T=M3Ghzhtiv^e-9u=$n+8>{sLTA)zbH|C{#~iusp+ z%arjS%o`U0B#L77`B#{V(cf8uzP~j&`u?YuVRCm1B_qCC`}nmwi#tk2my?$*Xb0?o>m! z>v7rRL>ZqKD`vNMl%PjT&@U@NZz(|^7CQ2|iT~4)A9?WAU-7%S9+3Ta&~G<%yN;hU z^}kYr|Bp?5d;MVZ|F1v~`qnejDSka?>f7s!D^0=QO7K7BD3vqvHm!J+k!P8qH}E@l zI9e4rml%4;j0<}&CT{3WVXY|ll5qM#p_|4&z_)vf`Rgks=uaE|_Ik*U)8Cb-UsX|@ z|Ed!7YfI2SBJ}x=y?&H^f9UbC67@f9fv5B{c$~uedIB7J#E(~{1A`r%ZMmocM1BlhL648{)lPxM?;@nrUV<$#~wre zxL!L$={rsRQ-p31A6Qm`USERVY53dgeYvLveglS{H1@pB^zoq*e4LivL|>vGPh__^ zt?}OY`u4s=yf>EUjJ0%hcg6dhSgf@>w!Wi#Egnv2O?3D6#hUvEoR;p+o{o4T-ny`+ zddZ^Vm15WpXpc4b_BLM|!-EyQ*E;KZn>*t%Jbuu5Et>eS7-}YRswTR7IyyFVI$i6l z@v{g&XC2~^h3>T#T+48a|w7pq&MNj>fU{E?M16DaN4hGkM$)w6S3~Dj`ps& zvks4#tZrT_^bKvT9kKWZsO-cC;w}A&c&z4Z_jFmcgJ&!H;)zIPW&Nt<7sSq5c-F#m z&{;?O+Ll;LU%!~mX^+R_v7T6K^|EsoFKb;J>+4?|TjX&$$2(nemOLP`ytln|eLS{4 zp187g?UlXl@S-P8FCHQ3S|5v}26|fG+1&-{p%Cn2MO?DP?Q?0%(&pCcb=v1*OJ3Hz zG`?<8i;99iRlpo7Siml#zq*}a(%c)5b;YmlZ|*2n+Sh(vT*_K{<9G@u)*A0?U*Ba- zqJnldw|C`Qj9sEmwixCGciz zdsnQ#FWxHR!WuB3mE@eo+Go)oF}|A<%^D7~SGB7MABfTI^e+lq`{~!-?v{8T z9;zx5zl&z61T;?gy6x_2Y4+i{q3=b0>$M0cM3DHgS)NFfvEpi2!$gX3Fqx}p3Q!Tf z6cb|{B2F;O5UV+ZUcz_-;+2sVv(85st$p3Gw&t!@#GAEq%`)#aCmAs(x<$+ESV8nB z?4a%I>F;Y(!}07o&oI65o^B*fHz&A0@LRyh-`CN7joZ%>&+AJTJO0`_m=W!V8I3(1 z{e2$08YsQCug?Uz)p@DUmL1J_0&hceM}NGCL5oC6jg6n2T(ZnkbFyObxK&N$89zIE z#Nl22o$+2o33YU{OrjgnoQSXY=k!Baa~;(|N@ITTY`GNj+59}~*09HQaWgQGnE3o4 zXYigEl_ibvF(gSl`dvw`Y4$}}jft?5*;;1Lmd>8y>7r(d>-1%3YfPH-WG5AN+bR)z zaewEfe8LjeU!_^IWLWvc_Y$A0fK2rO08g}fE zBzCC2d{XDmOiPQ;-b;%{ubR=08IwJ+F2o|HrRQ4D4T})wkftDp5xQ1sSI?zO9M0$J zpuEH!#{v@%!?t6gcwM}=+i@25UE7(!(rRH}qE}&?J)?%bJo7upe^&sgllzg}lrwiA2 z15LyS@S6)=RO%LIE{wOCrEOa)m{?4k>Ka#D*mE;4Kqkh*LL@3@Ar?-27}?TcnW@SN$R$@_vb7$m+P!5hrQmj_!6A>+uQqi7KUs^ z1M}P0fsRLDyRH0uu8)U>ixtHkKRs~ynR8qW40i`y`R(%)7TT|7;WAWox9uwp7hX29 zOWVJ_e`uk7{=!r*u;2Spz-Jq5dwZYJ!s|>!E5EI0A@@W1tc1mzg4)1Bvn`H0o4N8E zwhsBzrnbGkZ)u@@e#7>kum2X)ez{?2p9itfK0m_tC*UW)J-5jjf0R#-P%gC3$r!50 zYR?IW@Z)bE3X$r#_f4%ImC8K;JuKvYpufGnuWF%{*S5E~g`duAZ|}cac*vS+7fgHWKWEa^H1Iqs=R5M-^RWb0vF+`BUki5~ru{@-dwYM_ zLVi2Ve^|R&+IMh*yAv{oNVE4nD;^={#fxGdZuxu<1p)k+Vej5A49>FsQ)tWBv+eEu z!%EYh&qwpH@oD?De%ygFn#i`d&rel7%2s$zHqU;xy@kI<9e;agnyql`QxM8)Z~N6! zJg*xFb4=7+4)wY6xw*BRKbSsV@+Su_|9Wedxq;qg^9J3EA pkIkP)gJxyNse4#GK8t-R|6%*JwBvA6to?%rw8r0TMFaEO{~yR+H--QJ delta 19140 zcmai63tUvy)<0)J8BJmE!NXF<9289iO_NN$VB8$Lq*y+vO!0Zq%rH@@)EN?O5Gib1 zlD#m=NE7K|MQTFnMZPjaZ~0lby3}VilU>EMGOu;M|62Q;nKMKE?)mAgv;KRnwbxpE zpSAa#GY7XftoBG)d0aPlKZTEUNtFE0HVxX*DJ@>IX`4Ptaee%lWDKgqt!pbiJd&)Y?JvHc7>!YIHZ7E^E_eZAZmUb(Z}#DLFcXK1;6QcvcLw zOuF`u`jO5D%bk zO4t~Y7&cekCbosesxEv!uKryN4Q~*s5pi{G;prCjiWnL(UackpQ6AB#?h%u%<2$?o zJJ*T~OZQRV;moGQDYoOVW;*_t@mR$gf`6gQTaVX$XZ^85j}>|I7SCF^Wc~vM3uiB$ z^}zf^^XC?b*;n-u|M~7J5f9{)tw`ECrPY9knN#f-pcnjkg|B8?XWwKPL48iSpNW3}(PoF07+7P@lN8R~?s|!z3c>9jiMNK}jTFAtNf^rHr!32!vvu>strG@BaY0u4%w3D=igZarnfdzs{0P59L&+-t(GG2v~DBYqmM z-lm32CWSsGT+t^Z$tRd_s|mN8aGMG5Yr^A9xUv3lv)$C7Z#@`KGU57q!g#6)Pc(Iq zX2ScM@N^SCK*xFfou-B)lR~x$A85jJO!y!Zo@>IdGvRqAe6R`6|4^TQl+t8VL!n7w zhzWO_@Dvm7G2y8uyxfEjHQ^P09Ptk`HB|Z=6yZ6&NukAr-&i*^{=<$U+YFM+ zXJ>vZ@m;BK`D_e4K|mx4D9mplK8pCZ-vA^&LOhi^Un}zu5l@STuZ8&sh^LC@Yh->A z@l@%2wam{Uo)#rvCG&R?-;*Y;ubhF~37}Hvb2EP{@l@h``OJ?ao+_I!m-$h|Q$_P- zGe3-Ys${-&<_8f^70j2){I$eWWi$Ub;;E4M(wW~$JQWaMD)TQAKbUws^IO5Y@{$R# zG4KQl3?W`&egp9-#J64HIY2xW245@l4-roV!Pmn41H@Au@HH~Ohp2X%7t6s;M%tZa9cVf@Z@g5{(^=Y~58CLW z?WDCvCAi7hA)P|LFUybUwOf4F?`q4}yTmX3dJp;>8p`tPraIS-d3P61oh4rvba9rB zX#(RNh}gXI@X^%a^;hfC9kW!+rEPWF64zQR6J8YklWHtmB{}n=SU<4FGJ1R64TE;7 z>WsQCud7upf8Jgvk}rg*Z`3_H^fs$y;`X|eH-2kTU3CXX4^z8N->xY8-RLr-;q~ox zzmACyx8!aYapPR-OLZ0Fu0*(&VUM*u+F82Fk>)HqQt<#Bdh(>EIZHDgY3}+>D}$Qj zzXukh z9E#_e`<)F`<*Dp>ZxUZ+4N<#@=-cM0FN+no4T~r^p=tHGbHtw87FwFNi(V6})Ptg7 z;w`q9kE53cns=;e6+I_SQR~E_N%z}m@={gwj%tCjXHK1O(j?V#@t7Djd6Fu`hRNCL zIPuTP*_QJkh~(R+s$0d{+o!2Fi%)Ogq`o5--!VTXWd-JGbqk*Gr=c?KtH1}AF9 zovxeF4Xq5`)^}x=nlTPdZdwm5&eFXOVx46Nn<3&XYRU%e{pK(YS*D@*a*(1YM3HAt zQByuC?qM)KpHI1+00Cp*bR`%jOWpe5qJViZ`+1cti2Z zJDS!o5ku${twysC6AF>byoxD?Q-I zM?c=92Pv8U&XNy`8|U=5yip})&-qrJAtub7VmVkPUYOfzNqk!r&0C<}BfRq#EtvB* z=0LIICYUxXJ-%Pl+y@xK^!Yk0D2T82nMap07H0C$oH6eKP4iuVpAFNhME(5aL=6CE zX9j2ImvAyX!N|^R7=9bs>Ac{a`i!`|V3PWKc@$U*F&|1q?-w=SShf zuhj3v-HYao+*04p69>ctDQ~*UY|G~X+c2|ATwIhq<`BH%9Aw5Rpn)8|$l2>zicpb)ljlrX` z6xvk&mn`fn7T;?XTNd^dY56s3jrcZy&d95v5i-UVd(4>~1rGuf#CHW#uwg-!a4$_x z90{*Ev!gk))Z}dVi;>x93wxNfijn1OOr%-0@&X|#LPsYrQ zS@#@jSW+b#RwO50LOUmJFek2%ic~|Ek+{3i4-HuKO5dzoA?f!fAQM%5hWyW}mLAd7 zg4OjbrLV-;A#CK@jzyGU-&iZ;uL+j_qDqvzdv^JP+9T24u%b$wC`?WqgA}lP*O=V9 z&2aC9m!*3d504OcKDc+*9`Gg?4LRM#O*?{IjC@JD*aB;GozwCI7YX*Q#k}>-4wnB- zy7(Kpcn8`W)>Mge4<#o)f=JlKE+!Wz8ZMrG$#Bs(s|x2P_Y9e+;w_ZHlT|_P4bk1J z4|b1WUvoI*cLdA#d5JUFhuSO9-mtz(bXlF8cmz?fdsom&)H(+b@Fc^%fiNNmI8oeJ zWD{a_?yS#)-85vQiYY+v{o8}woT$559qcB-zS&rOynhOoA1mD)OYK9^-tbtJ_;^in zVlFymH@{~$pJq3+4L9F?G1$!+uH2XvaDB9jg0TfsQR{rrph!-*o*ei-`b5K1XwVCa zZycJvbGHTgK2!QKlYE(q_J*gb#82+z#A2wkFP{O7S~nJ-&W6c`FW*#}eaXLlD||Eg zF$hU&l1sAc`yjw5ffeEkP-lZbxK1$WFZpGjB`=ATM|xhr1YTh;`rj7N&k&OD=O5wH z^Hrkuk<>&5?y&Pm+4;x0uiFjh=e%Hc-X=z^T@Yh~2@Zhr6}7GtG$@g8tSyO+MiVxp z_v=~qErm^Ln^ydcs{y8hlN^ZfJyae^c|wmblDN+TOXX5uEA<+SZyP( zht5**th-YpK|Wecoeg&wA^zjppw5o*AZH#U$!?Iu*wBQb;UKCmAMYP6ckn8l{4qbkHlrH@+P*&^=QIMs637O{6@ za>R98H0|IQFA48PhsCy4M3$vkK7302sch)g&pstn?1g@*GuIjB%;B%A^c||SY_4TU zg=i~Fuyoxbq96N9v>SGu4Rm{>8~%H@Jt^*cY-+@P$nhskG?kkZM`6 zBbQA<9AZZ#i#3il7)r-7VX(M~b|k$EZlG`}lFe2c(E2avW{jy*+D!AdRPUuw@5L>9 zA(jsEi=p&zqf^Ot!OSwXawpDGmmWF3YjRX&n;mhm>jBv?(wjwa)f?Lu)6G{6p)H%c?tQ(;bYH4iak&Ekl7BE?NHsC)RZ& zUC!{ILegNPPLT$?-b<3+i&geQtdRzW0%?$}KMj&?mj({1Y_rSJj0?;=_HiEd2pGqx zPXjsA4WnL#6Xi?{&InRAND2vRz-%Cb+0f(nvUUleKWm;-P)9}QeJ-j)1v;gyI1@bLff4(K#E)(o1CPWSl zc@LLiKHN(?x^H2Ac`tE1@I38PSTxE)tzsFFyc+MinhOw zw0Js;ZCkp#(sd!HF4QcAh&3W)C=j7!{SivGU4-lzBJ{$Fcl$;j>Laj?C@DA$D-n-N zhKF&bDAna%5$_H<^X{(5vmL)%CcBU>wjAQt~PLktCikZc#tr5Aq5 za}@Qzg0q{){oHU@ea9NKno6gej3A6$T`N!5DwJBp z8euUM2urg5up}E47DdHQA}T8kd0%&J;3=>Y#xVsBgK&+f6S}I2;;N3t(6<}(Jhb_v z^?0CwE7VN{SLi9a(}I{=iBdEW#v~_}0w6tx@&aSed|=I&tQ`8tkR0Vu*Ra~A_i{<^ zC0q7FEFIJfL&%YsIJ-Chq{@k#9lWVQE;t0_q5=wDQKFCVBv^@B7lY4sF{e|<#t4VT zOb=Tr=6v0oH0ceoGG<&=j0IwrtpB2wtc*D(B<57=8Zo=|UOaj)NwODWjhGn<%nQk4 zUSLy2&P%@C#NgPFj3ij{dOSQY2EaJx#R?E!1^$i`xe5?O<$@?Ar~&hZ$RDi&aVpg^ zPM$daZ1=cJ9b~!`JrEmoF+~&+^}z69fh5xano7Toq>_J-J|78#OVta%tkuTRM2 zZbmERGga40)3q9<7O`~DtY`#15}4eQ^%p(Kwo3#%iA0>DJ5@j3QLImg2H5(8T3(AL z|0E2ARSg3){c4HA;cAFs0@Q|!{#Dq)_791xlB__iQCFioWyDuVHDc+Yju;BWC0T!5 zl5H0kdx^O6V90ykwU$Si?jv9jPlIqVrxO}ZqPRG}#2B|5MGxBi;d$II&%Lou4cM%fVf(SmSj3|;8cr7XE-rXXhK%lbQJr-;z9yTz z-6o7KO`;H?28<;UjAa{0yMWUI0aK|*@w5}cK<4NHy4^c{94Dj4AB;*<*91uLiVE^11GoOeI+%1>M>&~~UC_uHIw zzb&2ax1~87XlkIW<7V_4+`}4MBT`>UiCGQTaF-*~F<^NF#$u#;X6(URYQ*waQY>jM zvE!8~2}y{Z?zv(74%W)vY=GoFwn8C+?mol4o&EDB>qpu&kd%kEHRBFX4Z%=A#oIj$SeGx28n4>Vme7Is1eD#QY`M( zV&<+X3C+5DIdG3>Kv7ez-@VUQi{rZzY(ot9#KUlJ3A<<6y-F1A?2adZ@p}%fmkzZ&EFyQu$3I5(1oxP&&eAML4t}H}fucN-zWcg_Jgdwmxm$_Rrr$IG zlG${u5*v08P8nvn`@w^7_bIMVdmU~J9gSojU2KJ=^{G@}!|Id5#im!f$DDphPxfCq zmCMvK83&)Q5s@`9oq_~MKMO%5bvphpLt>Z7#1@eJj*y<5zt@xHwui)~n)tpoA;Ks{ z?cK8c_Mm8m@Eak*Nxv(+=RuLOC%*5&5Mf;TzhgP~L9u2}d<3mFrS2T@{GOOGwB(n% zTPZK5d&h;icZ?g!kMNxv%;Q32{u0R)HMRLO|Mr98{GNotqm9fjTZzm!u_FbzOOCYL z$%~|rw7bd*`N3MIBcY%-3ph(Xx=VgHrZ{Y8t~MGK_R(r;#5|eeKtkBbYP#VACzIf0 zwRDnhiOK2FhR$$(uRWZ0Xo0+`E{@f98%(=-zWBDUfYZlxr|smljk=8saeDOI2o6sJ zGaXR{ku1>UcA{cf&frIF5SJW&o+0nE=Sw$IA>o}{DDHkOad4*5V;J>#(wrt9ETk!? z1JBOv4m3N5^+u0e3SxP74xr2U3$q%Mz}XF`6}{fW1?%vV%H{w})d7lhH>t_(4Y;dw z>@H=kf;uj0Y9V)5qFX&-D28cN40$Y*oDKBNkCWDKIo)_h=NP#(Af@hfe7Z-gVNnA% zQ(5d!t*Lm%@eMhQ3mYM3k+@p|MIO<=uCJOToOQiN&^XeA3i|DZF^>FY5x+nJB**bN z#1$CFOneP`GgfUD1Kv!qjlx9^&lGQR0q(lz+#{yFnd16c)bjRk!r5?>p?-K7MYRetMMo+D9;{ri;>!^UAsT3QT|oC;N<7VIWM2yLE68+u zMiWPAveE3ZIvd8K*~JbmV~d#%Ym@sF0IWeIP_`x)9y9_NdV(HJDH-+Ihu}_6&T6p4 zK5BW3n}32a?ltaE;Q3HgLZ+fj#g8lRz!vO3&ax4Uv8XxAdJg#ITIkV_alPk|6=&Ig z?+l#JrSqag^ums_14=M4CL&C<*7xbY1kDZe z$hW;XgZ%0O5&c$N|Lu62A!FKvl9L%zCzSk{F(sqq%2a1r@@BwyWDWckdA538OY0`R zRir-ASP_QkL|da>>~CD>sz4s;8O`Y>nsyZD!(sR$XeQ`h;*mUhAbuL7nFP9-E-uha zl!t84i=gvB3$S_=QahIT3ZnR;zZbLz?tV0bI&eeiG~u9^K*Mq0t4kPe9TY#IX{kuT zW_pMOT2-NGw0G?Bw5An+euU>_^d!!K=a1E(n?VnN9)3a7J_0?BXSZI^a6DP3dN&V0 zj)((2jNf$7Vssk6=g0)z{1F@kb$qO88wo$DX_eu)by55YCO?3xPc^Ltblzw16ttjC z)942_o6l<+y?vQ^LDL+d;Xi5`eQ})kGco`={1P$%`VnX$=-yw@0ci1MbO1Wwa>17^t$`i(;cFsdHpMrLF-dBIN|FOmEfarSV`T?LqJ_X1WjBklA+(gB$k zV06O@U9=IQF6a{QKBH;f$wp>mD#`NY@SLXglqeQ>nmS1y+HHydz2M`1=r7j}}dP6fpHfDi_gQ4(tHAqxWuwz0oH$?Rjb5 z-@6_7Va)xjLn256e)QvD8(F{#|5wwZC>#uIPZ0FyQs$u{|BR+}lO1N06ZF+=)%TjV zQ)2mqZ3Gr~R@3TqjO4%x6^bO4$StGrrk^!ro(#6 z&0RZ=TN_4gw57^K8$B!Mwq$C=IW;auqZ5t%f|{WS+>ZYqv}OGy4j$>#@l~8Q|0KRT zGOp7_oVG!u177C2F1(ZR;;>yrA5Dm+TVHgLuXJn?8AlU_HDmFo!~Yf>U5_|uS)#+Z z;(JL}u^tsq9365kJr|@yx2dEfNpxU$!bisl@zv2G(e`7KrSYJnRrLKJp>GbQ5C>gi zbTrd=;4u0s7qdV3lUgCReUM;_(c4hz?k5?s?L>Ex9{*E6<`8 zyw}fIH|5mdYJr(>_0G5kUFwlG!iy9`+;^;Mc!ox@eVpQYO)49o7g>nIG$5v(*|YAHlAwbtApIC6 z1g9_Ts=|p2lBW&2h2F`vOIWmhZ0&pvu4X$tR$7GRWQtYy`;@rxWKueLje`ps=t9`- zh6ET>gR3fVTFn@Lm82Dg>k_C-EDeiw3B9#ZuL0O8@Ih6Kw2Z$|!TSQVY}e&mXdH0x zVhFlV$Biptyj~3GQ3}~HE(Bh7AWy3&DxO#w7Oi^8lki`G(3R~{XamR|=THxd!b=z^ zJ-;Kobppf6JV}%xI&NGvyiTF*IqJg_r5N>17gui7@q9;uTaoB$E~#cq}E2R{egr<2^BVn(C6@bkSvlh z$_uYYu#l(YMw#K|2lz7JTm=90$tbl}JoHI_^$Z!h=0{z@C_}urfCBAQNuih03uiW- zPy`2#0V29%Z2VpNsF&j*H66x^Fqc~KGRDwPV~5u2GDgYTp=WWwj@J&7y5013;W+F5 z!1g0By(mz7NalNs*+_0-PAhaIla}W)4TydxAdqf+S2~7ckI>W z(??6+cxQ*x_qoS2^f~(~_{+NbMJ6S&3PYCgiiR zyck#AkHG1#o)|?{>YyHB876sS`FT`t=px?vJk~W-Z_TD}@HlvH4LV-OjYW$0g1~Rr z@m%`Kj$@uA{Ms({lB&-TW8c0;m(O=d!EKnubZll^5l23c#-DnDCwMympYgxy^2Vyo z+eFA)#pEwy)eQce!=F#kXMCkqMrW+%yfuXUE@~ZGpdG0NBb<^3Pp$51O?Nx|pPB5bkf zY7HGP4{*w)v7Im~q+s25SofSR({iKi)yQ^-&QjMsP~z2+C|8S3t+DD*{>-G$q4RY~ zWAh3{N(yFt6xm2~JjTD}*_II9NIDhW)g88#XUN6S|-(I1fepHCl zbASJj=bS%%Tzv5LzJN}eSpQ9f7}vF%nDgz=0eD1Rj`uND6m^ahJ-)kC7kj2t7~UD{ zdp4z;u^}}mHlTCP4v99lj|N>Oww+DsRAG}|SBOu}j*K?8p9U=#eb1#t8=F*vwu;&3 zTxz!X@?46@ZnFt{TWU9Bfi&o!OU0bFA)Spir@2OKZ5!E1p8-m(__S@LP2X7&+| z(f52xG`3lM7}P3epHJ!R-#r`{)(BV`K3>}8#C+5HUZd~HOrap(dX(7XS6o%=+;Ezq}~f|GZ=!9nh+OL2~Egdz$=j)o3T4el|pH-P#xL! z#-V|Se|_wgK(uVgs1BRexhtt(4jg^$6Ab?S-&}FZG)*IWe$KXK(bzpymZj>*)Vubv zRp%}wl4ze)u~4dsc7l-Qu9Gu$rFFsDK(Be8z19Tt5#^8&iHv+4l>0od>H1jyR3p85RsPg%^3^0x{Rt>BwjH8XbIwA| zU2B)rTsB}1$b&=h?@f8|;u2OZ2d!G$+giz@qs=;@`$Vfl}y*KEJ<_LcHEWz3V} ze%L6!KXCRWFL!q@wH2DQ}+6n3jk2UDrqrg^BQzW$HsURy-l`Yr_7C=E^$eR^o z%_g{)$OFfTHYb+{L*n5B^u-{G2g@S1gNPQ{DiH{GE4+CD{?x?F0 ze{1zCB28YoD|*%Vd=X7>3As6cCy$7`U2AQ3s3+(q%|MN?Q3R)>JpkafQRah3Dt;-nG4X z*uAhGa)g~WdEB~-M%5hHQ#C3DX_V!Np*Bpt1r71Lmlk$E6VV;~Y6#w^^mweUW;hyT=>HM5z6-=FeDxz|d zwJ010F|CHPG8?32zsfxZz^GVlk#u1dB^Q-y`?TbK6eojNeqv2pkd|zl($WVl(RuF_ ze66@Qaz80qU&%zJ+CC|{Uu$%6{KSe*Ny$CxwE6(UW8gi7;63ENFZ_h`6&6iM)}l}t z#Im_)I+j%4(Ex*BNjh}EP#AbjvkFZNon-Do=2pEq>dL|K*}D64KMIUN)W&h0o|Lu6 z)>~YqL+Nn6jkB@aQW__Nn5UI!~>4O>^k?<3k zuSm?fS9fKoS~QOYKevP$Xw)@XQ7s%-z)i#jd~8KWs>BlrvVf;G(#`pFlM7$VK2z2q zrVK*FLJbO2?nHbTgqgBLcwYp$3H?cOrfa!u(hg$!~+g zkA7qD`LTrfF$mEKH7NYJ6Y*mZ=Essqej62j`16O{uR&3R9AHWC1_^53YXmfV;HTUF E0pO4hCIA2c literal 5211 zcmbtY-ES0C6u&#Wi)~@HrJxY3c0nE#K?F4+kTrKLgFB1eK1h7vsbR4x3o%Bc4-&%G zZtN)C62+<|e$7;3rI9o-F~&qwKkyTcZ~9=2Mo@Y3A23hDbWBtiaA>J17k(Zz8Pj+1V`KiN*5+a)w^50J{eJqE>LYK%4SfxtoNPfRv zlqGA#^qh&hH#=1yt2z_aZCl5WTPON|k_UxYY^aZX65NcRI-gJgnv5 zfopoT+swJDD+R-N!nXR?0E>?8J=gG>mqkTMcN?g3s#a(ve9D3a7h*`qtoxY z$Jd;@U?kB$nWE5|Mmt5sbN8v)npe$@)t;|U09J0Nu2tVAThUHqSobBhT-Q8d1&DpUL6m;Iy>8X%_^p;5nJX{AI``ZTYF@?^rSPHtAgyy z^(=3hvdiGn{-B-5jU3r3%7N7MS$U?lzfGE50qtIEZMRw3r6*wdkEPe`yyw={@>y-n zlj2^e1$nUpX5lLoU!(X2#kVNFL-9R|ODKLo@goS4l!M@=A&USS=?38afMo$-QhtdR zB-8SwR&c_QpMgq#4kFR4Nysm#({3;~6l<`#OM}gzs%dD+lOQir*DcuzmOw#i$Qw0h zjRu65$OFd-*NNi6kaz^3su{N8!G;u8Sm!+;mKKkB+B z*jj^zNK;hqicu4MzK8~dgxXxZ9R~xxFhKCLkZ8M8wN+g27G|U+kXXD!5$S>@3Tq{A zm-1b(P+=utQ!8<~h-B~9xObKEy30hNZ6zuSHp=d_JkZ)-d1n^1+#P}OURa0~ZCurF6 z$3u_<9z}5Q5B9yv4p5Lw(&^}L$d9jvfK!@D*SP1p9)8BPCT;y`V@tQO zM_#~D61|EjyQYC>5G#(WJ`g8psyG`qs5oYeKsY=cs5z>CmXq{PnpW9)9;JubaZ^RT zGj4Wq;shxzmz|a#oRPGIXu<=4lgGfnD&T#=KR18xfhlM*pc5U1f0CZViORycbDcg5 zDezhF4`%e4F+;C#hK00a0OZSN%Tx)QXeFpM+gBs^qj6ynD^9HW3agQQ)6fP$&n~<# z;5-fJ{tZN5P3jTn2=@N!wC)CKwKckb_}FzltPdPJXVlyHlUka`X(1JWgBA3AVMti zKx4|Ch!2A(Q`QLeX=1t&9zsee{cR}V#~R|tAVMedK;y@qh#!L}Kh_Wn5Ka6xAv4k> z{9`uY#~R|tAVMedK;y@qh#!L}Ki0J5w^`$dujcXquq8^Y3Gc^YOWl;gvKRhV{2voH BvabLD diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/alu.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/alu.vdb index 6e25d8ad923dbaf919cc9c0f973921ab906f7e60..dbb642b67f62c3b28f647bbbc6e65ef61d0a13ff 100644 GIT binary patch literal 11221 zcmd5?Yit}>6`t9(6Wh%ujsr9lLALaj(g#EW6tx(xaklX~^}3KyiT=UDA&T7!AtX@M zf-;URvL)V?=#$V&WY%fwCJGAw5E3Y;zkmXTf`kM@Ab|wGD370&%sFS~yRW&swrdG> zq@BIzo^#Ln?mgdq%q_Lbu-#Zk2ysek_X)gP+q=;~0k zbG+7=Z_JGysZXj#?L>L?O!D_v_N%9_eC>7hP!wHT%P%!YjTg^e+IjH$;mXU+cBML+ zHWxapt+bLZbW*8i)%APcb5A90uAX07NtQdUZ?@mDBW*4>SJDdycC0kN(dz80Q03An zRiu^9(oL1sq}f>=sa#%abrzB{ol0}Lb+&S|AZ5HNj-spmr8rXe5}HzZaU~s?oE@8- z9-BXT;DzH;hyVD6r;TdcOl|%|eg5*_`hNe=BhUSaJ9_0$nYyoDuh({7x}2tJqIPJe zp(f`~KJ!xg_?{QPS1PlAG3A?8EOk>9JnuTYveZdN7nYuAof##NU;Xm@#O43-u%IL$~KA(N4}1tQQS^*d9~d?b!mL;(JQC2sD0ghyygCS z!?PK{UQ-$FD5?+(qigTX)6LoC52f9%?z?|>=D6H^1?RNy+_`hlkb+uW18w%lT1t}&(>v-1;F_!bww9k$;O zk7<0su_#9$&SKsUi+21zb=pFuEj|E?R{Y+u#T~G?7=JWuaVIPm;@x43yK;+xLt~92 zpP!mL%!TKv@SI~`iatgQi26l^M(>w=`xW0_b--51GF!?*ZA| zZHcasSQ=RlEn38#BAPNF4Faiiz1hTx_8oluALewu& z(Uhac*y*A*3V925mA32o%)c~yP?L|>`d+9 zvHR-druY;Y{fnm$PcQWb-`Me3?zoTVyWFgKF0R?2r2az|{Gz0YMEo-Fv$Hnok~)+hi%b1TSkJ8sK%Ks{yjsCUqdhx^ zu56d;b7V8=d4$|0A3GEs60RM|8^yv_NJEQh&elb%s1}Jp{iP~#vm}{-Ir$KjkoCgD zbCG&LyQ~i63Oq&7YDiBJ3W~|Hm!F-!+DCy=4#lLSr8u-*x_RsWjc;=eIK{+BJt z+;JyfcVVkY^aT9>_4ExMPF~=Up*89+U+P&Jh*KG*~ zR*zXtdW@vwS&xLI+fnIsNv8hn<0p`EsnzxIdrLtOe2@6oNUmm+^xfnnKVyhGq&pfY|{%vphcz;zGsYn5&RoA+azbV3m#oy=itxiGDSWOT_Dji3yxUDoGv$Ny1<-# zNPNg4xrjFf7kb%7AXrYVVsHO?3XA_)5Em}FhsezH8XD& zY0K!^VgSGQ>4JKcjI-k)x@lO=x~i)0;9pM8^Q7$R*otozw+=;5TpP*?5z*lXu_EKg zQGv=&N>#;EodEE80zIP&Cx<>++LmqLK`Kz?K3nnGmmWH;i2_LuyfQtqvu{?+*>6oECVha`tCJ?p`OeAbWX)We)R%&CVgsfS=vueW@y(y8YyKTADy7(~dSL4+=l z=Rj34^=R+M3J)TzL#c<(_tYCTQjYJ9rM_FN^-%k%|1^O$sfQ#dBcz@VNzSa_ty2$k z?l7kwvZNk@Nxgm=f{NP7B@fS8<}H=uB{YVx4eV@zDtEKvl@sj=gA*$}hOiE09y;IC z+X=Ow`J)8ZWF8W4^?ACjVm|5j=*+{MJItAf>xGUM)16 zf^I4qoh+TC7;-2v&;?eFKvi)eL3`?p6Dv$7Scm8Yo$skPYAhi5-q=FYE!KLdeL5W@ zu!c^M`1qjdq>DM7_G&u8oIA{Pg6s;3r3ugpjmU{y8J(*1?_v)(MLGrD?9Aw7=_JLF zLy3Vdz@0!K1D~)IOa)LtqV^An}nz(@7U| zI^Ce@1as~%(+P49A+gK?+=2)4D3`ZXK`n`bV15#iGY|hH0F`#bzq(eG<|y@+t1MZ1y{-%>h#3{eQS0hR}WvzN z$M?pF-!0a9sD0u;NMJMKU!b%@qQB#_9|KT#vj421l3!gQ zY^Pv+ng6Vz$?8eNf7O*p8dNt>hi;(~Cx)@edpjQ|NpL_TI3Nsf=3jL*5`++n2%!-a zbd!|PEERmWGBVM`*&omvA2fmw!lv+{k>G<+#0O0f-)$B?dD}_jgGTT{*c3iA5_}N0 z=P*o$p$X#KZ{d>{v5q0X&on^^syy_imNrDmra}k_ zRJB66_F801yerYtLQo}g*KKMN6_vLNi7FLOK!E~%K%%NzseRz7g=Zvl&bjxSpSxqn zn@ET&`Fg%NXU_TNoHH}`?r!DreZ{dTx){-=KX`Iqv9$k%@19_!qG~nLAHDwOul0QN z>Ugwcrq*aRPEQ}H&*?_(WO40Wd}eR|__qD8E$K(2=<0fQ>C-g0eg5)}LpM*Bf6?ic zt5Zp5vA@<$%E@9sk!}{MD`DztKuZ|W}r0*j(g<^X(Da|#f z=jNwdrw+bw;^>3#eDlXvv~8i*I$3XB`Eca#k3RmB-|$3Vc{df0)a&)yj>}h)M9(p~ECr|Bu>6e8f+ZR>7InBaGf&t4r&#x}`Z?dx7x9PJK zdt^5%=-;ui-_uR`5~cqjgYG5uPi1sT=L-(>LF`CmWy?*hXjhh7@HrUCj5HB6Uf0*j zy=ESJd>(+p!vOXL5aIz@VATh@B8jc=!9dIB(>@v0SNJd%-uBHb|iTf22iyO zu)4C$015*Gm;nIRbDDQoV)k&;h(^ULhO$?MLn~S*m$#7NDa0T>7u5g%4p%7X14R7( zjCe8W^!d-(5A;7sroZIOZoDzwT&SIyexN>Mo6nNc$Gm)adFhXMxln`(`rq7=d%C7f zhzhcY0wNWp%UdhD-L2ILx6-krB)ci?sFZ}CJ98oUFXloI8fJbn4wId1F0^LrXY4^Y z&>>+^y6IZ@z5{mhBY>V6xIogoKs&7*)- zPxWLsrf45>`io;b$M{blqxp-4!#NAUs;j!Pm1h>vKWL$rvjD6vsY`MWWERjrXyJjJ z1z@$P7Slr{odx=R3y;zK9?V$)-XMAtnoh{8v)LdeWdJnZPO>s+J5AlDfQ=Tal5~zd z)X9eA7T7FRE^?YVol8d72V8p?HEwE;oT3WbnNzMbJxNa`o+DdC&u}gmSnfHyQTwJG z#eSni_E#LrJaNz8#^6SonC0@no9V|KPTo0?AwJ;w($7;Ml-{fa@?{GX#jz?azUr8M zgc7FolaBRGA{jesHs_LVPh`?1nR+kJpSkm;*RIV!w{U~}BUwUqvdH^Sx`cqJgtIlo z)r@9V&7U_*2R{DTAZykw?(7erg(B=r^M^wPCCxkT zs#)kt838!8<1`1#Xw0GEIU0C&9_Yv(rZ=>3Q@hi9dO7K>O?6k@c2w|#w4s6VX8mxz zHDA*UEvHFG#)czG_^`_~^b@3G3=Im{OeZ)4C6yrBfTyq6X^xF2G#;+d@h$pfz} zkM!9$ZD!SWn^`DOXQI4NfQ2=H&CgpnoQ=rDD>f?yGE5#gG=26`|G6%Y{$yeo# zEeka)4Cf7A@c)|chZ5?e9A^HLg$+cjIY~FA^cl$u^`r?k)R`!U8dyRNU_)*8ej_rW zwtGKhm^^SO)Q|<@8t4jz8Wx80h7jZb)ctX{a+%E^1&O#Ev8ob_^mTa!J^ARLR?nT{C7inCu13 zl+&}%kx4*-L+OJo5WPT`7tkzyut2jJ!kd#hX>M(ye4U)w^a1hqZ_;O)S^D;v^g*48 za{7RMz;TBpkUogWh+Jv9<+iy|yGArT$`lf!(sgh9d(V2~js!h&uRPbyjAcLy^QiAulQG5jDAegH%9!yw@YFvt&* zAiw<%KlvWt@PkD70Sv_tgM=Tz_Kb$jFeE{K2ONI#6N5*PUr2->z)<`!NcaH^@`EJE z?@ouG{Q5!o#Z;M)jF}(z*jD;`inK!RaxBPCCyWJ1>^R$UE!^!`kl$Sx3y|1xw&hy5 Y$Faaa+R(-VBzBx_Wfn-iLO&e)FVo_p>i_@% diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/instructionmemory.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/instructionmemory.vdb index e5ea2c5f57cd3f0ddfbf1495ce60db1a0a6743d5..bcda62590f38d24c4398fea361e985d7225aba6f 100644 GIT binary patch delta 1242 zcmbtU&2G~`5cb9)?%J+5S;qei3{orpo$A;1Q)JlypFd{Q-nlq*`68C%+B}C>^`|PZae&bU8Rb$ zt>6`We^gf&J{2C0ozHm{zdPUZH*%y4bq$2NtNFy~q9=*nN$^F-piM=YbfJH$+8zWS z(VzscVoU6RPc3+w(fn{Uy`oVB)z)KF9T0oAQ@jIj$WcP$BnA$MV7Qh2twigj#R z>-Zk>2HZ6lV9#`5n`uxiX|SbNWML;%p~*~mkFUktFXe)kO(@wa#?!$ z%x4#+*Zi`WHiEHf7sE^~sd4#mHSQt*UP}L5o)K0T!3wSCg>Z+~tR_YrY1kXOCfYQ| zBU<;3xP_}`72B$rh&6%hhY{S<9bf1(48#TbtR=A_12OuDy7>NTdK*4#{u8<&SEQBF zQ6l6IA&9cFv^4)l3oO%H0&R9s|7V6OvYE3p1iy;O)Vq)nyKlJgL)W3LYoM8YD%V62 z$L@@zJR_a02uI3gI6olemNgp+SIaHZ+qqrd GC4T@qBX-;X delta 796 zcmcIi&ubGw7@bK{H#@tTne1k$*+f#Cv|_rLdkgL8O+0#*QapI^5D+gyv87k_(0368 zD`NkEPq^;j>woR;FU6>!=n>TO2@6FfiJdI6ozmaztd&TIp zUmi8`#T)M*_RNPup4OeC!cvaMXgEm2U1yq`n3z1FbGs+#(i&s^7|Rn;?TLLy0JF~% zBq7kK+GpwcimKtBR=DK~+|eBpJt*C!c|Azxfxe7xr2r8wQnaE%d@Bj`0|#AwA-(gp zE)lhBBQ9q1NrSC)7cy~+dhD`Jw{ u*Tn2(y_NLZS`uTy%+^m)ZmFNoI2mEpMDmo7LEENv9y&BF-r4zScKL4y)5vZB diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/pipeline.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/pipeline.vdb index 5dd2bf494333c5a5f5c78d9da1da7e0365c98799..7438a48e056e38a5fdaf5396c9dd8b9ace9281b0 100644 GIT binary patch literal 32337 zcmb_l3$&d@bw205lA9nT;n^$@#1@f<3Me3W+qouJbA!3D)oMl1o7@nS%fs5WbhTRR zNpfjIBsYx_Wv*+7;_RReMKQkxGt~{V}(; zad=VV*cBsVlVh8QuNYnJ#zrD*gU>z|E5(Fn|6P6-=6*W+jskWKE8j`=;n1Jlf!E!#;;g= z%dSnMYepwGUGvDU$ka~Kz9hh0TdSZC>+TqDf7rbx7nwLI)&ASt8V0>h9_2i~o-aqrU-4oiXiQfp&3+izJtZjI$V)&G=TU13+fZU)&*``kM+*e0;g zSne)MnpIBI?PsCOO#EakZH)k#=VWA+Xk{FFnhCYIoY&R>ZDfPk-HDm9r=GH!9dO7= z0f$K9hy>~qp=R+`=A0No@xodZwW=s;ZL0G$p0X;RVcfF}3O(VYvN`{N>ABpEnu4=~ zf}ZswJx9>^rtNSDv?Fl%0NeW6LCo5DOYey%x3~548k9OmQU_*cdoQ}+!nTMAQs)M# zzSYCyV|};pIlQl4?z|w^vv#!SuG{Km&R31oQ`>r{r;c~;sl;HY(Sn3t>YLm=z8cBx z6}jHV^Gv{Of6yEJuzk4?Bl!rDN059J$;T{dxZla#)^HDj5ehChjy#3nq@rIok22uI z8Qd~;)2{79);rUcx31x!L0*IgqKF#AN#)X2u0bA#2AU8xh?B~tt6YP84-K?GY7i%t zOINuDwG1@SdDJjy_K8EMh*&Z)KDlc2+B6Ur8Emqqo5(f1JtG#d4b9ijg~M}BU^J*< zp)uiQ#RTx7UEM2D4EV$$J`n|+A|u16LPQ~=#3xQWKJ{{EVHtb)qOswL@sVqXUoyHP zUG6o==%pM=-G^{x9s1D=Oru%T7_d-x>qq!?-4+&sN&>cEzRvj=9Tr+m(-Ddz+$VpUg$kX{ZBF9=HdCRVQ=MzSXZ zgmEi$){BLxep(4qmjtPWFmZCz+7VskX7=>NuKjuQO{3R+5ia@?k}o5JeOcBu+!w$I zl86yOMvSmzjA3yYBO;2BC^E7bQ6a{Nh%!dRF|hnlgJM{&0zMiQd#O07Z>Fofm&%RM z0FR_zAPy}QQ5aNYWEQFrEfjIO?1;TooWO{^H1+~S^kv3HME8u0^^EMx0>?)(g7if} zWbxFtp{eadJ8o(nm{~k^{Ls|NytY131m++Eb0`$-8+L0)`u4cB)ewq-`OA`90lB>$ z<$gtSs~~r4M>!Yd`giXdnx5+4ecI6U37KVCmlzI`oPEnXt;UnGL>yWuqA;k)$ShPLS}3BlP@Gx|HD~}I`)0%^4)KX7 z;1n4dJ{2N95hXrxYVobKTtx_njfhVi;uBH8DKavADnxuDN_^tf;v32EVMI$)tvIe_ z;Uc1dQ)FcLREYRQl=#GH#}}ts%%k>4KMu~!?l>?rb<>X7cx5+>&Rl#re?Ihc=;}~t zVA$dIZeS0-I^5u0A=wpPc5fHimq~Vmmwl*^3%=N5_Ih-|*z{Y9!U? zz&O*}D-*gP+!S|sj(xeLy56Y%YLMy~Ue~kdLu@m>BZ>3zuSsUbx}LqIjBy+8tN}|0 z-P!i#o{i)jBWyQnIE+XUMF18V zSwyN3BT_^!EU`~|L=(s78wbztnllwBz(XoL;Xqfq48t{3MWap)rv zg-eQz%ttCjABiY^Bu=f5G`IjCMujx*h(mlL3OGeZhEIivPeh4NoOXP1-oYc@tBi~J z+aAM4R@-CPNIp2H7Zwu3Q`6KoJT+C``jvuxE1+-hT>AP}LG0E}Vy_P97HqW%(JEfB z?4}(TcB)#!gIl+^rl)qA_~0^JEuX7E|Cw80)R{}`1Ti_kCcs#HXom@ghulM_nD`tI zxmPCduI=`a`&!A(@sN9!f4QR`38HO^*1)AwCfWoFXH`r$WRhqQoaoEj|S; z@Ub-_K5>XoL;(d*{+;Hyb8)Yn>Qo`Aq>`@4@Y@-b+tjVtzERHILn!gJ84OT$16+ z9(gAvv9-CZUgFvyv2gR)up670TxAz~aB*wB{B_be)4ZS}5g%u-m&A1Q>Av%aO#xBj z21)E{o>njMMoHY;ytrQCHzl#9SzV5fwY(`vEL=G}cE$QNn_r5HJM7}U6^%XiS{xsD zj|HsF*zZT&9z=2&)90OhPxMxpl7+Yq#6kQfqLVJE)M&H zh$8%p40_zZmR2G52N7j|5C_(T+Nii`}O3K5@(5}!D=_|zGIkAV`$V{wR2L;Xt_lt808xWDsa(3sHK;2?1A9-@AWkZmu5u0P>d?Th z7d42J%B8DZgN6cVK)-buP}wx%q;lyhXsFB_Z#F+;=2&cF^U(I$16yojSbXs0CAePN zX?jqhMHpi@jZWC;Z@WqrB>-D+Q)~Oq>7f~XFMs;b z1>Ok1qW>wA;j({4c$XL6dD?u0w*<{g=OcV?5WaX0;Xz;?+I{oE-^bN*T%DBTehj!r z%`3khj67z|=o)jP8(nFe?u@t-ZUpg&3AWFb3%KZM+jiJ+b1n0}RdRDY0lzKC&HZHi zyFs%1lkMB3Z_X#%-;?B=Pqyy}l5;%SnpDF*hUsj9`#O5Z6G*;+L~&pkKGdK&PYn!wG&JH9 zhxkMkaEgo!p9&G5h!UST9$gzg1s3qJ4I(~qh)+ZTr^v|gsSxprDDjC?i%;PUd<+lb zYgx;SlSa^Vm5;^>b!cF0aXcS14dT#35rsiTMrNT3(LxcWh2qp&s162v4Eu;r9O4sE zz$r2^d@4kIB1(MX)Z$aO20rx2^pqtI@rfwl6d4&l6(T+nB|dT5@m1!^ZN|l1+20zn zZDY10<>noyva0syED2(qvXLFzOfaUW&7A3xOrrf;sdHIJol~LmfejPX`Ot&ZnKmgW zoi_&2g;=|UFfR6Vgdp)_u=fm0i6~;kVGy^^S!~gYvEj8NSD?_My|}!iwg{%X?E%=L zYbM4`9Ci82J5iH0eEU@AckAv5#pc>-cT&oe_AjO@1FkKhqjC4n2#C;@B$=dzOfzBNZ80&r%`wED^wjPg)Wc$LAX-UlLV|0UvFQ z_{1ST5e1wgBg3ac#3!P}Cr&Ls#R%}x{c&y(C(Q`yDxVt^LD0aqiyFj9<ZiydJ)DjK%d@w?3j3{z6Vu{*fj}?cpB%%nN zA|s0>6=E!j0499Wh$4>9H%>mHsDXixhDLni5TA$wPLYw}Qz7CLQQ{M)7M}tO_}B&! zpE$%PqJUFmWcXBw_(YWW#Hq!na0WhxM;vX$Nn=d9%10Z8Iy5l0;@ze=v`|E0P?3>Y zs6w<*L}{TowHB&_0UyIY;uDAXL=pE$Mn)UAOJJu;2f;t-#R0#1>U z;Zq^v6H($5ryXBqw7$c*7_FZ+yJP#V*#pzNwzPI^Z$)aUQA-Sc`z_rfzP)%~DBN$W z{=0YepK$!p?&{|5xOpu~+7Z4E?o-VptKEveTW)uI z>utF!lUoJ34l5n|gIvG8+MC|efAWPFJ-u9MFd18;M@TGk*C6^fB6$OnO-ME)nM86e zlIxIMkK_hR{N$m)umyiGsH~rdl;m|=sH#;S$3h7*(nS=3U1VgDu0o7-5rBwKnoGp- z`NqlT5;YV~+O*jnkxGV0MHIk_j0~v?5vhn0sW`Pr6)G4r*d!63IK(HSfKy~-_*97a zM3nf%sl}%d1wKYg#3v5%i74O{85uqmB0do%K5=UC?YCTvWx$6(_G50#?sxVh&;HU} zm#)IB7e~djP5$m6Z#SZ&6Sfj--8&6H=@DIGxWC*nibmNoUg3$+aWn>v^^=yELXd zFOzb6899tbmBd-%^&>hId#Xtv2|6hzB0K@ zh?k#zG%%m-HwD!6P_9WtuRlM$%^y{T9&sv-T_M~wOsCF=bDbjMkxCxoh0cQWxrpk+ zxdst9`Mh@2AHYR<<+sJl7RJjTL^L1Cb&2q&t#Rbq9|?xO$V*|mn_76D*&!M}9&|0h zX-}J}@qL2L)t1dT5Z@h4aiF|T$3^o4q&jYiHvXd=w}|)-YuF#b1a9#7Qs*mT4nnuIRN@Py)+`wm-{XG!VDKMwi^X1HYKzaFtndQn{W zQ1YVks^=Ng*+!jygXjJvM=QcV+T&28KM^h-|86t@-6bH=^5dB$>NQ7;~ zF+@oGsW%`JkBCGRh{T|eM6mT>bv)fFP5{)%ee~x!A`yClf-|B1q+81{iJJy~%>Zr> zdn|nZ7r8zWG3t3L4Y~?Wiu%9IHHfgc_c%|=)Spa)7I7`gY~M5zch!IuXY2n}u1AEu zv4)23`gp&j=Dab>k`rLxA8LN zIa3Es;#y!BCM53l)WZX(?9YD_v>m%?!hT6+Waa1t`*kEA46omO|CY3S0*&Q6h4SL* zs&vk3R;P5(s(%}5A~F#=XXTGu`O`ayUGXczZLalwJW8TRiRcA7`=t04$LAXdjT3s* z-&tnt<01yasV@KJ&+P!2_(Ue6&A}d-nCw|1N@U_hWN4y*>@zts5d+~2ng8-9c7RNL zA`@W|ZTE#p+)isVk4#J=6Hy`)CnAG}fb6q5G7)xS?LL1(r)7AQ+s9i|ByJbNhCS5PJt?pMB$YY-8~8lI#9ih`5I znlI)WMBtR%@H&5v2IZ9>k6nc07jB&W5&h#!xgHTcc9L$zI)B~;dX%pN7C2_%)>Fq> zOmVOC)u3tMfS;rJ73XMi-9pYTbdd6@$1&2;3~k;A&adS-MOcK{{KGk#8~TAY(OD6Jgy_vm&?2L!Wpx9)xvY&-I9?%w^or z4o%_~PbIbkh-3DNSUJ(iZn4=P58dqZP3Tf?zNHUa``nkJmLKF=L^O9b zclphl(4t&w(Oy6Mc3+NKewb?!(Y&{LuivlwlEkDY&h-hwUZt*#+}z9Uw{b$JWU8A8{dPk4HR|P#Y)1J{gZ6=gevIc= z9OK1xD^sj1uYJp5+JC6cZ-|he<>*ClfM?=2!~u22D@U83=UPN?fM?>jtwD=;8ryA` z=vF_<+eq=n=+l4B^@*sA&fI7QjXAew%=wpGlZZGXKWQ~`yeT+oME=)YgNVwA%q?NS zl5w$rh`Wf~;svIF_d@@cYZ6fzleu{dnldglaqp0OTGaIKxh4^9qcS&IL08VVl@o|z z0p%EK<3316+J6L{3#>wJuCcc!_IdP?@G9N z1!%>q>}}#ECwE-5|G#o=BHDHZZSxyWpi>gwkA*k?JJ%+HyM`wGrV!{*zP4xiU0Uwg zi0P?Zi->rS%B>;LQ*hEfDjrVkpN~ipF;=-X1R4rXiq&SWL4>v;#r;UD{U#CUl1%&7 zu(VIiEun?nbHq653v~?K??)tl#Suwd_ofsj%4^&9k?jG|1_VS(e{O?_Y((Ow1Yj4> z_p_q64di-6WMdLH4?vH2`98O_<;^XPExaJtD55edals!t#SNV;e1$#-D;MUvM8vk{ z!aVd8oYdBXxdsuHafyrb&?2sRF@H$(qtc>WkBG{s#6@)I5!bwEdfJ}R7Uz0Iz;ON! zmw)%cdYXGt7-?4ojXj%J^?dZ!Mi_^b>uyRBro6Z~U0tdsrA1G8 z|7AH=5n-vC3)X)15>MOWa+(lPyj>H6Pue0*H^}&;w-e%=;u)2i3J{O#Mo2 zE5ii8)x0^X)sz;^v3BkkeA{)^%%8Qo`op3+me&2MajvOj%&*D4F2XOn+x`8pUxJ0E z$g6u-Cno(QA}-3?(!5{2ZqrlVv#4lAPgt_Ib$h@3ooneZ&Wz<&iRiOea4VSj0T+Z4E#=oe*MhDqEmYew8~fc*#3B36# ztBT(2Zij>-ipL>GuC!$=(3-{u1GybBTq7l@JzM0a!n41cu@ z@8w%mz6|8MP}(dmZ5DA8P10mkuEbDvuLW^&r_=3L;@F>9zWOgay5}pW?YV=3&f=hL>!e1RERDRQMy2!Iv0rNU63fdityzE zaXY#IHs2$gn_Rwhtt@Y@kU5yjVv`lUq&zATaa3MXA$mzf=_PUMyd<9YQlj7`QSy@L z>OEK6J>7lDQf$4VamwrLCEn9Xtg0Wb*S2guo`qxt$<;`H3(2fi@r!m>%o}5)TN$mDg>ogi z(c@l_3Hqlusj>H3`Nkf1l1ctjsG>@sGI5GmG49DFhG#kxS+s3-W@Z+jZP_Isn)-Xr zInMrro2gzaa>j|4pwhEcDe4i?r5=ea+BTEwiI<_CXRDrL>4Im4I=l-+)$d;L-aaRk zXasMImbc3lo#1T=`l>{kxAR438h)+}hZp8Sz&{d$e=4uBQaeZ_bAEQ&xgE|E9MmJC zOFa^?c9@Or9WO&YXQy_E4Ss%Jk%%r8No2ka9xHlIUXh3{6-mV0HS0}61nV+bbZ)W; zo$I-w4&U6Ox~($%__{EZQ+$c;zS+t^n3|K zgUWRAokZpr4XO959EUU!^Qvb)qs+1Y9~H&1B@4iEn2Av*BmNf_Db(hSx{E4NC#rCp zja`^IE^do8(cM;pLZnI5hqtI=fsw&!g*8tWuv4oh=EyzD&IT`H)k zNkrG0Bs9PH&f@Ncc~v61RwW@+KQdv zU7lAZqH9$WLQ}=6;$^7n%$llRlvgF9YgH0LH}Z9H91oYFs%NIEFpdv}T7003nrpq- z_b(U9Hp0Dv=uT_

IjdS1GE#Z;8u6MMPI8NC-_Eoe(dB6XI zRCN1J;9#ZL-bzuWmEv%`j6}=8h`@keSvF21pF}b%v&+KZI7X-(T`OW<^;qoigTY9b zp`PW-!(F|<+a9fWR#dxZck03B6>aVn-RV$8aI?hxO^~PzTy`05f`}6VCTY4p5^%kU zj_Y#12@o<8tr+I6tUTP{Ouqf|Pdbr6MIzw)%HnovV~Ma~Ig|`fEv%Wk;+3+t##P)-|^D1hUKa`9RWicqHI(5nUWEk)w6E%F*E>=HYOOR)aNlwRO?oFhEpcK*MGx{+h)z cabU>Hq)$ literal 30143 zcmb_lX^>q|W#g@4ys*i}#tsbWi9A>{Ml+-WRN}CVG_n|t4fz3C zfKbdxLo_y?Q50cf8*DT)#+w2N*&#M0MA<6|Nx*Eztd+1Q?5WCL&iTIXue2vz@cTS(~zV~+bc;n6I_6#%{hZ=UdufOHop0(e6{8x5@YBtV0ui<|1@lSlz zjW>?0Yn-xWcx-xX_t5ntqi$??*V>Ws;jxh&!#hSFee&}^HM{d+cSECb?5S|MH<*Ue zm)x{JNF6=2b7cI`lA9XYI=Opd>d@5I$*KL1-E-g&{@rueyFYelYGn8J;pw4mlM~mE z-@Y(4vTbB~>c&SGrbn)um^^yOGKZaIOdXmWe(2Edp^?em2M_HUo|xP^G&Xr?WZT3` z4qZUZK<=82#*v;@v*BK7kXk)^SC-a}P7aNZ4^2;Pe(%n0*L~^6cO_Q;#PIa4k?F(V z=zZdbn}6pIVe$vQ;@Q0;BO}A7Jal+z$!#6Jc4EwpPEXx_|I%Aed;bSpUao~&SO7`= zE%}W`zqzq-?CAj|K;fUE&9i%7IyteENjn2jdhfjR&fAS)rH;wm*bcfm+Gw;|@c*$3 zRoBYWa?cf;FL!%-;GMTy3fxyWs#5RRH{0`$eH#j?M$;|#S-BNU8ZEcYia*u&l-*r# zcRg+i*-U%g+cOJI;2sovpC!#Ir|Ax{&`+EAnO54`3o_5i$SN_Dao8wKsKw>Hwzg^` z8^rF;$doNTq>#h z;0aQf1*zWAp^35HyAB+=r!9Pf+_QpQYkZ{jzB}t>o~;@e=l1q2&YkAoT?yBq$%2Gl z>Yd&_F^c4_id;|Qc_v`CKjIDki2bwvCt!p@FkQbqWD53^&Qn_@OYmkSbfhI%^;-qrvF4rL6 zLj&!P8pKKE(p|1WEdvd79yJV@ed5q5BGyk%Om7{zDUF#$2AizuCUXsM%ZN2>L-X}> z;qaUj7!7JzYfN}qF#&vNSNC!h13qzxPecKy$jI=i5K)LI@rl!pPrck(SjHZ{Zft0B zV)&+^myB#lw+9U}dMSrecNBNlp^t4gjb=@w-$LE(80OE>+q0N=Uol6=L&?D4Sm#1IvpV6rOSw@X@H)0mVt(F5TrFP;P_0wZ?77~lxjYmAFvZ4HmLhVRJ&Ck3fJl2PQOxxIsP`v&K4 znORvnY3{Vaxifih;a&vh00Z+yp%GxbGt1{t3xHBl#YZ?<4sEk{=@Z z5t1KU;=8KaxZ%D7M$m(pEw>}8$Jas@Ub-_K5>XoL;l3+K5>XoL;>_);WOsVmN4m(qG{`m|Y+mC#R{SCn=Dlq}qIYO|XcS5H6)(>8V?nM5uc#|a z?cXTU%{AgEP=JpnM||QCpNIlZk&)q3A@&#%B|dR#@hLcgkF62$i9>uM z3OGeZhEIivPeh4NoLYS95WvSkiF1-TX(mc{`JAK<0}YI_XrVZ?P()!+k&#)bLbOms zIcAAdYoR(S@Ua6#3&lxxrMuihbz*2>?}-}3N#)XAu0b6f8rb#Xydw^MB%*Lhk&*dG zh3F#@rH{m^^^pb_;KQhp<{fc}PecKy$jI=i5b=p9@rl!pFU~s`+aU1@<6?fa*RbJH zdkq`T2WS79my6-8X>KpxnkpakO2OVO(06b(eZ5;Dc1I_%R|a%z_Sl4I6|dQN%lze? zs+RD;o_#ZmbGMrKz((9{TCG6e1+y^ff(>UUCngW#X&6~K|RbO&nE6G(~awmf1@x0`2m)2EZ zawjnZ54aoA<#r)?9g-;|yOB&Ixe3Y5NM3J=pRm=&4Yw1Fpa&DS8WCNYdgE{`4!g35 zqURPFSyxsec4ZM|R~E;>@}dS!*a{xtqsbAUIK(HSfKy~-_*97aM3nf%sl}(D1wOV$ z#3v5%i74O{85uqmB0do%K5=UCsj~nd10~`UhxkMkaEgo!p9&G5h!USTwfNLUfsZjv zd@~lA;-o1u-Q|;|x-T@a1H{Qv99k%%FsR7LEL0&{D5A7boLUPtXaFDkX2d5B@rfwl z6d4&l6(T+nB|dR#@l9B+ULrYcM10~9pNIlZk&)q3A>tEJ;uEJ4E^5#Spy3hz!-$q1 zHpC%55e1wgBg3ac#3!P}Cr&%Q%EQKu#%e1`A*;YB=3 zyj~Iu&2#D{-XMwlnpf3J{IVowo7I(O)Pl>sA5-dDcR%9r0VEG1c?ij2B)^N~14uq- ziJyGs`i8p?jG$tZHHv(V(5A{bIE%y37EwfUkwNGAFU%^$&=ygKwm7g37d5Q4U*JX6&h?B~tyIg~MEi|Cl`3VA6h?B~tyP%;mgul_egdzMS8zcw!Ew9Yl z2z}B6XRgQnhEC(O3a!InH#IV8!?4{Ru-k|02IGqjmx}0R?(n=`<~qy;ZwkQH+%mK8 z*2Tdk{BC;2;AU?GheG#PCc{c`qledj* zGoQGTYi&!L5qHBYARaZm?Q_r?&OyHximtYN`s4pyF_V5>bptMMgG2sSpP!5#<0S4h+LZ4Vr+|z`#dCBR+A6PecKy$jI=i z5b=p9@rmQnwc%4>0Uz5S;uDAXL=pE$Mn6wbiM@F2b!Yk6_ffSB&` z!BwFS4UDZgg^5E8MHB`V8JUGDL<>cf7K&4Ap*k4wG3+BgafnYu0jJ2w@Tm~-i74@j zQ;SdC8u-v7)7y(U#3!PFQ)FcLREYRQl=#GH$5)y9W{r!fuWx41wqw~&kK5+YW>xL) zFA~H!Wg|PbZ(vMM`ybP*jzs(RQ0K;uI`@Ri`*%)K=OYhOXW9asbnXqJYq2H>VcZ<( z2tnc}V^0^B=upInBOvZtwb;5XV?*P^*Q3z7gSdT9Z4pd&?+C!w-8ea6;;75t-i?~9 z;my01*Db%n^*2MY)wZzh3vz1~=LTn1X7+~P4jWJBgLG@>WXpEDCr4(lakm7S?kkeF zN~(Uxby&B2XOQBKIhd}S{DXV8b?y{IX}?=Py|5(+b(JlCzVTgxdufSG_c{L z260lkbeC&Tdo5lhq-d#pH&B@spF6d748 zsSsmH1Tf)BBZ@da-#Gb*q6P*&8XED5Lwq6%I7LQ=Plbq2M2SzFT6_vD;A0y^eBuzF zhyqTLk>OJz;uBHg6Q>s6LCe*M%zDGn_ZQ5aNYWEQFr zEfi5&C{C?~>R`afu#fn}AwCfWoFXH`r$WRhqQoaoEk1Q?;6sm0qqR81C!&B;WMueM zi1c89R@3({ z^qqd%;Qs2S>9{E@O4`!9Nxtx`RVDi|ckGz3pSztsd8eoD?7=Q-wOs|K)%Fy0s{cI! zX|H{r8S4GWovy?7I?46ftHI!mrDqRzSR0UJuT679y*9md(D+`}JUr^Q^xl4#J6Lba z-I?50$aPpjxJN#>FLjHveP>>N#WOm5!GA46Vx8NL=-Yv063I>^HzL`E zZHb>e6c`OR0S1-z@msmPZVN!Q%HvolK}NcWBCv~$EYekokuCxdaizIL9G`ETd@fN# z;RH*Y-4Ur|h*U%YtjNfast}QiD3OX&i&UY4F@sGK@rgrxA__P~Muty?h)+a`Pn=qO z3Q^!=v_yR35TA$wPLYw}Qz7CLQQ{M)7N5EX@F9@X=W%h;T$k>`tQSYci|zSn+4N)n zvk5!VQnv zn7!6UuiNi&NApqJqkn<<_Jcuu-SqhI#10-m%WjS7o|Q?tMFs%_OC@oVcE_-egr05E z9|}4tCL%n`&-z26GnrWA@bI*Qh9kKK5%DzZs6W669pdFD{|wCIeWrk#KAdY3VJByC zdUmfrs0lsdRNAdVxLaX5bso)iiU^-Gmi-Y{=qxxmA?`IioNEw)bHC#w{`e`%E5EJj zXW8_(MKq7(xNl@q4;WyOzy35Z|q& zI8a`v6P5WHOPxqW8$Xug77;&34f#`wz%5?KHvVX?Nkoh)o+gBzf|KIyW4Q(qI))j~ zV-EXsh0rA#9^xBw-(t)1xF!Ag@u07NiK|ck2aci_#dRM_UQ}N7$X`1CrvqZ}+#ls= zMfkULocr^K#s)eGW{~}gy)Bp529Wx5GuC;%-azuY@bVMY=_No{nB>rF+5Q#@bA__!e z&_^QJdZ;%Z02K#laOH^p#ONmSW~^2b`WAv?lm0MR&P`*u26MN-9t)rUX|7L1jCvm2 zg06y-qW;fv4I=DAJdRv4^@q2hMO=$A+ggmoy{g}ev-STx*CWC{zC#aFf5-}Y#I>+6 zJxJVZqMpCV^@xaHVt8uPABTb_@!>&io<{0k9d-R>u1iFp-|5QKA6$Yaas9Sw?45S6 zr5>KiWPkqapzV~YN&Ay4!`F^XvR_B?;qWER_istNFQBn}gDWo{KuSlEmUWN?t@<~i zCPs+}9YyjdlKcS|#IE=i;WpR$J{~2}qeS!oon0w@#qs&ZLF0rz{t3&B@hhS~9DMRW z{%8x3iBDuA+8peWiOHTNqC_T6M202`$o@7*CZazav+_UwPz#WWPh=u2qU{k6iTh1! zGmlJ6A`?*}6DJ~rhJftva%3XxP}hV05X+3=QEo4vGLg7j5H{>tf1j5Z5s!S0`hzUc z5_x>2MdIe8mQUtdM8xNnXPYDGjDnM%S3Z?%5D~{39yS4rf|JG?JkZAI5rKnbL)-mP z6O>neJed$qD7XpsNA!)@JKcd6~f z)6O0{rm;r{Hr)2;y)WeYL}arfcaj5@cr_k`bx-DcL{#Q7?)iqMoLe(ae=*l2B1RT> zWH{ z?`k9YJgR(meu{PFao?_OOVjpIwEjQls6^Pl-5I}`bS4w2_0ZSz@*|;_&0OiBAQFhCBKmp+LT+}c&9yh?o(0M zw{l$~nv2avzv&UWl$&p`!$v#z>8Rz~xfT)4h310a_y{e^r55eHvtRd_sO3Ak77@++ zn)mt5kImNDdDJo)lj6D;rI=J+`_8_!%};yG5GCKwv5Mf}%f#wTQ?@VD1is z7V$Lh+TO}Negw82{dn}?4|9DYDkCTNb3tRytr;7Blxq?ZM^5g#f|i1lM$RAS8bnk^ zPVT&dmW+#SI^3+|*2E`_pX8cERK`y3k%Fd-3r*aj;|8Lpf5|n8Xd6Abp9#8hzAaEd z4C@qYsg2tW8EO9-bgr=qxrfERXxQ&*md#ib-@QD=n(~TmHQZsN{UC_5f6Ebz$i8E6 zj|k9;SJ^_uy+rP$X#cp?vLv@|&UD zx`^pNb1fp`^CNd)Ku^I*&yW9=YY-7*l{+w?q2Q!g{qJ0Z2<`TX+kQsnTxObfZShjD@jR6L{hKjcRw{=^YUT(>(#iSpWZS7ci)w9b!6X$JkAuSH}d64(BL zT|D2 zfZ_ZzQvSOHSCyeje0UG55J=rVdYW547-`o9jji2VTaVq*2;-1)-E4|5<;6AN>WVNa zzZ|%ZZ;V@WtRljSFxQ3sA|c*{#bp?YD877&!M9)$=Ne>O>C1sQXL&~D%a?eSiu(N8 zGgH4HI+J07-)i0#YBi;`X)ICub=7uVHS=e!uD-B#jTLOaIGbxin-}v8Vtyp|x(L6z zZO`FDex(zdBCqZ{nwa#Hh`9D`E8u>SxJ^&_%%Y+dJz-_smdE`nbFQVsI5V1CC8F0p zk8NS%S49y?;;}*zMI?#AND^^s!(Sp5K4$2I}1vvHAyYXtm|$S)CmMdLFu zA67UQakyx<+^7108ma$N!WS87==I-`3AP94BM_w)A2&+WCO>0XB0CuHt5Rtx>xWQfvS<p9=}=|gd; zSH$`gnIKe%J`_>oB5BJFCCf}oJobtLk4gTM&vOWARz}Hm1 ztI=QLQl*F!@|OzHUm{9>iBsn<@w~qh1%HW>zeIQR*VCicaX__@)FN`DN*o}D0xYAS1;Xf6$CF~^Ae3y z-fCWYFqOsjDV9~hh`DRon}i6~ZLsLFWDz>oWuXq= z+@iWISo-*SPAI4N65V~_G{l&Mo9uX^h!y>g9gjD5%Q>R2bt+&Z&?J4l1foG@y7*2a z#}^H$_p2O-G!e&D&+&{hr~H3Z6vvh<0Lx(}MxBiKUs$A2TQ%yi4buLz2N*PusKRYF zc46kYye-y5cU!CoktR`Jk*Hz~jKOq8sOJApz$j^}`4XJQItiJ>(p(U4JCAkG7gW?F zqH9eOI==YM;_k}4DiK|)k`Su$mkmSlHW+nY8ir!zU6ofQqH9$WLS(0^bQ`KVzox1e z+gXrmCy+szh|HNqVh#BRngJ?zH?I`j*6em7?nVmbmQiBDy+3LTK9Pgm@dA5FeBHlr&iJfv9rH!dvay ztQK9jxE(B(NR!`xMODB5VvZ7r){5wAt%S0XGrJAe#;Kdu4n=G6FDMyMQJE8@87vDo2-!AQ5E zo=uy=Q@wxM9rmY9DC5|x3=Zo@+maU#GZO)rS(xLV}B zjwq36#TIu1-e)j~h~4B%tEfsP#mZQedRwO8+=jo>i>buU5(fuiT1K>ZHuXZgGdCjj h|9eaxQJgWA;&glM-jvBf12zb_6VYJe8|{DH`ae|MLQVhx diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/test_total.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/test_total.vdb index 4b2fd1823d775d5d4baa9ca2dc50bd011120f465..11fe39ee5e9a5309f8f8c06cfca47782aebbad41 100644 GIT binary patch literal 2029 zcma)7+iMh87(X++8K z(mpJqXq1S=Sw#eI#HgUL*3`cGpl=0>PxiU^7p%YU%$%LGtBAwS;k*C7+ngO3TbJt- zA{Kb)?TK}{-gobg)tIxy`t^eT|MSHHRm5DsShnBwB5%|gk|pxok$%sK{D`7ZMw73_ zc;VIUIvo*Wv6VhFh8U&6qf?n(T$7c!No6;T!(tFlJ)ZqN=6Ckeg(q<+M}Kl7ryTf0 zmFY$(%Q6awA2lL*&=2Ng2wh+ehjHNEj7J?AjGl`}Tt6r}UJ%Q&KNxQW-V#n+kR`-i z&b9>oh=lCiFV~vArNAjwoGAS6a;<#u-{JF_s>gSu5gFb3FaOVxv5QZ+$r9 zjYY9?+5_{|@K#N>Xq&-yG82YIwNM=@3YogD&UUdv|p2_@)7hH9&2bbTrh0qQaD?0OxBar=mNcF^Ib69ks8`w)%MU) zK|pIu=?Nl2Hetwg``dG<>Q1eN)lQgmuF6F-=^W}w?>Ly&bmX_dU`a5Q+xKa#rOoEA z^~Tkyy{ecFZUr2=cK}|H{)J!zln0g$qeRd=S2fwx1KkfP1ba*v{D*m2ge$3S|7l}- zxrzhc$G(vRuiY66B;OhmI%P05nDL{6YactT;78ASz*t{35mkw0(<9X|K9j4c{jJ&R zvec~prV*L%P%Sp^P~*F`6udUd?#jp@aX~%=Dy=~{y`9K`_fL6iSzeaiNF8cJRq0x% zO4m%ks;XRP^`NU$&^JHpbQN!>%9ubJc0DPQE)k?pNa=ra1EA z?hUn2{_V>Solt6fkUcaHjQZ5c&i2RZVYS|@#?jNCwHrrn&U{peb_H%c>&55)D*b+9{*x=5@>hSP z;*#fi?(TEv`+cgpuLM5T<7nlJ{@Ztd{5Lq>9|?{eG=^3$OFKm8Nis= zbbYVow)AEbIRbejo2GBL_%^);lgBa>=WKtSUwRikRkVPW-5*fHT1(xIv((*lixzAY zZKkl_;van{{e9C2O3?)tWJ(VhzPa^cbOXdi5*q#P5*Gz_-Hh6bCY#z^QcSk*NL$5_gYk^-IBIp|TlP<$z zFYSj3CR|!vUh4F^opd#dNjF%X6`#r!cj!y1^a7Ng&6I4vW&7x{B7~H4=^EUEw`lP6 zK;)Cr(hYeKTF*gU0%j9U3(ex1)0nD{y}V*aVdf7-2#3lT^i~U6A42OTuiiXwbLDWf88~ zT>syd>DPN0@B+>dRbhoshV}x^69$LgF^D$Q_z`ezV@FN=(RFSMpIPpyWMbKLNeaem zdcEoyh8lkWG^y_?7@BPJyI|Z!mbllp#r+=}TUDZq9LHXG(T4yr@R*CW=9RpL8_OaG zK~mQ;NnI1;oE)AZYa{j4{0!Xb0|a+GFJhp1#T`-XvcrX0%1xchT@`-m7&nMP^`!guk diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx index c61659a..1535890 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx @@ -2,13 +2,13 @@ 2018.2 Jun 14 2018 20:07:38 -/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/test_total.vhd,1685437044,vhdl,,,,test_total,,,,,,,, -/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd,1685389246,vhdl,,,,alu,,,,,,,, -/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd,1685444515,vhdl,,,,aleacontroler,,,,,,,, +/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/test_total.vhd,1685451235,vhdl,,,,test_total,,,,,,,, +/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd,1685454749,vhdl,,,,alu,,,,,,,, +/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd,1685451016,vhdl,,,,aleacontroler,,,,,,,, /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd,1685436168,vhdl,,,,ip,,,,,,,, -/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd,1685439807,vhdl,,,,instructionmemory,,,,,,,, +/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd,1685456575,vhdl,,,,instructionmemory,,,,,,,, /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd,1685445542,vhdl,,,,datamemory,,,,,,,, -/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd,1685443285,vhdl,,,,pipeline,,,,,,,, +/home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd,1685455519,vhdl,,,,pipeline,,,,,,,, /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd,1685435532,vhdl,,,,registers,,,,,,,, /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd,1685386043,vhdl,,,,stage_di_ex,,,,,,,, /home/rlacroix/Bureau/4ir/syst_info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd,1685386043,vhdl,,,,stage_ex_mem,,,,,,,, diff --git a/VHDL/ALU/ALU.srcs/sim_1/new/test_total.vhd b/VHDL/ALU/ALU.srcs/sim_1/new/test_total.vhd index b5debf1..32ba8ab 100644 --- a/VHDL/ALU/ALU.srcs/sim_1/new/test_total.vhd +++ b/VHDL/ALU/ALU.srcs/sim_1/new/test_total.vhd @@ -39,17 +39,15 @@ architecture Behavioral of test_total is component Pipeline - Port ( rst : in STD_LOGIC; Clk : in STD_LOGIC); + Port (Clk : in STD_LOGIC); end component; constant clock_period : time := 10 ns; signal clock : Std_logic := '0'; - signal rst : Std_logic := '1'; begin -- instantiate Pl : Pipeline PORT MAP ( - Rst => rst, Clk => clock ); @@ -59,6 +57,4 @@ begin wait for 100ns; end process; - rst <= '0' after 50ns; - end Behavioral; \ No newline at end of file diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd index 1ef8568..6ce7ac6 100644 --- a/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd +++ b/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd @@ -41,7 +41,9 @@ entity ALU is N : out STD_LOGIC; O : out STD_LOGIC; Z : out STD_LOGIC; - C : out STD_LOGIC); + C : out STD_LOGIC; + JumpFlag : inout STD_LOGIC -- 0 false 1 true + ); end ALU; -- Instruction code @@ -60,7 +62,7 @@ end ALU; architecture Behavioral of ALU is signal res : STD_LOGIC_VECTOR(15 downto 0):= x"0000"; - + signal flag : STD_LOGIC := '0'; begin process(A, B, Ctrl_Alu) begin @@ -68,20 +70,22 @@ begin O <= '0'; Z <= '0'; C <= '0'; + flag <= JumpFlag; case Ctrl_Alu is when x"01" => res <= (x"00" & A) + (x"00" & B) ; if (((x"00" & A) + (x"00" & B)) > 255) then C <= '1'; elsif (A+B = 0) then Z <= '1'; end if; -- ADD when x"02" => res <= A * B; if (A * B > 255) then O <= '1'; elsif A * B = 0 then Z <= '1'; end if; -- MUL when x"03" => res <= (x"00" & A) - (x"00" & B) ; if (B > A) then N <= '1'; elsif (B = A) then Z <= '1'; end if; -- SUB when x"04" => if (B /= 0) then res <= (x"00" & std_logic_vector(to_unsigned(to_integer(unsigned(A)) / to_integer(unsigned(B)),8))); else res <= x"0000"; end if; -- DIV - when x"09" => if A < B then res <= x"0001"; else res <= x"0000"; end if; - when x"0A" => if A > B then res <= x"0001"; else res <= x"0000"; end if; - when x"0B" => if A = B then res <= x"0001"; else res <= x"0000"; end if; - when x"0C" => if A > 0 then res <= x"0000"; else res <= x"0001"; end if; - when x"0D" => if (A > 0 and B > 0) then res <= x"0001" ; else res <= x"0000"; end if; - when x"0E" => if (A > 0 or B > 0) then res <= x"0001" ; else res <= x"0000"; end if; - when x"0F" => if ((A > 0 and B = 0) or (A = 0 and B >0)) then res <= x"0001" ; else res <= x"0000"; end if; + when x"09" => if A < B then res <= x"0001"; flag <= '1'; else res <= x"0000"; flag <= '0'; end if; + when x"0A" => if A > B then res <= x"0001"; flag <= '1'; else res <= x"0000"; flag <= '0'; end if; + when x"0B" => if A = B then res <= x"0001"; flag <= '1'; else res <= x"0000"; flag <= '0'; end if; + when x"0C" => if A > 0 then res <= x"0000"; flag <= '1'; else res <= x"0000"; flag <= '0'; end if; + when x"0D" => if (A > 0 and B > 0) then res <= x"0001" ; flag <= '1'; else res <= x"0000"; flag <= '0'; end if; + when x"0E" => if (A > 0 or B > 0) then res <= x"0001" ; flag <= '1'; else res <= x"0000"; flag <= '0'; end if; + when x"0F" => if ((A > 0 and B = 0) or (A = 0 and B >0)) then res <= x"0001" ; flag <= '1'; else res <= x"0000"; flag <= '0'; end if; when others => res <= x"0000"; end case; end process; + JumpFlag <= flag; S <= res(7 downto 0); end Behavioral; diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd index 22d8155..4cb3f72 100644 --- a/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd +++ b/VHDL/ALU/ALU.srcs/sources_1/new/AleaControler.vhd @@ -68,6 +68,10 @@ begin -- check Registers are the same ((A_Re = B_DI) or (A_Re = C_DI)) - ) + ) + or + ( + Op_EX = x"10" or Op_Mem = x"10" or Op_Re = x"10" + ) else '0'; end Behavioral; diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd index eed9e61..7f4f048 100644 --- a/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd +++ b/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd @@ -41,7 +41,12 @@ end InstructionMemory; architecture Behavioral of InstructionMemory is type Mem_array is array (0 to 255) of STD_LOGIC_VECTOR (31 downto 0); -- signal Mem : Mem_array := ((x"06000200"),(x"08020000"),(x"07000200"),(x"08000000"),(x"06000200"),(x"08020000"),(x"07000000"),(x"07010200"),(x"01000001"),(x"08030000"),(x"07000300"),(x"08010000"),others => (x"ff000000")); - signal Mem : Mem_array := ((x"06000200"),(x"08030000"),(x"07000300"),(x"08000000"),(x"06000600"),(x"08030000"),(x"07000000"),(x"07010300"),(x"02000001"),(x"08040000"),(x"07000400"),(x"08010000"),(x"06000200"),(x"08030000"),(x"07000100"),(x"07010300"),(x"04000001"),(x"08040000"),(x"07000400"),(x"07010000"),(x"01000001"),(x"08030000"),(x"07000300"),(x"08020000"),others => (x"ff000000")); +-- signal Mem : Mem_array := ((x"06000200"),(x"08030000"),(x"07000300"),(x"08000000"),(x"06000600"),(x"08030000"),(x"07000000"),(x"07010300"),(x"02000001"),(x"08040000"),(x"07000400"),(x"08010000"),(x"06000200"),(x"08030000"),(x"07000100"),(x"07010300"),(x"04000001"),(x"08040000"),(x"07000400"),(x"07010000"),(x"01000001"),(x"08030000"),(x"07000300"),(x"08020000"),others => (x"ff000000")); +-- test JMP signal Mem : Mem_array := ((x"06000200"),(x"08030000"),(x"07000300"),(x"08000000"),(x"06000500"),(x"08030000"),(x"07000300"),(x"08010000"),(x"0F0D0000"),(x"06000800"),(x"08030000"),(x"07000300"),(x"08020000"),(x"06000900"),(x"08030000"),(x"07000300"),(x"08020000"),others => (x"ff000000")); +-- test JMF signal Mem : Mem_array := ((x"06000500"),(x"08010000"),(x"07000100"),(x"08000000"),(x"06000500"),(x"08010000"),(x"07000000"),(x"07010100"),(x"0B020100"),(x"08020200"),(x"100F0000"),(x"06000800"),(x"08030000"),(x"07000300"),(x"08000000"),(x"FF000000"),others => (x"ff000000")); +-- test if else signal Mem : Mem_array := ((x"06000200"),(x"08010000"),(x"07000100"),(x"08000000"),(x"06000500"),(x"08010000"),(x"07000000"),(x"07010100"),(x"0B020100"),(x"08020200"),(x"10021000"),(x"06000800"),(x"08030000"),(x"07000300"),(x"08000000"),(x"0F140000"),(x"06000C00"),(x"08020000"),(x"07000200"),(x"08000000"),(x"FF000000"),others => (x"ff000000")); +-- test boucle while +signal Mem : Mem_array := ((x"06000500"),(x"08010000"),(x"07000100"),(x"08000000"),(x"06000500"),(x"08010000"),(x"07000000"),(x"07010100"),(x"0B020100"),(x"08020200"),(x"10001B00"),(x"06001400"),(x"08030000"),(x"07000000"),(x"07010300"),(x"09020001"),(x"08040200"),(x"10041B00"),(x"06000200"),(x"08010000"),(x"07000000"),(x"07010100"),(x"01000001"),(x"08030000"),(x"07000300"),(x"08000000"),(x"0F0B0000"),(x"FF000000"),others => (x"ff000000")); -- signal Mem : Mem_array := ((x"06000200"),(x"08040000"),(x"07000400"),(x"08030000"),(x"07000000"),(x"08020000"),(x"06000200"),(x"08040000"),(x"07000200"),(x"07010400"),(x"01000001"),(x"08050000"),(x"07000500"),(x"08000000"),(x"07000300"),(x"08000000"),(x"06000500"),(x"08040000"),(x"07000400"),(x"08000000"),(x"06001300"),(x"08040000"),(x"06000200"),(x"08050000"),(x"07000400"),(x"07010500"),(x"01000001"),(x"08040000"),(x"06000500"),(x"08050000"),(x"07000500"),(x"07010000"),(x"02000001"),(x"08040000"),(x"06000800"),(x"08050000"),(x"07000400"),(x"07010500"),(x"01000001"),(x"08040000"),(x"06000200"),(x"08050000"),(x"07000400"),(x"07010500"),(x"02000001"),(x"08040000"),(x"07000400"),(x"07010400"),(x"03000001"),(x"08050000"),(x"07000500"),(x"08030000"), others => (x"ff000000")); begin Inst_out <= Mem(to_integer(unsigned(Addr))); diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd index b4233f7..e2e2c39 100644 --- a/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd +++ b/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd @@ -32,7 +32,7 @@ use IEEE.STD_LOGIC_1164.ALL; --use UNISIM.VComponents.all; entity Pipeline is - Port (RST : in STD_LOGIC; Clk : in STD_LOGIC); + Port (Clk : in STD_LOGIC); end Pipeline; architecture Behavioral of Pipeline is @@ -47,6 +47,7 @@ architecture Behavioral of Pipeline is end component; signal IP_out : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); + signal rst : STD_LOGIC := '0'; component InstructionMemory Port ( Addr : in STD_LOGIC_VECTOR (7 downto 0); @@ -108,12 +109,13 @@ architecture Behavioral of Pipeline is N : out STD_LOGIC; O : out STD_LOGIC; Z : out STD_LOGIC; - C : out STD_LOGIC + C : out STD_LOGIC; + JumpFlag : inout STD_LOGIC ); end component; signal Ex_Ctrl_ALu, Ex_Res_Alu, Ex_FinalB : STD_LOGIC_VECTOR (7 downto 0) := (others => '1'); - signal S_NFlag, S_Oflag, S_CFlag, S_ZFlag : STD_LOGIC; + signal S_NFlag, S_Oflag, S_CFlag, S_ZFlag, Jump_Flag : STD_LOGIC; component Stage_Ex_Mem Port ( In_A : in STD_LOGIC_VECTOR (7 downto 0); @@ -163,11 +165,12 @@ architecture Behavioral of Pipeline is signal Re_W : STD_LOGIC; -- to control jumping and where to jump - signal addr_to_jump : STD_LOGIC_VECTOR (7 downto 0) := (others => '1'); - signal jump : STD_LOGIC; + signal addr_to_jump : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); + signal jump : STD_LOGIC := '0'; signal nop_Cntrl : STD_LOGIC; signal OP_LI_DI : STD_LOGIC_VECTOR (7 downto 0) := (others => '1'); + signal Di_Op_Final : STD_LOGIC_VECTOR (7 downto 0) := (others => '1'); begin -- instructionPointer @@ -215,7 +218,7 @@ Stage2 : Stage_Di_Ex PORT MAP ( In_A => Di_A, In_B => Di_FinalB, In_C => Di_C2, - In_Op => Di_Op, + In_Op => Di_Op_Final, Clk => Clk, Out_A => Ex_A, Out_B => Ex_B, @@ -231,7 +234,8 @@ Ual : ALU PORT MAP ( N => S_NFlag, O => S_OFlag, Z => S_ZFlag, - C => S_CFlag); + C => S_CFlag, + JumpFlag => Jump_Flag); -- Stage Ex/Mem Stage3 : Stage_Ex_Mem PORT MAP ( @@ -332,6 +336,22 @@ CU : AleaControler port map ( CNTRL => nop_Cntrl); -- in case of alea : replace li(31 downto 24) by NOP - OP_LI_DI <= X"ff" when nop_Cntrl='1' else Li(31 downto 24); + OP_LI_DI <= X"ff" when (nop_Cntrl='1' or + (Di_Op = x"10" and Jump_Flag = '1')) -- to prevent JMF + else Li(31 downto 24); + +-- jump JMP + addr_to_jump <= DI_A when (DI_OP = x"0F") -- JMP + else Di_B when (Di_Op = x"10" and Jump_Flag = '0') -- JMF + else (others => '0'); + jump <= '1' when DI_OP = x"0F" -- JMP + or (Di_Op = x"10" and Jump_Flag = '0') -- JMF + else '0'; + +-- case of JMF not triggering + Di_Op_Final <= x"ff" when (Di_Op = x"10" and Jump_Flag = '1') + else Di_Op; + + end Behavioral; diff --git a/VHDL/ALU/ALU.xpr b/VHDL/ALU/ALU.xpr index 4b10f19..a429411 100644 --- a/VHDL/ALU/ALU.xpr +++ b/VHDL/ALU/ALU.xpr @@ -33,7 +33,7 @@