From 474ba6b265444a5596429b16492446f93c2095ca Mon Sep 17 00:00:00 2001 From: Lacroix Raphael Date: Mon, 29 May 2023 21:37:49 +0200 Subject: [PATCH] added test files for full CPU --- VHDL/ALU/ALU.cache/wt/project.wpc | 2 +- VHDL/ALU/ALU.runs/synth_1/gen_run.xml | 2 +- .../sim_1/behav/xsim/Test_Alu_behav.wdb | Bin 7598 -> 18187 bytes .../sim_1/behav/xsim/Test_Alu_vhdl.prj | 11 +++- VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.log | 30 +++++++++ VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.sh | 2 +- .../ALU.sim/sim_1/behav/xsim/elaborate.log | 10 +++ .../ALU/ALU.sim/sim_1/behav/xsim/elaborate.sh | 2 +- .../ALU/ALU.sim/sim_1/behav/xsim/simulate.log | 2 - VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.sh | 4 +- VHDL/ALU/ALU.sim/sim_1/behav/xsim/xelab.pb | Bin 2065 -> 3787 bytes .../Test_Alu_behav/obj/xsim_0.lnx64.o | Bin 36800 -> 51400 bytes .../xsim/xsim.dir/Test_Alu_behav/obj/xsim_1.c | 34 +++++++--- .../Test_Alu_behav/obj/xsim_1.lnx64.o | Bin 4296 -> 5504 bytes .../webtalk/.xsim_webtallk.info | 2 +- .../Test_Alu_behav/webtalk/xsim_webtalk.tcl | 32 +++++---- .../xsim/xsim.dir/Test_Alu_behav/xsim.dbg | Bin 3860 -> 16884 bytes .../xsim/xsim.dir/Test_Alu_behav/xsim.mem | Bin 3015 -> 4686 bytes .../xsim/xsim.dir/Test_Alu_behav/xsim.reloc | Bin 400 -> 1978 bytes .../xsim/xsim.dir/Test_Alu_behav/xsim.rlx | 2 +- .../xsim/xsim.dir/Test_Alu_behav/xsim.rtti | Bin 318 -> 711 bytes .../xsim/xsim.dir/Test_Alu_behav/xsim.type | Bin 7168 -> 7424 bytes .../xsim/xsim.dir/Test_Alu_behav/xsim.xdbg | Bin 2456 -> 79456 bytes .../behav/xsim/xsim.dir/Test_Alu_behav/xsimk | Bin 48456 -> 62272 bytes .../xsim.dir/Test_Alu_behav/xsimkernel.log | 9 +-- .../xsim/xsim.dir/xil_defaultlib/alu.vdb | Bin 8874 -> 8794 bytes .../xsim.dir/xil_defaultlib/datamemory.vdb | Bin 0 -> 4136 bytes .../xil_defaultlib/instructionmemory.vdb | Bin 0 -> 3186 bytes .../behav/xsim/xsim.dir/xil_defaultlib/ip.vdb | Bin 0 -> 3608 bytes .../xsim/xsim.dir/xil_defaultlib/pipeline.vdb | Bin 0 -> 30040 bytes .../xsim.dir/xil_defaultlib/registers.vdb | Bin 0 -> 5762 bytes .../xsim.dir/xil_defaultlib/stage_di_ex.vdb | Bin 0 -> 3387 bytes .../xsim.dir/xil_defaultlib/stage_ex_mem.vdb | Bin 0 -> 2905 bytes .../xsim.dir/xil_defaultlib/stage_li_di.vdb | Bin 0 -> 3387 bytes .../xsim.dir/xil_defaultlib/stage_mem_re.vdb | Bin 0 -> 2905 bytes .../xsim/xsim.dir/xil_defaultlib/test_alu.vdb | Bin 7771 -> 1994 bytes .../xil_defaultlib/xil_defaultlib.rlx | 13 +++- VHDL/ALU/ALU.sim/sim_1/behav/xsim/xvhdl.log | 4 +- VHDL/ALU/ALU.sim/sim_1/behav/xsim/xvhdl.pb | Bin 355 -> 361 bytes VHDL/ALU/ALU.srcs/sim_1/new/test_total.vhd | 61 ++++++++++++++++++ VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd | 36 +++++------ VHDL/ALU/ALU.xpr | 24 ++++--- 42 files changed, 214 insertions(+), 68 deletions(-) create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/datamemory.vdb create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/instructionmemory.vdb create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/ip.vdb create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/pipeline.vdb create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/registers.vdb create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/stage_di_ex.vdb create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/stage_ex_mem.vdb create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/stage_li_di.vdb create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/stage_mem_re.vdb create mode 100644 VHDL/ALU/ALU.srcs/sim_1/new/test_total.vhd diff --git a/VHDL/ALU/ALU.cache/wt/project.wpc b/VHDL/ALU/ALU.cache/wt/project.wpc index f16dd14..aaeed60 100644 --- a/VHDL/ALU/ALU.cache/wt/project.wpc +++ b/VHDL/ALU/ALU.cache/wt/project.wpc @@ -1,3 +1,3 @@ version:1 -6d6f64655f636f756e7465727c4755494d6f6465:16 +6d6f64655f636f756e7465727c4755494d6f6465:17 eof: diff --git a/VHDL/ALU/ALU.runs/synth_1/gen_run.xml b/VHDL/ALU/ALU.runs/synth_1/gen_run.xml index 4be73d3..1dceaba 100644 --- a/VHDL/ALU/ALU.runs/synth_1/gen_run.xml +++ b/VHDL/ALU/ALU.runs/synth_1/gen_run.xml @@ -1,7 +1,7 @@ - + diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_Alu_behav.wdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_Alu_behav.wdb index ba34593131186ec1c85d57400e9a5ca188e32fe2..90d8f1eb688b3d4fcc1f6e39f693210eaa9a1739 100644 GIT binary patch literal 18187 zcmeI4e~esJ6~}KWKg#a~1PX`@KT6Aw?#ynt%K}1oW_Q{hy6tXvx9w8IVY)l*4xODD z=SSHlD2-96F=~y8Atac_2q`EEe~67nQ$myPRhKzt}Avhom4KkWG+{kU_`*Wa(nZ&a05KVQDP4 zChN|?39ocZ1BWox8Ue^k^6@=YS z|M;D5=cX8+9qZa(I?Js_P6xjJ!;L%vQ>S3$Ytv)vN794A)uYHAK79BAURfH_=KCnW zA=@`LG!lUG+#b=P%VZwd-n|10k3bU0vxD5)vFxgB*bYTIwS$#7VFzo9)A>TZUf3~f znRgj2qV0?%WGEIk$U7D5+lO4nPj2L%9leC^eCF&^A4YalHn4UL5l&0Fgnf|tC4}R>3*s{ian3%-JS!Tv_bkIzf!6@n2h7XR zbm4;#-_ECT&WNC$S0P+$9)>7GeK}+VLgqCH7qcHgl;N0p4{?lS-hgo3dIsV%37l_+ zkohO%OvsB6pScp}B?y`MFnkvDUm(gL8{A>^Gnp&EV6k+sL!y{#z?_5nf5b4npLi$# zD~3sd!Rq2pfsX3y7Su6b&W&MiN8R~gE{S0>sJj5n)iKN()bUj}$wemg|lb1o@MMPCD*gJ?ZtkA7i4)K^0`L$sb_WIsvK?Ei}pt!EqN zSx?RO-;zArQaGQir)K-_L$p2XIhVJI=J+0mXg$ZyIpX-K+5XoMzn=4h$5?P*vi}37 zo^!+Y)NF74N566{Qvc0W&vhuSo@-ECJ=dSOdagZj^;~!2>bd5`)pNaxs;7?`yK(hg zXQJvE1MM;Oj33_5QSCXGTwCJmxvs?3b4`h=XWYg0&-hEnv}ZlnktqARhD6ot`Vm#H zYlqhNFd&h7MPurM^k(+rUdaY3HR+#)>i|p0odm7ztUcB-sXaaKVIUy&iq>-F=7-g; zO&0BiqAkZYg=wGEzY@Xe^J*^_0i>ryTYKULH_Sjo8W(Lj8Mb>{>UA-obKzc>A<1J`+H#9R%pH>YHzK%eh1)NNUl48WEf#J{4A(?kZi#ShVtAow%UvhjKB?ay!Cfz$ zea`4};NUlI>?Lx8aJyxo4~e!M_i{}3IxM#|#GJhj%e4z<{b#wPaP~Q9xs-C^$X?Nw z>rhS}O!{2a=iac?cPe*kn9=9H<(3I&pR1PZ60S`quq_uBSZ;ZQJr0A>^Z z~q?3w+d&Uzn0@(m&rcQEys5jCi|SW-0dOe zY`+W(#*D@R_qa^fp5=N23eRV=URf?JoLyfe9B2E@2xr$T%k?S8;6T#-pXK_)YG>!o za#`W*{>5@Dg|qt-%dHabDT(*g9btjx286TmB9}EAe`4lc`zFgtH}BdvS#GuTYvamt zgTh(=S#FJRb{}TBwZhr`mF0$nv->s6X}sEfnB~?*^n1FLtDO-!xArVIDxBTdS#C@? zyRWm{dg1JT&2k%rv->s6Z4}P#!z?#0oZZ)1?oQ!$OW^DgZMjXt**MVm5?y!i63*^p zE%!O$Y#dnbZsBa4TJH10*?p(w^1|8uq~-1r&hAewR}jwbC(o2}^~+{CxBXgfLb%|A zBJ#SAwcMm|_C48hMd7UfEVo5CyWh3kR^e*0fYjthu-uezc0TmIQ}4@?aJv#=M)%K_ zyH_|nAC}uDoV{Mlm4&ma-Iel*>&n><($Ohk(Xpd$3{z#5{N8025KzZ#~UW2s6zxMus~(LJ|E`dc#kSq zK%QwXjxnp8-tXk5Ab!96Hl$n`d8WBIGRoBhYHsdakGn61YxB7KJ#IVl{`k)GxLq;a z`5yP(7>@UtUdJOI$FWA;ACJCI?#cJb{p@{mzw)@RAn*Hy->&pJo{Qlw^thK|IDWfQ zdj~x3tH?+BHRS#AU5s!o9hD7yyoyRd2{eJl@ z(Cg?Fj%(eb2zx~MdomNbYaw#VqS@yM%lMlV6S>8ZD2~59Gm%>YiQ@Ph6%)DZApUjm z6CSUF$V!j9-f#(=ljCz~wa2yN+_!h7aHl{I3CFeg8pH7!sr~A6np~X!#yorUUjm}f zRX*Q!e0O`?4Jaqbxn?oPL5|}q2*)*>?fvWEICOklJkDMR$D!Odk7Ik^9_LZHn#Zxd z&n@vd^$W)r7YExtdu;F9<9xGU&fEPSXXAkLt=yMA&c*@#sod8+j^%#8+?Of$ZQ*!M z|8dSJEM5v>IrHE1IQq}$+CA$oX;4y@ic?e#0ck zHX8Tju7UXdau2DT#yvS3_xy&boW?yl8}~PPocfPk+`MVrle6>IBli5bCuifH-#R%y zavJyKY}|7%tenO@IXiFs)~VbxG9TQVS-VXL2uk9Q`7v$Q`*q$o+s{5 zds`dNY2nyLqnW+hpx}$?7U?? zPUDoEjpvmfr_W#Rg zF>xM0&VCE864xo2kLvxHZ&qt=tk`Jg)8&@S6sHQ?OVxUz?1u5LR4d|-D~qnLT%FjK zuhpv)#YV%GDxhoC68>Z_H|+4|R5=tl9_p=$W~o|1QMJCqjW!EgiyfiL50>)%r7({_ z<0>_p#d^aX$pvhuu>GZccE+v8Aku^D!?I;km7U4My6Z1A3!a|stxGV}efMYFPEww zZPkSr#R5rOhoBJ7 z4Ng{;Dur@b-09%IG!KAr%<#|`9GdlVK0VlCex%q4f&p1+JDOj+vRv5eM)N~kLft3l zn*vRDrx^*fOO*+ZBO3#io}8=)8O~aM2$w@dOpg@N5(hNIF~h?~S3nB{X8zvRbj<~a zO0|~nt6-3BXgEKZ%lGG8-{5LDGCJl4htmBnyB617as6~wp*7=zJ{gjM`_Lca6CL4@ z;h~QQbcw;Bl*dePl%l?%J!)tMRe{>*HU@F$)}`G#4s>h7rCrAL1+K%*GwRm5A-Bo( zxq7h?pm_{w^&nHIOcv^s$I@oAID@6)7+5S7i$xvgWWHS8TAIjrbaZ#obooPiAjZO@(@?Id#H% zYgHPht(Brg@$vVgeI6cz3q2B#mDV&K5)*mcqqKYM0^KuwLZdv`0ED}Q&{4;If@U`# zC#b*h3h{K#&YYkg`G5!>1IOK$;k9AIfG6z<>JmL++joLG3$KscmXTu6W$^yOcNpF0 zPa{KlR^$R~_$gJ91JINe__#*dD{>KDWGN4d9EPTh<0Y5!jL4Ex@Es2FkjNRQ;%_@4 zO_A53DPwqnr1)dw_dGq1(q#BO?hMhE<9E-w?C`&Bz>tE!A?CYlLe3XKvkiZH;rlv& zyTI_UV+zmt8w!6P;qT@AJ52tqWeURIr}=l083_Nru@ek`H{x$X{Jn?vv@L(Bz$ z{oRjq?z!*vt=1p#E0MGs>nvMZiPdQ>vN~*OmMm6l_#OU+aKIf>gN#cd*UP#?Ug3kz zO)^>=1{nI=OAP&2|5|9Ez`5o)k9Uq9ZWy19Iv1OgIw>R>{8bvjG0C0GbqggQbEp`1`k$Vg|{MjC-a zY_G67tE;T#`W)n9u~=*=`mKZ%zLdU_YnH9pX|K|WNvxf^nLZK7fRNv4hP8wlY{P|IM<(W&9hhKbe3_Cp~S9y+{ouTKHDWl(<#rB7_xkVcD&LvW<01y#c@oX)?7+8CG0H7ULSeA3t}`fS`cj<-qaf^{I^R1&ad ziGa2cgJE#0$%*xI35hsv>cf7m1hG#tQG&W)Uqu9HR8t~O4fS0>5aTW-yEnmFI4)ch z5wD07xdB8!&I{{K<@!3(V*X)dLl75o0YBQk^&=1G>PHR|^^5q=)sNUke=Y`)6mpII zK}K|};uU7JEl3tgF4tzBXpcvdNGx0nznD*)M;a1JGM;NQPb_|t_lwJ5=Am97d--KK z$hDa#YJ4Lu49OO*%{-n4)-u%KJ;b${$J4;f`4jQYHHM3q0W;^%%oAan^JnJq@R&J& zW*!fZne%7n@$mkTKQm9%uQ`8a9_|9foIf+qcxN(J%P016ZRXMIM3-DXaVghkp83ZC z&TBFOWeBb~KMY4L@bc9CIlL`+;0m5tAHFFj9{7ScmTU-kw-DmjMJ)?nI@u8LZsl6k zI_{+4;W-HbFOTcqc-RvJJUn-xd*c<5AA@f zn@C8+n+*~PcsCLf@$LeN1U%#!^&{#EF^Th?M>YgJoJ~9r&jP_i?D2vc!94#Lzqscj z2J9cPCi1&NY9n*XTF7M$gjtd7b2s_bpgX|)iWYJ!9+_Fos~LS^$Szl|MHrL0aq|>z z|6 zaEJU0!?GsLDlI9OC|)2_3wkt3^EbG%Bt>4N_hmNuyi8gYTp&e!UY}P{=Pd{~W=jof zSn+$@O;UvDni>vzWZiU?+N>p#*Bx?8G%z61jFJ}ey0R^nTr%8>*B$is<(wfo67s8k zFr#0VWwA}KE1=Hzdt6yrIg>DT;BRIi(cBsHRBtn1;2%0n{b)3Ppg7|`IL~H9ZPc1I z$)h$j`UA34E$bzB?w~*9``1OQBN(eT> zG{SU3DFN^A83eqa%L%s;DhPH0#@lKilzTSMx{Pl7jba zTQcVR=KTkbXUCeB+w1lpw-zX&FLRcxiSBv$zS*u5_XVOyx|;W27L!IsuN(Z~i#v{} z?@t^sD6NJ4$v+*JFS~fkmej=*?_)15+*O!jJ3Qxtilc>(?!Nk&;+l@VNtvC4U%h5` zW=qSe{4ZL*YfQfA(7tcSez8J(@X2jA4L^2r(etrsZ?4i#Eq-EWp0xA*-7{y~7aq!9 z-kN%CU8G=Xr?&d=y0PDNynCx?a6c2eY5Vl)_kRI z?tptHtla(6_DwyhpInmJ-gU*pUw?k}{oNm3ws}~6!9v<>c``~vr4e_o2(3Ahhkr~Oi6?O&-z1<5|cWzKl4&V8~osDlC9kz1q%Qq|< aI+vYyc?Ub+(vtM2%$|8&Lt7uae)R8!fG8;d diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_Alu_vhdl.prj b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_Alu_vhdl.prj index 566e460..89210f4 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_Alu_vhdl.prj +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_Alu_vhdl.prj @@ -1,7 +1,16 @@ # compile vhdl design source files vhdl xil_defaultlib \ "../../../../ALU.srcs/sources_1/new/ALU.vhd" \ -"../../../../ALU.srcs/sim_1/new/VHDL.vhd" \ +"../../../../ALU.srcs/sources_1/new/IP.vhd" \ +"../../../../ALU.srcs/sources_1/new/InstructionMemory.vhd" \ +"../../../../ALU.srcs/sources_1/new/Memory.vhd" \ +"../../../../ALU.srcs/sources_1/new/Pipeline.vhd" \ +"../../../../ALU.srcs/sources_1/new/Registers.vhd" \ +"../../../../ALU.srcs/sources_1/new/Stage_Di_Ex.vhd" \ +"../../../../ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd" \ +"../../../../ALU.srcs/sources_1/new/Stage_Li_Di.vhd" \ +"../../../../ALU.srcs/sources_1/new/Stage_Mem_Re.vhd" \ +"../../../../ALU.srcs/sim_1/new/test_total.vhd" \ # Do not sort compile order nosort diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.log index dd78853..dc2baa5 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.log +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.log @@ -169,3 +169,33 @@ INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/ INFO: [VRFC 10-307] analyzing entity ALU INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd" into library xil_defaultlib INFO: [VRFC 10-307] analyzing entity Test_Alu +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity ALU +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_Alu +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity IP +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity InstructionMemory +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity DataMemory +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Pipeline +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Registers +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Stage_Di_Ex +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Stage_Ex_Mem +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Stage_Li_Di +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Stage_Mem_Re +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/test_total.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_Alu +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Pipeline +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Pipeline +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Pipeline diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.sh b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.sh index c6f28cd..e3f9952 100755 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.sh +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.sh @@ -6,7 +6,7 @@ # Simulator : Xilinx Vivado Simulator # Description : Script for compiling the simulation design source files # -# Generated by Vivado on Mon May 29 20:22:53 CEST 2023 +# Generated by Vivado on Mon May 29 21:34:18 CEST 2023 # SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 # # Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.log index 42b35ed..2afd0f2 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.log +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.log @@ -3,6 +3,7 @@ Copyright 1986-1999, 2001-2018 Xilinx, Inc. All Rights Reserved. Running: /usr/local/insa/Xilinx.VIVADO/Vivado/2018.2/bin/unwrapped/lnx64.o/xelab -wto aef36ef3a0d94dac9e6058b656907afd --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot Test_Alu_behav xil_defaultlib.Test_Alu -log elaborate.log Using 8 slave threads. Starting static elaboration +WARNING: [VRFC 10-122] controlunit remains a black-box since it has no binding entity [/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd:325] Completed static elaboration Starting simulation data flow analysis Completed simulation data flow analysis @@ -13,6 +14,15 @@ Compiling package ieee.std_logic_1164 Compiling package ieee.std_logic_arith Compiling package ieee.std_logic_unsigned Compiling package ieee.numeric_std +Compiling architecture behavioral of entity xil_defaultlib.IP [ip_default] +Compiling architecture behavioral of entity xil_defaultlib.InstructionMemory [instructionmemory_default] +Compiling architecture behavioral of entity xil_defaultlib.Stage_Li_Di [stage_li_di_default] +Compiling architecture behavioral of entity xil_defaultlib.Registers [registers_default] +Compiling architecture behavioral of entity xil_defaultlib.Stage_Di_Ex [stage_di_ex_default] Compiling architecture behavioral of entity xil_defaultlib.ALU [alu_default] +Compiling architecture behavioral of entity xil_defaultlib.Stage_Ex_Mem [stage_ex_mem_default] +Compiling architecture behavioral of entity xil_defaultlib.DataMemory [datamemory_default] +Compiling architecture behavioral of entity xil_defaultlib.Stage_Mem_Re [stage_mem_re_default] +Compiling architecture behavioral of entity xil_defaultlib.Pipeline [pipeline_default] Compiling architecture behavioral of entity xil_defaultlib.test_alu Built simulation snapshot Test_Alu_behav diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.sh b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.sh index 96c200b..04e7d7a 100755 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.sh +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.sh @@ -6,7 +6,7 @@ # Simulator : Xilinx Vivado Simulator # Description : Script for elaborating the compiled design # -# Generated by Vivado on Mon May 29 20:22:55 CEST 2023 +# Generated by Vivado on Mon May 29 21:34:19 CEST 2023 # SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 # # Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.log index 2cc3412..e69de29 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.log +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.log @@ -1,2 +0,0 @@ -Vivado Simulator 2018.2 -Time resolution is 1 ps diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.sh b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.sh index bed3f56..d012529 100755 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.sh +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.sh @@ -6,7 +6,7 @@ # Simulator : Xilinx Vivado Simulator # Description : Script for simulating the design by launching the simulator # -# Generated by Vivado on Mon May 29 20:22:56 CEST 2023 +# Generated by Vivado on Mon May 29 21:34:22 CEST 2023 # SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 # # Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. @@ -23,4 +23,4 @@ then exit $RETVAL fi } -ExecStep xsim Test_Alu_behav -key {Behavioral:sim_1:Functional:Test_Alu} -tclbatch Test_Alu.tcl -view /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/Test_Alu_behav.wcfg -log simulate.log +ExecStep xsim Test_Alu_behav -key {Behavioral:sim_1:Functional:Test_Alu} -tclbatch Test_Alu.tcl -view /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/Test_Alu_behav.wcfg -log simulate.log diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xelab.pb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xelab.pb index 8504d15d475a598ff93e91b4c65e61e9d815752a..ad883cce76ea8a25f4a8b57f45d6bda09ecfa0f7 100644 GIT binary patch delta 683 zcmZ{hL2DC16oB0XR+wIbh-g7NV7&MS>*iDi zFW!_OBI3zIuR?GB05AF@`cs@8T@-B__;;4t{SSL5GV~5 zbdrnYX?LP3YEULX(b7y9DixieOi|7#NQ-vS30K=u9A8_h3>F8Vaf(U2MphCftyXy{ z(Z**}n2IvDx0)b+6%ffXRRGiOJ6auL#cr1xM9FTTW@ce5MLAV$sMQm&-949p0!+8> zlDZHUpOs+PgFC$e+Zo)i&xX;7VMdiY0mCCE;W-=P9CDPfage2*;FLKok@od?tJ7Nl zw{|nw4jzWlX4h?(otcMKc>JyPwH>@(z6`Il_sRD1D2&4XkdAS_JP9|0BVW+uQC(Q? zOJjAJSXA;Z6iP1~_a{~OlyCd!+oohxw_V(`Vg`JGyoYpb{3S=8qDS9*bVBac==l-M z(ESx{=vX)5e~mrlyH#UMkwaCZ%NOCB;FGszWWTnq{u*Gn;%@4Ohl;y_z59cQQ!=dK u3q4^)vt8=qzt%d<>QFv{#_C|M!CT*X>tiygt>>CoqvQGS_d7lbqu?inb?PSo delta 21 dcmX>tJ5gZ68K%u&n71%b-p4gz^C@0NMgVIs2;cw! diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/obj/xsim_0.lnx64.o b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/obj/xsim_0.lnx64.o index 606b1a82f3b98bcd6bcad9830f22b8f8975ff916..dd7e2dffecd8dce3136cc37e313b2567a2af09f6 100644 GIT binary patch literal 51400 zcmeHw4|rU~b??d&Ai!wl#H31bi%1p_fjBY*EC&ZxM&L_Of&vDV;8YTpK#pZwu~r~J zA*)CxE3Xxl#`)^JkVF*vss_JANgOJ`kwl@glen*LN?SGMwQ8EQ)+fK#ZD?_tq<+8O znVEaf-rZ}9KVM(-p6|zcW`1+#%$ak}{JC>y#3!z=zhO~PQE|*ZMX_sQMJ}Pj!;b`s zq(qakve?B+UeX)fnHUD@Ws`^xBr+0r#fhYIkov*8M6Y9zO|C<-2epytYUIQ}{lKDF ztiLzWQxqTQMRe|C_{Y(s!sejDog!nryw-~^Nj8&)f@EV>UR7v43sJJ{PHD=Zw;t3Z z06;r{z%QIEp+HJ%VVPVEG)2juoqaSGn@`+*_wxApEC`l{LoqUaX7!1N!J;e>)JLlL z*AB$LW*WKbx%eXw!CtIU)L%5?fpLS9w$U4`Pk8aCl8wmd#IJp*WC}s6qJggK6G@}= zxJv>FM4=rD;tVdfOSVG6BS4jTOa@u^;O9FQ%0Uk>LpHhITIFh^|M>Qs z{^R&@#UIrg-`J6CobRCL9q&FJQufZD0U^?+I_D#l$*45tSQsDfv~B>E(oZ_5QZXG8 zRDh^fgso3B+qOexzYBglOyKpsFoo2e&)+SN?_b_vZ{nB-a~$;s*Ch^5uUQitU-3n# z2C4;KmzbeW52MB66Nlh8NuUSuJ7E0g1xHXzm5hU+7MXkT8v~CQ5bnWm6u&1(IRo=N zVMKh~m0ZJ;yJ4KiGv1mUaArqx4Gj4Y&%6FmNZwEWlF9q0zfAJh#>Nw0T9`k;#5DJm zGxL-&^Q5z=ILH{xJel!ob3mB6R?IwHdpl>48V+HYL8>L(o2Zg-SE3T({(;0HcrqV$ z5<*`8R6FN_S%qbj%|KoPf&%3r!hal1i6CRC;k3+V^zW05SWkICQh~hrvr(E6KCEsQ zVZ7XMj4$yeKyBNnY<&-1V0jFA$I-!P*`Vnljj4(EwV`a)#cvI9_F##+RhiQr!B-P-YjCu!iW)a*dbwkqX3; z3m(js8J=F^l;90zq+XOBWByL#Ljt0VbtvkVC4>d$d9zkQFp`t87zl@Z1UnJbPP`MH z>sj)je|!A=B$Z1}#U>k6hOb!#Vb(V|WFT%Q{t-ku@thhQ+Wmr^9hgcQF|)T~ zX168m%x)-A!1jcLQVvp}F^rqR;*R)Jb%{H`zX6ouo^^?OBt2F^HDUVj4Cz`|D<-EN zHmn8>L&UC6#BWT)svKoj+yWGd0NDwqKq}e1DrsH<$z^OFmmw8C<(Swll~{`ACD1%u z!e6*>MItMa9d!xFR*yB%y2Rp4$r8r_j^x}>94WnW2T|E$(U%V)kj{(vsEe~kf>^F_4!E=SH_U>?U$1a>;Aqa_ zqEy1YW*8aVC1VYSBYF)V@;Zjl3}Sl`JAMGwQ@uSGTRkJqx?h=j8oXkcJcmBcGy*DA z1};YUKuks{9Th%;00T8E;}FKI!b2EnGswhnMVb}=rbp>OJo=W1mqw)L*C8Gw@Ti_Z zHgsKhdGCDbeyksno6|z93{f5`MtxK*6v2+h2T@w;IGz<#E53i}xnQ<1^cU@fm|jF9B=&b%|a>W{tp+nOyKL zh4IH2W?I}THolV_Fya|bfqMqp$uS-pIE4~u)e8vtAbJpi-@sjBWY@+wU22Rj%{%}) zX(l6lD9kWIHg}4lnbbv=q09&nw}N+##VcVC<_yU~;S5M*&Y+O4Y3S;YN>QxGp(ADu z3W5TXFd~s$%FByk3N51_1SsD;XwWp!XUTB>($M-H4Cv6%UK#;Nnd0EnD3b+2)KAb( z7Oa4i#X&n+n1-5}q0!9@^1diJW&oAZSIm*7u^?5QYiZVuVs(67#4s%bXdMVva{x_3 zAbPz|JVsSxil~9J)M0qEh5RXk#3(arf)NDd+JGi7j&XnWBCHcvrp`|HCRUyv-~K;@ z!n)3ohw-I8fzdvRhz#jA$FxF*a%n}9uX9U%snS#mkaAX5Ax&6{E=PXJ_EGFSp7pziGA#B@pNqPd@ewR)eY+{H%xGveEyE+Brh6Cc*d5#Kio zW;y6cyIa<^0Pl@dSL{;jW^f-if}WLQ2WdwghBR_PQ*61D*rCzd0Szmjzt9(NC_^8n z>YGiME(-Q96w!zy%BG({)HVTu@p%j3%jO2FpepwghyM2j zxE{tYhA}0WG1+=7fw<5yJ2w9MCvX3wwrKpS|HSumuD=dZI;_7ANVr#~O%LlYk$UKX zw8kAJMcA?uJ3=qQ8;gl4pHVRe z{$Gjjs!P0u|6DkK+BE)+VLZLCsQbg-(0b^5a6PvG-3ziP=N&QdY3IwN zuEHDqf#k~cat13no&Q`UwOka#ivA)LyBIcM<6#rGA7%C{cC#}=Bf}EzO=Kk8l}IDR z;)I(Ge8$uGhbtZ8bOOGqQDCOcGW9aVP@)q7{^RgM1f(gG(Q7mM_eq9%*R~`*$dk1V zBYeo3Rd8)Xj#%4_4-;1+R00n~;KX7@XhsJ9QzEn?3E4VqMj;W}ktZTB!iTI`1w|l7 zySz#x>b56XpKMPsVzwtL5Q8K(;3kbQWrPom$Ea8gWaWrsDNZeODb6hSdLSg!G@HWk z7>n-`n%t$hmgr>=iA0PTiH;zK;x;&nlt{z~pFo4npkl31RFZNb3KbARMjevKsJ9u= zpa>|tPL{+&p0H+w4>ddS5IIw9m1Tk>2CEj4)h$QV65_%FvLCaax z6oFVI<;t9Vv7{Z9B4Kw7+D7l7N>&*siGOY&@fS#@E}6Vmh9K7g_DN+Ewa9y>82?Cp zbGMXGKI{BLnSIFM(wcHPvGMLn86b+}f>@-c>gXJ*v>8RrD2+BVcQ8W`Wh7xFWeH&+ zJ2D564^|W>$l#0i0h4hELG47EJ2GT7f5F|uD9;w7!!XmfpW;&6GDQCJArx`wFOU8|dR!3a5x)ISDC7lKXfNn8I17^*d+4$y@?UzplupQf z8RHWCP(#-Mxm~awK`K76)1W(Lt8c~eiBh1!WU=L zw;8|nQUbJC&8#;YQg?Fi>Q3aX!>=JeQIAA@qCT&gP#P=2*O3Mg@=b+HtRxxIKfo|) z7+&k7!t3M+a=5^$_OfXcm-$V(3SK%KeO9_MnBpUv^PIh400eU|2t@XHRlcpv817nq73_7KASY#JZn6;@g|-y5CkhVhfP5uO&AwT1DA!%2PsO#!7$C zG3z|zFZBFCWEp%g?n-EPo+tw3bA5KNk#m=&ajQMI7#T>^z?0F#I7>-U#E*i5VFPtA zAM1x(}rw7y6}4ZnozizPVba%z1cBRxl1 zH?^9D3B3E%`r_33;?(-WE(}hsFR&guwSQsWlHm-pXg;-nfqBEdDZC;37k6P!_KN@C z*uQxE)cRu7`p2pD#i{*^Q~MWo^Ys79{zd3}mDm3VNqz~M;Vu+U71wc3>kxO5X0m5q z?bXGigKq>w6USef+i8S9^!q zp{eBV4R(h3^5PBdmF-Y|6Dvg;uj+>|Ao1a(MJU_T4B{7o*g&>{Fu!vv4Bs+H&y9Xk zknI#gvs2_2ga~DH+a)x)-!h~IIsYnKdBJuuGvejRMt7!%;Za)^Nm~6Cl z4*AG;GlThuFj>xsX4}RInM`riN51h%*`dq;Sz$YGT(QJ=_eN~?OBPBqOFq3zvn@Ms z@Hwx4F3qCSV0)rAlP0l$nsAqJwJh}U%i)}iyyKTIbFw6>+R0l+u;srz|9lNuWB-3A z0w~>7WHzw%c}(JV|DRQI|G(Pq|I;Vz{=d0_W#4@F-2QuLmS$*-XeE3T7zUO ze7~6;qQH5~gQ(r7>|B3>^O&RrBiXGcvrhEF2D9^gGqtK>s=-8_82s*L2NIGo_v)5odpFHB* zhwXO0snmS)Ay@a!C)9b8>^vA@%;dF{ixG!-(7f{ZA6$NB@+m~lhg#UEwO!7%IZ zrcd-zL*@#eb@RiJ+#ev^r?r!*x##t(S>#Y{(`v@)5c};b_gwHEi0aEbL%I82;7p4T zB(+WWM3Mw>Nm$W$pI=!Y-+A8g{C!t4}53eBxui^DRG|!yqmDz{i3JKUk zDNa4Zz)(g$BYG)h+RvIhE$s;NW!_06hSnJMTds1h&0XM|zgVqTz=M??>$KYVe{Sn0fifKmB`b3?XM6Pqi;bo2NtTpJ#h}Z-ATD~er z%2P0P2^^tx;&vceNybrU^Q9S`tr+1>dN7}CsxiVXHu9ZaQ@D8p3> zaeI85CuufoY-iJ9(Hz$jEQWw)Ql+7C7?9T{j6E^Jv1ojInLUOkO_$@U#fL?I`0XoE~{$Le&sz3aa_lH=){!pZP*~0om z?)}!2zYo67_s?6s{v+_cUW|1Zk*e{kTZOTpk}s>4C>_U}EElU(nI- zIZRUR^}mq3^Z8+{H_Uq0oN?oN;}}O^%vUB%kJ576rzmAIKJaIF`_cOo$6I;OXP+VN z-(R)j-&TBo#doBd)kX2`-?A@D)5Gw`#ryPCXq+;d2;E?UHss@Ha3 z?M+@8CX7**q0F5l2;hdO+1}U5rVZ9tfePohdXra$i<;KRu_JSu2sIU(n%ca{l@a7T z6qZfPGI?le5qEk}r@5KsWlI2jk`;1AD*T5g8YyAlbPVV-rC=&;ocl7-$(o2tW`JkY zv-sC&3DqvE zhW*JBL|uF_uNqmrlwyx>7(u40s){SxDV6}&0}R2n5?mSQ!_t-D>S{oIF@$lraHXS& z>~P5>Pf<4>mZD%)LB6|5k3_kL8)2Ss8mBZO9dNAQ&>_5`-h>yts{mi@^uX6W3`=Kv zgPDcc1>%f=y%A#aazt6DN!kuzoK}WuW8rhkco}gp!)~!+3M&poV*jQ5REP=Fa<0+uzdUwbsQ(Ia|Ne&1S@-h;MjkGLG`mi7jr1HjO@1xUtY3@1 zUPW1Lz0>Iyq~P-_@b6jpiBiYMtOFZStphVF>(zM0UNv1e!*Xy2jGp2Q)s82Yu}SuN ztgUfu5Zivq_!1b#Hr%xbirQufbLle?~zG<5GnM!aIfxO9T zM14)THW@vMdy&i=I%g}F)PosXP27vteO~Pc_a8x5?8Tf5|B@LP|D+F40%POy_`p+0 zx%2!OpD`o%g0ye{0k8_$_igO9;E*|lyli>~|2h+w(Zq2vahxWO!^E3d4@*p^-wKz6 zeK|y*gP&=nVdhn5lQm9ps9la+%3xVzT^qf9LI#4q>B=0}HUoDaL%Lo;hK-7$_+Q_2 zGQ^NWdzfJ+4KOPkKLy$LD7HOpbKi7xh;2;Y$&1RCw&Za&5 z>%6AW!0-WSwi%G7)BFoXa2%SY3_t5QZn(;n3QM}bnhd`!MX2(eB1Z`iWj2iAl@&;HuVrMf0nu zJ(6WRYqD}R(<>fNQ`+Lr>SwsVdYb>#lEl~@yX4*Y-G|}yzF2(V1>nB*j5v0$OSlGe zVk1Qy8ju@DTp%^On%$h(NTW5YIZ?P_PHgvvcF9LPQ6q}V*AayED6p=O(dv!SSWTU) z2J-_YD9%uK7>MfJ@K!Uozfc>*1EmdN4;%+MTQbEERfq?Y68)GCM~^P#fv!E`fjzD< zui68ROu3C&Y#PHw81DR0lx#^UR`#Rvxy$;;&_pM5`lg401}l5wK&YS{n#Y2IYjwfN`4Kb) zry-3V_9c=acKP*SC?=9@~(WEgUwf*oil0FNiNU!ry zc`39)zbQuu<&YQrhII5BC&dZ-99cy9O$G7__qn4~qU&?$YqLm)`kFw2vzVmsEGB9C z+MOZo9U}9{zBYwiUwg}_l1S1qA+_3DOj79VFa|~H?5KqEP#c**Z6u)DT=ggHZsZcB zwqwXEthN!h3~H0^hJHVcbf}LB6sXN4eYKfnULT_q)(`&B?^`n3qOEDx2lbfrK%$-g zGPF0*$q+i|wE3iqm*e#tf9L{P5Gjp-TbQ~hi|eB&S0PWT!e{ieQBrrF^ge;`w)tbe z&rIfZC2Dm#%_+55&Hf@t-}D$@;XL;b=$ey1837A5k+PX=j#%ad6Dd1DeEUzi;GMh? zjqtnqw{s`b!(t1~GDO6#1P1R*uX?XilqIv;ga@4gq0b8AltqI z(E~VHad_oq#g1-0!Lb)8U~iH>dz19PbEHv<`b@GlLyyX6>;5VWTghHiKlFqhZJ$Vt z(ekKp2ELj<+RoCu!A+}b2kY@#?12pNm>C&UOD zNuWTBCh2R@Bu{807>C^_WE_D4wkGMbHOV~VOi}6fPvy`k%}Cb|KH*RBOcQ4}r4iW| zIb?an(dq_-Z*({PF6+qcC9aF%uaUu#!+#}qUX5s z6t7hCBfE;LMSY8rJ_mhQB0FS8fdYM-q_1z2Jdqi>$|^T(+2vdx6DVM7l0I9L%rj#( zrMD{@Q3GujBM4g^wYD;8JLJMO4_Tb~`8LFe0)+&NrlhT6VMFaRXQQ+~)Xw!HIr*~@ zU~257ihPxyVC>{%7~g)Qc*Xl5l|ObCdI_PJ;~O4hO_(w`1lgg6HJKqP{1Q@y_WRQ} z7c9}F$56e$ROXm|;{*_~9Vf4G4pQGP1p=0+8KVP`{AJJ-vi+7vzIu>Z)T;;b3eV?9 zrDF5y!R0gGHK+o5g>_)Wq;`RVdEF%adEF$lnDB=ZJOXO;>u60_iPr+nYa=Eo3e# zG(2F+GIJ5sYue48?bH^HaN@e`l%k0zy|JQ+kZ$6%Fh7E=vSXXT)Zn=;Kl0|#sBNazeC9`6qXeovLL3;WyT$;<%dA7v5d7~q7nUeQ2TGB>MXXv7Mnrvmg7en8;xUBeK?b}KT} zj$JBq>Y95p%)>`R_ff~yE}T*OQM@sVc?bE2#5v)k6%^z1uly98IW^ISs0g`84_N`zQphScv^RrWA^u!S=kpDaN7v~uOn%PJM7_qurBLG` zzWK^YGGvKTW`ID=WQmOimf%LijFm(rU4qpjaHWD?_|+_2S?mp}nqll0k|WZq~SJ$a>81=E+b+^KOo zJqoMqiHjpSzw81QO8Kapf%Dv1yT0}#yqfco=O@p83`AXm9Hr)Q$rizMdW|Vipj(sl zb!(EMTaS0phecwchz zQW7W~%ge_Cy2m~gj&J`G{`DpsAYh>5^hd}Mt;V3sF~ykI?UwsG=H~)g!!gA2rU!~T zgt(b9n0DHgxK*vhqq@YbWqH`L>;y~39m`I|5^&SdM`?%f2hh2D zUpan!@(S`%1|B2n0t~zdbn)#UC-vk9-v|S@hYZ}Vtbw?14a65};Kq=F8x>2$eU^wX z%)kxwNM~S=25u~9;AU%Jj|L{m2@Txvs+QbSU?uCgX^2A*sm%}HIiV26sgtxpACTs|qutDh?abMqvFVuv|kO`BDCE`9y#C?`B zvS2Wiu7P*M9$I5?zc=_l{ho@;1A!r{X-E%5`8~CPMYDCd%r>-BNbKv=AA5t-!VCjg z_zkK?n{&W+LAlN2oyHMNjv#o0J_~d<+SLB92Aw zpSaGs<3BroT-~R~eWEIL-(&K2T{Dj3EG-3qu-t}=8B!+|*~~b7r#Qng1Asc_YA$4y z;*6`jRA8**g^UrF#L;z3-oZI2cgMipCke^Jig5o#UT^&SCr$KR`~UmZ_fJ?s=rVzS z|6~+-{xNI0msjZi$x^n6bxxdq0s%1zm(RRk2E=# zMBjvh*03fQ7nR0^<&V`+>FjqHmb%PXhVh6cP>horA7fxAaMAtf8-6$p(x!vLy@sIc$aq7&yO)^oRS~HYx z=s)M$8;>l9uDvxh z(^}ST1=lB%d1~=*qnto3xQA!;FSh{~m6Ui3{}@Gco2Jm<7+P4g^jQMM`}1<<0>nCaPoX;gdZtr^Vr0BUx7}F zaf6?^m7K|{vg7j7Ry@wxS~`(cGDLNRGWO%dyvWx=F9q zUN>nbuhU+)YF@9?UUzCJ|nj_BurteXtFxTikqaNdlP9(&`x=Jvg7hW zt$6$ZHckr;B+~Kjk72<<-E{G5P8^132c$eufDYAPo#7_Kc0b+sGTKrOA zmon_S$*w=dPCRX4>^L%XgI%{_cQ4sxL+r%a7RHW)!@Xd4uVIIqpS2U84Y3n{TNpcz z59S7_86SEu1RRCUuu#Uoq49y4BiK2|-z>quCeyeXa%6m%wQkk}H``5`zUc#DH|u+W zP9=X&D>Og7C&#nCAgv0`PbYJ$@cfkD7BxSONJB?;Lp>~^U=3+Z`YbfS&QG3cOgo@Z zpUIt{a^8=x$!X8ywmp@cy>Jx^%a@aP6KPL8RVL)q=}H{lE1t*wWqIvn3b&-p8(+DR zuoT!~=-0hx<@D#!`}>+4`JOB!-!XD69zTkpU^foQH${nj`Iy4DO(S0oRvvJN(G$y; zvtD_>mXB?QWimXmg+EY(f8C4D#oaPgV&f(AO|}6;C3=ATZH}}7`kEJGsJww&6jTkj zC~OFvM&{Z_{_F&=6$1f~vqeFd;1&h>IF~5phH2ll2dI!s)FHS3NDtR5_+whU{0ye} zN3OElzj;uRD%bYG{zQfO%RFefvEsPd1Fs_we)cy!WYNq2rzaanc`5QMBAa%ve}DVL$iqdJLMj_NXCwa}&!F6hmK9mg z^Wbr!=Z5Yr8&Vs$ZmHbd(o@;CrM0cK^1h9!_O0Ei%9hG)ZK>{UTPnL!EvdH6ZCg@j z#RBq;TV54;OV7s5-J2Is#0o3MN4)5PTVh2$pBN)})*Al9Op;H9#WyjtuB?)E@_-SazbBuyWobuS zXYn^PtShS~ZKK7`HGGAZc&Eib#1QRaHt|dWoN|m<-0`jkw%1~Rcp(yK5A?RCta43R z)ta*E+OirbFy4R0&eMlZ`*g`8#SbqsU(alrPoW59B=4VFj9=TF+~pJq5072wHda~O z(X#w%i|uEDy0Rp(28(^g@a!bkYO!xxjP2#y1fP#khP7qvav~?+AoysWPgrahGpy|6 z1+aq_`>vr&()P0!`%8nRS&ux+x3zV&EKjxdq+-kO>gtM_bZbkhg|X!wTQ{_H#FlT_ znrd6V_5-)Q6JyhcO_r#wz3J|4Et}h7%e&gUQrl83cOmA&`!`E^+g7rQEth{?ov$P0 zut3=v>L+OvgF7^8bg2=REzy9BKW-wU7nqQfA89!>@SzU*+=Cx+t4>1l91PX#@Niw^ zOP;T_{991AQ2mE&{Z39-zmwmkxfLCjiFuG0KUBOgOUP!Wi@K&uXe59;Uq-S%vCP+w#XkiVe4)e{Zl*`;Xf8&)D*8KUU{??Y|ya zs0twH$B#%5+iU{=-?035ASbLl61RWW6dADspM#|H73Mg*^3+11`b!@rRV;Qa)G@;K z8>;+&Nmmm4XWm@QdHx*yio_EP?DY8qi@UT7|Jvdl@Ax>J@#2D(Ctj#U#0y!9I6X7} zDV8$`g>WrJkY6ko4$_Q$1IBq1NT738h zLof3dq7xP$^9(NYBBDRB_@SE&F7qOy%b)_Om#2d8IQ(LZ4>uUx@xR>SW49Pw=0-%9 z!7k*J?lHK`e~4ae@$&l(F7q0qms|W0{xB<_5y;2qy%z6$$fO;;XYuN^!DZe;l&5)E zZ>-PYG9M!PpvA{NX>gen5q;S4A27Jgi->;4;)fnKxa0q*#Yb#|WNrk>?^-;WG4u}q zhQ((s{#}zD`?kf$1`QzdB%&MxM1D&rYhc8a79X>E8MXOeKvPNIaJ~U#?TF|%EIxK| zF3#`7q;H1Z_&7cth!f|lD<6kbUBss>?$)}yEuKU>_{jPh;Xg7?{v1s3$hsL}iIu1M zT!CYb&#zj1*5a~m21&QYk8%RS7q7eUv2s|5se>;Nz z4B00=(8n)|BDfNdp-h>rM7lAGceSMggusT*`vqtviOq_T_Nsz)tt^Pv2rE%Y+r8K@ zYU+Y4EgemrEqpIawQURXJ6gI@P4~8Rbhi~CDxm9CLD6k(om;n|K?SH*z$ArWU*)aU ztFC%4Q@#;%Q9?rCaky{FC8l-itX+PbA<;}(+^i}}@SmezoG(^~K%R&Tt%E7jW6 zvGpEE(6S91hE3gDNZQsKL^?aVRTEmaZELx|X~Wjd@CBOu0aJ5pC)~~#`AR=}6)fhY zm)1*C6uqT;bK5r5O9}j1Q(MvJQf>FZ#T>V*t_Y&0Yn-&1T^(ER3kt!4PJ~?Px3qn% z8@mvGMJt2oDnGhXwP3-j6~(B68@6uQ&|>>;l)`Phn>Kbe-LtI?TeYT_o!mg1yE_)n z+BBI8SpegWTde6%PPg+lkvrHuo&8r{9z@?A zM6U{>H9=I3W4TV1>r*w=evz6LK{TkTCh+c>pwgP42h{}i*I=}Eo_t@+##C&}J=OTF z!0+-{)7tu**VNWG-F(9hw_d-lXd0vjd|NZQMo=bSg|1I{tgYszp z?^5`C75&u__zxAX^>7+DO7M`s*26^#*LwJ|!nGb2mkAr;qvfozi?Xm?{xt%h;szU@ zFn#gT2;9DBkzVU~fpJFIDFxXLNB^wCHT~ua z5aA*JtMGI5!wT1S`MScjUAo>%E{2b`%YRq6*27zVHK4y0QCIJuofqIa{fHlQ@PzI1 zg9yCx*9z0W6oJqGdO)x3cottA@UUJj|3wPdcDy_S|D#HB$=CDIN`ZyH*7K4J0$l6) zPZh59eEQo0dM)R@3fFqRG7->gJ%2s|f6s-5>C+MT>Wd1~-(>ess86luk1AZt|FFWf zo_{j}f9EAZz1p7}6t4CBF@j9Ih3TJsSAc6he^=pJ{=Zka*7Lta;Hy>y{I#CfD_raO;|kY$-mh@2=T{W2<@}v1 zf_k++=Oggv-(8sg%qt7yPe$QvB%ZL}?pL^$^IsIM{h^%yKL*Q3>+K4KYrWl}aILq; z6|U=j6OC>8Yr8L3xTasLaBcTnBk=#dD&Vj6KlGl$_%Bu$#=pqQ@KB$c&uTUv5AhG- z=jMfb6i${7e>ejFoe2De2>kCN@I^^fhKKU#dM`*4&Bv2Tf%E8_6y5+5C;z7uuI=)e z!l`mczgOX!{*b~o|CO~UjEC~C!_V>gh{A7F_`?zSa}oH_2)uR;nBrl*x8djNy-ne| z-b@7Ed>y$MJ|05H=SvE&SNLBlT-W;M;g)LD=N!^HzmxIcF5E<+)^Sz(><>Qn+r{0}9vl`xLI} zzo&3b|7IEw5A~_(-==U)|51f&`ui2G>Ca{Y5Bcl%-lcF&|G5bKmiGypJiBj?z|Xbc z`&h5G<5ddRcI;R99Vp}M{+kNda{fyM{ysWA9@a||$LG5W*YeCOT+8!YOyCL2Kdx|1 zf8GZIdTp0m6t3m}io&&=@1T+JgzLRY;kw>e6t3G=m&F2AyYx;c(*Yw|0xTb%z{mx50X!^G)T+@G4;hO$_g=_kkBJk6>D8a*eZ^zI1 z&$$ZMe6CfvrvHG#HGPl5HT|a)uIaz7a7{m@a83WR!u2}gO`PQLuw9z|GAauXak`{y zZ#yf)6UP5e;T&=t{T1xAcu243os`10UD66)i87AQBMR4Yjx>^czC3@yMi#)Yz!0Mm%2`$ z|4ZSTexN~3fFx0bq4inJ^w)An*OYh1@s!*=X{0hdOsh5 z|6Jjkf8+fD|C^PZzo&4`e_r95&%Y~N)31IY;IHX#Qn;r7tim<@Z!28WU;1FcU(5eV zg=_k+N8m3h{KHBQ=kx~rHJ@Yz{uPB^t@wOT;qO=YyFMQ9*X_Md;kw=_g=_wQt8mSK z`9lH!J5;^zRk-Fqu5hi-Gd~gV(eyVdT+9Dqg=_jp6|U)@RJf-9iNZDgqI6+-Zj8V` zq44*is2i`2C|vV@YafX4l;Ef3xmn?w&#nl3HUhuwlfqv3Yd&9AxR&QT3fJ-+SGeX= z*I$_ba0LER1l~9h@X_)-qHrzG0flRM%C`r6G~N_}e>DRCMFd{|aAE$F3fJ<_DO}5c z{-+A_*{N{N=V^s&KL4a}-QG(w0sq?(b$<9Eg=;>KC|vXTj>0vcpDJAQ`SM^;ua^Ix z6t3wn+!4@MDLHRcxURQR;hN7Tg*PfbhP%0ga;8flEVc0>gv8%v)8!%DzShMmExrih zX*SMawT(O6oiDfBPI7mI^v&$xcv?;1+ zg>ZLX{8$Kg=fx|i7(A|B?tFYr2zTe>8$)<`wJF~b!rl4ubO=9c>7NMU?tHnsx8US) z=gVIV(Yy2I{4*|m9KAbVUP3_Ovq?$D_&3-2IC^()q&kGVdm~;5clSothj4dqBo)Hl zy^)v*yEU=+D(@ z{DWs*2#@s{ygh`wdn3Ieywi^3yF$3TH^RS{&BxX2?v3mV;qKnZp%Cuwjr=HtyL%)5 E2gY@cOaK4? literal 36800 zcmeHv4|H8enctJ8I0^_qIe1yo4Ma(97MUbo84@CI!tRr!#5ao|B5@Ky43c8Wkrzo; ztY>&hP5Oi+(94rRoGQEK;L{adZ&#e--6*?;DE};l1jSIdD4d+G3v_EzI9PSMHEkdU z(z?IjH#7Hs@4k0m97s>Qt?#isGr#%fn{U29Gjr#T^v`Z=y=hfNMPC)!*DnCCe`FyHv?hZzI!Qx8@(C-iYP5}wC|Q0&hLZEQ zfqDi2Xh)F*jZ;+=NJ%X$Q#C--l>CWfmn0G=y&XF?Brj$|urwShQ5i66_1bb3c_8SI zRLO^rBp)_|-1Kzv3lCJ79!32X$9ynuQ_{BkxmM3lem&KWib4GJPF5`<=~gt*b*q;$ zN^kL+f|`*ncOeIP&BnoE0Ley`V; z-|EP#PsngV2GV-`Ttqfa`Bv|u2dk>bjdLWwZc~BRzu3YC(nlvS<{{K5z1s#nszLV4-Z;5fJ*5neN?HK z4h1SeR4c-^dYyLcP}yIC--9Ocho48@vX$P@UNpWEx5_F;}wer~IGVrlc{#Qge8 zp&FTzp(%`BW#69{@pvS`gtMXEP$GSZ6q0WGhCV zl!`>AHYBM--N`qjH8XtJ-EpMJTEj89Hjn_ljV;>#9=OEvnDoye_+CH@)Y#-0O2U*G zKKUm-W=}8=vZF{U%n1GMrizMK1S}*RrcA6z=K$uXrTJls=;xj@H0YmdaY7wLoe0H@ z5$XVP;9!$kltd_I_&CKHCC&hW8crc$H{ebL&0j`>idT`a0!o}gVwA`nNKPXW*39ss z^2jN3mH5uoK1L98$wiJxoE_|TNW4v7XZa<(({cErgB!!)@zo0aC) zKPJbgS%fu2cb03ic9>KkmRj&&t*o&05~l=za$NdF>p9j>7#|W4ZLC94w`?ISu+Eyj z0+N}6iW(rCZjnqNX+C_>Wr@TS3^`cr9WNJU3;-ufniLqCfRZjWa zxCV5hi(}|LXgJu1sk|L4Yd2O_+rqA_h7t{IBpj7?kOG5Y-pt8&Ccoa|-3k6}pcMCP z@mf*z*#*^v>DxD?TU@VL95NhO69$Hi-5-eGn1MAo%DlJ*D7+Av08=3K9A1MA&qMJd z4v*V_x`1*{?3VV{VR#;fXIlgt2kr=DC-7j42iaP&2ifY?j90C79N+2F7h+9buXD9KhL&j3mmcY$Qtb?eGSj6%&5(!@9XI-8>62x|a zYrtL2A2%C@V86iCfwMWMi)u*^nQ0_9EprW~BYF)V@;Z&wOk#(SJ97lxQ@xpYSv{l7 zzF#@^7J7?pH$9(8UV#=eY=&{c!o-Q1j5tvrN9u3iiybJoYrUcZ##txlXO02$GbWS0 z1g!11dV39-H3Da5a>2U{<{x92C2_07`~*2*#xtBk_YAdDU_1LSbJ_zV!YgLZ?(D_I6>hE$>PI7np8 zppb582=)Ea6#HT5h*dd3&_D`CB$7*ec`-|4Wb}g&<<6f5%>sRb3{PGW**}8;9U9up zA^|B&oP644u^@>43EIVi9dNNYY8MMLP_r_$yOlxS*QCZwpfdZ4IkGesq-t>^&6`=Q zg|BOvrey+c0m1d0KvNKiULO$8QPo%?n&2!gm>zATV2L0x+RU0{1_`;gVF=7)-oJho zw&T~P-<;j+UAsCt_E$n-U1!qA{L<=Swof4=Q@X7&qmZdwMv>y{)Ve^bER_PJmYvnf z5Z0ks!y#}+4?H$MxEI1#L-=(N-jW9k0GU?hs-Oh)ov-nhs;XD5{0gkq`!waQG~%D1 z9DA&U_?=FCSffDvz$jSdpd;;Wc{c*Qw^3b*E3BKreK-huR)HO494(mA$OS{O?OwwU z?bZ$$Smnvf1Mw!u>BCfgrwQqb@ccj#%{Zcb_5iYW2uO_2V>-#(lL+I?s;P^m_I#FE zw4q01y<^kl*ekD^_0ITmC{1>rf+dop6$Q>dE~BB$x#%h-5ZAc}eo(7HQ8?as2X##pnV3kt7no8@Tv^LdGobKX#L3 zl<@jOK}9n)3O|;>G@%e5&EiD`pXXhzKpxf8> z5Ne1X*p|;S8}*Qf?5T)WP7r88V7XHUOn2HZ%tnY!?fx;4O9aO1Zv-!Rfx%|d&!-{J zw5<(yK@ILD4)J#YTuPT!~N*JQRTwnH8ZE75Jw_=tdE;_1TJ2BJ`q8L|}#wS+fg@K#q1f z&m!wyhuNRJ4l`q3hwG4oBsSrpfG}l-5A4LO*a>8{$YbkAEpzL~Dz_aK5^9=5VJcoT zEi{E&KP}PA=tCr8#z^!Ma%gUoQz(f<%BKQ0S$_P za>`*zeAEeRX82HZkqQS6?HEA>3P4iBwuWyW~E8g9mBEFJE)RP#z~S7je38FVtW0;<#=oMD_sCQDV-f|M&09;_)q#< zxwVS&S?8Y|e-ahk%26&SHr{n814NNp5R24Q9i2n9mWV`avFC&dl5v7)LsBa#TL=p| z0XT|!R8X9-f-iPQOvN&i=EFNMmM6&SUy)ClwF}w$P{X^Xv(R%K|~7dzPKOD$d0n`;N10LUdC?saC3??13 zuQ2+f=Z7N8#@h! zhlIy0$&0h>;N1SAV2g8Zf6)n7qR;&QwZC|@)czs`HT)yoU%YZ|e=!Toai-@i8@{2Q z+h1UFa&CWdZhs+PQq0ES-2MXlp>yXK<}De{Ae-iM=NESU;9mU=Ilu5dEJ**4oL}TH zmUHJ9=k^!p&M(fLU)ZD2|8wUTk^7nc$Tw2FSbB`7P`pLj!ZWR9o+2H~UvQn@lKit4 z?>i5?)m4MI)my}6?~}N-qgouxr+8-eBVa$`jg;Vf%n(COzdI~;!}mKG5GC&a9YyZ} z<>0n5_y0%o;1!9dhHiPDIQB@)g8rMqPxAQ-m?OYo3>D`r|TYqmIBO=vDme!Af*m zte0*$^9vMsT4;zLTzI@YYM=`|{eR7feG~|*WOv4Lmah%_dlX^=Y9Oui;8jx4xI3FVM zF2Gi=+8*bN5Mk`f0Nmw6st$T}0VZ{&u1N}yFo?xPvRTuZQG?@r@<@(N+2efEDRmND zlay~hk>E*DXP9Bk?1ZUg5Hn6H)D6E@S{a$dOs8-fUXl~3%v>5?2t?h=-B94df5I%m z*8?-V@AVoE-wux9hpV8|Oy<|0)~P^7hZ3de8hNN1b8lGOC75N+MI`I*CMNb$L*`em ztec-eH^Bs9T<^8jocYbwEOMx^X*J_|nEm!ucn|d;L=EIUM!AQdjwMFnpe!x~(Jeu{ zxR5Uwm#;w$N=O$B2mr*gtQ;c#$Rfm-#lr3vq+UK=vflhU5hfIn$PBU##9KLoa4KnY z9hl$HYQ+q)4U>Z<4`z_yE2f!2TfG*uh}__s!^_$ktWEfOi;TSxuH|cRq`U>w;^E4k z6Soh=dNPi?3ogSL?8XfDnis*zrV+ZWG4j2+sl~e?`B01ZrsP-_%5a@R+)QBe6wPLh z?QA+Fn&V!A&5+Pcsx?#&1M-@_u_tCYHcgJLvDcnt=yE;Mc-B&Cu;Qf5*I^CrE2avZ z7eQjEA50D6GyB8fh+pPnf~Yux1Ob6SDER%Y|Lyzj+qnU5zP))XFM?;zhb)N1K}ipJ zy-XKcAlhpDoWPH0iSSZ(3j2apoSTGd@-Ro>4=-f^8_I1a(oWRovvr1a8%a5>CeV%aGYirDryO##+~WXIq80P+9O__J z^2d_Hwyl`LoMfT{zsaxk8}VymDb5CU43}De5;s)HHdp20LVbM?*deX%VwaQn?P1i( z74qhJLK_!FZyYplFEOoybgiJQX9_p;f#cnaMLyJvK&h%^L9qsOs26=F z?&?GUToZ(nXn`iC(52k&$$b;*Bf^J+C;D8dK2r?TXNsaez9$+VJY?=NO-_49AjHkN z7Xq_!FVi}C(5prVt1rPBzu$Jv7j1bJ)G&Op27S;)QOKTxut6MNMA9c9vW>(2-s$s<-*Bpca>xsQLpu76lcH?Qkwu)} zRD*5lm^)4-x|l<(Eu$QXHGx8BF~z`HOwq*JBN6Q_l6h>b&526vELKxidox06W9?OP z&F%M&S)Co1^d!_qCQus*s5aMq*>EG5IJKQXU1_yVi3~Q};PY9OBQYjWs5Vm!)MkoB zF-9kB&Ak}Jmdv&o>md7sCQW(NYllHwCl7g@Oflbd+IrF@YjI>VDpe3^5EN%5q{2uR z*GDARp-#HOHiW-Vp@S#;q(F(}=#Lq8#i2y4E@e+a;7Yani=e|x!IHXi6+(02=F7oC zEuaP;NLoVz|OV@yct>^<2eFfEuL+c>D`D7p@Nj8Dm&YfeX^FbZByZFyUc!um+FDW z&9(&=3gW&ZG*0C~JKG-g*iYaA5o2-vM0LbS0)<*M#XyUuSk_1|4#Nj*X)9+OfkL*X z7_c?PBIC?adS#S0lv{HLf(4#sx+KHYpWH98$SJFdI9w`)^o7K{KQ|wyo*05$yk?YsvdbXZn`Q9z zzjPD?o3Gw5WFWx?#k~GuPW2%S_i}AaVMw|;M#3T^gt0DAIJ=o*FuR#zWYRR@0rs8X z!!@XvDb^syE!eZ@*b5Z0H^qRxDHcth&MehnbOLfF@S(_TBmD)Zg5>CVuGURi=D)+_LC|$7YUU?si z3m>^0{i}UE*VRDfW%luWBTJ5vg}=}WnS-@x{#(K^TyyAEPh^Tu$(HR%W$rXD@ZvW? zI0gPLGXiFYT9|{nTC^=W^Wj7|#chmCS$so89loQdl4~pUNr!OOwg8(eIaC$Qvx;pV ze_xJgIpAE+F{1Ydrf9ZLk-3jFQ-YaK&*;53(z|d*@5iy#J^|81j(+!-{DF%~^DMse3AT(qtz_fAPu+>X| zKp|UG4A`1t(VP{(1f(~GivVQ`W{IWjGW=Fg|4mR1rvG`sLb-7fpFuJR*1MXwHGhmB z2tW4BLRVzsImJdU_RCB>^sVHWPwIsaLX2?Yk(ZlTO9U#*hCY6Am@X9wqzi=-Jl6Mx;4c>x2AZurW-fxwdn*3*_&d(-V|S#>6{*tqhlpZxAu2wI=R~s*n!x}`&~dP zyHyCWTL1%k!Ygk9{la|_yDgrj-JTS?{g&9R?borJIlnSz$y|jqFk0toH#f2DR4RF^ zPsxiiLC*7MK6-!U){Wr&S-m<;Nw6FA?G*y%Jve8t4~> zBYKrR_*twf2hlh=_Al_izwlvPq--Mz)=nmgWxY)@ij4AXw2t_R- zZ{~cKL%kv&=oR_67~rx>mOObU%U-Z#-m&aeERhdbA|LDby=X7vBEwE{pS9fExuI%D zp?E{pNfP{pmUix{NK)oS8-Lkoh3kUpBwU1=OIwa`a_w*}VnTg#n57+}-vL*?_R5(v z3%Q7aXGnS$2Hp?4ROrVc^b)fjgBokPobZ{Mj0~En?s{#S-~|CGuxyU|$)JEVft@(R%Fljw=FI#T#eQ~gf;D+c>}O`k{3GMAj9>Xk z7KvQIa5vH94#tF-P#P@U_C}bH3ehp@D}5s$=o|U7HDOc4giVSi@&QZa1D59f%T8)| zxnqoC;C}Ak29esR4cS1sn?O_?sVO$i^UqIAP6&y8|M`xeTM}l_c=Q#hQJd4uYq*#q zA$Z3m#K~lBa3LT$^HEdf8-?`R`V}mLVxZ4 z0`Kh>=nu=&_^|B|_Z{Vr&}9uUd|Vt|mZS$7XsXisBa576;X4)vD956CzV=;e2 z%O&Nw7@$0tdb|w;Rv?!zSuVJ4X@+Bf@?0ACM7Vs#a%ps23{aj+fk#l5uUanfN+XK_ z%5!OZCL+rtmWwzzuAgQNP@YSHUs0CFEEn-{axp-8E`w{WUoptZw{bKzS}j z@$w7HC5RUTl;=_uFBO;)_yQQjivh}WDT+W@rDN{=hNIw5|W|)yPP{GppDy+s@g#kFd_QovW0#tY3bO z`cq}@i<5!krJj(R9y%;2|qP5tII4V%|AHm_;gvSuzha{k2X$@4y6^@YmM zt`a^xj^Xn;{@1dmk-Vi7q?=gRvL;3BN0#nV%F(iBkl5)6me{bnr>|>6x+jxPY`A-9 z$dIo;=sFw%Q~jiD;k!FDYV@uVw#5-<(R1ok23q_rlj0ha^h0;! z!?@sc4}QplD%H{k;#F zQsX~u$A83j$njr*AJ^WE|3+X@+W|@}@8ti9M88Rb|D*Er{V^h<&@S4B<5W7Hx8TS5 z3d^p49v7GD-yb4XBJmfI!A1KwRK@?3t}5|U-taAWM!>H^Ji)+DAD^?hE4wsran3b- z9L{`YNy`&2H6r4X!H@}_N+pj$%>R{%^K~)ER~ASSz~Dm*7e0RjMO+9uk8d)#%*)7L z1yP94eca%mv(1_;o?>9&k@**Czs0kiL=y>_gOO$&pX~w%I3*!}_sH6dLJB|9uT{~k zCAh=lo#4mE;eE)HzSjDY!#`v3MT^TC4D6W2kK@K5A6a{m{sVr<=eXS{$hr%Rf3A{v z>K!QHarnC}K67~?euu>eHyFIzB#C~DAGf%yr>GmXcqaoDkF23cQx;D|_2P)ZN)h{2zNy-LyhG4vP5=;19f^i?tZyJP78O$_}FG5)hUh7T{ImKxVz z#o&qbuED;(PxdEv_Vo0040dely0&M>#_e}^4DGqQqcO4b6FWOT+1uUMvAwrv`zJbf zcMaUr)3Iwu$4YmTb1MB>J9`=zB||S4+oTeLL?NklfJVo}u3R6a78?Lp|vb zA+UY$J^{K(Vyj~0x~61b?~_I{$lX1IyLN+r2~}KM5^Zv5cjKmOuHMvb)V8T4x)Itm zj0=c22HGlw19f#@yZzd(?#3Nrk&W+7^kjOr?@9M`T!V(N&QQ8P-LY$+Z|6WyIFKQj zt9wshPe&RS8|sobzm6ejv#YOTN7qog18Te*8|X%W_xEfRJ@&(xfwgxHZ11v)PIq_o z?Yd{@_KvRIcwy|=GeAB)-C<_1Z_iNJv}^b7uKPN+@9H1y+TA1U!@9E;OPX{K(vC93 z7!h_3q! zEzU8pr@v=6bj{WQ)ATOHn~YSXC?Z6?qdiM8(e>*Y70CN~@J<%E-h09<0@!&?Ir9$n z?fO*M=;|=*@-++ z{C>NyA%3ai^Ct?|{2x|0w=%BZCt~osxk<-E{`L5A^kWLwdiYlg*LvvTh93|4Xgy3Q zT+2Dn&v1C6i(d))5&TAXN!;%H$VcmKzrwXVUsJf&+u<1eV|{#wt^ zC|v9L=L*+y?x-gh!$<4+at_ksTFV&v3%zC+=D)Ydt@zaINQ`C|t|= z(8jP|t%kLHPBKj}WsCc4&`>4XToG&U|`$OWIkWW3bPH&ef zT1P$L=^s_NrvIVBHT@}tYkH3dpm;bg4&BMWS>c*~+x4V2 z^mH*tzwiA53m=WY^$$Xvq2TDZE1WLx@B<2`YdCyP;g=|ULE&1?9Y z*b>rfeZEuSTK=mPuKRu4jUgZ1@36wPex?;IoBT+saILqa3fFv|ye;haO4aZ86t3wvZ4K!)|2q|~>9^b-(rbU~QMjgm zCwfzbuH$G{;aZ+0g=={Zd@Stu?Mj}XDqPER^~XbcEzf3!YkBTaxbF8` zG5D1orTKqE;hN8Wgi8KDWl;e;R{-OW|5SHQh1%WAJ@3_}|CiUQcQMe;k9)#o#}W!LQ#@ zn*V2F@aJN1!^Pa0ut%o?RojXRq{O)=<5O#rgu`u^BP@4i#-i{S1%^`R)f&Hyh&aQB`1R@u;8&LGYr~oosgI4b0@5@BPdqyLsPROE*%Y z-*bO36N?Cf@Xek=@$O4?#^sea#hGWz83K)t6q_{?A(7?=SrWy%3SrM%@)|yS%)r+$ ztrvtz5n_@d>LQ$x#^t=|*fm)3b-`mPNj|`~G(rBr9`6Kv^7>)gYl(?&SoMy}^$sVw z+DiW6O$Pja$E-^b^BMA{;%s-C=X}qJ_(FuRJYb(+kS|N`?2}ZuLAuB;Y{;4vaQ9L- zqXeTgBZJXVMyD8^Wps(rHAWRicU)Qx=&&X0Fx43$U+6{1_tyFww&iS74G0Uo zf}cv3j6hE?ONQZeP$O9=1y2wip4i5K9ed4#64FQk`a`!#1|EjA=%`)kc8e3*%jssq zM>{&?h}PPEqXe4N5C81w0E*H-0v$AZ5QbEZq~N&PcT#Uz#}Brdez-TM`Dx@Q+f4l- z%q!u*j2bH*dZD}Fi&2^ZpN9x4dIGxe#yR_k?8~D z}k>WCtFJ$qn2Fn7$-mAme{<4cL^htsqy4x{PMh} zh773{smY}!sqv;plbr=znHYE`GYX1NULc?%sQ@(o&wmKegjmJE0;JU^-xUyNRG<7+ zK%Y@*vZ|oIq#}~aW+atzlXC^#8RaK$71U=`o%|H2QW;6*H6)c9lXZp4C1sIhC7_`M zvQcI7ULkQwH6&T6RtAtFsmX7J#3%EJ@bC&ig+Zb+lVyd)c_m;9fwaQpi^AfQBZMU; ID~Kil03g4C7XSbN diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/.xsim_webtallk.info b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/.xsim_webtallk.info index d5f5ce2..ee95024 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/.xsim_webtallk.info +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/.xsim_webtallk.info @@ -1,5 +1,5 @@ 1685381189 1685382347 -69 +72 1 aef36ef3a0d94dac9e6058b656907afd diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl index 3e4dbde..e89f7e4 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl @@ -1,6 +1,6 @@ -webtalk_init -webtalk_dir /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/ +webtalk_init -webtalk_dir /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/ webtalk_register_client -client project -webtalk_add_data -client project -key date_generated -value "Mon May 29 20:28:58 2023" -context "software_version_and_target_device" +webtalk_add_data -client project -key date_generated -value "Mon May 29 21:34:21 2023" -context "software_version_and_target_device" webtalk_add_data -client project -key product_version -value "XSIM v2018.2 (64-bit)" -context "software_version_and_target_device" webtalk_add_data -client project -key build_version -value "2258646" -context "software_version_and_target_device" webtalk_add_data -client project -key os_platform -value "LIN64" -context "software_version_and_target_device" @@ -12,9 +12,9 @@ webtalk_add_data -client project -key target_family -value "not_applicable" -con webtalk_add_data -client project -key target_device -value "not_applicable" -context "software_version_and_target_device" webtalk_add_data -client project -key target_package -value "not_applicable" -context "software_version_and_target_device" webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device" -webtalk_add_data -client project -key random_id -value "48ade6b1-45bb-42c1-b620-33b3e004d501" -context "software_version_and_target_device" +webtalk_add_data -client project -key random_id -value "6ef722b6-53ec-42dc-bc5c-9d79054a9923" -context "software_version_and_target_device" webtalk_add_data -client project -key project_id -value "aef36ef3a0d94dac9e6058b656907afd" -context "software_version_and_target_device" -webtalk_add_data -client project -key project_iteration -value "68" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_iteration -value "71" -context "software_version_and_target_device" webtalk_add_data -client project -key os_name -value "Ubuntu" -context "user_environment" webtalk_add_data -client project -key os_release -value "Ubuntu 20.04.6 LTS" -context "user_environment" webtalk_add_data -client project -key cpu_name -value "Intel(R) Xeon(R) Silver 4216 CPU @ 2.10GHz" -context "user_environment" @@ -22,11 +22,21 @@ webtalk_add_data -client project -key cpu_speed -value "800.000 MHz" -context "u webtalk_add_data -client project -key total_processors -value "2" -context "user_environment" webtalk_add_data -client project -key system_ram -value "134.000 GB" -context "user_environment" webtalk_register_client -client xsim -webtalk_add_data -client xsim -key Command -value "xsim" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key trace_waveform -value "true" -context "xsim\\usage" -webtalk_add_data -client xsim -key runtime -value "1 us" -context "xsim\\usage" -webtalk_add_data -client xsim -key iteration -value "0" -context "xsim\\usage" -webtalk_add_data -client xsim -key Simulation_Time -value "0.03_sec" -context "xsim\\usage" -webtalk_add_data -client xsim -key Simulation_Memory -value "122620_KB" -context "xsim\\usage" -webtalk_transmit -clientid 1496851547 -regid "" -xml /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/usage_statistics_ext_xsim.xml -html /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/usage_statistics_ext_xsim.html -wdm /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" +webtalk_add_data -client xsim -key File_Counter -value "19" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key Command -value "xelab" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key Vhdl2008 -value "false" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key GenDLL -value "false" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key SDFModeling -value "false" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key HWCosim -value "false" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key DPI_Used -value "false" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key Debug -value "typical" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key Simulation_Image_Code -value "60 KB" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Image_Data -value "4 KB" -context "xsim\\usage" +webtalk_add_data -client xsim -key Total_Nets -value "0" -context "xsim\\usage" +webtalk_add_data -client xsim -key Total_Processes -value "22" -context "xsim\\usage" +webtalk_add_data -client xsim -key Total_Instances -value "17" -context "xsim\\usage" +webtalk_add_data -client xsim -key Xilinx_HDL_Libraries_Used -value "secureip " -context "xsim\\usage" +webtalk_add_data -client xsim -key Compiler_Time -value "1.43_sec" -context "xsim\\usage" +webtalk_add_data -client xsim -key Compiler_Memory -value "205220_KB" -context "xsim\\usage" +webtalk_transmit -clientid 2966348998 -regid "" -xml /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/usage_statistics_ext_xsim.xml -html /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/usage_statistics_ext_xsim.html -wdm /home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" webtalk_terminate diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.dbg b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.dbg index c0136c083542375806f1e749474cab4a3503af5f..b19d016a1bd7c2d1d881d2444270178cd203016f 100644 GIT binary patch literal 16884 zcmeI3ZH!!18OKj4g#rQ#2ow;RmKIv*?##Y)yP&i?v%Bps-FCOTrL9FAraQO0LuY5k zd15Jrz!wvYerPnh{{Qzr&-U=J z_XcCzD00&0KIfkEJ8#cFzC+OO?6Q$Yg0YHJIC)%4B-nL;q|R|2jWEf66&` zbeVIfuX3*c4(RpH?d^HLoZaBus~ep=u{n}VzjJ3G?_?ucg?cD8k)6;s99!btTaZ_- zjB-8>MHvzhS#Aj~OL%b<=g`G@YE995rZJ%F3*j=vC=BG;AL`pgL%ACumqW-guS?=< z017-we4Qas}iC25T#x*}ComZ>ZOC5+9oN=_$fLhppTKNF-i=^xOJH)yy9vzQ3Cwon@mXkx zrXOjbZ5TM;83=u@H-Y&y@^67`2ID^)Q7!ZHoXZXf{c;!#?XE!?&H;Uvez_A2+t#2A z=YY=x{c>LdL!Z4JGL^s#ApZ^s`$M1Aplxw3*&q6M84P_^gEE}UEQJ2uN?>*)|C12f znYPfN^>DsvXFda81Vg{ppbY1`6Ow|k9{RNgWjNogXC=f>Q_k0%C)$(aqA#LKcaP{* z&>XksuS1-3#(Zk#YyF%z2A1b~!gStmf@pcpC8b^TYUmM&=F|6R7q&xvH)KCV^VvtX zlNQbPzW~vEmSLRv)GYrEiL)$)^T~W_mj5n9%QK&I`H*P#?>UI(v+tZE_Me*Ne+BXL zIX~FO!sn9hpE3EI8rGrfZA{-y%I7*0mroz)NXV!E@Oh3a&$;Bk9iDQpWgS; z5fJ)CYr1kvqiokEi}ni9mgAbja7yyuh~ad|)<;d#-vJ~4wEr=ub46>T}`wmT>J zx|mx|y5pXa#r~vd%Sm_Kuq^oFqAjP*rReAgYeid5_m*>#A1-3xEvNg-89o>YZ-};> zR&$aLj_|x_%Smx}l#YyWT(sq+xZ6vIMtEGb<)paF(6JHvL|aaZyXACngf`KZlj82I zAO(Y8KGb|-jvLU>BF`&WN`4@w~%dLqp_m1Sh8^hfr+-a%!RnfM-dxe{kiff`Rw^q0|sd$BG z%dHdcl;od|;qDX8?lZa%oc;Rxej@h^cS1V)lxWNGEXQESVY&7Qb9Nk->k!V`&vI$u z>^^9@jB;Yge$kfeR8BS~-B)$r8<+ep<*tY#y6;=ATR6L~TCPX9HkrV-kto4(8)E8X zH@HXYy!9q<+zXW3n80y~Q?5@r<5x2L1?~aitY2B~LE-E^ZMjbgXZK&r@vO^W_jAkf zorS^f)0W#5Va~Qox1i6cAMlLJVC%D7e@J0}HtUt;vclQ*Mci?r-JEcCy|UbZa&!&^ zJ^xv5Fv@mz-YhpHoISr-Zi{gCTw=Mc!aXnko_RP*u-ve4)?Z{;3;rk7yzks(Ices7 z=O)W-lXk6NS#DG~Yd_0v7tWr;EVn~Ad%m*Vm~i&IW;yj&dk(YQ&X{(ul60+SLhfyS zmYWpLp6e{POE`P3v)m)X+4Gv^b_-|EYnIz1oIQtGZm)3mTxYq@2zNpp=NZwK+b5j$ z1AQ;ib@x%>>^atQpB2vff#n_(&ibk4J|~<#cUmqloIOuk?s4Jl`P6a+;p}RT5NBY!BM`sc%W$vUeTXwG#w|sG^Z9`q+Qv^n6E-Yp35orYWcAr^Ps)0hAj;oMADJbu0I9NG;BB4OUL~P1eUX+wULO zB;|B}Sd8N{s$2nahQ&DgtaAFilbeC~?eg1@a%IFB7URe$R}ZPhx$8ada01unaZh^O zA;kUu-QaP@6Sx~a?pp~QpD`WB(;mmZ#yuZD_<-C`J|OqA56Jz}M(s8_; zz}@U|ze(Wu?MmxA<8fa>JkBn^_qeYj?)UFj+-rSj6F9!_Dfg!Yj-RxYJLhqn8^7J# zJ?> z#I?K5dQ9})hZ%s}oQh}<%1VgFznf0JS$cP}K4<8RLlEsagWq?b)P1e zWWQZreY9T+qWdcMckSO}9(O;|339HP%zlt#{|dr!&1QLj9PEeo?|{eIaj+lC9rQSs z_v_<4Dp&J3miM`}9;bF;|C0RRkXIke`}J|Y*)HepNsqIB!1-40OCD$afc8}GYaYjR zzg?col>4S|yr=y*XA~y4LzvF^w>^&b^SKU>d)nh@Kc7o`-1j{$$$rmzoV6d%RN6m1 z|M8yolVXUy_xaU%BS-tiaX<3fwf5sVl;t^ZKlM1;)weUxp~}7BaV&on!e^ez-4JrV z-}7u?{hr@2$+3+3J-O8ozg?aol~cbbXZ@bvFqKokCujYBqsOWJ$R*92`aL;2Z+%jq z@Au@a-}751`$tawo}Bf2o`scDzb9wsjo&(zdr{_tXESRTe(O}bobotpmxny==N?D9 z`17&J<6ibSYZso?wST|%xHx~NU0(6HIDaPhn#a*Da*Npc=Y!mDJ&yhy$Gz@x93Sn- zXPwFY5RSw5XP#}XKWBww8TDs!)}M18r+!M#&f9>;sh^VLyh(QvJ3n5gsh^Uw^EQYy zoey1~$=P`u@;LQVa@L=>c%1IPJj>hhZWWIG`<-;0oE`75$Gz!sN#oUZlJzA$ziK~n z9IsT5*n92w2d`Z_-Vv~BKi&6PUk58$9z7#0{xHP)3){E;vQ0RaQGX$4?KkRi>M!K1 z{kD6Yj+Z*ge(EpetiSA#`uH5FzmT*3GUjpWFXXKK#y##z#Qnf;DKKI9fAnm63JG!i zN9mE>KC;9AryclCaq3odD;rWb#cIMgP zLe>9atw-%en=x=6Kg@QEMu~AsNv5@T&cCeHmnsbk!6WP&6qO@+w8k)%UF%q94`Y7*2?mN zL|k*cgo!Y2c(bKcDU_q+E(iN%c>#=Tj+cS((yW*B+0ho`6G0<%21KRoWPZn%a$(v{ z=En|1dO+^?g_`Eh(i2!ORW7_v>nhp=o zq}$=f+&(wp>Omt!^XSsV;V8Ds* zYI?k0eIjVKPa+|h4I1quNUYBmnx)UTf<}7x@Ze|~4+f>9QJ-q08>QKNXSxy`PB-}= zH>=G;x#Q4G(WMX952oj4iZjJZb^pOutt&lSZBB@t1kbC z7Kp36eSfuhL>jml^BK-dL*`Uh;mB4Oy}6O`3ot2-BY0rp(CMk_Y^_udc2!3fnWjR$ z)SS6wt+gtR(sU&dFTVJ8wEN)&80dL_th8paNlfMOjI!Pf=jdL=m(cXzmiV$PFUg1}?fSqp{(@fSvXdHHnsR?7KvbMdRbKWg-Zh4Bub) z4x{J%Swtu=iQI$(Kcy;i2AZ-NAJ-@+MQ*{1EajNUJTzr5UUDffimY9R?{JW(M6SL9 zf7=OZio6X?*@YKKir+VW&(r%jO@`m&t`==Me)n9g9{%43bSeBBV!pek@Brs$r(q44(+{$9?%!{pyuW+421ntvCWgYfSgyTI^wBmO4D-+Ne}mgVmp z8AvB&F@|M)p1-qfh782;Odo^{LAF4)LWUvy4$N=7{C>-Cv;6MLZ>9VW%5R)IArp{E X$ZiPx+zrt_`EeZs$8I?!y(jz&qs5lf literal 3860 zcmdT{%W~XA6m9bi&xF?^D_j%=^KdkFInEHCGah3*F}7ix;Brw2d8Wz8(MU$pIOAQG zEcpyCvt&i(2dK(2Kf#U#J9CcaUZd)avdKUdr|S52-#+T@d;7NJ*$JaC9!{^d!~S%u zxiVd=Etorh-vdmiGKN8EwE3!XVEgd2~V zSA~?iXv47&-hXm5@&XL`#;9ws4Ksy5Q~Xo(jKLO9IIJG+(??*-r+5l2gi(O{w?NwS zVquE$XJENkjxCGtg7jeua2RFQH8^F6M%M_Q#Dg z504sW4ywjA{zr{7b{((t0pJU<+#m7!yEeIMxRJ}?>R2zteHnjHq0<^a=4Aoyigo9cG?+Utj&whZb#;;k2bubV$t$Gbqd`Ezx6iO|;)`FC0;a`-#>Znznu20`(M@PNt-b9^R*DMF8)?J775u z-Zk!zbF6^`br-<0PT!f-T>}Z~7<*YqpU$_9HbLJESl02bQOA7W014{e1INWn-3~}l z_dZyq+ocGg?gOw&_Z>w5bsvINy6-6hsAHa4KU!CeNzZo=ZGt+UO)jxC!0>T;vyb%Q+c@L=R&MlZ{|{(P-gMgX{uP&N1LUeZ011^%VOERH6V1LYY{;5?7wDMcBefG1l8rHM-=!1orR@3DO}$lrwL@IfFW z;qS2I6}V?n@OMN2w!mfZOK=5T1^NBH3iA8B Z4t@o0fSVwn{TloRoB5l;#owWe+=2iA diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.mem b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.mem index a04b33caf19a17ec165bf8e15862dea5cb235106..e61dcdba723e5457587ead12757e7133cf3a4f50 100644 GIT binary patch literal 4686 zcmeH}=T{Txw#ET*XhV@Q3{nD8g9wN?bO9NvG!u#j41#oN5;`G(QiM<(R3HKgiu4ks zC`xak7eNF<2|W@C2~AoE$FrQX?wotS+&{o~t-bd1ynC;;_xgQ#Uj~N%KF^;1@Fsuf zh1~zH|8zeI{3P&`z)u1{2;`ogp8h-j!-MZX;_u8O%fP_$T?>AX-GB7`ueSeVOU^Pd zv^jo{vL7Y%uRn4aBl(V#kBG656J}XTk^ef-TCOmMC!kEGWe3y1cD>Ha=iCi1wxl^b za_9Qe%+yS-KejP4?UJFYy!Hy?r)_K&+y=em+)P>On7Bb5aLXZC}EzQ=TC77z2-%Wy0SB*M%d4pMol-*)H(+lojzr~^p_jg;ZWoCNCOFu z)3uaac2y)BBfy^{utw#XURXFUA;;#g8pmBhW!2a=k($HyQR@nf7!Cj-(9}K?)<|!q zsd;SuPf!NK5sovOa{LP%e8)W^t0}F(-7}e2j8=SfS1Wqrms9t~+u;LjczGFZnT2X; zSTBBLnCBOFbBepRmOJey*^wG>22JYH&ZE zvAZ5jbSA-E-yP#)a2JCeOO@mdZ}t>2T&TGDFVsqvzHZe-L6rY_7j;^_zK< zzOVs+J>&GAtwoQp9TcNwJLj0H>dxTIQ?y{uWEWb=`(N+xvx=Lyw`(5c-tjIpOpP(p zlgvLi%Ie9i%5J{U>vpGhdm<-id}WWsN-iLo}J{D>W1o437Sfhj43zwnRdz63U5@+ z!vtm_gZC2J(&tqY5)$;KRuV!}H|F_RCI5`Aj&u!`+Yl1c7f|zvOo+XbNqY#99PtF% z8<@~+lEn^I5`eIWq3-PN9e{xC;_*!;VB znqlo7M+pwqe9vA5lSUz>d$ZlPd3B%TM47+Ia^)NYk-=Y(H76Xxp>dE!O}~u!P8+J2 z*)4HNT@%V{@Js-CRe%8R%vIn|L+!v|u;sNRv+iG$b;j$p2kzANFSlpk1L$=eJj$-M zxC#J2{Da@~IgiCTcaxfY5*5U|UI#%Mz*4w#ux}VFAGEgc|E4#ha>I7xk+PGZcFCo1 z_qN7^T7LzfU6zmBIoT47C-5ZROctP2|6X!6Af9=BJXeBVPH}$Sg|G_$#;vZ$c?^Uf z$rmOF^zUXL7)Ck@*XTBr))3CUKKFDh0TAqAucxD*lH-fWT9_HjRl*0u0Bbr|8KL3< z=`-RTd2DHFn9jLA%>QA3Tm~5#BM4j+(<+BCY*c|epma7fb2VsZ)r>kz30;(*-fYG> zZ(ItXZ=pE>{>>lpt(_gMlP3EoQQIT05!ujF#QjtDj#dEZ47{fha6tATwngLdts|_b z2>miZ{wMBJt~Mq8$>`bdcWkHg7fu@G_BvawYG7UICnfdK>FlQn;|~XdrYBTN{tDqa zrv4k{@*)9HIy4uxO#x>_Pe#wr>b8s=u&ukC9A7LX@9Y||SNFK!qlz4wjnPOg$9x5! zlSgBTa&A|n`-1eQqF0XlqWWppC&vowovqO~kCY25Xpip910LuO+Z?-pJv_bv>Y|+} z6{_k6qC#dHPAxSkc`{eyh?RJj=ltp}gipii@48r+!m{g-&vdVg_(oWzq8Lq~+*NYR zPd=$(oWi2Qk^Gmhg=Tavn8p#gO~^QwNOh2w4%}C$G*7IQ`I%k%p4O@t*`qR)ll!w& z2TUe6l2WwA2tCQR-wuu-J8XRw-myf>#%HNbUNL&@O=~vQSvxnbLr8mPPbAK~eoWsi zXme|vMQc=@m4fDocdATYq121bkTYTCw{-{uc&sI@=Y$2bH4&127xtHDvg@qXBK@q> zxsizZ&KBBSb$M(0$c-{F*3t%Tb0|Yr!3wqKu}3_|hKor+voRp!2^>7_VRLGk!A0wg z>TB*gUIbjjCY20DY>18J^K^mLt-?S?D zrYO`qNfm3{`^kqV&|#ivLlmK;hdvNwf^sV9fqO(0o9Zk%{RkM}lOZZ;_qad~ zE#a6>I1VONCtMZms)@Nym1g7?#NyI|jad?q%e=ruB zrDl3=(hVi@bl<=ayG;&hb|X{#p^qhP@)g!3(B%vBn&n$Ekp$4&jqCI34Se`@%SU`KVA_nA;w%DYHr&4P}UNWX>ZnqBFjv~ z4Roy%d1s-kBn^{FA{@In=E2i31tByZPL}1?~;g`C(_o70dzWNgZpc6LYTi}Ge@WfHsYR0vC)#MiN zl?J6{*Y4XNZdJS=&R7k{p^|UDDYtv09=;)wnP$cARc8J8wO6Lh`LWB2IVlix3!#wb zugWw+CIlzOE7ZWsVzqX}QuKZI6d1y5`UTHnLA-MGXUJO}LZFVKM?4C6Q)S1xM8iuV zQ#}q<@M=3-S<7uOlg1ki>@QAFOf5>KCD(ed&Y5}j1#j%p3U)mlr;T-5(m2v&8Qr+D>ScrEJ1h$ZQtmlM z^(fimChhu-=oyihTU$U-pncws;Me*-S{WFNzv0rWQ@p8oyZwux)lgpcP*&f%_SK4& zK0mR0>1vK9tg?nmz6m?!EWh~<)J$1~S9JurAz7uSuLaHn{U3AH{62EmQTuX{$Ht5J zi_%^4JDY1GyLYXdQp>;vY1pA!D!ShT6?JUosY3hPUrtRYbo<)`nnyk%N$qKRNxrSaB!UfdWpS5`X9`zGEnv z;_KIJ0&cdt(=TX?St?LOdUOV7TRmE!)ks5a2xE~u>M9os!xwc@Ef1vSG-bchQbU2R z2nP&7)8B@zbZa|o*FC~O)>}I6%Oyfaqd7}o83i?bcKmkjY%*Bh!3~y@cHv$TxOO&! z(%_ziK)oa#SZ~`aj9;vTxObN+j!&z`)FcMTM65IbMM+!SJW`r<=y}OOr&qX`KU-UP zQq5IU{(4fv*YwC@U*3S@;%}TO8t8a?sbWGR1%#3kgvXL+Do;uaTBY`5L z-iHCo$-7L!%}Y61J`u%7O>UrNgUtQ5Fjciz03>U?v$SqW1@+OK5LdjBmpCV}LA^o{ zqOs(xqgH?^=#_gC67mj${V+F-F2nBp<8QcO!+a3N_u$&^@n=82l+aX8;(ef)OcDZ2 fSXxeX_Q~g~7c5ol)fl_z;jRQp(RI7dje! delta 1648 zcmV-$29Np9B*zyb00000000000000000000P#pjO00000C>;O*00000*deho4+W7x z50gLz5CIC4Q3XqXhzx(TAolKkrF9_pd_@akj%zAiML(vn%#90ONh%8uRMapLxp%jyz;;g;FbTu z1C+uafb-48JHB@7IG3Ux>Fk`DbD49QncW@BdN}yk0BE9rt6R|QIce{bY~(X<<<0IW zIfUfXm;)?q6>s?5O(Aaort};TI!VBDpC-v>m^A;8IsXPJxxn=a9vJoR!NJ07T>qQ^n~SK@{rg^@2%SIj9{aOZ(CS>_aJqtsDUWKc#WrV>Ho zVX!jDWSUb6(S!nw@CpS1S%oIu!Lyux0kF3$Q7O#A!o z&hMR;dz<(;uW&$i{2;k^!)8134(bW}ku5EMEL>+BYiny2w&MFt496_uF^>+pTM;$K zdjN>~19Yox_V&AkAPoUJC6p?{lwcCQGWsa#$c#FRr8yn9Hs90)T8g{*yc~cWXHJ0k zAk4Q^E4WU~L_6StngWn}9lIFld7PJAj~kC{_Zw9w9l#b5sMBYJI9`ny}|xr zACf!Pn)2yeYP+idZanOUzsLWtk*8HS_>V(nheg*b$6oA~e22RDCi-5rr?oC& z_m~iC%UoZ_J?j!Y^Yd-tukLjVzWLRE_WJ<7`7?XB=iGq4@eOEw{CBr~VhezrUTYY5 z;h({Bz4^RBvatUXA@t88pXNX3)*sz|f`>H#KYsX}9zF0g^ZZN2xeKhsQ7yKADHGL2JK#rxS2?V^mVFf6v5)I+-3`LMJFVuD-3VKO zS5pa!!&X$|B3IS*wUt%dbrQ!WMc*ciHZp~8Kq6!cCuvhwV*(aE&bfBetSn6XC(OVw z+w*&&+g1~tQZYqoVpUMocmnlo2VpaA$964jG(Dg1gc}2LC$_7Nr^?40PH2}eCJ%LP#u8TkUEb93T1L`KN^c1z#Vq%1|Hmo1%} zoK!|>InjYU5m~s;!b2YUq2qFwmFXBNv#`k{CkZ3w(7k04CJ;*MoW)LqGoJ?sbc%Q0 z=UEbyL1w5&VU*FK3182D4Rz=^yGLr>rs?n8O^#DNaYI3G>fBD9Q(?TA{-RlVxBO}O zCgBajTZHpv<^|x0W2V6quO3X5%C+x_h8;3p4Ncl34=PI515p|VXkgaO+ z1xci%f-2p*)q)ny;)AW3=%d1ONp uvN0JLhm>w%K=-9F^%Mr6DMaO<(v#XyloJ}m(gEcMEaRJdj_^N;rTy#0upgoT diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.reloc b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.reloc index b47024d53dabb346ca315b64bfe820bbd88df06e..d9de023a4d54c63b01ffc1e69b64de27ca913f16 100644 GIT binary patch literal 1978 zcmV;r2Sxa@2LJ#70001Z0lk+y^y5|$hs9$z&I6)s9w?9ykz|9@xm2lA94K76;T)gC z5(I3B$b$$HKp~IgE&>{tDpjgWlC-T$qP z8#sVZa00L1G`}_6!WlfkIh;N;{~9jf2KL`F`w?EkC-?v_9-E){V;g_5S?#ZGOGK0M`3U z;Pdx^&))~G@7p{%96e#Ym!0=n$-XrE2*+CY2c{PB8`)XU4%Ycp z!aAQCxL`d8*nivR3qG>ZL*K!guZK0?cw?V#?7<&wKHVR}x<8hk_)KJnQ}`SY@Hrmj z|Fk`)hIQU`u+Fm{)_FFOPHQzxT z+B_|+{B(qsUrw;{OYld#Px&c?m7ikSiPuE-h259Kdfx@C_uarc-w*QVJRe}4-$z*I zxA!M|PWTfW7jOfoaLoTIJ~2OW_~|ttVAVSRd1YN)fIHq`ponz*17rHHIJ~q=Op_B^9w$=QT1I2tGVd4XZxuVAWqetomz&RliNJ>bK+zTYty@3coZy*JJRx9)tC~HLT}lubDwL{nioI`#8aRAHi3)KGlOEta>npk9^+*&VFY1)$qjs-h6F(z27aY_glcKFL$u& z%No}EZD76M9@hUEVEvy(Y0vLN8+};$e+4VQN3iny23GyLg;l>c@QUYl_v|_9v%CAo z>XR$Z3H8PK-_0ISzpObow&)XBeRA}q`KvEJ!s>%}PnlhP?}~F=eeM9C``TyB|M1wx z23B9YXC1O1;Er>ogA2xx&&9Cj%jttd^cj5an_pl(+@HYb zzWK##dkCNV=9jM5KVetj++$atT+;`uFD?SRUw!cAWn=ZdEBe-RpG%(_y=Q(4Sbgq> z|GB4cNn!ct@WA~i?oZGkVfDr3&3x6(eC;c?jspDwR^ME{YIgO>DSfZ{;`lYQpZnm@ zSnCg9^}#LAQT+F?;&;NoMn7sk`r4j8IM3`k9jrdNdfoKui%&Ptn{J+0ykY(W`W#l@ zynfT{>XRo}eR2KJ?8-kCtiCvT%k1idL;5iFy*)h8cXhD(wu-(;eUkrAn@{gAg!TRs z>Q)bZELI(hzT!MxatZf5z2+|KeyUU&W- zIo-(_`P%t!$<0o`ATK+)xsW&HW2g7Xy-pr3e<$9_89B%46Y__XLvn|crwh3!hd6y5%s=zxTC+Ebylf#Ge&wM$#yO1+t z-RTp>@hp!Qaz{Lu;k-6t)BncoPux|r|B{$1iG^A9f4j0r|9MpY*yMS6UchcX~X zuez|U*{e>D*`H26UC1T-)9EAjr<0Eta^My?Urs+>$Q}F5=?C_VlNismFI-@$E-qW6c;T_kV1wJAG*5mY8da!zyF-j9X&3C9Xrpg7`nOKVrt{ M(e=OoH+uz%vZQG{umAu6 literal 400 zcmV;B0dM|@0RR910001Z0lkweaKb_Wnqp2VEl8gtd9p{q?!7Eu35^_izP!`16wA zd(yRp9XuZCegYS83ddJ^p20bs!+W@d(`&t7!5!Se?nckI@ERUH>%NC0czLV)bGXD; zShY*206#t?f{zZ3q7X24b&YX_fm-yM?H$Ctz@BacHK3JwMcG2Yk diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.rlx b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.rlx index 5238f39..570490c 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.rlx +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.rlx @@ -1,6 +1,6 @@ { - crc : 5165304247125619484 , + crc : 3586580812418567682 , ccp_crc : 0 , cmdline : " -wto aef36ef3a0d94dac9e6058b656907afd --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot Test_Alu_behav xil_defaultlib.Test_Alu" , buildDate : "Jun 14 2018" , diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.rtti b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.rtti index d50ef2968ff9d87d07010a90b86d8bbc956071c0..07dfb7dcfc74e0a98b4a1cdbfa259f0d79009a13 100644 GIT binary patch literal 711 zcmbtSJx{_=6g~J6KcbO;V7EG`fyKla6A=qm3n&yt7eW-Z2}MIS(Fy;6gR6-Ni=)w> z;N-w;+}+sS!E;{=8YYb=IX(B?-goYOuPrzgr+TTGImgxPy)8pan3kbMB2hfQF1ODQ z#{kp^fDP&((8$?qMJtmu<6u&eSxA+h*P$242pJ{!$z9SS*U32@A=aIelXSApl!0+B zM#&?O4>+q_$n*ef3Z;fJ>tW7nwyFHi5c3&2T@iRkux za{`-;x`XHcH@QZuVNRZo+4*eDQm1DMold7NCySBcpLbN;G2(V6s|rJC1ghrgoly+h zbhsxq#?rt{+eXsx_c3+dZIIwX8l=i@u~e&-u6oWxd?O=p_xD&qZkj5fi|W#K$(E0Q s;Uae~&Ld($^t=3RmVTER-xTt_1RuU&R$3BPpf`a&2KpK3qWp!#7i~3qSO5S3 delta 92 zcmX@kx{qms6z?{phPNdtEDQ_`a*PZUAM`2!SqzLw7{mvGfB*mgp9{njHM|rwAVLhq LP~0%bJ-S=^I#1+*t`fU_QeS-t$qto}d)xhL~Vs5A0_^(9EK09m~v)~s4U87?48 zda{QYkEA@12LcK}{2vHFYy%+X1F2Bu2eJf!m=TECffxihfEcDy7swWZnI(X(V)7h` pD|`zi7#JP^u>#Pm3=Es|BquQ$Yyk2>V22Qd{(#0e0INmF0{}!9ID-HH delta 133 zcmZp$YOoM8Wnf^KBf-F+38V`ofrQLPp(sAaKbv#;0B1b_vwHcJS^a?qa!%%zP-o-<>r0Se0kV2U%qPc4UfJv* HHGv5LjYJ-5 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.xdbg b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.xdbg index d2e1323b444fb22edcbcb69d34aa136d3ef12cc0..0380b83991f89561ced6e4a6b43253c722d3f3c0 100644 GIT binary patch literal 79456 zcmajo1(dCI*|70LgBW09KVX3gCa5SNh=2it3ML2!N(x9y8Hfd#V4?yl7B&`Qw_+g} zh>eYn1>bd@IgjuDUjLWxUh6vZ%>CPY&fNRiduGjS)?wGZ_t|^D!=_z#-h1C^*R8kO zcG`H8Wt(4l@LpRiTej@j)s`*W{q8IMy91b=uoizTTvl2*_V6k$zFnNJ`M=(uuQM@U z{BZM}@xMP#9s4mi61jN1$?~J6>jL-VdiO9KUkm_*DzXuU>KNF0WZQK6Byt?JJJ`nD1MdzklKQyoKY>EF6Dj z;rPOZ<4aZ?`!RpE;@CI;*SYZX{P~LOd)vj!|8-lhJ733-GvD6h--%on=d(MXXe|#` zT;`86@%}uoRimvBR{zl7Cz6#zjyKZHUGW;`}3S14}Na*W5DD2Ik#H{>A zn3dlcv+|o@R(?~=%5R2Q`OPsKJFw#7eQ@a+OV9s{4qY0~&wbyP&(Htz`T1WyKmW_; z=YRS9{4bxM|K%&zU%ubTXD;o(>0#sjN4~iK$QSn?`QrW~U)+D>i~Em!asU7HOaA@! z-}`5avzGS1;@{{0l7D~wFJIh$bo<5qN4~iK$QSn?`QrW~U)=vceLnk3kMGM@?7v=| z|B)~5Kk~)>N4~iK$QSn?`QrW~U)+D>^F83#unW#!I{%j)xinpT{+G}Dm(Tl`&-<6p z`34xqtb*fBC$B`MiJmynp$; zfBC$B`QrXNesO944UZY`Kk~)>N4~iK$QSn?`QrW~U)+D>i~Em!asOpsTH61zIR7JG z+<)YY`;UBa|B)~5Kk~)>N4~iKfBMCKiC=#4@o~BTXl*<~qyP+s{9je(s<5FQ4}>pZ71H_b;FKFQ4}> zpZ70c+H~n>hznNifBx}H(|LE_`ZLDJ=l#p){mbY5%jf;e=l#p){mbY5{Tce$lb6o_ zVf!vk7xyop_b;FKFQ4}>pZ71H_b;FKFQ51KXUuh8ytMzR$NkU#i+=tJKR5h zity>BOMcP7{TX%o@RFbNtpEA@SK`ph=lj2WzW>YT`@ej?|I6q5zkI&`%a=A?+V6rD z`(GaWk9=|ekuUB)^2Pl}zPSI$7xy3e;{GFF-2d2*ES>)gV*imZ?mzOy{YSpI|Hv2j zANk_`BVXKqk%Z*k?){+q`B zBVXKqF9k6zb&6%zb*H9Y2`j{ zt$cp{w%pe(t=!k8tlatB==tI60#>*8_r_N4@5QWqe*L!G-%D7zKQ~(WgjxCen3ZpU zS@|t6E59XX<+sAD{MMM2-v+bt4KXX<2($9rVpe`T%*t<%S@|6>E59RV<#)oYd}GYY zH^Hp@&X|?o1+((IVpe`P%*yYMS@}IME8i5e^35GAFe|?= zX60L8R=y=>Ov-0gQE8iZo@*OZMe;{V%55lbc z!I+gl1heuTF)QB*v+{>xR=zW4<-1^3{xHnSAC6i1BQPu96|?f)Fe`r~X628^Z75I&wu%R{>$g{Up}Az^7;Ii&*#5cb(Sst{-=Ch+{&+wS^0G^E59yg<=4Zk{Q8)c-vG1n^)M^< z>*n&WoxB}A{%^$CCK~yT8QVl7zX@ZTXyiU`ZK9FijIm8L@|!cZiAL^xZshCZ=5ZU~ChOd{@Rc(a3jWY!i+A zk&JDkkw1#DO*HaHGq#CF{ustK(a0am*d`kJ;~3jSBj25|O*Ha77~4c6e>`KGXykh` zwuwf*7h{`f#x~K&k7H~Tjr@4VHqpqR$=D_u`Lh_?L?eGTW1DE?&tYs6jr;`0HqpqR%h)Cw z`H75eqLDw3u}w7c=QFm6M*afEHqppm$k-+t`HL9aL?b_mu}w7clNsAYBR_?)O*HZs zGq#CF{u0JE(a2xQ*d`kJ%NW~4BY!z#n`q>(U~ChO{8Yv^(a29@Y!i+Am5gnok-v(u zO*HaXGq#CF{u;(M(a2xR*d`kJ>loWaBR`$7O*Hb?Gq#CF{szW2(a7J(*d`kJ8H{bB zk)O%fCK~x!jBTQkzlpI;H1anywuwgm7RENw$j@eM6OH_>jBTQkzm2g?H1fAIwuwgm z4#qao$luA>CK~xUjBTQkzl*U=H1c;dwuwgm9>zA&$luG@CK~zs7~4c6e?McJXyhMY zY!i+AT*fxh$Un%~CK~yN7~4c6|1e{lXyhMZY!i+Aql|5$k)OxdCK~z27~4c6KcBHp zH1dx#wuwgm3C1?j$Un*0CK~yt7~4c6|1@KpXyl(^Y!i+Avy5$`k$;Y{O*Hb)Gq#CF z{sqQ1(a68Z*d`kJml)ebBmXjEn`q=;VQdqP{Hu&@qLE*~*d`kJ*BIMGBmX*Mn`q?U zU~ChO{F{tzqLF`#u}w7c3mMx)BmXvIn`q=0F}8_DelcU4Xyo5vY!i+AyNqq3k$;b| zO*HcFGq#CFehFinXyiX&Y!i+AQpPsX$bZP#CK~yV7~4c6|1o2mXyiX(Y!i+Ar;Kf) zk^hXbO*HbKGq#CFei>t%Xym_OY!i+AmyB(qk^hRZO*HafGq#CF{u{JVQdqP{I85{qLE+0 z*d`kJ-x%9OBmX;Nn`q?!U~ChO{GW_%qLKfLu}w7cD;e8FBfpBVO*HalD>0{X{{aUp z_dgJ@@>MV^Ulp_R)i5hx9kcQ^Fe_gZv+}htE590MsuYp^%*yY9S^1`zm2ZYw`8_c! zzZYiZ_r|PzbIi)`gIW1~F)QB!v+^x5E8hyU^7~;{zBOj$+hA6Hf6U6a#jN}Rn3Zpb zS^4&umG6LA`2#U4e-LKn55}zgA()l#h*|kgn3X>iv+|uWE8hjP@`qto{&397AAwo< zu9%hYhFSR|F)M!*X628@to$*Ul|L4<^2cFTzB^{+dtg@nc+AT8#H@TT%*vmDS@{z& zD}NGZv-15hD?b3U@&hp|e;Q`x2VquzFlOb4 zU{?Ng%*qeNto$&{$`8k^{0Pj-kHoC}D9p;Afm!*{n3W%cS^2S;l^=&$`SF;QKNGX^ zXJJlv+@%$D}Nql<f5{&mdCzkyl#H!&;!7G~uaVpjfb%*rpqto&lk%D;nI z`FAlZ{~l)L-^Z-{63ohffLZyan3ew!v+^HdR{mqm%721c`A;z`{~2cGKgX>6GR(?< zfm!)4F)RNSX63)eto%2amH!sA^50=remQ34zsIcn515tz5wr3?VOIWU%*y|QS@~Zv zE58D>^1oqL{&&pE|AATgKQSx+7iQ&GVpe_?X64KO@rIS#SHi4rzcOa!t6)~XDrV*W zi=|fXzgTMJYhYHsCT8VpVOD-M%*xlsto-Vjm0tt1@@ryNel5((*TJlOUChd_jam71 zFe|?>7hfN< zy8Q;2mEQuh@>^n7ek;t%Z;e^`Z7?g}5VP`)Fe|?;X63iTto-(vmEQrg@;hQyekaVz zH^!`d6U@r*j9K|zFe|?+X61Loto-hnmEQxi@=Y---wd_0<-d6F)QB(`C*uq zAC6i15tx-9iCOtkn3X>Rv+|=cD?bLa@?$Y8KMu3<<1s6LCT8W&!mRw+n3X>Vv+@%# zD}OF#e<^0=FTXC8?*AaVpje(%*x-6S@}CKD}N_u<>z2l{w~bQ-;G)M zdoU}1FJ|TM!>s)Mn3aD3v+{E>EB_#7s&#%*sEG zS@|b0EB_>B<)6Z={L`3~e+IMi&tg{oIn2sGk6HN_Ff0EeX60YPto+NEm45}Z@~>i6 zegS6XU&E~Y>zI{)1GDmPVpjew%*rpsto+-Um0yHe`Nf!(e+RSj?_yT|JF-n3ewmv+`eJR{kr@%72Yn z`EM{Q|1D%9sDo8CGdu3A4KW%9xd}f?4^hn3b=FS^4Uim9K$W`I?xO zuZ3Cp)i5hx8?*AOV^)3*%*wBcS^2dvD_;k*@^vvQzcyy&*TJm(x|o$;53};?V^)3x z%*xlpto(+UmEQ=n@*87TeiO{fZ;Dy@%`hv!IcDXP_FsH`%#tb8-f%I}F;`MoeJzc*&(n`2gfAI!?{i&^;=n3ZpdS@~9& zmERAu@~tr|-v+bt`(swVEoS8pz^r^b%*waNtb7N|${&bX`GYVke=ug{55cT_N6gB1 z!mRwEn3eC0S@|xQl|Kx#@`qzq{s_#V1hev|V^)4BX61)rR(?2U>PsObKG|b9h ziCOupFe`sGX63KJto*f@mA?+N^3yRZe?4a9Z@{eljhL06fm!*Pn3bP}S^1kVD}OU) zl7{te8^ zzlmA-w=gTe5VP`cV^)3JJ3A6G)V^;nb%*y|YS@{*1mH!R1^1owN{twK`|A|@ozc4Gm60`EF zFe_iS>M~w{Z(j+sy8X(Sm9K(X`Kp+euZCIq>X?%*ty zto*i^mER7t^4nupeh19T?}%CXoiHok7_;(CFe|?^X61Lmto*K+mER4s^1EYJehR(>DM%I}L=`4*U!Z;4s?R+yFF53};EF)QB&v-106 zR=zD}7(0kHM_`v6z)V4zu#zF)QB#v+~DdR=y`@ z<$GaP{shd*pNLuclQ1iPGG^s_V^;nY%*vmNS@}MgmG6sL`F@y{?~hse0hpB^h*|m5 zFe^U@v+{#6D?bFY@~2}~ekf+;hhbKJIA-NXU{-!4X5~j=R{jjk%8$mZ{20v2kHxI~ zILykA$E^IBn3X>Zv+`$SR{k8!%1^+o{JEHwpNLuc^DrxaK4#@Fz^wd*n3cZ>v+|QL zD?b^t@>4J?e=%m|FTt$*rI?k!472i=V^;nO%*s#2to$_0%3q0D`KvH1e>G<1ufeSR zwV0K^4zu#pF)M#PX60|dto)6bm7jrG`I(rNpM_cZn=mVXGiK#)!L0mj%*x-2S^3*A zD}Os?SFf0E& zX60YNto)0Zm46Ad@-Jgn{uRv1zlvG;1(=n84YTsEV^;nR%*wxsS^2jxE58u4@^52S zei3Hn7h_ib9n8wVi&^>iFf0E)X62V)R{jIb$}h#N{D+v8{|K}4A7fVj6U@qgidp&3 zFf0E#X62V*R{jgj%72Mj`L8f5|21aizrn2hx0sdx4zu#hF)RN)X61jtto)CdmH!E| z@;_r%{uj*3|B6}p6_}O(4YTsUV^;nT%*y|XS^2*(E58!6@~bc_U$)vZUSMxu3A4KW z%9xd}f?4^hn3b=FS^4Uim9K$W`I?xOuZ3Cp)i5hx8?*AOV^)3*%*wBcS^2dvD_;k* z@^vvQzcyy&*TJm(x|o$;53};?V^)3x%*xlpto(+UmEQ=n@*87TeiO{fZ;Dy@%`hv! zIcDXP_FsH`%#tb8-f%I}F;`MoeJ zzc*&(n`2gfAI!?{i&^;=n3ZpdS@~9&mERAu@~tr|-v+bt`(swVEoS8pz^r^b%*waN ztb7N|${&bX`GYVke=ug{55cT_N6gB1!mRwEn3eC0S@|xQl|Kx#@`qzq{s_#V1hev| zV^)4BX61)rR(?2U>PsObKG|b9hiCOupFe`sGX63KJto*f@mA?+N^3yRZe?4a9 zZ@{eljhL06fm!*Pn3bP}S^1kVD}OU)l7{te8^zlmA-w=gTe5VP`cV^)3JJ3A6G)V^;nb%*y|YS@{*1 zmH!R1^1owN{twK`|A|@ozc4Gm60`EFFe_iS`Z8WXZ(j+sy8X(Sm9K(X`Kp+euZCIq z>X?%*tyto*i^mER7t^4nupeh19T?}%CXoiHok7_;(C zFe|?^X61Lmto*K+mER4s^1EYJehR(>DM%I}L= z`4*U!Z;4s?R+yFF53};EF)QB&v-106R=zD}7(0 zkHM_`v6z)V4zu#zF)QB#v+~DdR=y`@<$GaP{shd*pNLuclQ1iPGG^s_V^;nY%*vmN zS@}MgmG6sL`F@y{?~hse0hpB^h*|m5Fe^U@v+{#6D?bFY@~2}~ekf+;hhbKJIA-NX zU{-!4X5~j=R{jjk%8$mZ{20v2kHxI~ILykA$E^IBn3X>Zv+`$SR{k8!%1^+o{JEHw zpNLuc^DrxaK4#@Fz^wd*n3cZ>v+|QLD?b^t@>4J?e=%m|FTt$*rI?k!472i=V^;nO z%*s#2to$_0%3q0D`KvH1e>G<1ufeSRwV0K^4zu#pF)M#PX60|dto)6bm7jrG`I(rN zpM_cZn=mVXGiK#)!L0mj%*x-2S^3*AD}Os?SFf0E&X60YNto)0Zm46Ad@-Jgn{uRv1zlvG;1(=n8 z4YTsEV^;nR%*wxsS^2jxE58u4@^52Sei3Hn7h_ib9n8wVi&^>iFf0E)X62V)R{jIb z$}h#N{D+v8{|K}4A7fVj6U@qgidp&3Ff0E#X62V*R{jgj%72Mj`L8f5|21aizrn2h zx0sdx4zu#hF)RN)X61jtto)CdmH!E|@;_r%{uj*3|B6}p6_}O(4YTsUV^;nT%*y|X zS^2*(E58!6@~bc_U$(|FULbE@3A4KW%9xd}f?4^hn3b=FS^4Uim9K$W`I?xOuZ3Cp z)i5hx8?*AOV^)3*%*wBcS^2dvD_;k*@^vvQzcyy&*TJm(x|o$;53};?V^)3x%*xlp zto(+UmEQ=n@*87TeiO{fZ;Dy@%`hv!IcDXP_FsH`%#tb8-f%I}F;`MoeJzc*&(n`2gfAI!?{i&^;=n3ZpdS@~9&mERAu z@~tr|-v+bt`(swVEoS8pz^r^b%*waNtb7N|${&bX`GYVke=ug{55cT_N6gB1!mRwE zn3eC0S@|xQl|Kx#@`qzq{s_#V1hev|V^)4BX61)rR(?2U>PsObKG|b9hiCOup zFe`sGX63KJto*f@mA?+N^3yRZe?4a9Z@{eljhL06fm!*Pn3bP}S^1kVD}OU)l7{te8^zlmA- zw=gTe5VP`cV^)3JJ3A6G)V^;nb%*y|YS@{*1mH!R1^1owN{twK`|A|@ozc4Gm60`EFFdO;* E1HITw2mk;8 literal 2456 zcmb`IJ4ypl7=>>%zF)z{hzjumwi;Z3gn)%8g3Xi`HUX6s7Aaju7T}|>$r7>zOIKhg zxCH+*GiUN6|LMGNGXK5b+{d{mBw6pS_b_N>*S-5z*6p0Nj*ipQ_v7tpN~u{(X}B=a zx{Y;BzRkN1YWDGLlniyVtZm8Ea`mpO_guYS)?5!=)a>K=AM0a{0}~qRle|g^=VYs+ zcpgsUF({3IQ;JX7JCNd75K0fdBSDfAz zr+>xiKjwu`eB!;vYvDib6ywYuPsQn9aqJ5zPXCJ2zvA?-IL4{SpW(b=opI@Zv{j73 zHye2Wt+<~L!N(Wxu$q1Z?_U+0E1!SlI5SY3_pdnbUvb{Q;=F&w zF;0zx^YiZ2eE!}mZhuV)Qhd=Er1+9CNbzN3km4)GAjMaWL5i;#gJN!=Y~WYr{bg1D zlm8#Zeg4sWpMS)C{t@^2M;zy(BJT5#xX(Z0KL3dO{3Gu3kGRi2;y(X~`}`yB^Z(0# E0ru9SQUCw| diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsimk b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsimk index 0106c9707e8d690b149b6e0c131bdd18120cc2be..402ed6f386c2c29672c2ef23754b189d12dab599 100755 GIT binary patch literal 62272 zcmeHw4}4rjwf{|1Xn>MUwMdjAi!4wC+7t>60}l}TYW)LtD^h+e$UL@y}Q|M z3;NzqKA+xp_spC*bLPxBXU@!>J9qPu#Z^nD6ckwIDzx5hF;{z*!URphL6fkopjB?2 zgzwX>S=RADPsJywi?dE{}hptqj1H=^mY;Gt;>Z=kmxbyKoWm6tr53CDFO9Mc&sC)L4veqGP6 z>ls+0^<|*ZC)x1xq%O~fl_=w7AZdA3zZk1$dj8{*odma0akvaL_5KO4lfhpVQtt=OXF%Ow?i3rSDr| zo%-rOJ#W4Iz~c6U2S4@8=ePdww{y>XGv%fX`^zNUnB^^7QMOJo+rjgOBFHcjw{1 z8@kLT&wuB^UzA4=AIQ_M3-ieHOdkEzM^47oT>fxn9{wxyjF;X#^e^X;=LdP(9m%7I zkLR(=)I9Xd^UxRMk*6+CzrLA=zCBO79{`_spzZAIbHJBarPiVG%#wuQPqikp@E_Oo z*?4PiM|<1crq;S})7-|E_VC=AMob``bFN=@eMRLpbFXh)8?JAidr{fE@;MiAj+xuk z(usMcmFc$n#!!1>b4OD+*4h@jInve=X~K+SHQo$-tUlD#x~8!%6mDybHH11^+8fuj zMCwD0EwRX&NL#3}Jrwz1N4QC-*EZBQh1w#~*0$K;YrAZkG0U|dB`um-(-sNGB5mo$ z>KY<-H;3B7Eo&m7*42=zKGGR#jani}4EXld9W8aC$l6Ft47zDr6|TG4Q@gdLF04h3 zq858BHQT|rzN0A;5*FdQSYvAobhM@=+!ShWYQ04$!%aY>>qr}t)yj;7>LaX^COI72 z)#3J7s67&+gk@-JU1XkO7Kw%0>L5rX+OBKuXi@SWQOpc}X%R$9)0JqrE!-S23T=;e zv^S(FS2v>nYhm{ADkRz>YZ}{K%6wjtwrfYz(YnB754t7Xc!bIx?`vs|c|0($zq*p4 zxu!_F_BmtbXj^NYL5gO>ZEfLOq3&j=C?eKS#)vh?Lai+rS`n4cVi0K$g=3*uV{;_5 zF4Crkknn43Y;SB?6N=QYQ572^;V8VdF$Sx`)M>3N`((IkVoy| z0$)+RMsZ!++V)U=M{_h6L0g28DCX%_raoKJA!AbK&?nQsv{o{!r)$@{E-TKv&I&DC zel>=j@z&*6FKTLSi7clJE76bWxzQ#akm^lDsJ|6Ghw8ntfJU`Kp*78n3$bupEEH00 z=WV%8LYFrrjLcbkCsw}{nS@?K^!uMq1Cp5l43qSq=MZYx*Z#66YKo;H~Rru{$_;{Pb4`$)VV+uc% zg|}`|_>nC9*fxdVn}x6atiq3F;r*Xi`2AVJPTi4r|F+lkZ!D9SrFqM{E3Q0 zz8w$V=fO{S@Nf3u4|(w1OE8x+u2LLtGYk^Y{+Y^512AKem}(E+JA!LGcr}hy{aO!x zszS+kg9ndW9QTTP@NC;$ogTayt1OFq@CXF%)#JgR(DQyK@a|H554WdpX0$-d+_Ia@HHO%Y!ANHgFnxMZ}8yX>A^=m`13vZ zP7nS94?ga}U+BU2c<^&P_gD>;o2R!(B9{hF>{vr>4(1X9&gCFwX=X>xY z9=us=uz0Trf2oIl%!6Ox!5>Q=)4(we9Mixt4IIuByX(oKC!{l}OWw5GvQlTy#JBH^AX3cBO=NOBh3o7Sndhxaa!m45nCC4@ zazyffdJK8qnj{A$|6AsHOOhOr{I8hjtw^$8^3OBRTd`zZ@;_mo%iLsC@;_jnw<5_} z$v?$BZ$XmPlK(dIyk$!UCI1NXycJ27N&erM=PgLmFZnMs&s&dViRABPp0^xHOY)y# zp0|3*iN6AI_Q#p$tw(ZP@|&3FEnIR;^0zb3Tae_4(Fel79#DZ)e_;{M(p6gZYWSu>Wsj-p~BFYBmw7JTla}N^ z!#tPl$%$9R{>*c!o*b9_Cg!JFBiwxyk9Tt{r>yy-skPE7bdEgSM?ot zd>@8d-^m}_OmL->zz93#TGV@`AK8C~0`0!zXlMJfL$OmZG~bOf4$Tu%hU#t++(0CxCw2$?X!OJ78GiEuCq5B91#w? z0z(3K1_lLg32X-(U05^KPIOB;5v=YyRM6WU7(jtH#1?+YR7W4o;;&9;t<8a5}It zO8)5hHp@B?SiO3V?+jK1OU0oOg)XzIKy`0H0vNPMD&N=k`o5+*dD-K>kKIOI4K)r_sx~>WYmC`E%MzXC^Luz2rC0k z=`0m~feqQp64v=BL3Xz9Yrr8PI;0OIqE)@IKp?R`(3Dti$cvo2{*+3gW-Unl_{<`k z@p&lfm zRSIGZF18ESL%{>Udd#B=$hs3B*RfC!dVm=c!Ij!7mn;4IHog}@)59OlD&N=?tU1s` z&r5Y24=L9lmMiPmIBD zg23*>XRq>`Ck;UbRWbyE3KZ_dXAnG|1l)noc6{z9<$jpwekJ04rshJ{+zR8|*JCft zK9HUbA_0>zv6n%Amt2Uy}JTs0(S;x3)~X$1McY#jKPz6agY#Yca1b~E*SUV62V$v zo&^RKcp41<8BK{n!A!+zmM-YpB?VSzNt&b-Wd}||Z6WiQ?#+;YxtkHje1_ zZaY)+=(AH8{7<6>N;KGyoG@jAS7KLyNvHjHsT9ceAzh$)XxFJCDq@5rCBH{#0gVK9 zz(F7rTcrAKifH%lan`@0!VlGAgxY~J5sC>V)cr_-g9eN{A`}z6jAE4%2Y`VZCIHwB zdPfYZzXE`QR{>Z6B@O^6B{Bt)Ljb~>30_nlIr+}(4zLz`$!6Kht$@zkpa}M|pGeGb z?1|l5(P=|d3b#`dm2B_G5AqLl@ zTCl4bXraj< z5|}~U9;#JBgUKg?4Jeic0kxV4a&c125!)csI;WhKoP%o7#D28yR*W`bDyhNDUXPhw z*C=wn^;^vBiV_v{NZ2R!AO$+Zv(j^dVL~(qdGR*P$tAJKoLk|HiIcJes*uRbT5GHEOw8}kW!a&Q0x{9%tZGB z=$@`oGs!E0+AGvpb4LYM+>k~bAl{=| z(ZN$j2ga>H6P;GU$l9xRDobtA1bdu^SO)z@>5~q4VJwdUIDet)Q~+>ZLYghI9Lp8X z0XJ5>UoBYN^$KSPMstRXB7r+q80p<2u?FFYUc-yL4gsnlwiBt;UNlejcAl&Cj68$L zAND^CUeVzTfFPA2p=g9L5Gcu^RRA$E6!P)+J0OJC0bFlGgB zI*VJvPRtongvw%E4nYcOx`v^?O{!u&4jr+mS1_m`86^_QrM}!4#=wMrkjC=Pg9eQQ z`zRS6I6rIs4hD2+XfF-`Qsx+ZYGkq?673VICkr;f$zq?LEL2C;%ur)y2Dx995*0ut z`ieQ?G!~?)G(AnIC|1eaMTBVypp_uFf&nxLf#~%v@gP-=DWV+CQi<@WD-}6g4$2fk zV$|8LzyJWbR-+3{Bko@@1?$8Gv6JHK0}GD#ZG2EDwCnWQh%Z$EMEf8T64G^v>V<@I z=|zyYbJ!PA#fdnT0!#@TE0r$HM0Ps6z=-a8Y$CcI!WTjKnGjx+7diiVKqde(o!UDU zN4DLyMN^W025Zm#JmoG_;!pE!d^m^rwMKkcBSU=GD46A-Bd@!;J-~e<)n%Qp z-3;!-PSCS5>>&N9L`WkSbVb)Ia;CE@iWqgW>`;hK96vc&^sZ@nLSm_SgJ|HnM56WH0C>2^=CM{og zL58#Ui0U9NG_2y69@0vsl~};A39o`No@HR65}lDny@G+RBx431D`yR6L1m0&vN~|4 z?8BixbCbs}w|JBu&>l&$#_t?XexQ(1D)&EnktB%MI21giSq}vnm})5GAI;)L8AG`= zQRIBbIgAV0n;>-+hQ}5e(Ry{HWOnURn}`ol!>(?R>>+9E#F@cMb5hNYh(j@W%NAX_#~EY+#^-FV4cI}9zxx54 zKTuHp&WIoDrfln%Y!D{!Ywn>N;8B6Z)o z;Jn{I0>LfE|I1YBih_^`hGxVf2HocniPIK5*P9zAePlvkN-@#qL{4wXWnApjIE6fCcm}bk`n8<@g}b zCJTHTSm15K?)`~#4}3(XmuYO^cz&HDt(;?FMSl*eoeP_=^RS88k5c;;TR9k^k$!vcibE-6s= z+M1*jWwN$mf)`n{39fC(5o?>Fe&RhM_<>K0z==hRP>TZm_lQuBEM#la1-V4vgFq31 z30`E)CMW_q>g81&NwYn{_GEj43AH^@iWDT#fSWYJlnGui9+S>hFUV571ZgbAsbwz3 zS!8YxoM%BoO|vV6hgf}!(5R*Od;d!fIj=;ofJh`_LP>NGDOA^B0y&Y030`Rp)`H3b z28s$&E+nA>Vo*?tEDEZ00W`=0WkgM4qfA&c!Hb$BC$7V*0og@1%Px)sI!X2b%=Z(C zz{Q@}y&DE3tGAFak|+v#&Z^EiFQz-ql{xuhNxNODWV>U!Z}bkTg;mo&D!0%eaB;>%eL5tG+WrF`1?`+9bvfJ`nyRC7 zcxtOCqM|hV%+lT-B2h;&N>bJk7P2F=59MG*O{WWZqrF!Zi~&^aj&nzbtPY&Hx*zq~ zV+i$z)YFnmg;*lqno}$U0OYAWE&UX4CoZgRNt#mKA>fWrvr)PRC>-z;0K+?P=v+d<@fyEiNBX4&eSm)czf ztePx7fi`Yd1MCFU+M*>8MfBO&-c^rLusD{j-nh41E$?NOt`yRbQpA6GilQ(gg-kkF z&dUm)ds^uSDaI&?LAH!Vemy4S7*@uZrdXrM`R#L10;UdBtHo!f)Br73Gb`1G)Q#M` zx)Ei|@u~Lhu0p0NP?gcm9ps|Aho>XeAmp72msmkEq16!9o&b|Xd*tsrrmML;lakFO!m3QL)kuI%=J#{DosEEwTh3 z#9e`iyG8JQ=YudM2dK!204s8C(KLIUZ?hQPlwy#TqYg$GXDR1+dVvb#&Yz%F(y<)t zi>(0M_R`POkF75~HSzW9Ew^+gbBRO^f5A>tcmeK7;aT#l_TB+|=DrO5g8ewh^i zN7ok@qm7&Yk=GX=|4*4+|Hk!26}h}Y*BAZIhz5?WFVy;C226RF^~EX{!_>Lf7Y|UA zdVTR3q>rsHxS0Eg+rRk850ALMnDw-Bg1qaC#1E8t-?;0G^Pq*-xV~6Tt^7UL7ppKQ z+l6`eFCKuB-!SWo`;M(Ivi2_)jLD?y~%lSIgahUbR6!=TN^~DluQm-%GMK*}Vx%V%!o>$pj-wyIg(0=Yh@ldZnGi|`N(rKg>w&KvYzuJil+O<8-6myQ6G86Cw2RJ zy2%RLd9q&BEb-jE2Alm-gxV~UNAJ>Y&Cc$9-0n)oSyei$Pt^9rN$j2`WI!_B$_Mc7 z;ko{df=S0OU*Tj)Ru#k74q$I-&gAnoWR3m*%>ZDl8d4kB-t(Bm>-~Q=$^HK_z5ibd z<>~!@r90&V@P6$7XP?IuZK(}yXj^-WysJ^4&ep^CYdIitoX6aU)?Lcz%3S9$&;5=B zaZ-Yj>{gRm6r-?O?L1$@t?X-1D7(|#%Dx7Y1~ay@f4*13sT>agWjPyV|G=djT)Ibi zQpdM(c#4uoY2gsPigq+2DlI5|n&U@lx5=RhWpYzJA+K%p2t%a~*CpsxeC)wOG&)%? z^$1~4qY(RbX$D2k3GA1mKazI%AfVD{XJv+Vp4c;4JL11eJJgNo15|)#8tUK@5_%us z;|SP+H;xcRaZNhA9$A~VQ%5ywkPUK2pIqd86S*X!F?c}(IjCY*j9{imKV%yufrRk4%@ zG>?N1$oNXGf&z#CqHhoA!<^A(?_L3p>cQ2f(RAobbav`^u7R`d-;Ws9FCzoUDqRH> z1u0S7WBp%vGTn%AmrkV@d8lRFgMHTiu3UOOHKbm_({ApZ$9`*vQoP*L!SZM=mzvSm z>s9l6qI~@U!l>(<=&PKB9$-1TLw&<*_=>t>e&A3? z9wXW@6za#Cn>FnK%Vpk)Bc-k2a+Py!<^{e3=X%yF;6xUfUl^`XPcejJ%j7~Nnk7jX5AN(8dKd-}&X~6f^Bi11zmEl%*He!LFi{w&*`~LKvxB;j2D_dN-uOg6 z)*EU)tIoJ_y>W;U81t2a@$IzS#t~Ku`nrFFyC3iVM5>+#eRe70u06Bo{eIpL=6%O` z@ev-C^=D>6YvUyyp5 zD^d>+g(c#$OzxXmz?~knsou=86H|e>oegqDD%$GXT|;ec7{xEiT8|WgsdM+E*lPbg zvBTx2k&k9JvLEr3y?|mC(zzknzsw_v9p`KM>gQb~P5-Pf^!6SI|6QRZ6~FNsmm4jW@z<;WR{PL^|NHe#3yU z`_>0+b+7WdA>56~Lddu)29=#S!S3xjl3fbXFvJ-Ed*`EIV-NG^2id0Md@Jn(%4sD` zD+`}o{1-~x!(lOR1S=H|pY-`@gv(MD1`#fc?BOd6(@zLf+?Lu2u~H%~CKIokiII+b zRP9ki;!&*Kx4R6?;Z6gtJ5|9C&}`R}rC1$RvhbkDh5LmGG{y1j5Mul)7?*R6?)c@g z>s;ekh`aVwo3rk(JS1n`&qy<}@ghjA88q*fkt3(cui}~Yt1#AQQ&!#XXgrJ@e4alf z!yhklJ^_Y9gW7?WsM>+qbiyvT3+*zxZV&6h84x|i84wzEU-WM_U~P?KgV^>9`ewi| zy5q*BZ0D`YDFSc|KR&ZS9l%yb3jCDU9D*qD)>)5_ABS?T9%QL1a`cR_*+O;nmIZp) z!#G>iDZP@ZMZS`%q7vVXP5tPjZ^rF$>9|&W-)`x^hEbaL5kI&&TWR{?G9*P4z28*s z#rBk*#J!lsZ|JmgN!=@fN8F3neZsRJ+;tE`aXsc-_?OJU_=@kP1j@!GzV3%8mi~PS z#AnRN>p{9<&t9-H_MHhK@GiqUIBdb8XAEVD_JF& zxFqb$5PdQ}&Np_lSHjFLO9ab};?TMrxs+g8u3a0WeYXUH4WoY7wLb{6sK6}@S9uuH z^>Q+-@h}ws+b|k*8Ty4GL%T4Xk!IKv2QncWKRMZUdf0Zd&l^T-UAEt${Un$R+eg0P zcD!f1upPvAPPSV;Y^xR9xXZRp*m7n}h5H*pzxPfnaQ(Gx^*B5vc`culUr@IL9b8pNY<{z4HP zhi2igk~!TGwvD`&^sVtLq7oLf6++a z?hyzMZ=nOt`@zF;3qQ$39ojOJ7bELz`g%rx(=KCFc$IJC$x`KAAeSmPc&a3Nq@}{1 zag<80Cq-2^VkXp!jD_Ovxne6qsfn%nHzBy1N5Gs7HT9!`tO#4iN!xb51^qL!n&^oN zvk+l@sGuV3rywx=b>`#ag`nX9DC||38A;Y{oTBrq4k2Uu!$n}Xc;1L}0Exd9>4~4%U!!ulza|j-YohF{(3z0B8m$6SIOGL{P@@l4CI1~pTyD(bz2-jB+^Q(d+mh(LLVwb!FpIZ=4Z?SycPXg~+ zPl+Sjx0-8uPOPDbeckfL5f@0cre$pH1nC4dG+M1^P84pK6C3QlE%Ff0nF5=jsyrP5 ztU`t58Akg#1ccG5l+oBsrD+E910^Wzq3%FaX~J81a!;;4hzE*hvORDJT z{iAPplqDNR`+-#}`^V9Q_!yOf)mR+jb3K;ZzZRiTjsFKlB%I>Yw0t^Zc=07u1& zisgK5qX&8nzF^B^l; zE)|!WinCC0_`m?Vg42*n54!?E5E}UtgFT?N3kTx>YT(&mA-{fh1?YSmj~A`kC>E_f z^+oLH$xaM3ARN_ujs1{*Xwg07rSaG?>0y@)T_Rq_jljO1>G=6vhTS+y6LIE1y8f`F z>>@Uz6shbDaVC}h3hvAdamSFWWWg+x_YhITs=|K22+Ckq^2Y?nx>eXVGm_ET=I*;| zB*-}#8yHpGX3=bWrv|Vc<@}`dI#;vv zN!q(3uoa!7?go_Z$N{=zDRpPsR=TT|S+t8k+2_?AS=f1Ww|$cCwmhJ8H(Lbg4g{ej zT7bJ?)TLkW{|@!ZzL?e*vDkAn>Qh-)eJU&J<2H%-Djb21%2nZh2(hg9Y3E_xbSdyv zeLDiBVy+$P$QK&y0iCokL_?^(IBd_bd*D_g+;$0-TKu2z1R#`*KpFT9 zU3U+7dc1}A18%)ZCsIdj;boe>2UfGmPCc6df=qijdLUv5$~@S>L_><8 zezsw<`ay*1cLG0;;u(ud*(Cm35zUm}nbhZ~C@LwB@g| z+>KtdmmD=!efR5Vdw*b%mPdp8;j5FQ?Kr&~BSWYlC8<=jz5DYyqb=DVU9|lZ1TqeD z8<=@4^xWr^i%4Gz(#9#=p`+~%wTYs=$SfnUvJqo({)8A=MiNw7iz@4CQDqNnBp8Ro zC(Ag3O0!j2m#xZ9GR_E<4x=2;e#0oW$XE5=?@sW{6VG8vBXXEBWZA@{*VTZpahN`e zrm}`<5!9R>rcber^eDOUv&sf)nD)S%yu;L@AR9)bKsy!SoD5>~*fYpaM{V`k5OGwQ z@o}y^#VbAYBZrDRkh8`d^zBD+mKg<=*0;*K`c~P)nUSlk62+E7&WtfZrP->i%T{G4 znX!!0>lKajD=G{&vxtPPCbX?oUWZ(`=9tE_e6kG@QJ|3YqA94GkWl++7%a<%mD{{F zd<1`=BW;D`dSr+HJOG?0c2Y&I$|v81Jb`XV?BrzV+qg`;;ysW`V&~$!bKite%%Qq_ z*b=4;D3zKJFNe2Ee$wFjD``JUU40&YIW#7up5+j=xRqLj?go_ZverVT?Zd8x6pP%qZ9Er} zsk^s+M(J)Zy9HeZsWZ>5#|ZE`*dism6I5DvD(mV_WhbqP%n;&zhcjOr%-!F(*%h{nQPoK~iBFB$b*5w`|Qh4U+xQO@lB0YkC^I1Yr4)>u|AVgLMF+KvOsl}xJl7&6|UifqgKF-5ij9oo*PM$HM7EpnlC!Vw@&Z5A5^-Ev(5@fO3zrh!6;H z!r827Y}gZm$=vJ-LjzhM?^J+s!UqTp-{pj;w$($1*0D>aPF?ft9Lw;~&^**JtqW(g zK7l(%=P8)Cmp>%V2_LOlEfP&zvXk$}yi+1saZSGCK#3jm7JJs{PC0lspeTbV`tN&k%~Op_@DdRVFh` zVuzptNba4)1eG43D(enVmCcH_?$D4)jEi!`);oC#D$Q19UA8JaDcWXCUgQ0q!5+IT zJ$*TEL5YcPqw(#qx_9DYBQo}foLUeMNYezei{zIBxd9q7Sbn;`MAAU0lJf<^ugn3N249+5^ zJ6Mo5-POi)-|ahk({T_n(sA_AbnH>qU=mbXw<_!ER%P>?1!Sx@?TR}g3770A2Gy5%hM%@o#95LO#0-EkjeA~mOf?&seciuAADp{;Gt_o~TEXMhPLGa> zOTI|rmQ3QG3bEd;OAjZalYC!t_qKqVJhv6cq2MXaX zhlxNubk~q%tZ{aJG{ek)zK435WI3X7Dpk6OvB{AGwZe4Vk!V_g};{-k@^mr28(Xm{8jzRhIn E>eR{l4G~4sO$ME&0 zSjQJK23QkE*D-mk zb5P^xFz$uAp2Rx_=6#YZdDsx%KatxT_x+O)JvYbuCv3pHOt9tl%=3raQI?QDbz+Xe z=X(ESCVRv-N8{f?62a|aeDjZ$I~RkY6xoUV@1HnQUv-zaMU1*YsqVf+TguCc!tnVG zX@+^v9~QwiI9GUHXqj*s4hTcOAtMa$N;5pt`NP>Bwz5~aVYCD&CFe3>%eU2p?Yj(H zr4#o>kvr6jA~cOQqW*n}L+m(qJSPNBdS6UtBlmr=Iq-(VydUC#hcW5n@k#H8u!%&R z4H~QFdOzgH(p&YACgUa1H=&~QFJQ|lk4@o4r6FNCf^Tyk|Ei9a>h%C2KOf|~PrDbi zg*Z~eI(Shk$PGeauwhRr70I0{XF^=YeRad8bEsE0@#+RCl41vWmsEIv?;{za#KB#? zL}Yr0S2qgDWtfLfrOGx`agX>baVph&n-s_M#JmStyYFv!?d=`#1H{h!ue}vOGZ;H~ z?Ts&GWWDxQL$M2cssTVV`L#D&ory2Q8*F?4L*WrJ{7Pk|z$)K-5N#y?#6o%DMO5&w zx23h_9=NCq1ShG*eH-O4YQcMW+7;x@hv_)9k3Wy?dGSGXj1f6oy{a-D0v%Q>2OwP@ zt&lZ5$NM&^?zhKP63YWK-B(rKPY02hBt8H9htoaxyhy5;oczsKQR;b-l*8nSzgK*n zkEXrv_iYFB*Bf7P=+tt4xSoBHaQ`~v>s|B%iLb)&b`QhX7hi+IknvR*&Py}=o8oJd zR+G1Zh3!v1q&t3e@wMw_6t%`3j?Bx2&Evj5@dpQG<5^jmcC|o-yC!i2?r-CM2%0hXbZRPpm_K&eHvn zQkyc=B!>30@xmclOrbf;+n>jvg)0ispQZ8=)rx`x@7{gBmr40iFzEynuvmc43cN|R zqCj|EPhJ;$d7;xs6zBrQ>s;`<9=xtsylx<`%e}m?$~hvhai|1*PQ~X2@VdcLHmiZn z4vASTOs1|`4!liRqA&_H)=+MtdL10q#AE~7WHm6EEY~)S_5h_!b|u6YlQqy}m0;g> zFvDIK7<;|>R@iHiw@XK3uanWGYHiq-AulUvm#*DnRAuxumqTw=Q#j9_D6>pY~?hM2=K?CLKObp2bwZfsj z*f^aONGdzEA=k)YX)icXvAaEs5BhmTKCeOm`Zqr9@bPvvUSeeTp)7XdUq=w@LB(z< z*u}surr33mT~`)6@w6kbd%t2wPwN1?4#jRQ*(I{riL)Jnolmi&v#kZYwTd0y{H)mh zi7a;FZ%1G^veu0c>J3m8A36~NCSWrxl<}PvA6PhmopbzV3BFaKax;`jd>Gem)(JP; zN}3I$dtEm>9X)`XZFrJSCBLVYH9x(}c-G4?c-Gz0tE~CyaBlVMj>+@W*ETLcx=}i1YbU@Efw(3j+P-@InLw`Bur;PjKcbop4#s1u<`{U>Ag;%k#d^!9u zIo(YPOn_X-clZ>{0BlR3Pzr0)1il!QgVIZvRb2q!P(r{BlVx_@729GU=_G>kutUdehOE#C+wa^+(V&o-5OXk{(+#6GP|78)gg(+PQHj#7gPmNj;K#K1@-vv? zOI~Hyzj;s^EAhr#{60phdYKO$x13*p(A{6FBo99JS3G3V%fIQ#2_hjsLn*&SvpnE; zKCKoJK5C$$XM+V+lo;>E-ZmTJI^=vG?5%E!q@iv!L^cg}*Pe#m19ueQ_p3=EjrE<} zm_izF$fKrouhYC=yu$8%@w#fe_s@3UB|C8cZ}**Y**vVVO4){w9QqzD!5+TTMf+`d z!sdQH&LPB@?Rv84#+x#KFM|BQDhyUmEofA6`h9s_UcvHlu*Yj&Ujn$vD-VA$y6Ygu z*vl2Yf3^FrTp)5?axnmJq~SHrDeP|FmFJSpc`AJs)1O6?VEI54#rSKdC6%yR(VnRR zR6^ITWV4WN8nw}PO0@vd4SpA3S?}Y{S5a@co?U+hDKH{GbkmO?)TD?(>t)~D|5R~9 zRo|5_pN}{3uV2>tOvQ?2y}zqiUeWvN3cK&Z7l6L5>b$?814YhoD{4Rfm%`W?^M0z| z=dSAgZB_4YDtmufk(&9O-StF)ecAu*_?6P6IUN9vy5pVgJpq9T$&-}KAG?le;Ft!E zY2cU!j%nbS299aqmpPmG zho@?eG+PCy6<)p-yHXcH#)I!qrS>5`YgH=s3g88RJDIObrTDhYzSXG|PerU>gXal= z7c`_&e31AoU_IbU>|AxS99t<{3Gv)vC*Z4qdjY58fzk_rO*nfo6%R%B;b3n7@PbdI zQk8()0BZoJZ%L(^05{*AO7W@Jw$G(fd?#VYJ*gC5l$mvJD)ltrtM{c+6M$7;Or?sj ztGo+v7T}IArBd?&_wn#2;6eO4T`geM*C8LvzX851|7I$6Kj5~Xrc!)e=7MKase^zA z|8FW~VFz~e&r_*Vz^eaBr7i%R^+GBY1l;#xDz%*Dzf7eX01qbd&K=;cU!_u809PJ> zynwF)?gHF*FqIku-0^GhCmyf}8>+K@gZ=|v0T={)7O)<$>c3N|9e}cV3cD1nyVfe` zEGal``m~~c*n{|4_(W?{skwkw<+Kul06z2aDZMF`DuZ6E;*urBrSI{bbW2g(y6SD0 zzw_e2JAjq?D*^qeUqpny=%+D!io&VXJ*;0*T(Y!yte^`vV*}ig+*Xgi0rDd5etgO! znYba~p3cJU11<{x`3N8{%0G_Jv%tLxeYF-AmsAv&qPZzug?CPoF~hyoXPZ)~mno#k zGBCx+G7DuR*w!}uC_A5&U4Z8V(*PCyxX8}7s(|z3fyh6TmuYLTP}*X76Uu9Gf+Jt~ zW|R+nGhH^BgNfca_D}RGsjt zL1OAaDz#Mc?Pf>h@mUxc+?k_`kq(y&NO#C?V`*vjFDaRq;`5T^wcNuWgQOS?-`|oEXgZ@Bo z3yb{=i)Sw^E~_XmhXQ?F(>5R9cif#*KUR3h6zLBiyzY7?mHH^EP)71z4qW+vVqCI6 zTJ8qmUf7>X4FG!k7)QPdF~QKX{uba$e}?0iNTYs`IL4-@f%~%J86|EPaMAHp>Khuz z{<7naG5&USdQY&pt?5VsZ)0X^O^WzosUFYGF0SrlalQO5I2ZW)p++l;aal)W{p%#S>u z=)HonZQin_^k*U*eNz_~&)$3-Y;ni&c5!*v zw57$tmg4dX+7;G?jbUlnyGV336Y+B^_y8Qcj%nbS299YUuLgnvU8JFjgV}Ig2NQ#T zFV`cu@T6H?eg#g}*`7g_kXVRh$+Iednl3kMs<-KUsV?U_gO@4)-Ct9!lGkz4#I$iu zpvAB_kMTND0qYT6fJggsF+TG;MFIC)SF_4~rcj)Acnu;J^WvPui%St+rz&9e^Xwxo zgSR=M;WBdDnlVnA$Yt7pyUrWBKc`gxpjSctRp(1JKRnWv{u{kd==^8+wg;|1>ip>{ z`*;8LYrAAKzSOTUOEkP*!_^wD)$k4tKc(RV8a|}qsD{sI_zMkxui^1$T5`Qb!*eve zRKq12Ua#S54cBUThlZch@Bs}U(r{G6XEgkUhQHVF_;={`H9SYdOEp}g;q@A>)^M$c zcWC%24Ij|(Aq_`0d`81xX!v^#k3UPdui-fwUaH{|4HebVe;17_+NmQ7Uaa9N4HXvO zUsb92{R&opL6>|{!IA9>`oE&!gogb)RKDy%4I>K18#JAU;~!9Yt64#RRMWL7I3CmG zw{A(j^?c5u=c+PzP>{xhB``l|6S1qo%ZgJ@1`Eyq{wuGC`GraS` zLaV^qK4o%gp*6*NGAF(euN&v0pK28q=E5K6#yf9mp>@1v;$kL#nq}feCcelraV8Ug zf@R`aCjLY>?q%W;W&K`^N*7{w@aM#zY*pv*+d}*SfZCjRpJnC~Z!3jd?T&acDqV;d zf95})3;!l-?Ay8UZ+7P=Z)qW-znPaZ@uyh1;$oro7Iz-Wq(2oma$bx|7vipAA}8L= zn&~6Ja?l6mFg^PG@O_N(6lUy#G@MWVnrPx7C)YJ9eSE&#p&tCMWM zI)DYw6l?PMD#ZH44?Sf2)g|B~_okU$vK9kB1N3F%s$fKy^E_iN`jvt&woF_%YFeZ5 zW?uNQ=F^sk4-W2uf3YR6E`rvq-=;x+;>~!UtNDCN(+@0C2-#~w@D zjDKDS{5vL-TdkVjtdnGa4s`1@zW<%7lI$xZ$-RE^4_>bDMh_1NUg5mod-C9))qJe1 zaq-(c^rs^rx{WxWnI{{M50FBw#n5nbUW!JFD?$W6Rl`Z)pjx4G~$1rPnKR6NYM zI6n{l<(l5C%Wu|AFUdpCUx}fgn02=7oukfedFa0ce2HgWVS4sW&BwY$VPwx5$&oyK zUexqu{G)HUrXeo#`m@HDXn+2QLR&MYX*mN5!MMQdT*0ff_j`UG{7rfA{hGhIFWRG- z-JOU2={)$~<-wm_l-s@+3m*2>6OQbYqwRO+p+8^v7hB`{K5mhgb7>y>8#RA(-}aQI z=PwJ;j)%rILW9+L_^iu=@7DZ-D-;8H#)IVDz%y=d9Z`6M3%S0e=_eXgUY@Zaxl`~8 z=l%Yj9$#fTu9`9Oi#+sy&4Yg@Hf|WVC&sK`v3SUg|FAew#J+LSb4sKvg-_e zOc9!SY9ZWRiSH^EV*v+^3d{%g;k45 zm?1)aCIY81+G19y@}J*VarLrAR^!c$q4rpFEY#Z4)YuZSR^vd&^6)CbuWhJr3PskU z!&am-Qr8iSgvu{YCl^>a(a;`=1%pegmMvTqx@gWtb1p$+O^vJSLUrvOqBN^95{ZPO zq07PxBCF@stqQewtP0I5GwAxV%PyIJS-nPIEGIG+wl&tTiGI(Q(?;eO~wdaDyhz6^*vlg<@@xIaJq*4KPbI7HbQ)w9{jhm(+!unpTDD zZq6T>yL5b%yE3VyjGJ8vQMppHu!za$+wNaYT7_4UxK=L(m|6y>&HQqCV0Y zqVZ{E6xMgpx^1m>k#?L^$~B6PvTM*o%+qXZOI_HL&-D6C*H}<4_3Cehf5D~1++jKI zB_qbwR5`;YyhcYV_8=WQLi9lR4Mr8bG-EJ}1Fwar($hl5rr=fI-WqBMx75S`jGD_c zLJK3D>V5n)ZS zuLdui`v{{i+SI{$XjOZ=c2m>xf_Z77n!-4_<5y_#u9F71h<-q24OC_kBfHQ+b zDz|hrN7`UxcEJrAu~xWcEV9NMfDfmZ)f5~Nib0^vRq6td(;szh^n6`J>4YJVC+s-e zMqg9V)53=k^UUCOEq_ZG9%Qs%u7fLsFDA9R=4kG*UaqDK6I)E!C)0Z6ddd)){x;uw zUq|z`Jah<^FROQ3#cG2!^LmX({Fc_34%==AWMU4bWA5QNxfX41Y@q{27$}X`)Gw%8 z5UwvKO)$(!eY2I_5VJW0%yU$eE=Awiv*`sO*I3-vyWX+OLF-Maol&Cr~qFwmU4 zVEwn?lU@H#;HVSICzn4Hn)4+ZE3%q2VJ|-3`hGvLmiwGi&IBuzeB%jY2IM}Tx4wCf zX`qo;S99ezcs{oF);G^T3_QEf)Kt*4Z?N}e)i=*Y4LsQpYMAZ+U()rB{j3p9sNsAH z=ee@$e;s8cG4;)JRs**kq5g1Iee*olz}d!evrX53{ZU5h)fbEwV0u4=ly{+e# zn(la^@g0{437ZdO327W$9LH?K$YbIswy#{-k#w*Aag}VvK9lz{?HU|@Fe7LE6cvl> LuL`)|+4cV)9|3YMZ>Ek4LrWVN!QGqj6U1nL*Fzu$Y#xpQYS z6N>KsA9P`3(J|B&Xh(%mh4yRs(YtuF{M?d zVD_8Js)v7YdE~EM&W|eCl+J!K-k_#0D4T_;Wtr01kGg5wuKKm@%rB2o0lds)w4782 z`}OF4J-VMMtF*pMY4k}p{5+xC3(E$y@iHZ8c{RP52(dozZUM)YM-1CVNiz#V0UbCZ-RSV|Lj)pFbMmoBCF6^mWaN&Y^HSx}xxiaq=pkdc# zS6*u^Sp4#*PJQ%p>l?RS`kiO@Up9A7%YFYyxhVtrk%kxPwYsE!Az-$1UVXm%SalIs ziw~~@;qSIT@?ZCCSiIy1M}By7vvEz;Sn&5JV0>x;j^MfQmty#-CGg82xTgr8$4l^! zfxa03zbV20olrtC`oAv0CsBfabqRT12YoU5pM-XcjayX$A1i^su7rMeLubYK|FQ)B zk`nS?SYq7MOVF<_p`Ym`=#P}3zpaFvOH0V}&Jy<8Rf7MyCGbBk!T-(@_)nGKb6W{{ zE-S&Ori6d4FG2r8iE-~Kp|^WW=zm2C`lb^6=a-OkPYL>8mKgU^@Hq=(7hW;otE_6P zZ8*Q>M!~<^YAL{nG<_l7n%y1mnjP(I4Mt~2I^x0E%@HKdo|@~HUsvC7)$HpcYlETA z*>h{>)YZ&oZk-+N=s`a1WW6gC3B)7q-O*s8vny~@xT_-^MW(ePHvyjr1)`m6BCUa7 zS0vFE==Cj#+sf+E#|`TFo2#Vni%bhTpS2nK8I?CwwkzNuV!{1m5Hcf2hp%<2dxv=(*@ zu0o|Nye1Mix-4ntoT0j-$7|C(mw0o|AdiOQ$_ZqW!LF|0I?TL17VHX(V$^IB?TJ8V z2i!WWU}K|LS7$32gyVr=B9Mr*hXd=wUCMpi!oe7vB9eeEpg;E8n+h0a8J0kI}r}p{ME2wgh0YDgZ%>WwSmOCSU4d1jRg@U9l>@jBbr;g*2V*& z?)F$Qg03)QHc>({2xNqlu|u{&0?Sui0jD#zTye$XXlF-w1r4l(Efv0oZ6Fra z@fTtM1OjW?>FJ4JS0WHl>`O9lm0)!Gd`V+FvV{rZKR;ou>WYLA_Kt*wI9#NVYr=`^ zL#wVw&O)|8j%(%Cae>tyZimHKw6@2jh^@nfWC4ygE_u3zaN$xTvM=L<$v6_PO;uA zYk@RaTkrlfwz1__x5jn9Cvp<$lYn`d`w?^hFnG4Y$MpS%!8d8VORqZyZ{Vr|e8r&R zQ(b_+NaH;P_*EKTTYx`wo8nVffcNw&ysrS?^ht&H7vPgODtuD`zDe^x_*K=;JwLWA z_I1dCKhefoR@#A|=D;6y;Hw(&NCt%YmQez`xspuXW(79r!v2 z{;wQ(p9B9M2j1_%pYFgnIq=RK&SnSxy$<>o2mXBye47J*h65jS;5`m}j{|?E1D|x@ z&vM}V9C)2Fq;=4N_d4jeIq>H=@Iwy#`yKcl4*a_dEZlBQAgc z)Ti#UEdS=Q#FXp-|E4EgkIPiD^FMy4Wo6Ha;;;J*A8Lf#*p@kz#dXdq!aS; zgn2}f858(w!aSPD3=4cYVIH+)h6G+jm`4iRdz*7kGNFq}u@b3lyR}!`a{xxAY z;iGQ=an4JGdBl@BB=8G_c|?&pAnj~X&90{;VH9x-H^1pX{x9xY^i0^dWJM+%u*f$t#9 zqlAn{;M)lE2q9A?@Or{LI>=Z8cM;~1LFVWmIscCn_7FZK@G8PQBFG#N_#=dQG>{n+ z_-evD637e-d^uqr1!RTx#+KR{(E`q>5utSFZeh8 z>}b=9#(}BVMc}#vr~Cwd=^wbP4n_8~Dd6*)L4fN}@efSpJp2ofB~F8@zq|!?xcW)i zL+&$@Wc;`;LBCw+=Tk2Kd2jerNBw(V{*Zsqv2uUeUjNf?BxZoYgPMUWduX-$jD}o) z%9p%sD*9U8=U?mJblE+iwEU?T6Vv?tmwg&Q`aEbc9m1czQ~wii*^L<69-sX)x1dqW zbB%xFkul-$n0G|VU0xm?`cqrIJ5cUj)Lh~3@0EJLuW8eLDON+l#{P z?5m(a4ylEuZyK-(O8)3UIObvR>eV&wGuRO<6^C*(+RPfgO{ubeU@#u3++W@2{;Hbf z!aeT0ZY@(Iiu%hA`oXx#A#Jli)#&xRAM!P$A%;)eK*bn}kb?%gZuI(;(i^;WcFU$Q z%(cN=YY_b)Z16^NAQgUrAF|cdDTpz+*v=P%f`@>0m`62`bq_waW1%1P05kOaR%)wUqV(_H{5MEK z4*nQc`Nkc-=EG5XUbg!LNV(zgi4Y>GhBH1Q$`O?&3=8GMG3^HDD?f=+rD8frMFogz zMc77fi=I1F_RnB{hbsIVpBMx5(Z^TIW&4*uwZVJL4|5##r&f5=dlxOTM$S76s)1^O zZ}1+ZPScpN_{0JDjStxU`0P`D^MoO&r%FaZP>;r4_zZ)`6DW7$vjd;|NqG?FxnGI+ zMbmQ;dv1eqzS!qql!rWchi?%K`3s~R6%WPaUH>+d_d9>V^44F+3|_|+l6uTrE9EZl zEGf5oJt&{-^&WsH@uHIw<=-^c#$0^Jf$R6R0P{RB=)kw6@ISK|F=&{fIL*`zn;w$} ztEVbQQjNC5??7)>cyYKxDBV?xqkFn70Y=+ArpLSWOwD7!pGELJgC1zn#X&&Aloek6 zk9k>5$6w24LAD?DGBrbgt7@Vm#z=AiB%G!sR+Pg)_HUK$yD6eS^<;Yct1A4d79-S7 zw24rxD53604IFgAxEG;V;bj!7lsE(o)Nm99hr#TKLHFOFK*JwUumMUOLZOt%8c2?z z5Z0{lqVmYeeO|AZy*Nt_%UNzinSPElK+i!UvBGdB{#1RBADU9QU7`WZoc+?zQ7e#% zbnaP-se5`dDc!Yy4D@X!2y2M$G*@@kMpA)TTET;@vcl3!3{(F=pNxy%!))K8d`OVm z(h!%rWe;J2byn>aPz>cYOaq3Q1jQB<^}AxjBa;~MUl#zv9gA+vg#hL^w(d(%Bm>QK}W)V=?5t=8P-)! zzTW*%gZFyyZvv&bXM?v9pr1ofO-SE1I;okgp z+z8}4P&vwVm43!~g-?5hiZwebu;Ya^;sD7$HHuE25*>(JDNS~o0wZg$+NCVDRTDg! zUcff!H%6aKgBN1?0E+bIs5+GZ(s!fI5!sII0@r|#)_+WG80`H5R|jTurVE#pyHpy% z{{9#`A|26dc##)TDv9kvExQlHQ@uUsYCQvH5_$dL!{B9|w+D(MjDiZ4#Kj6Ph{zCQ!9e4MDlLVZr0krrIp05jg*PVCUHEj5 z`+W3}X&(ed-onI0O&c*$A42JG-GCh^wrg$ai=hIVx`p|fb3lGZGTA_cw*3n4hP01r z&_-ZpCKuexApa=C>=n1NMz)XxGM?g;bI+W1@{ETGj-dx;^#lrDsP0E$PjIUk+060O z^OezMn)^T}(`1Dgg<%YFx??QWqAs!w^bG-HcF<r ziv2Kj#HN&B&_Mtt63L~%+?XZ6gnp32a_3KjCV_pF3=f}Quzv;vIyAJGL;+GlOg_!B zSP+TviPVb)2jF6{UoRGFqH1MmHY8?YsdXGM5X5K96+LuTLVB zGdsGPSZeN1vWhfZeNA99HXk!MSj9AVXR_m<^3d!MKd;zGkJf9a4`Ds8|9 z#z^OoK>`b%=!_&x^$`PI319+`oeP3lP?o@kmk-zcpO^ zKp{~o_dj}*B#5^d3XW*jp&)^&LZNgtix=gEa&^Be{ZwWG7xLc$sT&a7_9zM znj4tkV*X4&$U_OpvsJe~nXY|_ZpsFT#|a|-7zydWqsFkhrtf4|7?q9K0&%0GPE73m zkGenJM&o0=K`D26`=s3JO`^nN$eUYUBT4+>%1S&3<(&cf6IR=z3s6Ik7z+GPcP0j; z3DvMcH*9)L8q~d=Ch0+&tfj2*B5Mx8wUivOmW~V(?-0QQd`<)=GA%+28t}hEgb+Z; z7S#>KMBsxI5rGw6WX&Nc0y*mCJc+8g9p-p)JIso@9j-YFPUa%9Z>F@nq zwtiKpW9vsPbL+<@vmH%e@CzDW-`b)Suh}XzYU}s=7nO;f68#2ah(xR?iH@L#?z%V% zNF-u~S5AX1ph^P+MfoTfs!#zjXlMY4hDO~04YENQQIq)5CahWEMa_{D_kB$$IYkc3 zDIP+ZUI;C4iU)~Apl~Ms)W#tJ^^pa(ffNPK&#vhO)C$BRDR+Y8i!Ia+=~C#9hEOeY zRLMf+B<_2Az5f9)ao*@TxNG*8n*exB2HRbawnxhGC;bqVoVmJ!@@eNE=z9ze+{#fd zBR1}JC<8>1RuGHSR2`ke(OV)Cy~UneRFL!$i9RGn4@$}&!a_~}_5*_kYC6}z8@qj~ z;Q)&I-K#N|N6G5&nX3oUpL`H7Y_z#<5pBzoKs>ZgD!n9-Keu#2%$?&5LLPnpe-KT% zS#X!PMRvJrqo)%My3jCw?vl(prj3$Jf&q|b^FX?OwFgwY12P{5jchqc1!CqjJ`kX4 z0ZM%6G5Xa_^e*oZ+VmY2oP?A%Cs+ED|0OF3JEFgiN=6-NMCn`PNRQ_L$|ZO(PuBps z-XJh?+`G3Z>=rp%nv>n_0`?IwveIW`jGI(|orGRnfpaJd$BF9L9Ey^o#iX-cZ6{?{ zs1!1YR%CoRHCGss0=qBf`ySa*@(d++aBjxxALhu|REDqsB(M|HJzVMAUVsvC;(~51 z_^gy3pvCIBQXPPNlxHO$McWE|n%uh^0W^9W^QL(zxv1&kG`tCfT$;F~`^b>~0fs)s z@Iyu_Y@&wH!UeU~-=9=qBq!TzG7>6Xkt8P7Z_leYPB2Y)0mk6XK*_zCnaXA z{$X*Ogm)S=FcTWkJzVMJPelXVfxGajz_|(}#0DQk6?*5u(IKq~?qe9VKRL#s?YK+> z;$YGy=PLVEJ0r@&&3y*Z%wnR?J6-GPj4Et$Ni6{VjcjtPm% zm3Gom`wFE$#!yaVNj}KCQtFeA^nFkV161Thf)zQpYMLk0-)A$XDa|0OgJuw6T&2lP25?~dw^^v)WPkB2wF2K~Ed8(SFTP%Ef8m2bYJYJZM10%qFJ7PAUvPDzf5=Y7 zl|J`JvMBza?k^sH?k{|QaTWyp^Y<6e|4>xPP0ZVFf8n9*>V$7{e_^HTp^gdm7hP;F zvA=lsAT+7>7vDymbd&pwzqs=Y_p@)hzlefl!u`c1KTzhCZL5Ck;qA4*SjQQ>wf)6f zYUO`(f8qCHK`MQI@!B(Qqy0q+bD2E9aPBXj{Jt!T|EK$lR*Z4eU-J3IH=mZ(_0K%N z*g!6C)ANh51EPV+^9y-XBmGmTV}kv~sjzgZ{l!{pQtvN5PBta?7X|NU`Zs;k#}`Wv z@)U}1kv8y5>i|!Y4)&jPiNC@9g$D2UZhfa|263Zz3@>{h##=j%9tZn;i9Eb89cNbus`15Fs7ZpWRe@_dDp8-Y} z>GJQ&UVukoc$OhYVK{Ii1=)_FOt*lBdS%+CYif^wQzpr-GGYA&XJ3-U_S6Fg@@{@82ZrGLEewbv@Bi(`=r(0+WzqNlz9UJT zlwc&M)npb!6gH`o=c{;>eH9wzbec!mS0NC6c}LkdlZ!gLz7&*o9F+3|mpX9i9pjrJ z?#-k6S-;Z4F?tp4XhcK~kf$I@GmaM_ODRH`+;s1k*Yx{@p;CwK67(uQ_Fy9h9j})V zocS3v;(TGG z1l~A849(3sa05U*9mf&AJkw|f=;Mh#xybu83L8%k_HA#8qQxH5p>I@l1WhbPP7&4A8ryD-Y)-tkSj+4@))1PAg)DyyNmvUT{ zOZh_JX|SHeS7sFyIQn1661+W7*?og|*6wS;Q9ZbNZeK3-_4oAXe6EZ11!&dIS1PTi z$N)4{pvk##Ny=)!Mt<#+xj~G(^r&ZH@=(jT2j{H)UAgoIYDj(MO1pV_A?K|fO7VJg zHDjzlRn6;VdLrdGHGf5P$2Q1)5EDj39zc;k4GpO8Wc?%|(&x_`pWsT12PE|YJR<%H-N<}l>+rdb{R%j3K?kfaOjqbfh*21m#f3-= zOQc?0XjU#R4?+zNAx$tK0F+B>f7&z#@nx|v5lT=VUUL5G>qJN>Adw8R60IwkL6}OK z%mC^eT8+pcE0G*DxhviIEy*DEQ%s2%$ljn9k;SGvl-SH*t%Jv+qF)H-`1q!X$T!Q7 z@-3JKFJ9R*;zj{_$hg$I;4+O^2pMkNi{RgTLgbUjn_z=)Fk)!PH%-a+j=Bc#V)wlb z-jm&%lN3e|Y8*vu?xWeXu~kT^bj5e$@PGr|P|!?{-k1^$$k+6hJ(1zq)xEh=zxE_k zmv_RHXYKXH$_`7u&b8ouMPHutB1jbV9ljVIv-5|Y@MSI*cx=cZKtLc6^1i?If4<+o zmK)&uYwK6=MerVc&6$xHlX91rUwihCHb69fA3B}*Z*PpDN3UHur8os_w z=b_yFNkVYU=!B##LRzgzSCVuD0$C6ZobW0MMDu^cV3x~!93Zk9k$;EL2D6esmK^qN zL<%#Ki4OdA{&IgUg5Sq%P|a|u@(*aXsS59ti1{$sp>zc~tD zG!leq!VoO0AzdRVJuKmde&BKMMUWRQASho2zYd=Rr-9A^x~c$<+*hDk9|r*kvA{UZ z(v@t@&YO^QmXK=AdP$nv>FvYhsJlU>J92>T*h<}*v6b#xpu1-E6+5Ty$iiPjciYD4 z?wL`gyJ`^tuL(j)v_Kt8=u+P9Nqr0IBZe0zPwbO9^(oL+p8`dFyiZh`+NIuQ8rbUH z2O*ZHo=HEYhvqh~abTy{g#j9&1B;?yz#hknE+Dk-;HDZ}k$mE4EfiDn1K*|_%@g6$EGkZyxOkhcmQ)0cvof7A}XfByzRV|5jG z63pe>b;xb^dcbGoO9kFir6az$x8Qe7bKb(Bw}%+#SVtjje^PtPOp-ndk#!ty_a2+7 z{D!Fl${{cK4e97NMv4hzjx0*~jSFn^V{SVY0&^Uq5>3n@)(!wJh&4gwoJE1QvnWu- z+5-jJ8zXb0^>Hd07M18MHf=)f4GF2%-XF*{wcUGA>+Fz}hoLqyf!atwwVB~33^#Hq zrM5KM^3@i8OsQ>9WYFOTpHBiVh%rIs)TTgNZ3-M0V|2pC)C=i$J&t5s%r(aGph*Sy zdYfU;#(`bl7M932ExMg_?kXJF^hy&%ih&|4A(e|{aeYK`HQLgvFch{S{62*Vp6nyp zZ1OQdS?=B!8Fsl)x($sKg<9R4JPLu!9jm_xx^b^8sp&`YwBFKh^5u5^u|lACzG7274x4E>=vhT&#}fJi)LRRF1s@ZT1S(>PO@fM&N$^eb++B<8FYttk zXPEj2wo5EBWz`W6#rROZ#V~yoLluN+^VgkW`fZMti;^Ebq->zVbhsc)EefLd+V$X^ zxqTXY+w0}$(0fG17`B_xmA`C{csm0ZH@Sks1oHy9Am*U&s`0TVsGPnPXzN>n6Pb~_ zEK9Lw``k;-9l}SRwOG{Cw2thYNBiRb)rb_?wV_!!#s!axrvoUM^noHxNs5 z=eKqhzX_=Y@yNq6QqH);dZuFRam#l#TJ5beH!OCMDp8F?n$1_`qg+vgFm&0o*&g+6HXLo%?s_2BlI_Zr0WEKBDh)MSc+ z%B|}PwAXb7s_oF80(~CP9E$66A6jjFPP`o&6H>=^h+6EU7NNUArMrT?kQsZzy^vy2 z{I+e|INjawZ%TI~oECIdOPx7xJ%)kT$rd%youG2MQ=qLo1&-Sj(KqcVt*A zr42Pi7^C$-%<;=$lDgqCD7~-_09MQ3&mJhc43fQC2H)(ata*M2!@XP+OBj-Fj+qd|t<6|xA zftk|}f=}jmlr*=JO-JwJS&NFL2gjD8NzI#)ZRRbg+`JWN&s%{7YR%vGVTt20fcA33 zR!}*%3bffOaGW}a!N>OUm%pk)i>l|o!o@}?kT63{_7$+@QSBdWAHSbKBYKrsi+%*H z7OX`sjGEi_C-QdPnFRnxZ#V}W9s78$tH!WJ_VMRp3|WhKN9Nv47XHyjNF{60!mq%t zPYK7|nnSO8v}gP&*#SLM$(`y3Ui`)mC)?j8BOo(W!5q}pnC{7_!-=qu+Zah%yhB7A zo}>HR)61NS63%8{Fa=rx8(HBT!ORR{Pd#@#;NcuG`Ye{{w#`9?(dAj#py1S_XN=xf zFuHKY=!dY?PWM7dDgJy0Z-p>fit(A(e+$k`@F)&oAo$-iC|trfXK&FN7$wn`K3%(1 zABiB~m=-W8owNZ8R&M+-hP@)mT{i?Mws`T7+?;J3p^H+#D#{??7;hv-C>)wZDf@sy zHYQ8luCoVk*G+m92>~aCx{=34$bQjvDZ25Goo5 z#rUORggkL)#8z1n{HY(OzxyvmR)t1y+wm<4hcGI_ZXeaY3!F0NVTS3;QB!Hmv41vm zF193KDM&*FkleWx2r8Fc6lf!2K@{}G_m4?#zB#_$-V2f_N$`jz!J@&VzEkBJ(_JPJsm$u~(5n&7S|vDB15*>55qBBXTZ!|&z#~Q%691^tgS$6PQ=E%ds#2TcSJX62F$hU`60S`_bmmnim)HL(kN&5cUL_Tqp6JZC zKtFmj2^^+7FtO>{U>q^selcCQnC>cLy7d_RPc=kIUSK!2^I~ntJY;lbq+`XG=y68XVnPU3;X*&5$INJ`yWiDetekh-~Oo+__Xwws& zIR*5i>k8~PHnH7?A*tByF|pfeVz(w^w{PBm{B~34SL!U8>u3**)^dW$O@xg~rLOfU zbx|hBdH&4HxxX^&hMYgY0A)DzYUFhH{(^d)0cjl}F-KH|{e~kRh|9c4;$M6*kN8X> z9;a8LGY^7(bYp>DWe;9@RcVH#qSu}1?B4u&{PmB17;`%TpY+Eu_=q1H4Cncn3Pp3v zXQwhQcCw*i3BAUks4>)4&L^DHE9$mhQ7;t(Tvo}FC-1W50ZY~m%Qgo~)NPiim-Ku7 ze0q8jAB;oB7INo5k4~VO8>(gi<2O_-B*8DVG;>!)5_O~fxBpOdOVyI$x{$sQtqwES z7q=YI?&%w=5EG6^4m)TE=X1aoSR(V_>v({lDlqU6NlP2}7IYK?ZwH-w^Cw6>dbKfd z>b^hSz%2y^ZgE%xb=w-KAFqL%3Jl!jV2QfT67`7< zm?X-;pB*Y{VE^&$nRi0D*fS4bAa7KVB2o6_~Kj!4h?wCF(Xy zb^oQ48gA|wqnNlq_1*L_zFdgltaTc47Uix3(fCO9v1>nneqvyYkm&oL@A*@E)8F}g zQFGQh&8hMlUQCe?oO%rW2L#kNEIjT>2%4AUA6+RfdZ~Ow6U_C2+al z!DXH1;xb$mVqz|Xfif%oF>nzL)|ubWfc|iH!e7FK{+j)xd~a9u_sZWZ{b70P+o%V` z`;PJ>bV-HSct{*wmZV!}(Ny{RJGwo`BBfdQ4GV>sh(-NK)w!7YmmOU0)?9psi$YAy z#e=(nbjZQwGnxxtw^Y+nh>5w>c7x0N99-_xTxty$g_xL&c*H@6YQLnpz$=w33NbO4 zrpF=6Jq|7pXfER5c>Oe~5EF9|ziM=Fd02B1A14=un3zj!I{fMc2bVpXi}*OXD8$5E zk~pnMk9{`hFHdPM;^X9^5EF9|A7Ag_^0aW7h7_ZetKf;54BZY<=7Wg@N?XD2`4+}Y z(8SB*+j7GHPz!Izi$ZLa!w$8DyR`}N!hbf$k#EL6lVkBy&BBfsg_wwij+gZgE*Z_m zju(ZPn2W^AJO`IwX)bj}jS4X_7m1gb9ZLDF=3>W-LQKp>;$??}OBqrEZvgFhQHY7T zNW83aa5+hHvExM{CgvjXGSk6jy5>@C^r8?GbCGy?_V03*{wvMJju(ZPn2W^Apo7bq znu{GT3NbMkiI>YAT+S0Nxp-0V#7rb!rhHeJ)AIy2yS}9_0bz80BxS+2UdHgqDvEK zRCDh8jk^6lwo^Y?#&1Bue}gB9Aw?d3BXQFa>|kE0PrZSE5a{nf44$7tfoKF#GQH$p zN_N>N$>s)C{{riuz>r}1+Ez5DR(h)%uxh%VtniXG=s7GnorqVQFwrI{PKltvc4B#I zPx{B`HyQ$M+6$&&)UKxK!520tqR-;L4^)4B^gTd9Wwa-ras2U7%Lx|DJfHy(Sdtn!O?% zPXy|t-GNo%w&2>?g6|4PJ6o;xxD^3ad;7%1@wJI{vGBw+tzB#5q3-tB#8mC!cB}04 z@=MZ?ZzeuR?#yOq;)W=CcQ(skr`>?Eg77`r>`Ig~k-+)vWj{(DjcmYT!_%+}uus^9 zvJv-?&#=TJh@&WX;&E{mZj+{sWV5v>qhr}@6UygNhEO)*I~zSH_v1@_+ll`U_z=H8 zo8|4*%wJ`*e?YktCC}@Q{5qTUqMZ3!Hp_=njla!i8&PgY$?fH1D0@*pk8%j*hTmng zPoO-4avGjp;Ee#e%GO_PmGxAWoj&bE7Y}!c=lo-X+3ajmG@Mw)f-BuTeD)3Cod(EZ zRaPyntiIg+j$2$w>qGCka)~||UkAYlo4d(tQUjA3nAE_e1|~HysR4%u2G3SNlP`-(zg>mk<;-N1btFFl;afAE{vARiy% zGWcV9;zsUmT7f4iGJAaf1Kzw0-S4w%ejpdr8yeoH`QZ#o=5OqARKp?Nj@tuiH}h27 z|M&j(XuA}0T;NfdrMkRMm#cNTR+qQy^3%HfCtW_I%e}gMR+m55@ecj@x| zx?G^krMkRMm#cNTR+qQy^3%HfCtW_I%e}gMR+m55Y_!DlgLIDqR{*hi+3fPp>MQKB>y&jT+YN3c~Hj;>92I z%(`|}cSoYzv#@4fP3?tqx&@kZ>)hI!+Icl|&Qo}+H5%+#<5?T-it{YuqMCW0S&879 z`0T5fG%l&XW=Y_pd9znXI)c&j4DV89IkL)*DdStqan|ufQGB_TE{ddF zb{=q`t#V`ulP~h|Ct4_L zV)T{Pa8bP5GI`e7tDJW~1^&VIa%;LZ?`|ihs+U`*TAq7~;ooWJZD(saP6RQ>;qsP=Vg?$U^W{ zXXlYy40qC-b-QhWexIzhpTjf|I7y;IjhJgx0V1u9rU%D zzE-ziQGz}w_)5#OMG>0)$XtwjD(r6JbhhSmv!*xsSI4E%IQp48i0>yIVqPt^7`>#LlTVeC`I z-^L4c0q|8t{BWV>bMy|?$7Hlcf*;Q(4*Xf;(bip>Pf|OYoQ;C+A4~ArtLdB0Rm_Z^ zJYRzTL@1<~UEU4+^eOKim!HoTdidMbiocwLqWZxSd_JQ2nEj3FWm#)9pW%Lm3G0Si zO7PiS0)JNt{AWwxzbts~T-fhX5Ioetc{n?68&gfBHCwSf2QQTev|J4%s7fRqy z#|>C9Ke@C7K3W3*3E>a_(Q&a@%i1mUl~$GZE2D=kCHTxhzM`Jn3iAI@3Hn_n@VoW6 zO}8mQv|CtD0nfa#ZBXH1Zn^$e>|SXd(DECd{6`7?&2H!@ES7tO(dQOcLfsdf!1heM>uW;0-?^pnrP>$U^Ea)baur9!R{WbwX;1I4JX2( zn!4HrbBYrMa90uu1iQL|>jHQ{+O^JF-4$#P2koLBW$h4 zgW?szRTdtC#={ApZ&~B=MT-M-Yv$I>2cKwURcoL%-YxpD!ad>E?nF2+{~~!pyQnJ? zS`!Ye2`8=(t-8J|0_CI2n)c2PjDSHghe$Xa4#WZrgBOQa&uLv1h+G?*4NVOwRM8nft#2!&uGsO)0l>3Vk*x;*|V ztr6YRysbS!I)iAmK;=bjJzs{9d9}8MTW<)v!w_+!M$dBhN+V9H(TMM#3>d zXGd!gt{#A{bqs0$%eS=RE<%Zk*TR-GOTcIjEJE?lKwGdQ1Y7BuwhzjS8}R!z&~hLi?Yu>?PQ01x#iHHJ zd8^`aZRcXqS69^g7aDAy8Riwm)`~dtu7>d;8K|SXJ=}%JfRpCJA<+p-C&Fux8W>)p z^ogdsI*8Xo5QKDjHPBn@BI!cA4+4xYfG}yt-cm4Yi35mb_Dme_%S%a2#*fP_9w~ zIOeYMm$M>THD&Se>b|*t(p`=D^)RIL?>g zLMF{xO!P{A6EZfxKrj(VM6gD$4|m~MyC%M_J%M$tCZ6b0Wt*vSid`|QrlT_vu36L3 zU9+k?5)EA#329XQqU9Ijl+u#6wje^gCbX^t{8X9fQZ4#aGY<%$4UrLK2VILHMb${+ zs6n-6O((!axCei^#{f~Mn6M_?rgs%>AuutJ>Z+DR)x*>=La;s33Yq8uHHdnv25TnY z=Th6YKl?AAw_r<*vl4Zg^H)=v=PkNfXic3ZZzr&Iv-_KKT2p#S2J=jO=+G}nehwf->dsC(hSXWFH@T5WbFSgd?PnwA-H15pQWy-Apo&C-Ex+#skx|=P(!GErxzd7GCWp~8% zRHYf$V82+<-<;Q*Qa{Eso96_k~Eh-52;=)s<8i> zaSh@qD&%FxH|GT{LyE$TqaYRQrq1$Jd^mnB0mo(fU+ciJYNq2Xjqf-~M}`zs%LgsE w7{?sL$Yb*7Nx&J|QMIo$A57qyX*wv-9$$kvYboBp;z336h9T6Yk=vmE2kRzGfdBvi diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsimkernel.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsimkernel.log index e52ed84..c2ef3f9 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsimkernel.log +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsimkernel.log @@ -1,7 +1,4 @@ -Running: xsim.dir/Test_Alu_behav/xsimk -simmode gui -wdb Test_Alu_behav.wdb -simrunnum 0 -socket 59047 +Running: xsim.dir/Test_Alu_behav/xsimk -simmode gui -wdb Test_Alu_behav.wdb -simrunnum 0 -socket 56337 Design successfully loaded -Design Loading Memory Usage: 32684 KB (Peak: 32736 KB) -Design Loading CPU Usage: 20 ms -Simulation completed -Simulation Memory Usage: 122620 KB (Peak: 179956 KB) -Simulation CPU Usage: 30 ms +Design Loading Memory Usage: 32728 KB (Peak: 32780 KB) +Design Loading CPU Usage: 30 ms diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/alu.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/alu.vdb index e9fefab23cd2296eef0d38ef2cc4c5d569d107a5..d3f8662ec3ddc574167a43ddf75aa8d96fc30876 100644 GIT binary patch delta 1529 zcmaJ>U1(cn7(QQO)|``^pPaws^n|KxNxMxd1uw*jUMQ2<3#UV! zCbPI>*Hqji6A^VuJIK0=t;%*OL)pc!Zo8NlDhgxXdEpNf1i|`!C+8$pwtWyz-uHRV z^ZtGBS$*_}M|AbYT$ty$lN>$HFR$go(aRg}>l1IiK<&4WtxDrbMLg{;iTQ_{ZoSoR zG{t7U)%4s9N^15FvFWx?&M(&%TaAS!J>GN|-R0)WXnfgyr_suZ)H%ON9Zj({KPa|q zZmX?|XXYENdhKXSbQc@P#334tunahdKF&o#WbM0+Na*qg*0+5{LU^z5Nf^<`ndA9r zju5!f@B2c|T^x6@jIvNnmVE(IBQ@t+k?PbutR@(wj1xj3G3hf{42DfY)RIQv4f8V3 ze$Gso<8VRfhpWiADU9K?CZiA=i_}ToxsIn|dGa*gjM?}^NE&hHUaGXyS0>I9v(OhW z;pWHC1$04(YMDBRxIDscyGTlig}qKCbV z`=vC?I6=H3Y4?Fv|1E41GxCRARk_>sL~cp$FJD)M3kpQ${4lPz46%}$qC4Heu_ZM>vd zFzAi=P#I+~_G`QrDD?_Ih2g|=_*EOgiaKV`CHzKL{gCwG9cK+kDTm$@{FvbJM`BE+ zn|Lvxd9ADBZ1nzHx!3h!yUV=AYoakEb@3XL#e^}0mo*g!4degNZP=Go^|)z}Doitv zceNafX`NJ$3p;Q{%VNeXh*PwZ&gRk^ijtASenSwh1(Tn`nrTDPi{1>TaMddJEUKe> z8#L{UbT()j=aVHIGDmvNpGGESW8M4{_gDqoOpf5N#cR8R*N%`Q&K0)wr%+1$jStcV z?6yYi?hW75OOpPhyc77yngB11;$!OoUQK85k6l3AF5*&p5C<~6xz~S%bhRIf&@aOc zJB!s!0fU)ph*L3_vB-T`$mB7bE#NA3o=YN=weFszWUsWDK*w33GYG8BO%4aMC9f$n ztetcHvBa!TWeL_Oc@~TPsZ8J{4jXb{`Gf&oFA?Y2tpMBTfYnE(*l|Yah`e2&{UVfW GhyMjFo?-9+ delta 1651 zcmb7ET}&KR6rRgMcL&(n-Pxbn*&o<8EF#EmtA&!L4HtyMu+T*yp_(*VvNc(-QJ-q1 zQPw`F4~3@rl!rdlq1s3=G5vXH8(;iMO!`oj_*9L?M5AdM6H<*aCW_|{GYb-4yvf{? zbH4L^_vf5D-}J5Yht!jeE?JUHi3a~^w$bIe|KLKXd+sFpLr0!FfBVt}^MsK%mw3q- zEKV0nW@)fkvcdwobaC+`vt&$9_0RN-6brfh+w&!3#F!~Pdux8i7$_94o3?rPmRamy zF-tRfV`O@;aM3jK)5d^tw8u0?3P;Q)T91iMNSw^oYhV6ZQ+59V?(F)Mxp2sDpEcYAB=MFEudD*di(IuF3H1j(Wesqrua+jx(RpFTD-Xr{-Eo6!D-@KGa$F2zS zvT!ix*{?Wj@K>zRCmx-3<6BRh=3n)L?kZkQ@m_SvEvy$)a#-uNH^t7fv-}I8EW~;- zFQ@Ultos+(0$-&tt=mOFAC_vvSd**KS*znEpO5udBBv?hQG76KBO)U(U`vy+LYzI?kJaq0gKTV<*8zf0uC$boP5vSBW;s)`)8b*tn*pdIk zcK!yN_{+pUftUShj0HO|8{}9DMet}q!K=YTHDy{dhqd|$76LNX)oiuc3Cxhj03*1& zgKaBpj)X-EyX2HCK0ZP1$oQ<#u^}G8i=n8p*(qXkmgE&73Af^Jb#nhBBk@*}8MbAZ zRmWkhs;xL3c}x{o>R>i>ygs9f2Csz6!l4G=yHi*RHODKNJJ9l>CZ&RJD7_YHLVtwX zD-_-i?VQbqu`k?A^RaLWPiaZGB56R&)_x@lBt%Cr6^Y{)?IE7m()cHuWoyXjF?^@h zVoZOCd(kweV_CH8axm{)Mdi_5!Hrlq3h{k78g-*J-ibf-PAtZw=!>Q6M(unu_$%Jj zQ0b~I0AZr5sOfMwp0S?MsCe^it3Rh7#Nk8|AI7Q86FD>_WPF`a*#Z2VNa2}88g0qk z6OwA5X^bRAQ*4&Jt%e{`&2a~^N;Zza6B*o2wzP|CI+%4Yj>F2V%u$8nRC7(7d7w;u20jq44|u73fB5sM1| diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/datamemory.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/datamemory.vdb new file mode 100644 index 0000000000000000000000000000000000000000..054f567fb44cde2ecf1032745932f50d0c642f88 GIT binary patch literal 4136 zcmcIo&5smS5P#i0vpX|H79ol;B&-2J68ykVl&q-^JFq=CI|uQ?sZB4NnT8M#ni!2? zmVvM)%MwITP_TCw1Q!>HT>OY;4}Ob!(wJzBM!k6P5AZNn)$8hcy*+{+?4)a7y{cFB zt9sS--t5xdE1jZJk-`UlduF9m`0lSKM~Jgjf4_o1KfLlD)YPStTD-YD91b_!ZC(Y2 z%cG^8fnW8iZs=D2xctPg-#+!rAnZ_Tz8ycX8*Nm!>^jVPr=k(B7EM9j8wwhJJ*p1{ z^~3L;J`&M;`sDHVqq^64yd1jKz~5FocBt-Ey|BLXokO9w)eqi|aI(A)C+bm9o{bu= z7c`DWqh&uBa)*P+tNL4_Rp@UK0asutbtz|C3N|4i+iA^A6)J&Sskvc&!>c3Jtv~L3 zu_Nm7%i*XOUidxt{f^x)eLx}4{iMY?&-2QQXD>`m!BBb7ABIX;KXz{F*=1)h+76m$ zO(NtgVX{Mp!NwLLmVvST)8iB8C-c?W&F<54d$qpvPd5IGBf?;~XG}_!a0Au+jd6Y` z9rR+J%<0@Cfj`1zp@5Q*J8|N~F%;mcc}cWU0eW}}i{YeFb`R`9wbik|Tu`aFM2^65 zhjwQ#QX8Y)s95jTSg&_-|3Gu5*^2)z>#n^f*0x6id*m|g>t=CntmDi~P2+oacdgq* z>%hS==fJ`1yKAkBwF+Uw2P$?MoJ`?!)V=t{z-$TQyFlbkB6CD85_t=Wa-fQE+YsfE z=G(|`Lmo~e>%beN!88whjqOr4yiOL*A+gdD3(oVRhfsZaj1zV4FxWKHG$dS(OxOyT zvIQl-fK?m@++Y}Mx6wTij+@drgk>BFL%xLRhC^iL*J6$pr;rhdY6ropGy-86LBbFM zVdR6*c{U|U*GL8`&t7FId9|crsx~J77BswG5a>>1{ePdfU4lV$czf)yc&0f$-5i)0 zpGZb4beXCi3r4XmI_);xWk4(qyMbTccya9YHoXO9 z!zUyp5w+ZapOV~?x3AUkm{9^g&a&YJHU7^8nql6zg@?Q9iGW|J`FNl0el!W>UJ%D$VqyQO@)clgb4~M*6odY^E!3A^`@4ecEf;$&_j|GY?6yP<) zAX|lX`3)t$Y0MR~pWj4WR~F<@aRVCER}|eD;-i|rwfI?zM3B(#`K9}O7b(4bQ0Opi-dvZq`12Cf-Do;2_7@#|VLKVz zf#f;`6R3b^p@3)O!gIESiBIQgeM%-WJ@Q zmkpT(ucWv$28S&iCJnySnvS2AF@zSP$lHB=_9os@izmE%q-=DnD1zD+S)57uL$)hsF>ir7uv7 zr7RaJUTv^kuQieZJcc`^+lH)2Zh@}8B#}lxtU;qW-Z2aHX?q_uA|{W*vqxdN#3G`U zo)LgCjAQA#A_*ZG7_rETe-jJsmgq0I*|zwGsCwXL)LEUx8{ZxurY1y@i-aQ*Cez$9 z6Gpd_Mch$^$#y%DAQFCbw?hZ4}j9`}H{|NpD DllW&* literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/instructionmemory.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/instructionmemory.vdb new file mode 100644 index 0000000000000000000000000000000000000000..940554ad9567ba88ea70f06022429224fa0ed7e0 GIT binary patch literal 3186 zcmcH+OKcle@a?W0f9P6iS_pzjF-j>=5DN=##83`2rzn&2XggC&70}@vbX6C(p>$hIF5eHV<@tZgQdGlu0 zV;3fk6l2>AANc*HNhA5wKhG}{rm<|6!C#-<_yh`UKg}|8)?#IGHFws|!=klvbjNEp zoJOlzZ`V3r+ilhV94X)TOfIz#ON<@#{0Ck@RqL0#8O}b@XFqOUb6RG0)^%!Lr|Ft* z&2uv||;%2>v8?Ncqr_4^( z@j7GXZoTQ%svDl^G@6&qDKwp=P#9>8?ZpUh z5+GXv5?yjPxU$>Om)Tm~a~hpie4#s+dvR|~@EbSN@m~y)4~lv26JrTHQg(2MpC3vG zy_hF;JN9&`xXi&Ifszp0+S=Mg0!kgoL`Q2v?5M}im~OyD6guHskCpQI9Dz6CU4zbQ z4WW*)&oIt+uk`ZLM$NdiaTH!1#&y!?N>)~jP$?HIx!4S8HP~ZV+0ad+om~PSBVYzB zpw1p_#}USRh@V9qK0wrfYsA4}9M+VqF&#c44ObCpVTuMFE_52%$9>0;=V1j6BUOdM ztAYcr1ytHVWhXJ=M%6dIC59G(4VLv3w{Rj}UC6Qr%NSa!->YDKsat|bH--D^J z2NLBT1PFN`5m}ca&nhLjyupISxm77jRyEnlSPzqb4=R2@dLSBt_W#{5Jq`0{@RV;b zwNv%H>MZulU^OA?l8tDvg5AmJ_25y(#q?sXRJ6|Lp0wxs%eV0?zo43DKkxe}EXkG#~0<+j?1m8#ZwZ;(tkYrg7;W;(p36pTnN zV1z*p;jxw!6kbsf9x%mm5l=2ep)NxYq!f1U-6`Cq433tHl{5DF{?v?Y@N&9^^+_^- z4?HqxUF;);4lxNIq7U-mFq|873{_<>xPtx5*zl`NgBUVmpZ32SM4#~IC*3^%8N&$$ zIb_8vD_I%fJPkJBii%Vf1ZNn{v6`4aDka`-IbBCU^tngXPs1(=3=M|Wt8!tDzl|dA zjA}5IO&R#YIa0WUz+^Cj4AXt*d4eP^M`tiYEiooFe!P+^B;sF?2G8~__|heN-mVlZ zC{~muo)Q(#P|eA_U6{AZh1FmI&!Y39_N7Gd4UrS0oj9^^5|ze;M>xmtLJKE?i2ZMX zC#aUlwxsZ=Boe97$c9q1Qixv+i6qb{M&efhLMc1y=AqzB znune8{Gpd#xbpQL7*}ee5jO~MZhmCy%=%n7;TFR>1a8hRc|jQD{NT(xOJ_s+mKNvV z3j?=w$SG&Xd~dWkHxsyHZaFw|d8X_h@ci`Aur7a`d(hCEmww4 z)TU=n4I!3ipB{el%8tkC*0n7BlWA?ibd~ z`!Ak4VQt-h1Dv$zpd81ZyuDYk)@`D-QeU?2+qJv1*6p#DozHp&d-3erQfIxvSkElF z=J|!rI(Nv5v$d)yPe_^jZa9vflgKC&K130Ggq_hWG2mmK^f0P# zkD;Q@-5S|UR5S@+5$@Ou=(3ip*Fq}Z5p30rH5#})5RM(qID}*z2|;TK(FuphT5oVG zt=OxKM5d%jZfr&(BqK=(LLx*bBqHl@%R8_X$CvTQ#1xO*kT^m@GM%8t0h>F^vX{X$oVt`=OStAZv?_>D%~NB1dli^;i9NO3>vQc4uIo)R?| z8&J`dC<`8T(Cz27ogn!uA;D6g?2c#2RyX@zIp@xfM%zY-(3WzZTbCa&g@HF{?usRd*u; zRk-nfg~9t3;`-Cm)zd@Mv$c9{Ss$aLDw+|CY6pH%qP>7nYz42N0%l)X1u$JEG|v{H zMKuM#C`13W8Nu(>bgfKZhCXV?iX=ywVod1WEi`D0FN;g z_~tL;oJU%)2u($vD}6qy-WXwwZlWF?Oi^yag9f|dCe)QD51kHv8azsOv7$*b$&)l4 zMb8w)7UVfZ7WiF}SP)4%FCFO?rM=)^~5+s(SBLRkQZ`(>nVajk$(h?ptp@t+V&C|Nfn=pqh=-Pj9#%fBbJg;zk>X zS2m8@I5;vnvTfk1pNcx~^{=-|lEmccDQ-1nkSeqgHkxO-)z@#vG`a<4HB!&h8) zYmhoJw{>WAZr)7{Z5rP;HZeD`X?)_=5AWMEhkyI-eCJ2!CWf|MJvceAd3@}u(c5Mw zhBgmPPF(ZI%;eCOW8+8WEOT&&WlYSC58gkwZD448+upfr2gk-Y4UCM>4Q(E~V(tuL z26ESIG!A!mG#lgtCb_5RZVOyUQ#H^jScb>SewXM%@Q0iPs?Vn%hykPx?mWT*a&kRys!vkX@ zU3cy|ysuvFydc*xI@EFZ9rZHLQjN3IJ3D8mk9Y5^#9*k=f`ne`n%p)vjO5OWTxa8a z6ENE!@&>%U&UELkYdC0-7omYDq6TqNxpbFnkcXjxCPWS5q;lyl*C5|R1MQC*#7X7SU9Leb z0}XT@HT0Q%;?OA~R*#QOZW_8S4TMDoo2==^a}76S#0s{d`T99GPaNVCQNSrOGJGmT6e3D|;Bwq3*T}^5@8HS|dW!+~WG-Udo8-&)} zvoLeZ?ptPOruT0@xUheIcG~Bhl5$S4B35-}2|C&2*RdQn?Wt;E~h|#G!>E z3WJJ_%t95Sg(6Oq9kG{+6Bx0V#$JGkzSOvg=#IgWj=_Cd;P^;JkUl?%95cPMe|lH{ z?wh9e&mS{=eE;-1-dmq20<({S*&hma4Y<+4u03wF8bUEJUm&@SklVYc+zTbQ339hD zD(8Y+_pLMiv(w$Tp4vZqLS|XkB?f{dXW#NkD|Cq$N#9ie{)02!t1UgXq7R*c7H^c~ z4oa?FT=McD*|WuZ*|xEsEr;#raJ|++Y28k(YihNcOv8N_eXq}b9|89RBtJy*BP9QX z&s6H&k^GBSKBM0_GjeBw01RcT*!QQ%_?6W^3YrZ{N; zPIviGtnLd9>;UnBL>yWuqA;k)$ShPLS}3BlP@Gx|HD~}I`)0%^4)KX7;1n4dJ{2N9 z5hXrxYVloexrz`D8xfy4#3!PFQ)FcLREYRQl=#G{#W$GY!-$rqT5;Tzg^P#+PLYw} zQz7CLQQ{M)6<=klwYijjwCQkg#HPdQbo+#H`QRuq%wE&GFndj}BO?C=z!idB8=-IS zQu?|!LG1QAG0gH;1$2G$3%mEvPv5kAA>P@etg`?(oWH&L8UDqgP|twF!)MPP{4DbL zxmmIsz3kpLvLlk+>SZ5pBWs^w=+@?a&FA@UAHS4@S@|VFqHADsU>HgDEj2!e{Bn@1 zd1TL?8Gc1lZ6Bjw8l*Y~uI||LVAg*A(V0&RFO$s1t2_3VGRAGVb9*f9bLZKQdlr&s zBY6&z^O3AavH{5jNSD)Eh^9aoAr(6y2l9$oh*4vA>Au zge7*R7f5k@zH#t%K{L7n1^8%k#3v5%i74O{85uqmB0do%K5=UCDL8?Ttr78wLwq6% zI7LQ=Plbq2M2SzFT72pdz{fy|Gp0Cco=kW7jHwO-4UDpAp*XZqL}5^oky)rhv`|Dj zqKZ>%p*kw?u>(X4#YuLhyWB!`VrXFRi5kR7<EWF&~`M z=M;%?R@}*1G5;o63Sw3q#;n+0A7;g2%!>75uL$T??63*3e|qPNwKwhF&|mo+nyQv? z-;P~Vv(q=5c;8ywoxD_m?lZQVaQ7K&>I5-4|9XIN%%R;T7+%2-on+!myn_Em^6qH6 zSMcAI+!C+gza_aPUcrAm$SwT}J{Ba~zk**aeM`QAZ;|AZui)cB@@QVcw@T}hui&r3 z4BY3oq03Doxem$oNM4QPHAr5IYs6w<*L}{ToW(zNBPy}nx06zB3h)*2i z6H&k^GBSKBM0_GjeB#vN(@+I`95y08afnYu0jJ2w@Tm~-i74@jQ;Tn_OJz;uBHg6Q>nl~&~29ySi0 z)K<_QHca2%rS#duhKb!?Cx%&mGN9``xNEBOl69+X7BHt)Ub}nw;&@#UY)&;-XSlML z)axa&qq(+T;?+T-ciYH-8yTP6WH)u}#k^B*o!$=-Pa>Nop z`O5VTcRv_G1twql8eNYnwyJpcVWYPccOP=>a|3@k5d(9orp20mIF z@rgrxA__P~Muty?*!4t|_{6Ejr#J&XHblfH4)KX7;1n4dJ{2N95hXrxYVj$qfsZi~ zhgNZjPecKy$jI=i5b=p9@rhH5PrVBG7{0_eWdS2jnsU=!KKZKGK?7qyY7i%tOLw^j z^-5@9$B7!mN#)XAu0g#P8qn+f1OY3=N#)XA&`=q|-)LUKRCA0ClKs0D_HVZl`j~_3 zR^xunV&k+5t;AqAF*I(&u-)#l+lT4~)6}k;XZz>z zd-7BJ*Lx#46uM8C3_tQ#glD|)&8HrX@b;j2&Cv)S2*MZD2xG>v9XE95v73iBn@`-( z<+jz%h`Znw5RVw%);VYe=b$%-qBfO|wtKUDi{zGgv;4gvxAYsM4VDDm{*CeXrEkeM z#z?Yj&0@9><(A#2 zP_Xs=MS>WoY-Gpg5{&6-3upRfDbem7)VX$1oi~Qcd$x{K=fe+CXWE*abiOT!_F_#C z!noP9C5i@A9a|sD zo)R*dTY^mc70DS%wOx^%4N~0C2h(+vfBDWf_N_&f_P7)5$DN4eDM;2KISI)xTH@y} zxwYYr2O|_b*+wvV4n2#C;@B$=dzOfzBNZ80&r%`wED^wjD=jsOOJz;uBHg6Q>rRVg&f;{x~;?lV*f;m(LA~AZTFQMGfMla_KJDpy+}I zHhk0|PAZq~at(?+Xh77Y@l_mpSw!K~A|vy%3en3VN-vAk>g71T4q3GRp>Z+39&0ZM zHavu8Ig$2FdnM!|#&5C7*_Gm*kR9!*>M9 zqj?&>08O&OU5M7d2+74rE?_UjvK9`^gEEIp-vk+1!C zOXJ|M+t_v6oo;V^&2yRDCde)Ft$#kqb=xs6 zoaLei10Q1~;+sRB{UbgR%fhEZ#3!P}Cr%?=l}1)K06v6o`e-dqnt{??n2X|Ab+!fe zy`~=a*yG{QxUD)m|g~&mC#-#ZT`+jLhu`ZU)v`4Y`1+hL3CN_@F@@@_8YT-N(3iR4& zHaKFVX|Ih`x9xLB^6}I=?PBxogF$@dj~5#b}lfk{D)G2@QcKa^;mJX{$J;MMA#>B z=wa#)WdyNapTm(bDZqYe;c$NH!*I1pk?s#p>g)>NIn$4Z~6W$Y4;c!%XbRp#bZI~ zfY5@Dm!MVuF4RP1B6L8=pA+)OP7u4|SA<(!>-%_=M2`~D33PU)_!YfW#|M3S-fJ}TM6Vc*ek4#MVED&9#V#&nr9v0v!eCGd6g69G}ZIh=^kikAOf!!AWDy z=W`7raJ*~aYJc_$<&_`L3xsn6Zfoq!8 z#r7A$DV2%eFAgo@X}^sfp4g#d6z-bny~lHXBCK0#R^$b^@ymps;m>wkVy;09May=qiW-;zUh9>dhJM5K3>JCI*-^_K1sLWzG0m0xD*ILssA#n$( zheshei+ww2>)bVU(Eiywil48P@6JrIt~~ChwasGM)QQ&r#~hUi+f6&=w|GuvBDEg+ zPF`L_b4PQB--3zq$}Oxx{ol>Yi^zB4`YpMB!z8pxrn>7b?SONiqo<(zd%12A&H3iM z-`)sq%B^mP)6O{e`Kasrxh@gS+2*X@iU?iG&9}W_`)bfK|i-_h-bH;B+gcjve zi}u6WulrKe^21z(O6`9{hQ(Pef(pgwkA*k? zE7vB1TV}@njtJ;bzV;^hElTdVi0Qv`Eh6IcBlkr>Pr*sgk5A?rM8sI-z6fY2I4M@~ z7-awXm=>WuIB_%1u-_p8U6N_t7nL?ixz)6g8*UgUouQ7N`~8T-pEx3k>#j>tqP&(p z57`C?t-d2tx^f#tWFrz+aWlwO(ivAKt?%1X6bsJ|Alw(0ONLF1Iy*XHlleo3ynG%3KRc)Ch?)FxtCu2LboN<=v> ziUT*{%3UR%cU7X`Dp7Kk=tW)ibD2A2wa{N(?yMfG@EJ(XMsf}k_Shgo>m|P|>s842 z=Af<&6p5JtuiSd^y!DBK^`d0G=tZr+5T+qoUT*aS>&K9X)c}6M=J$MBFA-WVVp-O! z5Um$cS}#s8zS8#MdFvAe>qW_W(TiFS!(So8JNc!QAN=^elQxS>n?)?kW)-5%B1)UZ zsk2!;Z*!txvnbgtdQqF-pcbEy;a&WY$1i966vuC0v|b{#Uc|DjS0P$2qO@L|I_t&r z)+Y+qi<0%C7q$K&S^q{^-^mYH{PM(44K!R_8ZKg4hN}<_7f~86PMzW6dBYP0!$rw( z(Tf_6P=Awb?&2phe&OP$C4Qx%trBTBL3~R-oR+>$e$L=~3_nNEhvHJNh-LXuh3G>O zr4Pla^Pza&hl#q!+#yOn6y4T`H_GNFU-I3QDNRm3YjEsGNvl^I4n?}9|Z1)}5v(TlnOHXpEB!h;xACuyAWmI|5sQd#Vw zqL-9MMIx5vB^9EVM3i0<^)bl}AM)mgQj;qK8G49u}w0!{T`lCkh@GB@c^U%)@Kl9@usO$q^)vBkAe2 z6=x`Tig8r>cYPzbMR@_re^7K#z^@}LJ zV7Mn%8-&?Re&x=E`S}H0JF{E9|8#epyQSVrGL3Z-GKZz1 zA>M{YN>f1`f;I>$Y7)`5CJ7x~d}ncYL0*-JwpB?8Rr$+?p?DjNIz0_T(W>X>Rf%X@ zm4p!4sVd!us-9L;)rEOgBHC6ZAv9I2D&B^w&Zw#CqP!{*ZL5+Hx{ekCpk6cKG5AR#nsbU?ff4u~@* zpO7xA*e|MFJ@q3B&PmySxQI%0-THUKKMzX8PSu*bMd45f{_$W32M%$ndfN>GDzOY} zUl^?g7*UnS<}h}?sKrvzT`hY}gOy@?D@9cl(@Jq@r3j4QmG#av&`Bhl8M52ZqVds$ zExNH{qo|6*&Bkdx=x=T@RP9(E6u$4h>CaTAg`(Ezf+{}>5|OHrpH$DJ9c2b-7vhFho2jG>1YNi=7{k;S zJ4o9E5fwGsc?Ic@MNn5Jn(puie;39?V_fLkjXM|PIp^MU=f1v2i3=y0JNMl4bHDT5 zbML$J+K;RY(n?J${J6XeTTAp^9AV3 z9ZXll%1m|aWF;KF`uEQ6fm3(E0i~8|<^#`Qh|yE?tzy=dnX61?I=ictk#KghnyHS2 z)z%#yt26ZNSbN8=OtmsQJvLLG2q#~ex_MQ#GJ)~WZ(lW2d3iEim%+iY8V*!5;n@1j zY`GH7wr3W`Cc}~Pc$le7OrFZD$0;)eU4f_6<&^I!*o~O{V05P1TqtG>{n^s+_IHN% zJ^t&{uhmtJ1G&<{d}-m&)XxWwyzv<&z4)6}r}FuHu62H)T7^Bi-hn*m`r`PIxJ4=6j4qDqG4H zsCg?H;bvnO%v3}Cb|pvKB338HM}iaMYm%+*HCBFh&_8`8GGCw`)QoHuo1Q`cOx#9! zFyDeaE>QCUHH*|-qUJ+taKkFNLd{3mr~vk1_BEJpz_y`=@?MnTT@(YDBMWXD;J8yK z%7^zU!bNPnsK$d?cG`vJ9R@1e+~!S!nWCT_q1R}^z)I7TydtBL$YT#MLm1gzi ztX4H7|4t0NO%UiK(EI<+6u$*}al-q}gz59;Ff4cDEqP3$ESn%+Q&>-?qXN7h z*#cxVQuC{e1EoFr!}Xg%Y=8@Bh1r{Aj%~|lx43B8n&CafAWMaH`6JO@cE;?ct8c&1 z)Kvf}G<*O9>bsFX8O$Al_L}*$;}aROX!;Ni7*hp!XRrfs!4=AmfX_O5-i_$Tj;m>y znX1fJbc>j4RPXd4ZU%)DM#H;)(TKmVM%x|kgz3(7fM3{80S{o4Q80l957)tSaFLlU z5zIq8)Q?IV+^W-U7`!bFPfBnP8ZkBk5Mnr-$ae1(d0}vU-gK-zcsnAUcO*Q-VakzP zMnm%%b-FN$I2!!6Hh(v-smB8z97E5Y1`{W11!7VJy!*`Q+ks6~RK#GEMV%-Lr7 z{UUSLA}q_=<9#B^h-FJL-=U|}Zbdjd(#%?a;`+IZ4{fBG^p28K-hk?w|XfA;I zN8f_TsuMP0;1sWgx6H?0^9p=dQV?Q#k2>iRb0X9IEIY`zDB2siFRV&q7emfgghXCM z8L@Q8aJq(~MK4vFp(=){ZJ^GFow`U7uf)_7EuDOwu1P)7!)9zdPU_;(X#T$GAN}Vf zmO2+muSq;Al#vqABvhWLR;oO)0XJ6ClGw@$c<2FCIy0#^}d9(r`Nxy}@Ke$14Aqe8j^lq$620j9G-(-6l5RTz15XFH|A~ zI3az&UUo#I$5F$V9sDJH8*x-$Lp)tc7N2OK?3l&i#0DIEHUeD*MTp}QO(H&d4?+C# zKX8jrG>lJ#7@XLEgHN28KM~^iM3ab5-hB{0emTJSh%(WnN5)Q0j(6jmpn6ZgvCu0Z z??xyBzge&uiiR^3A(l{Vz{yaYI71QQ*J05lX1Ezgr9$|nhs{tl^}OmmH^VJX1b$;; zBZ#K%SMRwIC|ATqy$OfH)y>LmUv|0B8OHgv`vmo%OTdMq(vTcHYdJ`OVw+ zW;dBDlkt>NTM94u^PS1~=r8}gy+oR$rlu79{ryk(p`>;XsPtUESS>E+&K4G+n7??i z>t!1&S?_wS?f(e#KmWV@!v-uWwbu(ScngE9+}KHXAI>($*>T3b>#e&}S>LO-I!)j8 z>n%S$J2B?cZ({t>Gp_G-wi@l)TC4ft`jJDvx8}9|+cSsS-UrRrq>F=%9uD|!t1<0% zYF?|8al4IXt6p1ex!zjyhWj`sO%uKXN2%R-%u(}`@=5|EyxaW8ybQez*4ZJ1&0nGqSiU;;mD}UfmRaNwoT$TiER>Jk+?&mL*g!p9TX}C=djjZ zvWItP@h%QN4YN$ZRb#`T!xuE|OBBwa#eprJbOzlga5kR;2c9w1L8WF`c#pH-C}7%# zw*HW+m>nK8g7tcs9*9G>C$bWUsDldS5{n!NU8QLW&u)05lAKkhQdUQrm+E24$1w0I zMB$at{lNMEM>Xcavqpde#ayMFznpuuFxS7mg>~Mi=Rwa4zM=I;h!}j!PlBX=NFhax z&$*~lEzT_&sm$YVROIkek-38>zTb-}Wdege7Z$E754sZ323JPm3g*!Dbl+70wx)0Z zwoRpGD8V7E>pg8+lI?YG$I}w)>fv_glROFv6Ap$q=M6^uV+?&~&4j6`R2+YBo&qkS za21T8!}EQ3ULVnuxpCL$O3p>K4M1&u$P)>D-2VDQN#rVz#E6H6rDaf6Q=e8?vjs9_nRSUA>z!6%cL zPZXO^ERlRC3_gDMgnVLQK2aNz5mT%_o*fzLN$YzZRLVhD#=vRK0P%xthg~ zqyC-#B+xrVJ_M-W@jLar>|n8Qg`!vmSp!CeGKnh`#ja2+krm442l4UiKI9V%^NC{N zSOW&1OkzG!Y(B9>^2tXJ@$r{P$R`%&6UD-@1`Ix##C)RId}4{@lMgN8qmLvA`NYC} aqF6ZAfWaq|m`@a&Pb|ZH2$R8ooBjjYF{0c6 literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/stage_ex_mem.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/stage_ex_mem.vdb new file mode 100644 index 0000000000000000000000000000000000000000..afa0fab805bb4a3902b5c00b410f60c11a8178c0 GIT binary patch literal 2905 zcma)8&2Jl35Pxg0^HHFL@FgKt3ABZB;JZi_C}>vcrhX2#FFob7bW^k5R2&c|5NZ;N zS`JQBg|rHSuqK37sX!5zrXW!M08Sh#4ybT|Gk-u2?##TM^|RNNSZT*Q-@ke9%{-5v zn<$Pdl_-4RpARRBBe(B#zL0#=0PzR^|T3l;-v$_H`zwuyISD~Rn zpY-Br?f0>FuilyX3+9yC@1zgBk5MW!3#(QA6*M;3axaq^mlP&hE8heJTilOi<`AqaaE`e)SqWR@2Z9ZKW^yw z#=q_#bLX!9LZyFy$EaPcwSREuM!OAV|71{uN*t|UYk&0U_74SPSD-BHKxFVI>y>%{ zms9OOk)k9}^deeY?7kfa^Gx~`1jXIh*jPsedhJWOJ^O)7cgdA148wb9dN|GLdQkV> z%TQm3D@9tT^GKGQh}|^Vt=-q|XlhqlZB4CQD6JlvT6t`6#Aj2>;-?pv@O=c9 z2jzWE;w?^XU2m1fkRIxZS5(Ih!ee5&%$DQ#w|qXe96A#~+zf`!?BdUEE~;|in-Z?X z7Ljj>Y!ms8$TcF@k*ESx5qGE5!LM2TDngsYOkFr{Z7UjVlH1ovoL-Fs2|K-k=1-zG zpH&B5wAfx|(bDiqWWrIv*7Y6GK2|Yyc-#us>0o*w9CC;dE8!5fm!Vvukz;GBv^80W zWgn`OFYwdhMtDq_1yt%@Ap6*21X%kI#mq%MX-^l9m)_H=p> z-t-w)$^{QiU+tPIz!8!OVB2OEEhcTbC3B!{O=)Z8(#|DAv9b;xXzt4qP?)ecyzSQ; z@$WITJz*ytog6LV3#TdI9Fm6=457hmUGTg@!k0|DGv$uMb)kHsH{*(Cmg!N7b-XW@ z@Fg=4m~!K$u|)6kNF!oeonpP-7fbkTWbouL6Tl!73mJSg{&;GrVR#B79?l}BW92Oe zmjbWZOv43=*;p9FWxde7TXF)PR}O@BgpVy|7!%S1FHs^}W8^5LNk4+WgzpGSO|v;> z88UpLVSK{m;N%1rK5=3c!sPLZW+1+!7Cs)v89vd#B9x?>>?+M07kVG@Obm6ns#VNelyIop zEu?!wL^H5LxnKz&-+viC(J($?a&U423!gYKK4J3sL^BYdT7%g5P;u$chk7-MyXSX1ga89fB2CoK?R~Bqh|#}>{9#EQ%+VLs@AQLkPrtD zs@-hKmd3772@nZE)^4bq2$XA!Ksh7Mhyy}Bz!`CcgPEDPvwrs5NUY?^&YO8N-@JWq zc9Xd>Wv7MM5OB#q-k-8ZfBE;_CDbf2JuT#4KmPncmc`C~F*cts)k>FgX9`7G%3nC( zFK7LgY;dFA3E%tsr+@BW&lKgN5WBtTlJ7u}<(pe${zTTFB*$6rUeNNUvtiI^cblOX zHrnCX+~l~2zsZTmPkUj|-S9j0)pqma*5QL;uo`s2JF^En!AH&Zlm~-;4+cW7?az4K zdeH7>ylubPZq!%WUa;D{={*Ta#}QvhONbpiWeNETP^IkUPB>bu=8EN9?c(g0OK0Es z?X6Gyrjbg%cA-$){?qw&@yh2vVBz2XuFOuMP{@yMZHJ*;$iG%8$ztu|+THMjiOug) z$}fdkXab7lTe1t`!D5QtM+5S^fLXg-uKqL*XbUbx zDlOjz$C)UjlauAV^NB34$9!QTCfhzuSBI9b>-*^!s8@zvGdgd#jmk z`R=VF+1BCY%qJpG`}#@)euw0>B)+FOUOn6CHr;WMM+V~A;*_f7Y4*HEo|(NppNTw2 z&R1mZQf1`)4*c0kj`}P|ZBW!hiBW|m+b*na2gMx}n<&0PaTi4w#XS^TAVf-@g<5-V z5AM#uoh?HgCh5ql+J*s#uW;JeAgn=)B{yi&X>gx}*>nml`JAQ>Ds|05dz=JCk-BYY z>kp}d*ySTyuwD<+OXT3~39QJ$>Y#$T*un=wRjFIzvm4(Cm$LFy%xZD-5%A=Oyps`U6Bteos#VrFuv)MT*Wj zt6VM2Eo-Ut)1W7t{ur8R7?Wz%CH&ka8W4$yKi*;AE5R!CuqgZTrs9B;=M;>Fn zJQRy{S2Yfj>hvovwmZ~NQK-&=v0x#hO)RJe*5igneTy1OM8+Q6cCq-JNPKlzGPa}}{*C5J7Cw&p zcj}Ws?GXMDzlA8BNwrvLx| literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/stage_mem_re.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/stage_mem_re.vdb new file mode 100644 index 0000000000000000000000000000000000000000..08522d2ad6a56da6c89cd8941b97acb3a245811e GIT binary patch literal 2905 zcma)8-D@0G6u+}On~z#+8oxj&jkeYL;CF?hM9z>-x_9I5zVs;|jF~jdPKpmAJ}A;8 zR#L%DC^40Y##v)*Nl`*YOcf=6K%d125g+Wce?TABbIzSJdv|9G4$Rp(-@kM3J?HM| zg`>q`r4oe?{PW(?;?VDR-n5wTgBVE;TbbhZH>$5?4GeM!hgn}pu{hg%Y>9Dbu%=tlB z_G)3GtHEUQ1ZF)<1t@To+AS6w1+OBkLh-|`)=(w%Ds@Es!WXmEvwxodw4)jb{CG~s zH}1H9OkeoyS1SFxzl_?|TKk7~ZnRoZ_Rj=0sKn9wwbuI&Zhv1eb_L494n+EYvRffBPmJ(MK7YY#qR52Fw3M@K~UU{jg56wpx3^X+qEAD?Il;LFbHp<>9I7Y>p|Uj zuRwhrt`=#XE+VB0PAj%ME_M@Sw{}mv6RBNkwK=|WskC}zeC4735uZ#giytj7;(HjD z`sIB};w_ACU2m2~kRIuZS5&7A!jodT#FnG?wtPCZ9GD3pZUh4}yZE!4i>e&>x`ZpS zMdTYI+eE%4a*fD!B&q;a#N95n@oNgdiqIl4Qx`5;+lmI8WL;&szZw)Vwh8=egh{sXi`!a!y)>#bThHi=|OnY zXH+Q{JT!f=W2yj0NG5=7n^~}!wB;7ffwncJt(D6=mkq_r+IXP3FNZ*3!tU_4Uw6d6 zN6_}Pop5+;xQH*Dqkz*$?pH8?1}}BM^9l)HGVRWkI}X={@`>JzE1FrRM=92+o>;<{ z%s^nujhn_2y~`twh-r0-^>R-v;j@v!lfz5^gG?-B@X`3=si6kpF^qUDiUVGx(~eCKY-33y&P5ZVzwu$VziNDn+miENFLqmU;35dIRr<0v)F=9p#3 z@QH@;36q196Il4fiBSlX$0wS;_)b{(co=8+L<0+0hA=reIe~>woEV=ld3>Vji%+gI z>R`Sn7#~3r&G6!-kDJTmc(HfB%%x{`K(0E9z?aN9IU%Cq3WdoPloMDLiW65T%wSqb z=Y)u+Z-sKf5G{A*!bJSix~`^es`zmlm!f$7=F+*8-=*)ry_Lqg zaokV5N)%337h18d=p;UIqm}3*VYHG$>7RxoP9y(v+VFJLIG;}WVN~*hDAkp4B7Gfv zW1O}kN2%q4>nQpF3Au$cKeb27kyoyINqpdkdgaL9C%);KhC@G@(#e&7ihmuO`R+F^ z`0B&VT+~|on=W5zx2fcR6b4jI;)P4?&tJND&CUE=W)T1{&)$k%sUn)F*0$wYa#H*v zTU%W`8ijRP1`V7_adB~R0S2JfOu5}tz~&scc~vQw&(5VvL8;DA2fIn^3e+Cr=I~mi zQ&ui;Sb~x+U~&2_4y6KmAMURJEe&>Pza{nyvzA5LCyPWO+cwbE0Z~crwABjM>42U% z4^ugE=oD6_e+vS{l??EU9NMQA zlcMX;8T+eNKqg>+`Vho&Muuub^UJSWbB8gdy|SZOYUy%qtm4p&piGYBhV+9AVL)Ix!Xalq^HmS2geBuir zVAqcD0$xjqw?wTe3Mz6TN zCw9kW&`m_&NTX?HnRBgy?VxUYWcuVKUYzaA%xVTk61)3>akjET%8uhe<{Af}#1Q~% z0bs6umbNEsi_nPHi7JM&YK+4Wt&rpva{NOIMP|ZpwRr8jpm3q9kb|q$LGk2#y-25k zG9!OybpO1?Q{L?!A>uYA#`U=0y+W%Pxu@yPg4adD*bc^QJkXhOjWT4XRHtfVvQwyW zv@`$5@R-EIZHyu`Y567pcro7OW=&Lqu>*`&d}U|Gbzq!`cXnnBgVBgD&M~Mja)0&{ z^;i0FZ}aPK{Q5h;{=u(z_;r?F@6s!BkC~~D++VmuU0i17nm4$(yp`6(QC$qHAUhaK z(myp>8><{nD?`p8lNY-w>)}ZQN}L2eZU+n59&aZjFL^ipa(q~6j!&`;@u5fJ1IUGw zGnn`=NTLA9$A_Md@nN5Fe6kQBKJ-X@0J(5-1`{6!NqhkL_|VfaKJ06bPxi>&SYPx= zd;qy{at0G021$GX`S{S&F+Q9sj!(9)#8;=4M^8`V$g{2aU36`vEq^ln4#BBo53=`z z2lS-l(w6mrQ^_9W-~j9^hJ@Bb>!2^2IacN6Epwc>03sttaIx;mmdU#|{ zWp~KF1(kY|-VunPGG zCHL_s4_8rF9T}Uf5`2N-Q4c%n(52;rN>pb!uk!(dqE8l2UR3fXC8u@P1@bK*x0HP4 zD&$=tpHTA7Rmiu3+)(nx-$AB%IX9~0?#dW{V@-^P26Z?(l!4*Cq?ICD-Pq(3q>B)> z_zLRVLnK~DAp+1PSof8ghr=3{T9@2?#uARb+-|)9#Iy0Nub=D%))MxB{&}EJ>!YNu~g~#Bv6c zDF#WV0QpSO(fIGX+AXz^i78n~XB$x+9swCYb`{63ZD(rWhod0^~DAPp3@L=QB+aX9|Q&fmh8G z*Dz(uwNa9TE&XgsTmAz^P6uf1{`pAYx+bSwD#a;msjIYQoPw5jiff&ma^)1Ku%)ij zmT?MN-YKqsa>|8NoWhp6N?XP$Xl+ir+*j;oi6&t`tsh_Q^oU#FwcM5z4%M*WqRTBB z)H|0f`De~FWuL7p46ZNS?B4R$?N^d6fh!1oX36$M-J z1n9MS!f5i86}{Jr0+49pr_^sFS>8E~w;z)Wwuk~;Vnt!hivHY+0v>g~2v!cA$!rlc~+w_7hq5wa! znZlSAz0ZmQ$SL&;X_}hp0M-<25d~;Fr7&hi@3*1=tmG>KPcND(dKpB)7EypbHd7e0 zq7PV608*lYz^TfbYEwnGVokvoQ2?&t{75~5F)R8@B7`*skaG%AlJ-p%-40Q(MHGOS zkslF-F)R8jA_P%@wPgynhyqNDq>935M70S1T4fO)aOZz!Y7qp<7eOGIAt`=*zV$&- z2}SThn-*c}fwSvZ4T_B*$gR*CPoyLA*oTwKRY&q5y40 z5XP)1uRzT6$`?3*rbm?slf+GA+OMKuizvV*^2Lv<8-H2RhlmhF0sJ=N1ogm369)#T z6l@U%Xe)v+WvO%)9nIM^Zz&{hOt%!>ZTiUM#E3Kv^ws%R*JV2dcg zE#!|MnO+#PqBBGY(+l7?lGYY!L-$D}pd) zMQc_RfHT#FG)>KP8#WTyA_~w}1YyjI9=4(YoM{jZO%=TwqF{?C03RKGWKCf-qS`w^ H|6BQ6>LNu< diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx index df2a38f..41ca37f 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx @@ -2,5 +2,14 @@ 2018.2 Jun 14 2018 20:07:38 -/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd,1685384562,vhdl,,,,test_alu,,,,,,,, -/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd,1685384160,vhdl,,,,alu,,,,,,,, +/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/test_total.vhd,1685388243,vhdl,,,,test_alu,,,,,,,, +/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd,1685386043,vhdl,,,,alu,,,,,,,, +/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/IP.vhd,1685386043,vhdl,,,,ip,,,,,,,, +/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd,1685386043,vhdl,,,,instructionmemory,,,,,,,, +/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd,1685386043,vhdl,,,,datamemory,,,,,,,, +/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd,1685388854,vhdl,,,,pipeline,,,,,,,, +/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd,1685386043,vhdl,,,,registers,,,,,,,, +/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd,1685386043,vhdl,,,,stage_di_ex,,,,,,,, +/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd,1685386043,vhdl,,,,stage_ex_mem,,,,,,,, +/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd,1685386043,vhdl,,,,stage_li_di,,,,,,,, +/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd,1685386043,vhdl,,,,stage_mem_re,,,,,,,, diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xvhdl.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xvhdl.log index afa41cd..717e173 100644 --- a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xvhdl.log +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xvhdl.log @@ -1,2 +1,2 @@ -INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd" into library xil_defaultlib -INFO: [VRFC 10-307] analyzing entity Test_Alu +INFO: [VRFC 10-163] Analyzing VHDL file "/home/rlacroix/Bureau/4ir/syst info/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Pipeline diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xvhdl.pb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xvhdl.pb index 57833cc129cdd43fa4a036315c4e2cbd3e772c37..f7a006d6dd0b5dedbffac1f146600b92624da808 100644 GIT binary patch delta 91 zcmaFN^pc5*>&HYU2lXaKt~~vW{M=OiqMXF!qWsJXeW%i*)WlMKlguLh;>zL@h0MIP o{E68{qWPso$*INhhWdG_<@y1c1*tiid8rdu)o{Srll2%)0ouAD0ssI2 delta 85 zcmaFK^q7f>>(fLg2hCcpO#O`f+*JL clock +); + +Clock_process : process +begin + clock <= not(clock); + wait for 100ns; +end process; + + +end Behavioral; diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd index 9c1a093..bafb897 100644 --- a/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd +++ b/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd @@ -38,7 +38,7 @@ end Pipeline; architecture Behavioral of Pipeline is component IP is - port ( CK : in STD_LOGIC; + port ( CLK : in STD_LOGIC; RST : in STD_LOGIC; -- rst when 0 LOAD : in STD_LOGIC; EN : in STD_LOGIC; -- enable when 1 @@ -106,7 +106,7 @@ architecture Behavioral of Pipeline is component ALU Port ( A : in STD_LOGIC_VECTOR (7 downto 0); B : in STD_LOGIC_VECTOR (7 downto 0); - Ctrl_Alu : in STD_LOGIC_VECTOR (2 downto 0); -- 000 + / 001 - / 010 * / 100 Div + Ctrl_Alu : in STD_LOGIC_VECTOR (7 downto 0); S : out STD_LOGIC_VECTOR (7 downto 0); N : out STD_LOGIC; O : out STD_LOGIC; @@ -166,10 +166,11 @@ architecture Behavioral of Pipeline is signal Re_W : STD_LOGIC; -- to control jumping and where to jump - signal addr_to_jump : STD_LOGIC; + signal addr_to_jump : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); signal jump : STD_LOGIC; signal nop_Cntrl : STD_LOGIC; + signal OP_LI_DI : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); begin -- instructionPointer @@ -177,7 +178,7 @@ inst_point : IP port map ( CLK=> clk, Dout=> IP_out, Din => addr_to_jump, - RST => "1", + RST => '1', EN => nop_Cntrl, LOAD => jump); @@ -202,9 +203,9 @@ Stage1 : Stage_Li_Di PORT MAP ( -- Registers StageRegisters : Registers PORT MAP ( - Addr_A => Di_B, - Addr_B => Di_C, - Addr_W => Re_A, + Addr_A => Di_B(3 downto 0), -- becquse the registers are on 4 bits + Addr_B => Di_C(3 downto 0), + Addr_W => Re_A(3 downto 0), W => Re_W, Data => Re_B, Rst => Rst, @@ -296,11 +297,8 @@ Ex_FinalB <= Ex_B when else Ex_Res_Alu; -- LC pre ALU -Ex_Ctrl_ALu <= "000" when Ex_Op = x"01" --ADD - else "001" when Ex_Op = x"03" -- SUB - else "010" when Ex_Op = x"02" -- MUL - else "100" when Ex_Op = x"04" -- DIV - else "111"; --ERROR +Ex_Ctrl_ALu <= x"00" when Ex_Op = x"05" or Ex_Op = x"06" or Ex_Op = x"07" or Ex_Op = x"08" --(not using ALU) + else Ex_Op; -- Mux post data memory Mem_FinalB <= Mem_B when @@ -325,13 +323,13 @@ Re_W <= '0' when Re_Op = x"08" --STORE else '1'; CU : ControlUnit port map ( - Op_DI => Li(31 downto 24), Op_EX => Di_Op, Op_Mem => Ex_Op; - A_EX =< Di_A, A_Mem => Ex_A; - B_DI => Li(15 downto 8); - C_DI => Li(7 downto 0); + Op_DI => Li(31 downto 24), Op_EX => Di_Op, Op_Mem => Ex_Op, + A_EX => Di_A, A_Mem => Ex_A, + B_DI => Li(15 downto 8), + C_DI => Li(7 downto 0), CNTRL => nop_Cntrl); -end Behavioral; - -- in case of alea : replace li(31 downto 24) by NOP - OP_LI_DI<= X"ff" when nop_Cntrl='1' else li(31 downto 24); \ No newline at end of file + OP_LI_DI <= X"ff" when nop_Cntrl='1' else Li(31 downto 24); + + end Behavioral; \ No newline at end of file diff --git a/VHDL/ALU/ALU.xpr b/VHDL/ALU/ALU.xpr index 41a3ef6..90e3ff5 100644 --- a/VHDL/ALU/ALU.xpr +++ b/VHDL/ALU/ALU.xpr @@ -3,7 +3,7 @@ - +
+ + + + + + @@ -115,13 +121,6 @@ - - - - - - -