From 22c945e716feca8c4edecdc5fa18442cfe106372 Mon Sep 17 00:00:00 2001 From: alejeune Date: Mon, 29 May 2023 13:58:26 +0200 Subject: [PATCH] Added VHDL part of the project --- VHDL/ALU/ALU.cache/wt/gui_handlers.wdf | 55 ++++ .../ALU.cache/wt/java_command_handlers.wdf | 12 + VHDL/ALU/ALU.cache/wt/project.wpc | 3 + VHDL/ALU/ALU.cache/wt/synthesis.wdf | 39 +++ VHDL/ALU/ALU.cache/wt/synthesis_details.wdf | 3 + VHDL/ALU/ALU.cache/wt/webtalk_pa.xml | 93 ++++++ VHDL/ALU/ALU.cache/wt/xsim.wdf | 4 + VHDL/ALU/ALU.hw/ALU.lpr | 6 + VHDL/ALU/ALU.ip_user_files/README.txt | 1 + VHDL/ALU/ALU.runs/.jobs/vrs_config_1.xml | 5 + VHDL/ALU/ALU.runs/.jobs/vrs_config_2.xml | 5 + VHDL/ALU/ALU.runs/.jobs/vrs_config_3.xml | 5 + VHDL/ALU/ALU.runs/.jobs/vrs_config_4.xml | 5 + VHDL/ALU/ALU.runs/.jobs/vrs_config_5.xml | 5 + VHDL/ALU/ALU.runs/.jobs/vrs_config_6.xml | 5 + VHDL/ALU/ALU.runs/.jobs/vrs_config_7.xml | 5 + VHDL/ALU/ALU.runs/.jobs/vrs_config_8.xml | 5 + .../synth_1/.Vivado_Synthesis.queue.rst | 0 VHDL/ALU/ALU.runs/synth_1/.vivado.begin.rst | 5 + VHDL/ALU/ALU.runs/synth_1/.vivado.end.rst | 0 VHDL/ALU/ALU.runs/synth_1/ALU.dcp | Bin 0 -> 36220 bytes VHDL/ALU/ALU.runs/synth_1/ALU.tcl | 52 ++++ VHDL/ALU/ALU.runs/synth_1/ALU.vds | 238 +++++++++++++++ .../ALU.runs/synth_1/ALU_utilization_synth.pb | Bin 0 -> 276 bytes .../synth_1/ALU_utilization_synth.rpt | 179 +++++++++++ VHDL/ALU/ALU.runs/synth_1/ISEWrap.js | 244 +++++++++++++++ VHDL/ALU/ALU.runs/synth_1/ISEWrap.sh | 63 ++++ .../synth_1/__synthesis_is_complete__ | 0 VHDL/ALU/ALU.runs/synth_1/gen_run.xml | 33 ++ VHDL/ALU/ALU.runs/synth_1/htr.txt | 9 + VHDL/ALU/ALU.runs/synth_1/project.wdf | 31 ++ VHDL/ALU/ALU.runs/synth_1/rundef.js | 40 +++ VHDL/ALU/ALU.runs/synth_1/runme.bat | 11 + VHDL/ALU/ALU.runs/synth_1/runme.log | 237 +++++++++++++++ VHDL/ALU/ALU.runs/synth_1/runme.sh | 39 +++ VHDL/ALU/ALU.runs/synth_1/vivado.jou | 12 + VHDL/ALU/ALU.runs/synth_1/vivado.pb | Bin 0 -> 24295 bytes .../ALU/ALU.sim/sim_1/behav/xsim/Test_Alu.tcl | 11 + .../sim_1/behav/xsim/Test_Alu_behav.wdb | Bin 0 -> 7475 bytes .../sim_1/behav/xsim/Test_Alu_vhdl.prj | 7 + VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.log | 55 ++++ VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.sh | 27 ++ .../ALU.sim/sim_1/behav/xsim/elaborate.log | 17 ++ .../ALU/ALU.sim/sim_1/behav/xsim/elaborate.sh | 26 ++ .../ALU/ALU.sim/sim_1/behav/xsim/simulate.log | 2 + VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.sh | 26 ++ VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou | 12 + VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log | 13 + .../sim_1/behav/xsim/webtalk_31637.backup.jou | 12 + .../sim_1/behav/xsim/webtalk_31637.backup.log | 13 + .../sim_1/behav/xsim/webtalk_32017.backup.jou | 12 + .../sim_1/behav/xsim/webtalk_32017.backup.log | 13 + .../behav/xsim/webtalk_831173.backup.jou | 12 + .../behav/xsim/webtalk_831173.backup.log | 13 + VHDL/ALU/ALU.sim/sim_1/behav/xsim/xelab.pb | Bin 0 -> 1964 bytes .../Test_Alu_behav/Compile_Options.txt | 1 + .../Test_Alu_behav/TempBreakPointFile.txt | 1 + .../Test_Alu_behav/obj/xsim_0.lnx64.o | Bin 0 -> 16416 bytes .../xsim/xsim.dir/Test_Alu_behav/obj/xsim_1.c | 106 +++++++ .../Test_Alu_behav/obj/xsim_1.lnx64.o | Bin 0 -> 4560 bytes .../webtalk/.xsim_webtallk.info | 5 + .../webtalk/usage_statistics_ext_xsim.html | 53 ++++ .../webtalk/usage_statistics_ext_xsim.wdm | 38 +++ .../webtalk/usage_statistics_ext_xsim.xml | 44 +++ .../Test_Alu_behav/webtalk/xsim_webtalk.tcl | 32 ++ .../xsim/xsim.dir/Test_Alu_behav/xsim.dbg | Bin 0 -> 3796 bytes .../xsim/xsim.dir/Test_Alu_behav/xsim.mem | Bin 0 -> 2805 bytes .../xsim/xsim.dir/Test_Alu_behav/xsim.reloc | Bin 0 -> 478 bytes .../xsim/xsim.dir/Test_Alu_behav/xsim.rlx | 12 + .../xsim/xsim.dir/Test_Alu_behav/xsim.rtti | Bin 0 -> 318 bytes .../xsim/xsim.dir/Test_Alu_behav/xsim.svtype | Bin 0 -> 16 bytes .../xsim/xsim.dir/Test_Alu_behav/xsim.type | Bin 0 -> 6760 bytes .../xsim/xsim.dir/Test_Alu_behav/xsim.xdbg | Bin 0 -> 1776 bytes .../xsim.dir/Test_Alu_behav/xsimSettings.ini | 41 +++ .../xsim.dir/Test_Alu_behav/xsimcrash.log | 0 .../behav/xsim/xsim.dir/Test_Alu_behav/xsimk | Bin 0 -> 31496 bytes .../xsim.dir/Test_Alu_behav/xsimkernel.log | 7 + .../xsim/xsim.dir/xil_defaultlib/alu.vdb | Bin 0 -> 5551 bytes .../xsim/xsim.dir/xil_defaultlib/test_alu.vdb | Bin 0 -> 7062 bytes .../xil_defaultlib/xil_defaultlib.rlx | 6 + VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.ini | 1 + VHDL/ALU/ALU.sim/sim_1/behav/xsim/xvhdl.log | 2 + VHDL/ALU/ALU.sim/sim_1/behav/xsim/xvhdl.pb | Bin 0 -> 355 bytes VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd | 107 +++++++ VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd | 58 ++++ .../sources_1/new/InstructionMemory.vhd | 52 ++++ VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd | 63 ++++ VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd | 287 ++++++++++++++++++ VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd | 71 +++++ .../ALU.srcs/sources_1/new/Stage_Di_Ex.vhd | 59 ++++ .../ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd | 56 ++++ .../ALU.srcs/sources_1/new/Stage_Li_Di.vhd | 59 ++++ .../ALU.srcs/sources_1/new/Stage_Mem_Re.vhd | 56 ++++ VHDL/ALU/ALU.srcs/sources_1/new/register.vhd | 0 VHDL/ALU/ALU.xpr | 209 +++++++++++++ VHDL/ALU/Test_Alu_behav.wcfg | 63 ++++ 96 files changed, 3209 insertions(+) create mode 100644 VHDL/ALU/ALU.cache/wt/gui_handlers.wdf create mode 100644 VHDL/ALU/ALU.cache/wt/java_command_handlers.wdf create mode 100644 VHDL/ALU/ALU.cache/wt/project.wpc create mode 100644 VHDL/ALU/ALU.cache/wt/synthesis.wdf create mode 100644 VHDL/ALU/ALU.cache/wt/synthesis_details.wdf create mode 100644 VHDL/ALU/ALU.cache/wt/webtalk_pa.xml create mode 100644 VHDL/ALU/ALU.cache/wt/xsim.wdf create mode 100644 VHDL/ALU/ALU.hw/ALU.lpr create mode 100644 VHDL/ALU/ALU.ip_user_files/README.txt create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_1.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_2.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_3.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_4.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_5.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_6.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_7.xml create mode 100644 VHDL/ALU/ALU.runs/.jobs/vrs_config_8.xml create mode 100644 VHDL/ALU/ALU.runs/synth_1/.Vivado_Synthesis.queue.rst create mode 100644 VHDL/ALU/ALU.runs/synth_1/.vivado.begin.rst create mode 100644 VHDL/ALU/ALU.runs/synth_1/.vivado.end.rst create mode 100644 VHDL/ALU/ALU.runs/synth_1/ALU.dcp create mode 100644 VHDL/ALU/ALU.runs/synth_1/ALU.tcl create mode 100644 VHDL/ALU/ALU.runs/synth_1/ALU.vds create mode 100644 VHDL/ALU/ALU.runs/synth_1/ALU_utilization_synth.pb create mode 100644 VHDL/ALU/ALU.runs/synth_1/ALU_utilization_synth.rpt create mode 100755 VHDL/ALU/ALU.runs/synth_1/ISEWrap.js create mode 100755 VHDL/ALU/ALU.runs/synth_1/ISEWrap.sh create mode 100644 VHDL/ALU/ALU.runs/synth_1/__synthesis_is_complete__ create mode 100644 VHDL/ALU/ALU.runs/synth_1/gen_run.xml create mode 100644 VHDL/ALU/ALU.runs/synth_1/htr.txt create mode 100644 VHDL/ALU/ALU.runs/synth_1/project.wdf create mode 100644 VHDL/ALU/ALU.runs/synth_1/rundef.js create mode 100644 VHDL/ALU/ALU.runs/synth_1/runme.bat create mode 100644 VHDL/ALU/ALU.runs/synth_1/runme.log create mode 100755 VHDL/ALU/ALU.runs/synth_1/runme.sh create mode 100644 VHDL/ALU/ALU.runs/synth_1/vivado.jou create mode 100644 VHDL/ALU/ALU.runs/synth_1/vivado.pb create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_Alu.tcl create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_Alu_behav.wdb create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_Alu_vhdl.prj create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.log create mode 100755 VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.sh create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.log create mode 100755 VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.sh create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.log create mode 100755 VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.sh create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_31637.backup.jou create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_31637.backup.log create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_32017.backup.jou create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_32017.backup.log create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_831173.backup.jou create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_831173.backup.log create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xelab.pb create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/Compile_Options.txt create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/TempBreakPointFile.txt create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/obj/xsim_0.lnx64.o create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/obj/xsim_1.c create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/obj/xsim_1.lnx64.o create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/.xsim_webtallk.info create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/usage_statistics_ext_xsim.html create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/usage_statistics_ext_xsim.wdm create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.dbg create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.mem create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.reloc create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.rlx create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.rtti create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.svtype create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.type create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.xdbg create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsimSettings.ini create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsimcrash.log create mode 100755 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsimk create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsimkernel.log create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/alu.vdb create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/test_alu.vdb create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.ini create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xvhdl.log create mode 100644 VHDL/ALU/ALU.sim/sim_1/behav/xsim/xvhdl.pb create mode 100644 VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd create mode 100644 VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd create mode 100644 VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd create mode 100644 VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd create mode 100644 VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd create mode 100644 VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd create mode 100644 VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd create mode 100644 VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd create mode 100644 VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd create mode 100644 VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd create mode 100644 VHDL/ALU/ALU.srcs/sources_1/new/register.vhd create mode 100644 VHDL/ALU/ALU.xpr create mode 100644 VHDL/ALU/Test_Alu_behav.wcfg diff --git a/VHDL/ALU/ALU.cache/wt/gui_handlers.wdf b/VHDL/ALU/ALU.cache/wt/gui_handlers.wdf new file mode 100644 index 0000000..a4efb65 --- /dev/null +++ b/VHDL/ALU/ALU.cache/wt/gui_handlers.wdf @@ -0,0 +1,55 @@ +version:1 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6162737472616374636f6d62696e656470616e656c5f6164645f656c656d656e74:3336:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6162737472616374636f6d62696e656470616e656c5f72656d6f76655f73656c65637465645f656c656d656e7473:3133:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f63616e63656c:36:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:3430:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636d646d73676469616c6f675f6f6b:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f6e616d65:3132:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:646566696e656d6f64756c65736469616c6f675f646566696e655f6d6f64756c65735f616e645f737065636966795f696f5f706f727473:313438:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:646566696e656d6f64756c65736469616c6f675f656e746974795f6e616d65:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:65787072756e7472656570616e656c5f6578705f72756e5f747265655f7461626c65:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:313539:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f6d65737361676573:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:3335:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6372656174655f6e65775f70726f6a656374:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6f70656e5f70726f6a656374:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f666974:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f696e:3133:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f6f7574:3231:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68636f6465656469746f725f636c6f7365:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f636865636b706f696e74:3134:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f65646974:36:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f6578706f7274:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f66696c65:3434:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f6970:37:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f6f70656e5f726563656e745f70726f6a656374:3132:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f70726f6a656374:3239:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f73696d756c6174696f6e5f77617665666f726d:3132:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f746578745f656469746f72:36:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e746f6f6c6261726d67725f72756e:36:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6f70656e66696c65616374696f6e5f63616e63656c:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6f70656e66696c65616374696f6e5f6f70656e5f6469726563746f7279:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6164645f736f7572636573:3133:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f7570646174655f68696572:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f72756e5f73796e746865736973:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72656c61756e6368:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e5f6265686176696f72616c:3236:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7372635f7265706c6163655f66696c65:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f636f6465:3133:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f646576696365:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f70726f6a6563745f73756d6d617279:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f64656c657465:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f736176655f66696c65:3130:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72646976696577735f77617665666f726d5f766965776572:3739:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7361766570726f6a6563747574696c735f73617665:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d756c6174696f6e73636f70657370616e656c5f73696d756c6174655f73636f70655f7461626c65:38:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f6f725f6372656174655f736f757263655f66696c65:3135:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6372656174655f66696c65:3132:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7372636d656e755f69705f686965726172636879:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73746174656d6f6e69746f725f72657365745f72756e:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636173746174656d6f6e69746f725f63616e63656c:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74636c636f6e736f6c65766965775f74636c5f636f6e736f6c655f636f64655f656469746f72:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d6e616d65747265655f77617665666f726d5f6e616d655f74726565:3336:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d766965775f6164645f6d61726b6572:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d766965775f6e6578745f6d61726b6572:32:00:00 +eof:3153781590 diff --git a/VHDL/ALU/ALU.cache/wt/java_command_handlers.wdf b/VHDL/ALU/ALU.cache/wt/java_command_handlers.wdf new file mode 100644 index 0000000..935a070 --- /dev/null +++ b/VHDL/ALU/ALU.cache/wt/java_command_handlers.wdf @@ -0,0 +1,12 @@ +version:1 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464736f7572636573:3134:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6564697464656c657465:32:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6e657770726f6a656374:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e70726f6a656374:32:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e696d706c656d656e746174696f6e:33:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e73796e746865736973:36:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72656c61756e6368:33:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72756e:3237:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:757064617465736f7572636566696c6573:35:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b696d706c656d656e746174696f6e:31:00:00 +eof:2164592515 diff --git a/VHDL/ALU/ALU.cache/wt/project.wpc b/VHDL/ALU/ALU.cache/wt/project.wpc new file mode 100644 index 0000000..24316b6 --- /dev/null +++ b/VHDL/ALU/ALU.cache/wt/project.wpc @@ -0,0 +1,3 @@ +version:1 +6d6f64655f636f756e7465727c4755494d6f6465:11 +eof: diff --git a/VHDL/ALU/ALU.cache/wt/synthesis.wdf b/VHDL/ALU/ALU.cache/wt/synthesis.wdf new file mode 100644 index 0000000..d0c4a44 --- /dev/null +++ b/VHDL/ALU/ALU.cache/wt/synthesis.wdf @@ -0,0 +1,39 @@ +version:1 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:786337613335746370673233362d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:414c55:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:64656661756c743a3a3130303030:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:64656661756c743a3a33:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a313173:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313433342e3033314d42:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3237342e3230374d42:00:00 +eof:3465561614 diff --git a/VHDL/ALU/ALU.cache/wt/synthesis_details.wdf b/VHDL/ALU/ALU.cache/wt/synthesis_details.wdf new file mode 100644 index 0000000..78f8d66 --- /dev/null +++ b/VHDL/ALU/ALU.cache/wt/synthesis_details.wdf @@ -0,0 +1,3 @@ +version:1 +73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00 +eof:2511430288 diff --git a/VHDL/ALU/ALU.cache/wt/webtalk_pa.xml b/VHDL/ALU/ALU.cache/wt/webtalk_pa.xml new file mode 100644 index 0000000..f34eed6 --- /dev/null +++ b/VHDL/ALU/ALU.cache/wt/webtalk_pa.xml @@ -0,0 +1,93 @@ + + + + +
+ + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
diff --git a/VHDL/ALU/ALU.cache/wt/xsim.wdf b/VHDL/ALU/ALU.cache/wt/xsim.wdf new file mode 100644 index 0000000..50afb2c --- /dev/null +++ b/VHDL/ALU/ALU.cache/wt/xsim.wdf @@ -0,0 +1,4 @@ +version:1 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:64656661756c743a3a6265686176696f72616c:00:00 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00 +eof:241934075 diff --git a/VHDL/ALU/ALU.hw/ALU.lpr b/VHDL/ALU/ALU.hw/ALU.lpr new file mode 100644 index 0000000..4577eea --- /dev/null +++ b/VHDL/ALU/ALU.hw/ALU.lpr @@ -0,0 +1,6 @@ + + + + + + diff --git a/VHDL/ALU/ALU.ip_user_files/README.txt b/VHDL/ALU/ALU.ip_user_files/README.txt new file mode 100644 index 0000000..023052c --- /dev/null +++ b/VHDL/ALU/ALU.ip_user_files/README.txt @@ -0,0 +1 @@ +The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended. diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_1.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_1.xml new file mode 100644 index 0000000..7f1dfcd --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_1.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_2.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_2.xml new file mode 100644 index 0000000..b9bdcb4 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_2.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_3.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_3.xml new file mode 100644 index 0000000..7f1dfcd --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_3.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_4.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_4.xml new file mode 100644 index 0000000..7f1dfcd --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_4.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_5.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_5.xml new file mode 100644 index 0000000..b9bdcb4 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_5.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_6.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_6.xml new file mode 100644 index 0000000..7f1dfcd --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_6.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_7.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_7.xml new file mode 100644 index 0000000..7f1dfcd --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_7.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/.jobs/vrs_config_8.xml b/VHDL/ALU/ALU.runs/.jobs/vrs_config_8.xml new file mode 100644 index 0000000..b9bdcb4 --- /dev/null +++ b/VHDL/ALU/ALU.runs/.jobs/vrs_config_8.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/synth_1/.Vivado_Synthesis.queue.rst b/VHDL/ALU/ALU.runs/synth_1/.Vivado_Synthesis.queue.rst new file mode 100644 index 0000000..e69de29 diff --git a/VHDL/ALU/ALU.runs/synth_1/.vivado.begin.rst b/VHDL/ALU/ALU.runs/synth_1/.vivado.begin.rst new file mode 100644 index 0000000..a9f38c6 --- /dev/null +++ b/VHDL/ALU/ALU.runs/synth_1/.vivado.begin.rst @@ -0,0 +1,5 @@ + + + + + diff --git a/VHDL/ALU/ALU.runs/synth_1/.vivado.end.rst b/VHDL/ALU/ALU.runs/synth_1/.vivado.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/VHDL/ALU/ALU.runs/synth_1/ALU.dcp b/VHDL/ALU/ALU.runs/synth_1/ALU.dcp new file mode 100644 index 0000000000000000000000000000000000000000..445f2776359f630a7ddfd5d545977b266e8257b8 GIT binary patch literal 36220 zcmZ6xQ!2XN@V#Y}7Q{COJH943rzY2q z+wy)uA8EiUp8-^PBdgMv&610VCWqa^@GgJo<=7o@JWU1!+e8XF#MHr8X+nKv!XL}) z@C7~gcn8fzF2|gJwF?)rfx?>%cZzRl*3iC(GR1Oh#D-ut5_OGyZ0J9! z2CA!_a{-U8fg;wPuu0q_WUldVE!s$X<(=A{Yy3C-z+-4h2=ury`|&UD;HZ~@rpBmQ zTfG$ZQP#2euG2@@c@R5g78-DO-%{B`YpKLJ`49sb0c>ZA(Y*_{3^4Le%9uk*Bsx^j zSvUq2GL+n#=~ydvGN_%#0~m|KBhfc(3HlZ)(xo9sy!jcL%9S~Lhsm0|i5x+9Z4GGo z%*aZqU9a!2cjlOE?fwp=Bk?WH%XFce_#k@uELUE}^8-Rn)(O3s;0_Hvx9v%|-74E?=|P%uZP93>Saj9|0icBj+HK+ctAjYNq2|r z4W+2Z*34Uq(=;Op>V;51sG@al@>1EmUFoN%_tZMH zHWn1*@BQzW%h)~o#0-}JJCmQj^=p%vMQkZgTD890euLVR*yj;-?H1$Ce8au?jrKXWyFZ(!$mI76 z@ti)|{1V@TKgjxK78{Z}EzV^xWi^4e-Yb8L=x)cu*54Z`b6RZQu%%%bnWc{#)F|nH zdGb=5=xd$a8u#c@!*<_q_dna-7+Po;Y|O)G9S)6AG%90cO$_RZ%a`ZX5v&2&K<)OJVlXRpHj+hgSf^)z14uSdPraD%X_Sg+o zo{G-RFnBX2T{{p~Q4AA0vBIlWy!AN?cW4z6;af|ibwv*UZso7)Q~mB#lYKD-KW0 zFg1XZ2rOiUMfy|Ua8Y> zH*<)MG=^N_mzRoaY2z+=f?dy4XZO}Hi*e9y?UkIG$%H3;SBA!__?7V{4YLE$ytzjV zA$5gVN?ey3P#(F8xVS$BJv?ito;mNC@EYS3nZ@6929?QErii#YV;H_kUl+lJq|z-Y zeF!*INwD3<@G)*P36P#kt5{>tt?=b8{F^Ios%e3hhr}I!W$%_vjMT>% z4-?o(!@Z=w+%Y{^UgXje1{-vdu>uF~U>c zi{Z{i;sr+1!BeuRJbN}9(cP*y?8rR2923;SaPJO|AtaAgX>^%7mYG;(@b=SQ6Y9-xX;Y;At}K?# z9jy*6z9*}7^eC&<&Am)o+Y8~P zXL5O-@Fgv*^%>*DX}&Ytv0NI4VZmV$b@Vc{3}W>Gg`R$dC*zvn)DAzmx2+9{`3}*w za-7OZs2sJlC)-~NEQp-Bi;cPVV{mn_zhq21@hsl7l^cIJ`^ueeI=`0k6q=rw+13~x zXEU0Y@-&*BUtu7rq@H^7QHy6A{X)4nW*Mzl*56Ng2wvD(W-c^eK z-gTOva(Z*!OL0W@c8VS46XR>cn@miD>9)_zB%F^o)^ID5_~|Z#Jmd_~_x*Gi+%X(M ze&xWotxZaUu8j(Z?teHu8ptZ&@_rhf(>J?^*+Yl7%9qwJ=j6ZQ*{PyVZtIfv7`l3| z=2fKcrS6Jq*((Oc7txFMpj4&kE$(EvKE0|)(16XP_Qli=kI$v9Mb@9%-Tz~I6F~4= zG`?tpKIdu~aawyXB@O|PnaSf(5KdIqT>7xNmG9HaQ+B*5+v_3@by3!}T+too^WjEV zk8v^doS?vuW>NR4PBFZqs6)Q&BZLPGM*0wag+IvG^#()@2)rD`K0;MUiC4XDOTf4x zUthkvT0*)U`&_($AEQEqk7{uybe(E3K6G7XO1&1US*%QE5#|XI8OPGyB0nhk7FP%^ zbTi^D)_A9V)t%uvCwfidHDr~D&^7^)BPO00jh~n!rjhs`#M?g~;s1D}khsGmNW%bt zWg!v)e^31gT`$D{p8*!3h~_D(@gNtjur1m(_D)Xg$*=D@d*L6nUBX|MK7JgS>)SIY zE(>DGsi=f!B&6lPZ*Npr3Yx?J#&E>|N6ogU@wc^LYfn#LH9`L4~JaVzsUj zpW10TYb%PZrH3a(oQtY=DgKxle8@&0yPe1LjAVs)X`P04P=?)CeSUhv{LKH*@12sGLs>CY?57lD-%60SR}2XtMZRC6?X7!D%*-%` zXh)NCN-(O{!n(G2sg-SncF2}lWwzTmX2xk~gxb{7<-I|tsvMB|5F%Hk+?JO*rQgc>q$9t!d}QB`qyP5S;s0GAkO4tVtYcezW#U>{Y8Jy zi1x4yT$YsEge~EEoy*tre@6t<6S#LNm;rjyJ#S$A!?@iJTq@o>MR~pEqE;ok?a`WB z*_Q2ReGkz^EzBw4rCO`X(~07nWvqy$L*%l8eRt*HY%HxxO9z*u@f4)lZAs6?yIkln z@UPqGo4)tw19j8@EiEbj(+u?*Hu{XhZv#GpLiJ*}l}B-U#_?II}RG!G8>?`s1}!wuoDx&pZaD=LTVm zuE^r_#QoeH8T}@<_0*iXAnE1Q9GYF=pMCM+Etz^N*<(T0Q*sJ&2k_YbYWC+*@2NRA zeEl)-maHONmZN&&YW6EIe;4{<7aVO@V#gN-CbO7n+5xHJ5oHZ0LxBi)=?_D(LGc13 z%)I|(#dmx#yUmxxD%+V!()`Xn0SEP0q`zU(!q3w`@ucs6CaXn{r351s#l1(+w#x4d zH-?hZ zx(ZjVVPn{+{;)ZH!B5;2k2QZR94l3WMIH3NI_NA`tB9%9Y%w|GbU9N-V`qf7o{*{b zL%~yC+nNustOsacLTu&9fGNGY_S{*$@^)++>sI`&f30T}6xCU;9LW!2P|VVqp5`3f@1d>?FZA!BkD$cy|~rrNA;V=Z&wDq7REZg=t@y``|P@PR4uL= zd%Q}vNr(lYLmb?Z@suOaD1Xs5-m9^AF>kdm#lgF-m3@{Eenq|dMy)O&V?rPcSXFcB zFcWp?sN8_LS2Fc>s@ZH+msVl7-&=gg*uF8;Z1}YLg}>d#bj8T|6|L;!=VNDd9G&!U zbr5ULQEc~9OpPvnNs6kgEw8R}%_=-Ih3)^eh^AC@|~lWxuVzw=mGcUJdGBci_*Rd0yk9 zTW4AfW;Y-Y^7=55?=((Edc%i)wA20HzjQxsK)*9TUtgMD4O(+|dcj`Yd0M|0k2!z5 zwH|)Ln)!P(z5O^LKV9GM80%hlnyzp3`rkP+bau=SM|xX_ep1nY!hwHO?Y{y6KI&gf z2R}Dz29gLy@^l0&jz@ZHhkBPX^D05VBN0KqwEzRLxa+m6o^h!-decH(Jh=oIwZ8rs z4*5&ed^=y9WPiRQ0(z-`T{$`yXziJrivJOe{?V)SvmcpanDMvw%}OKm?;iTeefr#v z)^R`f=iJT9BlPDPsog{I+&Dn=*Vd=nnU_msGEr`oTrZj^GV8#iNscLSlERWoK@jgC zHYuZYGC)JZj|q_;R_rr{LzjUheM?|YMu#TFpX-;zH-%FZ8IYuKVM6)A5>ZNEQU=D4 zY2q7Jd@$ihd&7$<`ZcULZz8aGix-p3J*-&Ix?1h$11vs(YeF^3Oi1I%5Y~ZXQr7yL zj;f9uYs@^RAX^;k%mP8Y0m+04lbP^b1VLQ*Pw*e(LqjrrZY(Rw8p0^4YpgPwc9Za z@+gwG{k1+)*H7^NqXlmMIvYB#TmSq5H?H^ zv8gFcbh=^1HIkSjI!IEviGImVCnn`~yqH4B}AF9(f zESg^k;wbUa1lgKVM9eIcS+xpVByzo9veJzSr5ZnG z(FT$fdt*p(1^`<~4*4@5dBOv>B=+NuEUVt{r^OhMe3u7_g-(<0%gVe+WskJUW<`;hvE=!mf$;%*U9l%*Tplc$NGE^tO_BS?7SqePD`8O<) zuXrYuPdYk^B!F-Cn1Y%S-Tz6|+xW{Sn8UpA71(I0qt}GE zVXSi-UPh1Irn>YhCm%^d6F67aIMx?DY&r_Qk#HBrVMWA)J4K*IfY{APa>f|Wm0?(M zwE+Ns`$(1=!#&Ynr&THh51CdUh`ghkl*urM2`2-($^i@-#iWe$cdxD7{i-5K-=#-Pwl1XV z|By|vojt}Lj|uGbif|_65f^Odh(hgh4+O&{^Al9YC5QI&76F$K+`uk7gpv#f8nSKL z3#FmRM~CFKOQ!Jf23fikw;^0)!tE|ANQGCX$)8p{m_szT6rp(Sg6f07M>C?pEfVaf zLr9LxWZHNnHbKC3Aqs1^ou$S(#^&JVAM{y(oOw@>o>TpU1=Lw5MCrGh0-uOr$axU< z4t_Ze0gYD&iCYxVmus6K3gV3oI#X9iG^7$5?zox-uZ(O+$RP<4=(|Sr1^T=op3xID z0C_nBK~3NYgiGey7igRC4fJH2a0_I`A^AHXhPaPZfDH?T_d=u)8&V9)k_F6TKiu3I zJpO&w7>?IQq>}kI0UmaW%M{PF?5+(Cvh)-pX&OAdPADAt6$H14r@w%jfuC1?&}bNb zM$6G73MTR&m*oMi%RrbL$7vY?zHLGYRL-RMKAFoMx-wD0Mo92I9vur0WdgPP~kxXw(g2?n1##QGhYoW7cm)_z+-JDgsx-gpb)bcnn-nPGU#XC_+}= zp?<}vN|@G2rb&&{Y;aOM1pElY%$I}pqkvbs> zL#}18s1tIoxQ|(JykRa79`wOGUaB#K1opk%H3WIX;1G1p)cwDG3nv@@f;X;4vL-i+1}olyx1bVEaO4<-A~YO*a)^Lu(swbNY6TS*gZZ z9igA4?lW*GLRw4{cXDspo1~Mf*7{cFXk;4_L2PED$h8i_WTx1a1NLw&Q^?-*VA8NL zl+$*dnjSrhX#3I4d3l5eQo=$X8QaEV1b-pCmKYVV^7%~EB^V=Wd=wUnL#(9x6=@G@ zvw~a+80HuiEHLQWyw7ET^u(r0-lqY#P3smma_ZhlgjMkRjKssud^-jc!sf>8b@ou^ z4()mSnYQVK;Z8v{rG?&n9PB~E_!-j8JMB^oN?G%6UXBF4gMQ8uBD(uIMvp~2J2Rc> zwAlpdj8*JD1Zyn%**<7WCqHm3qXtte^-EB8C1Z;uxy9+v)iIw1T%b4OZ}d@IOU&6C zTX1YlsjXKV@30vR$#L*--m7tJ#8;PMS;AgN0^k3$Bl2pgqs+Q8M_VT&q0e$rm#~Pb zOO40V_Z&G-p>43Eoo2plGJ5#OyalS98WOmCuSIzn zaz?2Y7`*YBDRPBk|3c4e)gND0WBlaR`2LFS<*ZF|<8#;ZBROyXRG{EmsklvJeSmKF zNZZHLcX`jMUac-xC$>1W1oYLzD71F8=F&|Q*5BcAG=8VHmU2*<=+3cKC2g3Lj;z6- zEsvV3UVNJ#%1ON4rYPlM_{&2(Bus{{^ zb(mMm;)F88j8~Ec_$R?bQ@3kwilcOhsKnn#X-u3X5ZTr;n(j%DyZboZkn!O7!d&TcogvI0>Xq6F+Ev6jQXa{MQtK{4$4{4UIWWLTF z%920@TN4RHdqfrV5*q{~u3UVT6s#$xoMN?-MxOwzM8qy^YcL|N8Qcw)l&3{~l|1J3 z7IUD+NHp9VsmOY3q(coKZbUqaf1v^)Fq*_sr0^XiMm%pJ^jxu9C(^OCY|xOdp{uEE zaBq@{UBe^TI=GP(ZK?v_i}X}@30Q>KxaSUg37QXir|CRM3d3unY5=NF28v=?Vn88K9E29nr~kq3WQ-F!ku6HZ{B{R#SF zzWCl;nYTDTxIiSs%+tALcb0SalecZ;_OckMt-8!p<%;WyvulhWWar^Kyi3(~Zm5AK znsFnK{0+Oa6-k6uT)zx5g5K)L#g#!(2(B6iM(6L-eQu%NukKmaQjHL^TPZeFJs!#d zd?nt6BKi4^GpKFNTKVOy`O$|mhe0mWEy2e@ZSGy3k6JH)%gnE*wX^XxKVi-a`R6or2jdyMy znLGg(sDcss9C&}2ri_9xA)VxTcgKg_N7YLT^IMLN1j)7UyjJImA?!jA0!I}w2vfhzoct4)@lbr z|Cnko4!uVlCbAVRkvrA~j4o`JQGoIO{rU>|q<~FOUqZH&+`uxyI*iX zc;Ove`3;N*56hQVW-sNg!O*5hwSPzhA_ymp$1krXh2sy95)tnA96zGn>eFOSj>;@B zUMx9%0a;GiHm-Rgg?&VDUbIYS|4kh*AEcnK6iem458_pW1AFvac~kMn{8s2!Ez`RN zG2hMrRubxu=zsBGw&;;Uq7VFeWC^8a|NPXK zg+(9Sp}gNfJXQW3_jrpsqI8R?)fB=rdq??VP${HIy(7U>gx)&6xI9%hTgAek>)Nzb zB;T}DWwvLd9*Z?sE5c3hqWLoTf6piV16i!!8GwM)WI_ISru^Ueq?xJtm7a|Qu0-lr zu7S|$p!jzJa-J7hH2CABWxgc#1Of|mLx}N03yYTr6%DpY{g3aOYnEw!$8`IXWs7L- z$Zd%AL)}cfnyZ1DoL$_uey`0{UiWv!(mI{~4>OK{?#@ngN3~n!m$BQE?c>O4$>&>M zp@RQ*{LZ$%Z+34F_0QXoMiydH<=DZXM)j1y$V$@KX^o(4je|s5QYBzlv+vxnX@;zp z?_IiTdH1fCU-3B}x%>LKTe7n`7`YIr+1_?&;;`W5=(w7`Ht4`*oc!3{+}V!1rl^h$ z9=XVpvU$HT=zYIkecw39c^iFhy}EAg-dcWJlfdb;dGEl zs`PJ_m!FB-sHXn9d)oR~9$pUr*qB>hnmT=%JJ_lvJcaXo?-imjqrC9m#!sE03dR-}OtS+~U?)CS?>h)apYV*hI#pZ+i zrQ=>GFm)ur-^ISJ?_*Y$tM|jvgX3@Q>*3A!$<@=-ovZu9oSE6Eh95CdSI9|teeH0) z<8N)Z&A0u{fkPO(t+yj5q%wgOEjJWzZ?9bnS*vh(bht30bkTY6aFA9Seow8UV-Wvs zyA?~pxq^uLHr93V`MR`rc2s$Qxw(dQ7^f{wHf_)&2ej3tl;P?Kj_*tyl2zkEb%L#aK0o`68y#c0pzy7og z#dwd?f@0#?^nbf2ti)@Xs=Rx(?vNcR?5x~Sy14z@{M+MB=4Ru$7MD@`3p1wS2epI{ zDO|wR+4DKqKRY+CXMX3zk(^z;^hG(OlOLk@et`5A>eE^t^EJ06p{l2DpTu*IUXX&4FirrvVMPPbY57 zJ0VoR_q=9SI967iuDbPlUU(Th!QboKB=-Cy#5@M7fC#p|D!TVU*+@I!YvI#dK9GrC z3=K5h9W25z>RGF#*5@@fMEzS=0+fHI7y+}U%PlMkMP<-yqAyv^W|yd z=k4tBvvhCm?Cq|uZ0FnkJtOPIe>--#I(FZn$nw!=lhBU%)9-y+zISEA-eh+_MPsJF zsp2#H5l?=YbMPx`#L7#%=e?Nr+$%4TC&|J~qEgq%4`N1g)|2VX%qiv9zRs(z(p2lK z=Tj?}?jHRyBgf9B!j2E;AB0%ljvnuH-7Pu%z0D)|=;w(#K0J&cwkQAN6NB~M%)DqR zmA0k3(=C!wwA{8fBHt|ByT_qWL>b=#Ov^BEnTE-*40pWaoMxkK*L3%lFwYsIZ5`ZW z-*8WVyL3dn8|b8i0S!ip-L!1R(!4v}X`}kYNA#V90+Xo;E4cF*g(Z)`hFbf_Nq_@c$`_ zPEH}aNsfQg9)$2GQ7~KOy*I8dV`2C|qh`#Jlv+3jqXkVE^{lXC>sq|Gc2_9&R!K<> zwLGxfy~I*{_|w{COkrGlJTl?MZ!0{cFN?puV>-*mN3cUK9}IoF@D%?#^7-8Q-V+ED z=;CcwzGx(+T9mr!`L;7VxLPkV!7Y9mqA6D6X;I@bsA6f1y7O)^i-KL`QCRK*zuZ87 zw;S*6iL!I>_U_y(sE9)+ta|tH`8hp3&DUX*>D=0PZMyAChYNA19q5dOCX@}magXjD zx(Ew>p&9Uoht8Y6?!M~oe%k>sXa_zrZ!?vfuD-g3?n;gNgQIo>DcZ6aJ$PoZ@rMS! zfaqA1b3r$2u&i`gmF2emEZi|)6x2l6@u}Qs+}5>Ve?1You)D2SuUfSd|H`?%-WR=D zTeGS)F6En&XAdnPnegghQXTuX*i=|TYU=vk#LCmjt zvR0lL;hrV^FVBNd6*HuRgdcG)MYOAuVxPS_rYj;!;f&;$S#?ZQhAM4!^I(-KwH!LN z0%@yqVHx?j_C&QRZr7@Zd3C)se10kryXSfEzQ0***{eZgu)5UQQcMrSno9Z5w*+zf zt3zW0Ew+~m^m~g{D&035MW@ZnW2b@FyKzdcUCK6QWrW&$i`u(E6^T0bsyen${rDt} z%8eDK$Iw|;^BOwpvl~9+W1bj(FHZeZ{eG~T!R5vo;nS}#z`?-az?HFX2&Y=i4IaIy zK%isiINF|dhE~$;LGVHF*7fC$y>ojtRO^Ktat%hdYxM=H)1wc&@s+j8zltMsxxy}Q zpN1Xo?VX#;>sEKSB)s+O>ut9R$IffCy{_9Tg;%#ZoyKobSOvR=NXJf7^gX$yDh0Yt z25m796}5{b{7a-gw>6pp&#m`Klxk@(bx=pf(2x7NE5EOT2>0D?Yl*gYK5u3va?GWd zp~Jz$kio)9OvRg^$CmG zgrxXTgPWtmbWV>w+Rq7hC{0r1&pxm{QN-O7;cN3%LwT`LDvc1xLnthG>228^z^p8cU^xv(cNH@E3>$pGrh@Pg{={PMbM(~=OQ$S$m6jYUI24y?$dhy4l(&1z*+}r!)UMO`37ZwGcUNR7 zeN8q(X~Bm->yyjflv{bpxmo+6R%(p3dh_n^S5||1bos9`fX#e!&~+u2y?Ff9-=VrG zikv#Ve4)|uRhT-zz&Xn4m#R;h%ZlQ+()R#3VNWR$=ufUWT<2q7sj*4UbD`J3YdNpA z83)d#@W0l$Kk3O7SYa#Aa@YHk#@WV>R`+eD@;1(q?1ylRVvD94c z>cmqj|jBP^Irpjt%xdmS^n!h4hJq%`sx{aD?Mb1)lvK2e7Xvw;*rc8$V z9udpZfDyf!HhA!;Zc>oYst$N?-3hpeub7?EC`RbjrNd*s*;oq={GTb>*v9u~^M#@k z34YGoyEcuy*`jei3*ztgBl2wvJv(c`m+!)Ghc~kl1)OML>b8{AFH~YjB?Zh@!fj&%%a(fslnI9=d zvGcFIPGej`&syCn|2U#`9irGsm22r;{kLj5(l9oSnU&0u!6R4cMC}Lu(t(GDe61cz zeYgbEm|Gjg#T{l+M9>(k0Ng06ioMf}V_3P1_H5m+H3LML*QcZm*;Zd6Cu@pcYD}V^ z&EE*z5+!d`tDf_eyc~;fg_NN_M$NL6>HJ^LN=H>`rvdp)NheXMW?oS)QB#eQZLX-2 zFqaleS?om5P{*cb@x*`Y!YjiiT6#_Y_i5#=MY1^c^f*YxE+ywB%Vi8@e+H?r47iV-h09x8kKhwt3yh_4Mc8sS+FT-ytN6Nyj=Hh(eIZH9 zHALSmviieJ#ZI`f3VaEUTM%ymatBKD)K+JXs{bJvHF}t_n>p@s@CPUE{f#7#%&ZA9 zKSG(^On2fA@Tbn#t(2U+RFAURT{X+pxZA?W%r{fiXbqi#>z5x!!`7qnkJI{$a7&i{ zxq*sKJgm*7VaA^`gc_cf3!KEwJyi*3z0i&)A(Nwi)SM}%zgy?|_4~WjVx7%_+BiN4 zf48KC=+TySA8TBFj9(`1piPqaCMdjrdTm+Ixj0As;Q%kAa*Mgz2WbXBD*8VfMzK3L zwKw7p)f@JHU4#lSPvNDojTi!Xh>QsOd2rD(3(bpq`!GU{MobdGzo^rTXE9);jayM6 zSr|$dK=b`aZ-;SbL9@5&7mUoEGJDBS54H;$JUs_~O)BoQh1{ zO)H|B5VAPy)9oBMDnY!R;fHN6`XF^aSRLjtFAQWB45xRL8l}zg@OqzddV8OqfCJ}v zh*ILr#fm@vMgFqGVpoPoB<@6Qd3iXo-lh=Wu$>$yvR5DeMlF z8?oD}>PP7&CS&-O5OSGd8-62(yq6Zrl`Ev4Lxbt!I@u-sPwv*w?O&9C)}*uWWV`0k z&B&+VD4JtPk4>H;4PN3zw%hcrzk{S+NF_%mvzX>(s$U)FgQ4b`o#|s-91~mNHsXlz zSp^0q896Xo&x2z4Bo&934{lfb=}tM2bI@PnT=oL46||Ne|dO} zvc}&`BHZrtYd=M7BSuR=36i6M<)grWC_;k+mxTlYsR|1T9)6gRkI=-S<5gm+#hiYX z8H(OWJdt<_&|p?}w>TQSu`=Z(%3aK^fRo&#n)ic`%q^w+oFtKMKb#K-MR zQgr+lb<|vA#ou&WTjH7DpA-3pg&iXamF6;GPZoS=f@qDK-r@L(a$qFHm6rcr6L@GXM;(QYZ1A# z6~FCj_vxz92}+=XgK@Z0EG;N*FI8vDkDLtGMe``S!Qbv#H`#L(HM-&TuFL>b z;G_1jqXO7ZO*8U#l#|;WkjjsfKZM2l_o2-EiPuC2l)0sdn{8z=8#5` zF9mo5DUH#qcX{h zxRAWP^yfP|>ljgX2_@l}RQ+h=y%;Pcu&Gomd!sX>vkYc*Q)C$}8hVrFY)LdIzB`l0S|^AX>=)f**D2EX3or9Pforq&1RHG8!TZi zpTRu5t!<1r`$cYyoXWl!_uT($gy4RDzSEW8e`e;H?@4I9U(zXqZcC4y0=mY&v=ain?TznOPOY=xT*WZwj200~*LR20=1z zhjy|EY&A=1zM?Z0Ozj^sZONwDm?ojfKPrlmO-!FmRa)6#Nvw>*${iz*9J57pjZRf0 zM@_2Km35>E>k)vSR$0gmH)F~{;xiPne`C5bC~WDlUw5j( zNP9Orr<+&z?;0+~E6%O$Qp}+46f^Ip$$l85Oh#cg$&|?;dZ+$PNtLc#QvnwTTR{Rl z82EJO{XEkbnf94L7X{R6e`q8vC$M(pBA5^P-&{;i-DBd48t-j6I84V~Ic7YnqW1L3 z92|dK>9l>H_M=OYxnPyut6L%3N598xY%hWHr@t?S>YM=LvGAoZ7UA)`tyQ8T77e$Y zU76-Hli+7#ul4bDCyoFI)ImaF@~*foq#m%>j!9p7zJMRn7(`1;f~=7*?Pmi=Vjf)G zPAt(e6TR#kvv@!8)g~na(zhsB@Fy{PEXRCXzgt)BU%p~CF-7CZ`or`25e+7*4BJv; zO_|C_!r4ZezKj7J0 z!s?4iBNO-PP);cmF#39y4-p&WtbsS4s2=}$$l{mNxc2ZgJFDQL?oAlNJIv{roZWb# z5OZP|Q6+5R5ylt4-WJ=*A{bl3)5^y~aK&0{=!#6#J!+^59XdgMw~Od%x&}pSH8(F= zda02BJWsJ@1oma@g1URlqq0ly+p2Ok5IQ1SDOSspQo0`*(E2-ByJJg+HdN8rn!y+2 zPe9vX3jd3J76m8NX=BLOA&64L*)%Y;Edjmx^T5m#mA5^U6t=2t_cW7sB5UV=aTvqI z)wDNsRXB-RWxm2q%HBY1KLTbzsY@>86P$gBs1dLrQn&)vVv$zygtT2_CyC*#@i8?q zI6GA0oxUMcb7N2k3JQ(Je?aj7M4`MrNlf&6x9C$?n9uFl^nn7tog35{J-7!MF0*ggzopca(SFjD=a=}}2Q;;9*guLBKg!q_cq zTpzSfQvAt6Ml8*Z%CalJsUB$W_?h^Bi}gzyV6o=H{Eu{J82cRGq3GhZ@&@ltsGSx{ zavSlfnBOExT7LNzmn5o{tsYN-8`I!uQ$m&-VP4$3jrjcJvQx#Au zPUKAaC3-528KpuTMGOifsu+|^I8MG-V@8iKb%q&P^ysJbR**?{&A>v=-CQdvUF$o6 zxJ33FF^PJt+*eH5>q^J3i~^eeU7L)1%IOcfO+uWc#q(;~%>9)k)3 zZeB$DBr&~Rg+*d$vn+k}76fu2iyD2Hy3HN`=WB~bC(l$I6$T%k)j}Qv+U`w5% zsIM!N7(|c>6T7#jOoQCjmP!WB$AE#;(O9es;{Kan3dW0xiS5-~>UZzoDNE?LFb-Pz z-!hc{${!i^P}>+4Lw$~Lj*3KSss~e6nw)z@9G|;N~06E|P?wte-&M_0-n1#p9t5X$C$L`F0fu#tOK<~R|O-W?p822V7Ujj(s;JdV( ze8%+6Yan@DENA6XNV~l#S=Xr_L-5?hl)~u=qkx^0jr&CNu?+1(nAM{eO_=_8!BHs3 z_XF`64x|L$5d&Ad*|NM`HJ0AIeND6^cU35R-CmKD; z^DywdhK!z#a!oO1!7*v_a>&v*IzVR7s!6E3t?30+lm20fmyne@qD!5n*!)+ZJ*j{K z9jcUsDbu%jl%(8jT^^K8^of$&l?SKI1d-OnT0HdWY{*_LOZADfE2uzOI`^b% zQ=buOZ@#M(ZT2D0~0N}Q@>uQV)cd1q6&Yf%X0LS;!u*etX_?O5AqkkOnVwYFE0?#Q6j%x!Fp*NQ~T=| zDb{OQ4JiL4FIOmf2&e%8@Ky3Bby;H>*(a zh=3C87m9}$gn7RrDbVMWiSpjvu!QEmof?--c9=r5qR;DMNcG;G(7IN$e1@DRN0d%{n21K+NVp`oH=MU$uHb<$|;hpFBIBxN<_MS4k5LJv4K< zcF;m42FAum55hn|PfYvM-m5Mb0%mGj<{*~_3t%XDTBI_Na@ETsB^Qry_JbU2IQn7L zQab#7JJ#xBgOz{Se>$AvGyow?=w%f?mx_+qBvy}Oghw15$iRC_7W)?zopK;k!s={= zL@$z*NyptI%EKBD!OY555Sh}rV{P}@@L>0`@&$f`$z#1r(8BTTZ}l@Cn#-WFMVL#_ z#h+muKBUIr(u=OwdWHBaOpP*9t-cezkEWw)I}d{dywV<}(jKeQGOt|#egM2uAEk1C z@;6ZB>1dMxd@*>XA4;VkR^{nyuK;`mc%=|P`cD-g1@rUAS%y*Hkg94K)ExRqDhK@X zeMMGJN@yP*oYYKzqMh7GkFckh>sRD55(y+yb_iMpt{Z}YU_*l!+z{^(A;oPv zo%whuVU@M9g+M34W^P3iW(ZU5LAHoeL8%F$g~07)OKNj~;putBQDcxhlO*gmyd_Lw zL#YiynWCUJ4MvQs6@)`X1c5dKnb7Bmhn$UlyT&B>EEqf&xw)&6==quNQ!Kf`yS8D4~Y%?W9W7e>4^@9u+wI z05$6Q;2{EP9{PwQO@y%t(^apiYvgzM+i_&7Ss`WWJ%m zc)^ID0*Xwbhpb>%7L=+U{C*1#Z9>6VrsxZ9bPYo@AOQE+87J_c&nODe5I!`hki>vs zppo$!BB=;ayn(#Sp%U_V`pg@B4J;Zw+9*mNVj2UXr^K#uiXgBe?s}hHV3wEw4+NY z$iMGaREHNp(w79Dv|55tqB_4uFZ+a2%_aT*dI{6|eBH(EIkCR&3~&_)YP z1>O9o6$Pn*+jY0@S%gX{)R_KuD6&6Df;C`&3<$K}6IhTp4a7b#jJ$V)adeBk)3>po z#NJ@T4Eoe)qJ$Jja@S9~Gyo#;FT|f0l%T#IG_V{D@B(g;9GVVP@B(Uh-JEnp40JT~ zi>QU0{yXrLX(Z7&~l}yev+daME9S*vo-~hP75^GISQGAqF~4cC>q`UuD`g?U9#&pr+38l zYe#qj+UGg0KM4i5;W!G-8;(MbfuaVH48??qAn=hTL9~WA3QcT|LSBfX`p6g@g%%M& zafiY{Xys853WBur9td)bo`iz2okkgC3E=rlCyBVceZd2nR%;&_(v)Z%(=q@^~j;0mG69sht=|+ z1hFd4o*byhPW-HV+om2?%S#}LRk5XdpzfynS^2v99#+dvG>BEv@jp5GRdl`%)TWo8Rk4->SS^QvAXY_DcA(Z{epbHh!^3LXaRsp|GLr)}De<%NIWZ5b z<&YG_s`&W1t1~~v`Mc6_O{GcFrFuS)T_^zopk#{=r56kY(+dVd0hM7;I0YD<`%qA% z83YuV&n-!Uy&MLDsVxN|dbBu1^guo*^==XfWj(Ysg!v}O0eL+Rk~uLSnnyIhip>D| z<~#t2D72s$2<;&_=^x3dtk>2{pJOw5lQ=dtd7{-Inq6RRDkTO$@qwTqG~^6~W+cNP zaH4SZOg3?4kk?oseifE9Eywp@9M@?gl6bg%bec3z~w^;-DbpdVxPw@(Y0C zffaZuo?ieEck&FBbSD(|{Z7K->*5 zC<5`BPeGCNWW3SBq={4@XnBo6$Hq~}u>lm1bqoZ3JOJ^PNkNhJ4_F!xkrS*roB1AplC28L~J7#Re3y9=a1)X5~CeL!p@t zvbGWh*0h2`Bg|3Aga9RWNAm(CZsh_-#sDa}6#)7DTLHOC_HU+BE&feyS5yCnf;(8B zrFqc!0TeY42Swh=1e(Yj84Q{xV0o4^5?POx zkpZczLWzWeLeqkxpo0S_?sOOk##i9pN>#}06a`ZajzSg$Ua>@>a70lkt?@fk-{zb3 z+Is18re=dpoT<9YMx7~|E6nkvV9=@ou0R2@;ingx)TD44@Rm` z>c=1Eq$s#XI0`WIyHnq}CF`~I(&tXi*(7nN>H#I1W}?*wJY%Ue79}$bO%{rRjeLrV z?G?&wPQaji!tsk?&Hy;maw-Sj%0Z>w3oTKElA%J=f}>&?3M!2uat9Ozt7(pkw1$LC zG}~!Jf?kfIpqJw)v`P?4)_&~0cwR(NFj9a>R@x8^GDV@ei$Ed^5u^bSu78d~3~4As z+YY+ZEVUQlD?g~n^sAu%$ z*4At5CGm`Q;w+v~&7MJ8!50q&q2UB8E@~YF+1Uln??fFp1w~Fb?zkxkO*jOij+=sF z=~2f`LCA5_jSMun4k#>?gEk3urwoG(&M?T{41>%KFw~tgOr##_P8kO1p`OuK!&|Sd zmrI`Ua`y1@WlRlzY3lAvwbphRU&<`>rMGj)tle-K|2++tCg;6W^IShGUuf~LT3)3= ztcrMZsm2&TE8nnsSS`<(AXddW`b+f)y`NRF>H=6T&;1})#p%~e_28?YmG7vSht={b z4q{atBfC^jkoj2^zCD0dbm#KY9OAfC&u{oy`Sv?KtfISd&`jpH1NJJAwvukRioEQ@!wnU-^=2^+-UdkN3%bVf5(cp9W~ri1z>AUUjUg3?0~CA zT&e)n+5v08?EWtM-v|X(%B&H^q6jb#{Lb-zZ2e@|PcghUeB5oM6YS3`n z>{(>v_q+_*B19OnYJ6~70$F6^cdrcDA{rR7YAA5Fp|Z%v??V}~h2uA5Rj*IU#;vbT z{Em_#TljcGR(0@n8bp!}*c*EBmGy?{Cywtwj>eNut)I@$e;S^ho*xfSPS1{u&!3Fm zPh&`@-|KD9+pOk4#s9tdYV*zg?d|Ap(%N`AdN-vp<ciwzEdboan*V;dOZ9Z}H&Gfy6hUtaY=FRnQYMGx~k>csJTQx_|Rn=y}-cUyrvgyIVhu?|&an%Kvj>i+;x zO9KQH0000804BDsR>4oJ2qifH03bO400sa606|PuE_iMMAUWU0SZsJ!F*rp+ARr(h zW??WeARr(hGc{r`|K`R0H3OW##22+AWkNA^elX0{4^~z%`S{bofclyPl#gb5^|pr> z4#JbwzFD$MwThdS`;60`M)!SQX7EUnIkkr?JzsN2`-a!x^hZR= zxv|XNezCk6`EaCDery@iK165~(^W~dFSCGv7HY>-v z4p5}cRqP5Yc z$%rZ1;_6_ps&Zn1X2Cg|!Us#4|BDEv^bJpRH`%fG(z(MvrmKRv$Ok48<#r=ha9%;D z8}c$VT4gxRvpTasy@$$p#Qx|I#?Ii3tnezacw$l?KiXJr6!=zJpggsUh)B4X{p(9C z8TVw_xJL59#TjAzQ4eY?Hw!?L%{R=->tAwSuv!Rzq6E#t;g@jqxY0kxH!8BMT}p88Sr5W#|Lz*Qr{~cQl*Ge?9_z52Wn-H)Hger} zFNeR83LN4z6<=JyQ=WPYpB5+Z(_$EHog-w0nTU-TD+?TmcTNJz>)Ion@(|s+Icw=E zw>xp6M$8^z3<<DNc8C&7yIV(Q+?IBH`|CEG?~YAS6a}I`eyd-fBW@sDV+d4%po@OgE23&|D@DW^s}HqE2)sz?TudpL-SuWC&_J_+0KQDC#A)U$+@1YvGiNPcwHH$7penf7zb3KSq*Q@XS zi(=H{YWFkRV>PB7qSzfd0E=|!;l*7fIYnUacjiy>Q074C<16Imd&f*!8Se;@ZD`>m z>4*+{I5sR!iLKpppjHJBU9S+Ldi|*;`et{PDAMjf32`zR%lPeBp(!UzwO%=B_2fS# z6tKZYPD)~q1Up$p{kI?I*!+fKyzNDz%n>QbeBuM08qecaT%tN$WLbpj=@mun`~Pdv$d?` zj-+B_p%FKr?*G{z$AxwmQZJiT=+%P>&6MX8)3nd(oLuEo zJTzhjAf*-@!kM*HVZZ3snLyukbW(lgNCNI0ugs_m?clly%buo=eFccM@5*mLSO#fL z$MkZX$Ayb6je^ktnw}9=LqLFjr~DC@L&a}tVV;e zE`@O(_ru#YsK;iG9ni<@gJrJdeOUsU6e2^m!7%|BB(ZeKWhwPV1i81}xqEW;dyhH~ zU{Y7XM`+&hKIKwf>F7PYKMFj;>7^LY=If_ici60|I>Gl`{*^s;h#}EPK z0%|%e?TMuA9EdW;%7?zG@v`WFU0sV;c-YM{LPXroJFC9nnt`DXPY3Em;5Hd19;>(vI|0P0=-_k zKN-8zYE#;vhotBeZ;oj812Iw$wPQ)#ibeZi06w%QT7q~#p*EIPQtp|P`G13->xk-^ zXuTbKRE@Q9lXFbxG!&k5gP%75COGB!h&d~@Xq#9xM8Mu%Rw5jfa*V0)U@ZQm3{Ez2 zjeVg%nWyMFCt3R&W3dMY7xD{zBV)kurm^vOGrr~vWxvY{0k>^-7?Jc&WnZ?-Ce(0k z!im+{Ca)+<8)kD8&AJDh;J3d1+?I4lfP1@7EJ_chBe{DKWZ(5|tO(P`iGbp^=DH8Dr> z^hpG>8p0X3@XrNzo*!s(%>|i>X8QaYop;2bx4O_tBC-3zRJlSF)L%f=rC|(v+|D{* z^?1P&Z-4TMsu5HaLH*00CMvXjrh3Jy*kt>Y9zEnYP-btsT9*hK6DzfEBuP27EZ6yg z)zdOyDS*SYgdq=#-!Ni4`b~X23g(?sdsBr{u0$>DE={-V>xh}uVuT`%Nkm)GlbPZK zM*>(iuxflX{EG5v?s3z?<~JVyL#0onE!k+<6JyJ=)d2w{ez zDG18Zezf4*YOy8&@dk~NOc6Ksi>+5a{;>w@GuAb29*=)IXpFgV`{V#{9khs#SG*!}INgK}gWkd4MXkSpAOPIr%A3K=C!@-|OG?IQgu&^n=kSe^ILpH)cZ$-J17gB2wD!}XkpVM z^L4L-#n{4G6C05ZBGACJOt1;5y~Atc=ARDpeD68JY_B(X+9?-_1xN!ZeZ5lQI}_)B zq^FlZvZ;@qXBq^zH3dn1?}~ z$z;?msf97y@nR22il4Yw_%g31ufe^D%!5I2HFx!{r1I0q&N4dG#mj-7_Wb z6hqI`TNSut?z!QqBHo2$46b=Hy1mS`0o1hW)BCTNWzj2RDYi~rmxs!<-)Z#LVmNIX zMRg`tI2P-e%8tYcQPj+oS-+aBuoB`d<8=0E7hktU3IoP0GAu5b5o~RJXmc&z(TWF(R=xFS8u}9&-Jjc)r2=SjDlQe0tHo1@=wJG_^Pnad!DA2 zC8uaMyOz~xJVJAQE)v0iHJ3?r4cw%<}mkpr5CPhY9L> zwae6GUD{T!v}}d;GEo)fM$voxSTMtITQ(3mY-YOXiZ(XF3Fb><%mOhQZOJl6ZLx^l zJ}kypU@sJ;lVbe{j#os_tl_STX!1#=g0gaJr*7-Y{*N3+0UKKQKStdf3p=XBKvfNb zw~et^MuEUX-_A;BpQ~$k4ka~+D*!Pp@w{P45#r()@xx56J zW0;uj=ia{tJ%?%_w&5&K=N;tJ7=`qYrAz+V4TzsW0;jO_;Y_ClQ0FYy;=0T=jprefmk;;wHZeAV*F4w*ntykj^$ z8<)m`rnj8z9X zhVDl^4K&vRy9aCW$5(jL7@?d*^r_jVqneBQ6{JGLnsZoh<#gVTo5`vv(WVA z6QZF|p<_Ts5lbnYR3GuR*JwOvkwPKMeOo=89Ve{gnxETNjY zH@PEuZo0yE3fo{hiBFqvDa61pKo+~G4e{IlIs5c)entEG{of#j0E*Kr_7Mu5Xw8)wG{6kX88kki{39Gwc`IOejegV;|VGT81LK=GyidkAti5+ z_n;k@n=_ImF%TY?{vCXdQfWGE;k(s^Pd_y3#}E~nB{vNjTJc#`@)fKHs27o-IJX$) z(qEZOhQsX+ZH#Q~Cs~@s$w`*#pA;Bigfb{T^U9O3BPVtv^H8yMXf8F3dDcg@4Z^PD=m+ zRi1{rbEev}u{k^cHZHrQqjPJoZ}WlI(?FJ1clejv`)t_WEUHFDQ(SF* zVblRIGthX#i30VFfPI>`K(?QEBw(S6&-^cCf}>8$0?RKp-XCrcmw49-z>=%Fg5PL*5@%O*jovlTb+eqP&iHcJiN(zZHE2{}diD=1dX ztpQwD#x3cFEA0Nuy5m-AYJ%!o?S6xUCH&m#h`s}SO5V7IQo3`~=zL>;w~R&`C&Aj0 z9Qp^yWtxeTbzw_yC?$tHNY$8Ag?-@`+uCXy+yWfRX==`fbm91#RKYZ%-Mie=UX>W+XJkCYcXte2Tdp=|r7T}_y= ziIWdginf&8wh=EDU z*=WrN6?F}>S5&%*=`i}XT6-LlqsfYAZC!TZF*BwIoKIQ1T{37DPYc^|<6`!ShK}!$ z;S$YEBz2E<&v{{z^{Kuu!lYX(8;Fo@{HO3om~OV0oHSI56%MGo{udBL+R`si=q1d5 zh}h|==dpgY9r12@u0J}(a(ivCE2UiY9z^VsvG$%qG`LB4P`f~6K#K7rylX!v@V}sI zOOyyYeRWgyLCscDXmyPYfqg0zs{jjUw!1r(PXlRLTW^k_5kL!RX3GFjurK1#tvBNt z^zV%8RHRlO5JFF;72yNidb*x|8&F?dh7Z}lK+G{(LeesKa6aQef#qHMAwcAH)zjm^ zb_urgwBP!`yGC_NIOcHQRaIhGr3J6W38L~~na=NJ^yRIK$>w1ETA`%(7fFXBt=3XS z!wy|6xs`}HyZ6tyhie>J2R(@BpS3yyHi0)<67 z+$Op0->DO|vY*-AUdUQb7xsYc#1)@Pz}3DLoQ{X3a2c)@oQQq;vn@W7)c$!iZ+u#y zh=JdmqmF(rok580Z|s;l)8+y7#sHmbJ{Putjdy!>{+aedOb;A%qri4LX4`)PER-${ zC|7goJV??WYRG=B`W5BpvS3wv(|DBgf9ESNldZ>3kXbc$9>)G5MK}Ou0#PLG7$*f? z__9q;wCAx76oLXwG-@|hcG%UGeeXklcZ*B4kdRf}1Y((|ZTxR$>=F3qTNu@iP*mDn zJK98IsZl!_Ti@g|!KLl`bC~448IdOcX5A9AxKS}zs1M-+DOUtOc@Ije;lHAvMx95M zLsfODWec?>02T4k%&w4hA<-mC`%{fK4F#!qS9F}24DMpPf!9~@k@yEg5}wZ-z#xe| z(GgeST|h&+e4^iqw~`Oq_x(#gcq%y-r~MT0>WY4Z)10l=_TSm)4%&H{^7koVi$t~Y zz7OzkUp-isXkv1pRZ% z>OxDY+rwML=CX9mvSpQ3Q@#MI%=<&e!`ohv)PCmU&7bjs)Y~fgjuoEB%agosdhE+g zuQN+ee(MRdUkr@?eqPvJIBeXl%|*D8DsibDL@FeE3qH8*t|^r^jqANnYRhVoFvtxx zCbHDSpd!L4o&EqHjDs#%PO|j7#HXY)PKMmSN|@}&MctYI267u*$_eQp4~id*5O>AR zIuIuFwVvb5e;G=3zs@Tb3Y~HSZxps(_Au%E`zUB56bxwZ89#W8`yMiy3MP_r9y=$b zCb`|Nmk3-Z?%_doULQp~!bx7VzW=sWb>J*8d*cB`s?|h%WocR7$HlR1l#Hf(zN)drQiXjjOJiQ+LHk)-?l9WNQ<%T(9{I*B6gh z4TJ4#Y=hTUh}nuM5D0p{XR-5h{)ia8*3r>3`)g1$sA$~Ql3Jgyd=+AK`m!L+6YI4> z^}$xpnn)#WZcX6;tQ1QBc;XHKR%U+rYjIP-*D!KT-UdE`)W&KCf=i8D=u|mA(h=Tr zRaE3Uugh}U{BTRoRVaqUf1E;2kYIn{6VfC?rHNR%7b#p?NI&N^D)$+bq9|ZaWYkPz zRc!q^SAfK4$-Ku&A|$s5xnv(d-Z&pFBn?pfvT`1QQE} z9TsJ}?H=!s`BTsT^F6HTCwo?cF`R3Lxu=t!tZ1vy#$iJ2ndqcHp_$Qeb?=2KgOCWc zL?kxEAJ60cjgq2^x?Wf|2Y@#+JzgBnx#(frH1je6z@18-?BC{I{z0Jy!29 zUY{hR&83%WR+DC&eGFXI8KYLv|yU5{$meqqUNE^SG$yn6JYvWokc2 zoTe2F-4n>faS6Sm&@D-I066)XywEQ1Ub_&PS;Jql z1x`cbZ1u5ra}kbLe;G+x>%TC8Lv7L^m%A2HdfrqxucE)?R%p-9*m*`2Kr6gW}V?|%m+IZsqr^(WK@hkHp=*)-eH%H zCL*?6<7+y?UZg`cy5PC}uD_c*D#|gCm13U7Vj2E)JqO zbcyLRB97n-g;;^9r8~im%lelh-w|Vnj@YOsTNingJx`Hy;#&~He3YY<&_PiaXQN4+D>H2#{Y7vuosC{v5f5x-=`IaM4NWX#1fPK3-lchchXP-3 z7%i6IK3fxD1yGv9N;6GU92MT{mf7Lgu^uuDj^**9+{nr@0d>B>|HB2%Y_rk(Y3Hwm z?J*#a*h0Rpw){wLj-B<6^YWu{gq*QJ2^I1f$)EhY5tjTqIIIF&vB@E)SE+}BGbC2x zfImoB+~OjH=KM^AP3Qub%6aS7C|m3J!`?U^Sb3s7O?8K1a^?w>J;mD$wRQyz$GhBy zKd}7>Na0*7*^vuWQG3lSC5VBnQpFbianbJ-NV=b?-AxYxI2#c#?N~WdfZAl-ch>xs zv5coFPP&jgEa(6J1R~OOjM8BV?G;Xv-7>Xi2|NvwZ`ALI5@l;7ZR(&Dk-| z#7nQ)lTp98Dor~_Be@$3La4>sDp{DYeJtL9cP8No6AgYm6YH@ba~l%W4j zhtOav6L%9NjgRIPPAbkIcZK8s`nu-g@K!qjMUrax#>DP>Y(O&GN+Nf<^n=%5%X49oiHcO7YEgqM8*2^K!#8R^|SW13Hk zx#Ar)IyUxYWz^=+Sj0pC37Ql}jm!M@|87=J!GCi(V~9rT;k3gv1`(8S3!ggWW<#DN zS?*R@95c-NHZb^{i(Vtx5_@vt?9@BsLQ`m*v^~z)JLh-jbuf8tv%X!X^BJ_>; z7V%{;F#813a4xvSPB8H@D(p*1Q-ne9jZLwLrl1g+wcD=fgLsC!I!Z}*P9@lP37@V& z2P?4k*{?mocJNo@hhwf-6N-|%-u4YmFqv*wvk#+{?$U>qqLf#brt2?Q5ZQIb;{o^7 zSuL43!ADRr!{2;0wZa~p_-Mqx!?wa^dsI|A;J%y3r1ZQ+Bm^4k0Z++sMZN!t3YZs# zANxwR;Te_80>T&`kM`tcZHl`;p)Qq$i2q1l2-rri|ZH(0HI)L^^!LvKJir0#(iUmR4E7}h$e`J$&1Br+A-lXxr=W^!%mmrA?gYQRy?;A4GgHDU z_3Zebf>XHkjOFrvW*%n7$V?Dke2M^1`F&pCqeRQ#DjZvO2HJ}i2dK=mPB#D6-&Abin9)JP?xsH3UD}{ukcPY-OJ%F@J1&=Xv13 z1){C78Tnpm`??wKzK`pk$20gs+mKP1A(8B#+98D2sv-JV-uol2oOpN(XP(xag--Cb z2WG!-Sa?SvE#luF;0y;)n!2K7=^!$F8Yfbg0l7@qhqZtPM<80gSeatxwhRY}p%#Ew z#|jN?G%SazC;&!fr`eZJq@NnCo;@Wdw5E`O-jkYxBHVF9Enuj*^1CVTz*1RTFHTJVYdh;MBF(k{!sUoTXL$5ft z#hjZJ;@L$5TFM~dXi@8@#I>wbm$7HlGk*p!9foaDgFEp^&01=9Lkh?j>9H%W%Pbz@ zIPpmD`%T-I^EGKLoFd^#{>UZi&uLuFfkXEIE;zvx@%}Y+5((DWNHadl9zjcQ&fd2J zYv4{;d{B{TLl-I)LQ*>90m+47KA~G(s^|)JUu`yNtXhIkLZ8-|MJjPabC;$m5zmh^ zCx|K;&c?IdPOAdx{riyPN|?(VuJd>-kla`w3?JF>!B^)a~C_sA4w);cdWo7Zm zW$cwrZ6I`-X1c1SYhN~z-KsK>3X>weDQKJySZXsevUP`6ZDBw@1x7GwKB~M0#Ncg8 zR1b$Vvu3f_G(!%$PkqLem!;#=kszkeuPA< zvm61X9~=iNOTBAHz7vCX4CKqW|8U387(WL$SlM+x0t=Hz&b&xJJc zy*I%oUT8qdkJie9tns=!kDwq5039rbAGJ1MCq;nDIMGdvy30@=1q%C{@%? zT7q-HQNLs$9|$#d1iogD>1NZ#&RTH#b@I}V*}ffQv-3Hn^4J#a23ijzmfj@sH!Vzo zD|-eGlwN#8|LyG!y+q1!stB!&2o_Gmjm>g$#c2-r*e>ySsq~taC4HqA;>AIph#?}t zn(5$C1adWQ-0LfTXYCH#pPZ24{NE#>l%f!vl;=Fu4VGmweF6>;v8sf4AZj<-Ob6i! zG^Y7Tt_3;)Peg1?7z*8EiCZzv zwp`54=w$s2At@((^S?7+J*7t}{Ys;ch&IO~Gsx4sPxYsYv`+~m{0go$<8 zm0(qVdS6a`DB{&swk}a_h9N7ZXD#yQH{ymy{BArhfzaTshW%J<$JalaYgBRRhr;W0 zyP#e-#bW||AK4EG_tXR_CJmAQrVO6r8+bE3J2nc4L5e2k$yePbdeHqCmn=KO!E#?f z4m@xbMh=wOzuq*7Pfonp?!-Be`eK#R*Yh`2bQ!eH09;C{iVosCGScP%9+YB}WT$gf zxBFO1gt0_ChONkLG`m$=IV2~g;?ty+(vxRMFwz~{&EzulgLPm*3)myT^dS1qQTNyO z=)LO25z|O3bQ0AC?jir}Bhe)^;qEeBqn{L~bWXN*;-9Ku73|52pb|8<7TLR}Ox<7$ zW3=UMjzB<#W;IgAcX;zMOL*q#o15AnXykB)#M?nMTd++N^DLAbP$LzHkQ?ORbmLr; z&i>ZRUSK`OWdU76l`=dPEi!-7O;5z9KI(m$%&9AAiSW$Y`!yw zNQ3}}yq2huixokrI=?aC!Z16-v&wyU>syJffb9n zONJTLX=p(tyHaAnpjLb-Wp~blFhST)2Amtv>>DF&&iRR8k^$m+{h%llGp)`u`(Zv_ zose@jMIqLFBeLyM5zfkB>S7#JoXKA}mD$ix1}C}w?e4g-C2jOT$$QVAe>)D5M*np> z*%tppzO(r@q-JaF8wC|A|5My2vU*@Abg^X=CFKQ4ebIls|F>{&jam66kwWeh1`YEAqMASr+s|SA;`)Dl%GpIRz!iGs9nN^Delp(?!pCsYfxB z2~R=jaG&ANNdoUk+;X4cJ=L3_^v4-S%^#*1^aec0U1A(WKtXGy{y*KJ+=9ufW_I|O z#bDHT)ZP}LoCeZ0LE|N!$q!}d>_fpLfO$d1D5<``8bh59yO6rao$>s4ED5*Vx*j?2 zEC;iqHHGoap9`-Cu2ig8*h|LuIDr13LZ<*hh7oe zvyZ{>&b#Y>K#SrWRerTMF9nlBGd` z+jUUR+Xf&Icz{yGjsb(McWM3N8)iNys~nD}Zcip2H`OLFZUlFPHue8g%yiAE?IU4Y z8Opd-;@2Io24OX|p(VTKNTfv9h}EAdY^yAXev1q=c!pDX&3Oc<9P*W@PXGzjNDpfC zI1UZ~+b(`ec=zekBxN~TA?zItwU4j7UBi5qgJ3TVV8 zY-8@`K`rOxDE56n?LV|hQTP1USy`47OVDUQChV{6Z88(i&ODaJuxS|6*h z^e1zeXTW(X6U}0sRI#*`K>Yol+P;5`=}g;GA07f@S;&rNJ~nFZ`> zQTn5OM(@nA0XI*Ex9H-TS3kwP7x|ID9PkUR0y5h(*{>lao{OPM-v5ij(PDOm8Y?BU z6@yd1TZF`)Vhgf4E{D><`0Y6U6dl`Im<$vlZM0`%S?8;11t#0mX|B23lYz~3PH)A3 z)+$@6r6Go@b)7y%JjxWB6C4Nfj#B-$O3Pu70&H&tx95d8=C-c#tQU zsNz+51mC19Z9aRiVTt|I`J9`U@ZV!L@GSBO@DO#=K#63xo)Q%`S;dNW3c$GWKmO~H z*zePA!P3B^VGb4%Y~ov$og0*_y;Ds1p7ZD3tRXQ+qpe8a*@V*hW95Yi4^7C%NLV_y zd>Zx6j6Z;DOgOcJ^hO!!67rnq27!u;0t%=r&zq8<D>j@p`5H$|K_I�e5{5J@Ac;81eDDwGZXHfaAfeA1Ndq&~J)=MLFgtRNkN;A<6lXW;{RUOxqthmI?Mp%_a z*m!FPI&+*Q8^r8#7(5}?zgzlJwtjX{i#h+*=pqArp)VUnT;3%MnHtEFbIe@|Q-4?B z#AYn0V7|YfYBiGvNW|BNK;XT+D?j+K=c86Xd@J)R-blVce&pU)4Xz-YPQhw z4?1{69P{c{fWy=8qLJOOcBa#!{BsGLQ=PQfG@h$phQ}V!mj+EYx~@9}IBZMf{K}M?J5}F0fuK z)eKNs*ke!=Wy{ZI=&eS;;i(}D{0OC(%G87ruU*#r6$%q~%Ugqa@<}r^KhVbZuL-~C zeBBob=@yZd+AaH&Y;Cflf;_;(b;q=0FoCkL*$YZ!4b;s`u5Ga=DDh=|ak!vDoG`wg z#w8+lrVC`FiTuN#&AYg3=ufh`Y%L_%3AXzn55gQY9Yg&mpwS9z8>CNzJoxDVljw{j z4~dsJ5fwxCR&1CeR|rOxlunB-hD1xp6z66D)A-44Zre;sgs;F9Ql9QCbbex|9y?)Z zK%i7q!7$~CQ}p-3WA;qgeYxn&&xZnCxo<0U(v<_gCtKmQ zwp7Us@}{bD;>>O#Wb6AfxmM_c_Wd#LF%zC!JoLMR2^7J6EVlQ($_w#jDATQT9~W9# zuW+5Xc5r!_E{OE>H7GGPRLCZDl33ro-ET|tDgt_qF1%wWrVYLy3_($LD*F_&6l3R5 z*v5^y*q=FccHA&F`$PK)KX+d9@(ZWNFMpUoWL%Q=bD*QV^w%mfn+X>^6HJq+I2Zpu z%8$0SmLyQi*E`TX=a~}*x(>x|6o|J;NiH}LT25n)|2J<{l0j737YW8;#q$}(=ETy* zycYZK$f2v$_w?2Ug$#Q*>=QWor&I9f^fQ!LkZyqTh~t)5f5aVWjoNh9%`^e_w`|PX z?f9vU^lu}d9EBFpz*=>NNv0jW$bWmKf7o&MB{>lQMP>z*qdvW{Jrx3awoys+4?td&6qrX9Y9@3y>K#W;XQT1aCfsugz z$_adyo+IfbEU5KiT`kM-_W=2en)nhKB>hH7k~;hTVNgqaL@Fx(E; zx*uQh9&1w20Xsuyr<>KScgj2O-U}>rzeGxTAg5oT(a#~_E20;ts!;8H!VHHTpAh-I zRP#Y_yA?vbRbc8@*YUFw7{(K`o_?W}G;o}cvCz#|fJq&q(s}!jh;UYXhLO@CqLrV~@QbTWI zlq&MV!#7;>$J?3T%*j@cC+@GkoFnkyr~{3Gy?9q7`xK3LG( z@1)rlPoU_`WM_yEIJVqn@SC?*4Qv6K%mOs^2dObLb{QIxylzMnno9#vJCLcM>2I>L znomE1OfruyZwNI1vyTgea92I<;}TO<1ERFhAd||ODbbA9UHj%*sp}4nf*%T=bh_fz z(}K|PMZ=<^3_6if;6s7-n@!j3?y_b#>)fr%?P=QoRJf`e_N9r;GkIX0LL@~Pp?&io zxvYzQ`c7^kc!V8b3-a{3jqvIh4)QO=<~GqLvWDwFFw8z|Gm-eNcGHwaI7GNvADot} z4iYFbh0 z5x4svr`k!s9%F-5&rMFr5$->_=VBJf5vbHtNqf;%!?}BuY(A)uPOh;gsVQTnD zfJL>vQhAJSfr+5v`D$JR%aYbvuNs~4uf zb|xUlbH?!8_n6d05}Iu!ypA}pu{mtQ8HJp>vO*oeav?UWEo!u>d_vCB-<&oE(tkW$ zL$MLqI^`}EDc;Gu5{!LbZ}8!^%`|4!Lj*0fc~SJ+GsQ6UgquFzM#blC=X{A=EG9z1 zdZVv|UysxW>AGr+bImMC29|8@T$au^mg3N;*;d;TRPw)AMj7h%*AM@=a9ct~U?55( zF)@|-X58U2nPa~qxXyIKs#RuXxL1H}>Z|3MXF4Xcx$jg7zo*kY>f{%0>Z{mt&&{34 zsn9yx63vKhC|#_}z_!-jHD23omixnY@!(pU+2XH_lsj}&y9_h{KpkbVoHSCxBO;?b zly12~ov(KRh3-z(X=WCTvd6%3%m2K{bB1nICqBt%_IJehgQBWFn1r=yx{ds2%B$5xhSs#1A)f&8F|Q-}=Jq9C5{40fA8qR^Sga z^?gH5%KC1j5cMFUEekoYHfD0PFvNFNhcW&59!Rsx|p2w``l8 zXp8?@B3ncXJzAz>EQij$-cN6GLxf>-&p>~D%WC>bfovi1XrHL(K8a2jnfw|GGB-;f zLH2Y0>Q)`Zm{Ex0lo>4m!2XL{zFvXIEF2UKsH7uH|@)xy4}qX-*{ zb!n8vbYh{BU$AF5Yd;o;1!qQ^E0||QW0cc&uwW$%90YgC92R0aNDA%NjEdP!hVv0L@@5^vf&6*~7~Ze*Fm23>5USGuQO4>H8x$r$^SAY+b&qhx zj;+IzA0RAw7^i=wPb)jmONj@1*Vt-XVJ8iCMFy?-w7zy8T;_2+re7Me`t=X5`NkDDW=?nZtZr2UGKJD%C zFIr_mXP(`O4_VXmbAoq^e9G;4n~UV9XkcI(TD~)Ulsjhv$oy4ne=Z~AekLW)GS8g& zKsf=R90Fb>9PfE~hAPDb1yVzcp;eKw=K+8?D*%A`_`09li-blBdptlb!q+|2n;N5@ zw02CLXxWOKV3fIQ)DU(5`KeX}&1?Ws^(%72-kg_oM6E;^^&O6os3N(rJy zsgvy#ReX37=5gv#Q`DJqN|pVjD0xE-QNOyUkOd{xkKL!d$S^SdakoC@@h}6HC?> LDCE: 16 instances + +INFO: [Common 17-83] Releasing license: Synthesis +11 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:00:14 ; elapsed = 00:00:33 . Memory (MB): peak = 1566.051 ; gain = 406.227 ; free physical = 100237 ; free virtual = 133596 +WARNING: [Constraints 18-5210] No constraint will be written out. +INFO: [Common 17-1381] The checkpoint '/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1/ALU.dcp' has been generated. +INFO: [runtcl-4] Executing : report_utilization -file ALU_utilization_synth.rpt -pb ALU_utilization_synth.pb +report_utilization: Time (s): cpu = 00:00:00.09 ; elapsed = 00:00:00.15 . Memory (MB): peak = 1590.062 ; gain = 0.000 ; free physical = 100235 ; free virtual = 133594 +INFO: [Common 17-206] Exiting Vivado at Sun May 14 22:49:12 2023... diff --git a/VHDL/ALU/ALU.runs/synth_1/ALU_utilization_synth.pb b/VHDL/ALU/ALU.runs/synth_1/ALU_utilization_synth.pb new file mode 100644 index 0000000000000000000000000000000000000000..66dd86c596412c4f471fcd2b1915afd1e365691b GIT binary patch literal 276 zcmd;LGcqu=&@-CEtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z> " + ISELogFile + " 2>&1"; + ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); + ISELogFileStr = ISEOpenFile( ISELogFile ); + + } else { // WSH 5.6 + + // LAUNCH! + ISEShell.CurrentDirectory = ISERunDir; + + // Redirect STDERR to STDOUT + ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; + var ISEProcess = ISEShell.Exec( ISECmdLine ); + + // BEGIN file creation + var ISENetwork = WScript.CreateObject( "WScript.Network" ); + var ISEHost = ISENetwork.ComputerName; + var ISEUser = ISENetwork.UserName; + var ISEPid = ISEProcess.ProcessID; + var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.WriteLine( " " ); + ISEBeginFile.WriteLine( " " ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.Close(); + + var ISEOutStr = ISEProcess.StdOut; + var ISEErrStr = ISEProcess.StdErr; + + // WAIT for ISEStep to finish + while ( ISEProcess.Status == 0 ) { + + // dump stdout then stderr - feels a little arbitrary + while ( !ISEOutStr.AtEndOfStream ) { + ISEStdOut( ISEOutStr.ReadLine() ); + } + + WScript.Sleep( 100 ); + } + + ISEExitCode = ISEProcess.ExitCode; + } + + ISELogFileStr.Close(); + + // END/ERROR file creation + if ( ISEExitCode != 0 ) { + ISETouchFile( ISEStep, "error" ); + + } else { + ISETouchFile( ISEStep, "end" ); + } + + return ISEExitCode; +} + + +// +// UTILITIES +// +function ISEStdOut( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdOut.WriteLine( ISELine ); + } +} + +function ISEStdErr( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdErr.WriteLine( ISELine ); + } +} + +function ISETouchFile( ISERoot, ISEStatus ) { + + var ISETFile = + ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); + ISETFile.Close(); +} + +function ISEOpenFile( ISEFilename ) { + + // This function has been updated to deal with a problem seen in CR #870871. + // In that case the user runs a script that runs impl_1, and then turns around + // and runs impl_1 -to_step write_bitstream. That second run takes place in + // the same directory, which means we may hit some of the same files, and in + // particular, we will open the runme.log file. Even though this script closes + // the file (now), we see cases where a subsequent attempt to open the file + // fails. Perhaps the OS is slow to release the lock, or the disk comes into + // play? In any case, we try to work around this by first waiting if the file + // is already there for an arbitrary 5 seconds. Then we use a try-catch block + // and try to open the file 10 times with a one second delay after each attempt. + // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. + // If there is an unrecognized exception when trying to open the file, we output + // an error message and write details to an exception.log file. + var ISEFullPath = ISERunDir + "/" + ISEFilename; + if (ISEFileSys.FileExists(ISEFullPath)) { + // File is already there. This could be a problem. Wait in case it is still in use. + WScript.Sleep(5000); + } + var i; + for (i = 0; i < 10; ++i) { + try { + return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); + } catch (exception) { + var error_code = exception.number & 0xFFFF; // The other bits are a facility code. + if (error_code == 52) { // 52 is bad file name or number. + // Wait a second and try again. + WScript.Sleep(1000); + continue; + } else { + WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + var exceptionFilePath = ISERunDir + "/exception.log"; + if (!ISEFileSys.FileExists(exceptionFilePath)) { + WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); + var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); + exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + exceptionFile.WriteLine("\tException name: " + exception.name); + exceptionFile.WriteLine("\tException error code: " + error_code); + exceptionFile.WriteLine("\tException message: " + exception.message); + exceptionFile.Close(); + } + throw exception; + } + } + } + // If we reached this point, we failed to open the file after 10 attempts. + // We need to error out. + WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); + WScript.Quit(1); +} diff --git a/VHDL/ALU/ALU.runs/synth_1/ISEWrap.sh b/VHDL/ALU/ALU.runs/synth_1/ISEWrap.sh new file mode 100755 index 0000000..e1a8f5d --- /dev/null +++ b/VHDL/ALU/ALU.runs/synth_1/ISEWrap.sh @@ -0,0 +1,63 @@ +#!/bin/sh + +# +# Vivado(TM) +# ISEWrap.sh: Vivado Runs Script for UNIX +# Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. +# + +HD_LOG=$1 +shift + +# CHECK for a STOP FILE +if [ -f .stop.rst ] +then +echo "" >> $HD_LOG +echo "*** Halting run - EA reset detected ***" >> $HD_LOG +echo "" >> $HD_LOG +exit 1 +fi + +ISE_STEP=$1 +shift + +# WRITE STEP HEADER to LOG +echo "" >> $HD_LOG +echo "*** Running $ISE_STEP" >> $HD_LOG +echo " with args $@" >> $HD_LOG +echo "" >> $HD_LOG + +# LAUNCH! +$ISE_STEP "$@" >> $HD_LOG 2>&1 & + +# BEGIN file creation +ISE_PID=$! +if [ X != X$HOSTNAME ] +then +ISE_HOST=$HOSTNAME #bash +else +ISE_HOST=$HOST #csh +fi +ISE_USER=$USER +ISE_BEGINFILE=.$ISE_STEP.begin.rst +/bin/touch $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE +echo " " >> $ISE_BEGINFILE +echo " " >> $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE + +# WAIT for ISEStep to finish +wait $ISE_PID + +# END/ERROR file creation +RETVAL=$? +if [ $RETVAL -eq 0 ] +then + /bin/touch .$ISE_STEP.end.rst +else + /bin/touch .$ISE_STEP.error.rst +fi + +exit $RETVAL + diff --git a/VHDL/ALU/ALU.runs/synth_1/__synthesis_is_complete__ b/VHDL/ALU/ALU.runs/synth_1/__synthesis_is_complete__ new file mode 100644 index 0000000..e69de29 diff --git a/VHDL/ALU/ALU.runs/synth_1/gen_run.xml b/VHDL/ALU/ALU.runs/synth_1/gen_run.xml new file mode 100644 index 0000000..89ae79e --- /dev/null +++ b/VHDL/ALU/ALU.runs/synth_1/gen_run.xml @@ -0,0 +1,33 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/VHDL/ALU/ALU.runs/synth_1/htr.txt b/VHDL/ALU/ALU.runs/synth_1/htr.txt new file mode 100644 index 0000000..6768be2 --- /dev/null +++ b/VHDL/ALU/ALU.runs/synth_1/htr.txt @@ -0,0 +1,9 @@ +# +# Vivado(TM) +# htr.txt: a Vivado-generated description of how-to-repeat the +# the basic steps of a run. Note that runme.bat/sh needs +# to be invoked for Vivado to track run status. +# Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. +# + +vivado -log ALU.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source ALU.tcl diff --git a/VHDL/ALU/ALU.runs/synth_1/project.wdf b/VHDL/ALU/ALU.runs/synth_1/project.wdf new file mode 100644 index 0000000..25be11b --- /dev/null +++ b/VHDL/ALU/ALU.runs/synth_1/project.wdf @@ -0,0 +1,31 @@ +version:1 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:5648444c:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:3136:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 +5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:6165663336656633613064393464616339653630353862363536393037616664:506172656e742050412070726f6a656374204944:00 +eof:2773257219 diff --git a/VHDL/ALU/ALU.runs/synth_1/rundef.js b/VHDL/ALU/ALU.runs/synth_1/rundef.js new file mode 100644 index 0000000..4826119 --- /dev/null +++ b/VHDL/ALU/ALU.runs/synth_1/rundef.js @@ -0,0 +1,40 @@ +// +// Vivado(TM) +// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 +// Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. +// + +echo "This script was generated under a different operating system." +echo "Please update the PATH variable below, before executing this script" +exit + +var WshShell = new ActiveXObject( "WScript.Shell" ); +var ProcEnv = WshShell.Environment( "Process" ); +var PathVal = ProcEnv("PATH"); +if ( PathVal.length == 0 ) { + PathVal = "/usr/local/insa/Xilinx.VIVADO/SDK/2018.2/bin:/usr/local/insa/Xilinx.VIVADO/Vivado/2018.2/ids_lite/ISE/bin/lin64;/usr/local/insa/Xilinx.VIVADO/Vivado/2018.2/ids_lite/ISE/lib/lin64;/usr/local/insa/Xilinx.VIVADO/Vivado/2018.2/bin;"; +} else { + PathVal = "/usr/local/insa/Xilinx.VIVADO/SDK/2018.2/bin:/usr/local/insa/Xilinx.VIVADO/Vivado/2018.2/ids_lite/ISE/bin/lin64;/usr/local/insa/Xilinx.VIVADO/Vivado/2018.2/ids_lite/ISE/lib/lin64;/usr/local/insa/Xilinx.VIVADO/Vivado/2018.2/bin;" + PathVal; +} + +ProcEnv("PATH") = PathVal; + +var RDScrFP = WScript.ScriptFullName; +var RDScrN = WScript.ScriptName; +var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); +var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; +eval( EAInclude(ISEJScriptLib) ); + + +ISEStep( "vivado", + "-log ALU.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source ALU.tcl" ); + + + +function EAInclude( EAInclFilename ) { + var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); + var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); + var EAIFContents = EAInclFile.ReadAll(); + EAInclFile.Close(); + return EAIFContents; +} diff --git a/VHDL/ALU/ALU.runs/synth_1/runme.bat b/VHDL/ALU/ALU.runs/synth_1/runme.bat new file mode 100644 index 0000000..8eb74b1 --- /dev/null +++ b/VHDL/ALU/ALU.runs/synth_1/runme.bat @@ -0,0 +1,11 @@ +@echo off + +rem Vivado (TM) +rem runme.bat: a Vivado-generated Script +rem Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. + + +set HD_SDIR=%~dp0 +cd /d "%HD_SDIR%" +set PATH=%SYSTEMROOT%\system32;%PATH% +cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/VHDL/ALU/ALU.runs/synth_1/runme.log b/VHDL/ALU/ALU.runs/synth_1/runme.log new file mode 100644 index 0000000..0ed1116 --- /dev/null +++ b/VHDL/ALU/ALU.runs/synth_1/runme.log @@ -0,0 +1,237 @@ + +*** Running vivado + with args -log ALU.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source ALU.tcl + + +****** Vivado v2018.2 (64-bit) + **** SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 + **** IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 + ** Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. + +source ALU.tcl -notrace +Command: synth_design -top ALU -part xc7a35tcpg236-1 +Starting synth_design +Attempting to get a license for feature 'Synthesis' and/or device 'xc7a35t' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a35t' +INFO: Launching helper process for spawning children vivado processes +INFO: Helper process launched with PID 846814 +--------------------------------------------------------------------------------- +Starting Synthesize : Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 1255.277 ; gain = 83.809 ; free physical = 100416 ; free virtual = 133773 +--------------------------------------------------------------------------------- +INFO: [Synth 8-638] synthesizing module 'ALU' [/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:46] +INFO: [Synth 8-256] done synthesizing module 'ALU' (1#1) [/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:46] +--------------------------------------------------------------------------------- +Finished Synthesize : Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 1299.902 ; gain = 128.434 ; free physical = 100409 ; free virtual = 133768 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Constraint Validation : Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 1299.902 ; gain = 128.434 ; free physical = 100408 ; free virtual = 133766 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Loading Part and Timing Information +--------------------------------------------------------------------------------- +Loading part: xc7a35tcpg236-1 +INFO: [Device 21-403] Loading part xc7a35tcpg236-1 +--------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 1307.906 ; gain = 136.438 ; free physical = 100394 ; free virtual = 133753 +--------------------------------------------------------------------------------- +WARNING: [Synth 8-327] inferring latch for variable 'res_reg' [/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd:49] +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 1315.914 ; gain = 144.445 ; free physical = 100397 ; free virtual = 133755 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +No constraint files found. +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : ++---Adders : + 3 Input 16 Bit Adders := 1 ++---Muxes : + 2 Input 16 Bit Muxes := 1 + 2 Input 1 Bit Muxes := 2 +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start RTL Hierarchical Component Statistics +--------------------------------------------------------------------------------- +Hierarchical RTL Component report +Module ALU +Detailed RTL Component Info : ++---Adders : + 3 Input 16 Bit Adders := 1 ++---Muxes : + 2 Input 16 Bit Muxes := 1 + 2 Input 1 Bit Muxes := 2 +--------------------------------------------------------------------------------- +Finished RTL Hierarchical Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 90 (col length:60) +BRAMs: 100 (col length: RAMB18 60 RAMB36 30) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +No constraint files found. +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +Warning: Parallel synthesis criteria is not met +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:10 ; elapsed = 00:00:30 . Memory (MB): peak = 1434.023 ; gain = 262.555 ; free physical = 100260 ; free virtual = 133619 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +No constraint files found. +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:00:10 ; elapsed = 00:00:30 . Memory (MB): peak = 1434.023 ; gain = 262.555 ; free physical = 100260 ; free virtual = 133619 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:00:10 ; elapsed = 00:00:30 . Memory (MB): peak = 1434.023 ; gain = 262.555 ; free physical = 100260 ; free virtual = 133619 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:00:11 ; elapsed = 00:00:31 . Memory (MB): peak = 1434.023 ; gain = 262.555 ; free physical = 100260 ; free virtual = 133620 +--------------------------------------------------------------------------------- + +Report Check Netlist: ++------+------------------+-------+---------+-------+------------------+ +| |Item |Errors |Warnings |Status |Description | ++------+------------------+-------+---------+-------+------------------+ +|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | ++------+------------------+-------+---------+-------+------------------+ +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:00:11 ; elapsed = 00:00:31 . Memory (MB): peak = 1434.023 ; gain = 262.555 ; free physical = 100260 ; free virtual = 133620 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:11 ; elapsed = 00:00:31 . Memory (MB): peak = 1434.023 ; gain = 262.555 ; free physical = 100260 ; free virtual = 133620 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:00:11 ; elapsed = 00:00:31 . Memory (MB): peak = 1434.023 ; gain = 262.555 ; free physical = 100260 ; free virtual = 133620 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:11 ; elapsed = 00:00:31 . Memory (MB): peak = 1434.023 ; gain = 262.555 ; free physical = 100260 ; free virtual = 133620 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:00:11 ; elapsed = 00:00:31 . Memory (MB): peak = 1434.023 ; gain = 262.555 ; free physical = 100260 ; free virtual = 133620 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +Report BlackBoxes: ++-+--------------+----------+ +| |BlackBox name |Instances | ++-+--------------+----------+ ++-+--------------+----------+ + +Report Cell Usage: ++------+-------+------+ +| |Cell |Count | ++------+-------+------+ +|1 |CARRY4 | 18| +|2 |LUT2 | 31| +|3 |LUT3 | 6| +|4 |LUT4 | 41| +|5 |LUT5 | 12| +|6 |LUT6 | 52| +|7 |LD | 16| +|8 |IBUF | 19| +|9 |OBUF | 12| ++------+-------+------+ + +Report Instance Areas: ++------+---------+-------+------+ +| |Instance |Module |Cells | ++------+---------+-------+------+ +|1 |top | | 207| ++------+---------+-------+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:00:11 ; elapsed = 00:00:31 . Memory (MB): peak = 1434.023 ; gain = 262.555 ; free physical = 100260 ; free virtual = 133620 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 0 critical warnings and 1 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:11 ; elapsed = 00:00:31 . Memory (MB): peak = 1434.023 ; gain = 262.555 ; free physical = 100264 ; free virtual = 133623 +Synthesis Optimization Complete : Time (s): cpu = 00:00:11 ; elapsed = 00:00:31 . Memory (MB): peak = 1434.031 ; gain = 262.555 ; free physical = 100274 ; free virtual = 133633 +INFO: [Project 1-571] Translating synthesized netlist +INFO: [Netlist 29-17] Analyzing 53 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Project 1-111] Unisim Transformation Summary: + A total of 16 instances were transformed. + LD => LDCE: 16 instances + +INFO: [Common 17-83] Releasing license: Synthesis +11 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:00:14 ; elapsed = 00:00:33 . Memory (MB): peak = 1566.051 ; gain = 406.227 ; free physical = 100237 ; free virtual = 133596 +WARNING: [Constraints 18-5210] No constraint will be written out. +INFO: [Common 17-1381] The checkpoint '/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1/ALU.dcp' has been generated. +INFO: [runtcl-4] Executing : report_utilization -file ALU_utilization_synth.rpt -pb ALU_utilization_synth.pb +report_utilization: Time (s): cpu = 00:00:00.09 ; elapsed = 00:00:00.15 . Memory (MB): peak = 1590.062 ; gain = 0.000 ; free physical = 100235 ; free virtual = 133594 +INFO: [Common 17-206] Exiting Vivado at Sun May 14 22:49:12 2023... diff --git a/VHDL/ALU/ALU.runs/synth_1/runme.sh b/VHDL/ALU/ALU.runs/synth_1/runme.sh new file mode 100755 index 0000000..461a56e --- /dev/null +++ b/VHDL/ALU/ALU.runs/synth_1/runme.sh @@ -0,0 +1,39 @@ +#!/bin/sh + +# +# Vivado(TM) +# runme.sh: a Vivado-generated Runs Script for UNIX +# Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. +# + +if [ -z "$PATH" ]; then + PATH=/usr/local/insa/Xilinx.VIVADO/SDK/2018.2/bin:/usr/local/insa/Xilinx.VIVADO/Vivado/2018.2/ids_lite/ISE/bin/lin64:/usr/local/insa/Xilinx.VIVADO/Vivado/2018.2/bin +else + PATH=/usr/local/insa/Xilinx.VIVADO/SDK/2018.2/bin:/usr/local/insa/Xilinx.VIVADO/Vivado/2018.2/ids_lite/ISE/bin/lin64:/usr/local/insa/Xilinx.VIVADO/Vivado/2018.2/bin:$PATH +fi +export PATH + +if [ -z "$LD_LIBRARY_PATH" ]; then + LD_LIBRARY_PATH=/usr/local/insa/Xilinx.VIVADO/Vivado/2018.2/ids_lite/ISE/lib/lin64 +else + LD_LIBRARY_PATH=/usr/local/insa/Xilinx.VIVADO/Vivado/2018.2/ids_lite/ISE/lib/lin64:$LD_LIBRARY_PATH +fi +export LD_LIBRARY_PATH + +HD_PWD='/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1' +cd "$HD_PWD" + +HD_LOG=runme.log +/bin/touch $HD_LOG + +ISEStep="./ISEWrap.sh" +EAStep() +{ + $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 + if [ $? -ne 0 ] + then + exit + fi +} + +EAStep vivado -log ALU.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source ALU.tcl diff --git a/VHDL/ALU/ALU.runs/synth_1/vivado.jou b/VHDL/ALU/ALU.runs/synth_1/vivado.jou new file mode 100644 index 0000000..367bb7b --- /dev/null +++ b/VHDL/ALU/ALU.runs/synth_1/vivado.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Vivado v2018.2 (64-bit) +# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 +# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 +# Start of session at: Sun May 14 22:48:29 2023 +# Process ID: 846737 +# Current directory: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1 +# Command line: vivado -log ALU.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source ALU.tcl +# Log file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1/ALU.vds +# Journal file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.runs/synth_1/vivado.jou +#----------------------------------------------------------- +source ALU.tcl -notrace diff --git a/VHDL/ALU/ALU.runs/synth_1/vivado.pb b/VHDL/ALU/ALU.runs/synth_1/vivado.pb new file mode 100644 index 0000000000000000000000000000000000000000..eb2a4512d8bbd7325e092888e668d02e72291905 GIT binary patch literal 24295 zcmeHP>2DlK72kGZhb_XcCD>&#%Tme8+QiuzcUR91d-%%1+8g7YBLcnK)_zMspKz!l`ArOZI;uN1aK7lxe_yXVf19(+^O!suR+cpkuuV$6?^!fU| zSMR-g^{VQJdg$ze+3hMuyM?E1G$ucYEC=tXbw#c^YHy3kwUR{6wCSee>P{;-*ch*rBuVZ((mTC#`m@3#lVhlb zp1k3}%bKx;qqN!APf3+#Xa;rN61nWSQ_6M_jLXn0gX-DlV@kfxyH$N1@r|WY=uDh z2NbvPO|47u1^Z$Pt34OLfJL#z{t^5EOm(Ga)Arz^jLUe1c1>#+Us#!kS9(-=6K+eS zS}l`$9p2eeGy|?Q~%)tN!w~^!UQG{p_@Tk!gWcYq?r@0tqSJOENB>44r}8QJwvE$OpbBpf3uSHAAyIVAK2Y z0XfYFDw#geY?hncZ+st+NTXblD}k*KIl;g?;!aR&8Srp=K$>> z&kg@Hq}iVe=xYPbE|`YxSP%vrd`r=_Hu#HaWCxmutK>$Y{UIgS(nSm-P4P-Do`YL) zLwga;@E!y&n@XDn-&K|oKzGZ!5OyAhN7G2xZfHQo%l4tTYy>?MNX7D;)^MVeBhM}L zc=UBhtymnEs`Z%(FApKlqQ~=drwJmJDk4js!j8OnP5o=g*&(d{aNz8L*n8N*QMtY> z)*-&K)FFtkat-2Z=;87BDmTNx8+ZL`UXoF~%0D@V&S}ObwJhdVy5guEp66~WmZsbd z@|_9DAW1#w=^<&gAoZ~5Rx+tLJ~>Zwubc;@0n?w@necj<;@jb`L#F==0ey2|`fE3r z@pTxLbhQUw7q{9`U_e5S%-*GHxhXL#4(z>BDOW1hphp|Fcb0wgYCC-N*eHdvHp7 zFf8?qp??jsAjN>;0PY`{^h4zO$VeCOp3Cvpt|08?C#+SV%F%=I66Bz8&CzT}Q|&zK zDPE#i;u6W|{fpF5G#$n(aiLhM1#u?Fuv&3)=h0)#;OE+HYT5a3Z^Yl8N99K`#xkUN zJ=cLFjA0xhu*<>TXA>5VR8jaXN_dBhJCX8WG$aMh&T{@ zK%MMI@ZzoPdR+B&^lUgPj$7GDa-HJU`dVDAbEq^3M^`m!DVEydMX-|@o03)&6Dn>w zme+mf#DGRzV;G%X@#=Z3;GFaBC{&I@WjwPx)LD*|y&kvF1vDEAl`#)UbL$-P#Rsk8 zIk*~Ev521b!X~dMtWmF~j&HayYh~>odwoKsoK3uh)!BRKR!k7Pg%)qD!bqkm;tQ&& zW1SjXPN!89FQWOixfQqrGbFKF7%tCC4O|oXkzB(vJe(Zm!`L|-2o>L~$@m<4PD~*u zre%v)X>xSydCG!i+BTjyU84;y!Yi_K7FA-!iDPdsZ^Zp(2}!pV%YgbBnT3O7Rs)AXm zvPjs>i(gtIHBzotGfGPYB2QXrsU|hkbv`OBm7-;*QE7>#W(TOX6(4FZ$D>ghO?jc# zpXrL0ebZgw81=-(Yx9pof$t{*`pO{irRa1N=MsaN95Wl0(4$%R!!7*3g-Ym2v+?5` zRXc{Mn_IhhMd|e*4a>2=;)6`_9Q7tEUyg*igi1$IZWQGVJenv>SiylW(X^+=%dkSlp=_*<=P9g^rFf*+xC!Uj z=gqicKZq`bwX%_J)r6ybKzKb?2{AM~B4$ctNL%;r4Q974L$@bFfEUccjv! zb@D4YX7&4_yz%=2`qCh8jK(zdB_$Dj_#<;f!YzZi@CRmEm|mijB~VeCDOy@wC{VW0anA&yew;-h+U zafg@Mtx-eaYx}QI16Hs&pxAI-V~#e97piy;{xKBUe=VTz3?lnp${j`eNW}0CbL=fy z$_gSqTbjh?oJWg@BRY-5w7h_voOgZ;RX{Tr5!1V_rt^JH>rf)V!BU^&zXYZDu=ls2 zu=h&=eJdLFGBi7ic@h8ISIjHEsguLO%*0_8HgwoILA-c@isv9_*HJPfa3i);M$Ja#ejcdX?I&Km4LoI=zOwOJ940t z=RnC_+?l-(RC-6=lkYu$8S={vr&B!)ow`qF(feh8rNii_;k|!t ze*H2Wd2%%mAy?C*b3IDu5OM_ud@y^?uCxYo1DNeU$&a?L#zS@uogZQ-F>{s|ag!9e zjzQHSYkhx@X`clHm_bT+-f$W$N%0}@=!XM_wdggh_rnc@i1q(JkHvTAdsjiSvXoIK zdeBVNiu>ROx;)7IHUqG{`<&PTP1LAR4tUY-a<|O#tQO&{qH`09ic0z(|fs^_esN zC&-fsKx~uyVK>G}vm`M{sqjnp5b=p~wtX~DSQ4T74baAbUJ5KMtgd65s;1EfkX-m2 zX$(FG7@1l{Eu3VXJdNJwAstJVz3$2> zfrY&*Rcp1fSWRm?K;o;2wK5^~6v9(z0`~V4IqRM*SDUrudmMJUPMEI4;ovLi{HrFk zW{9?WUxT6cU5f96O~QI?Y`RW)a$@SVB#H9O+XoNY8)u|OsY)akUP8D%=&$h24rLb3 zakK%<4u}DntzhX74=bl?L<*hh8H7}}jIL(_+G~<)@&IifJ=C)08UVG-LCPf#vfNgC z$!~*P}Su|Ac_vwY1~a48e312MnlO_DqF{wcr&3hJTBr z%qN?QK&9t~YrsykT?}}KgtRKnmP9ZCKS@5#T>&UZ)%X}fOMpYW20gX~fZP8C0XNRs literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_Alu.tcl b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_Alu.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_Alu.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_Alu_behav.wdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_Alu_behav.wdb new file mode 100644 index 0000000000000000000000000000000000000000..e0c1b363e9318448ec3a9f4456e362ada0b38285 GIT binary patch literal 7475 zcmeHLYitx%6u#vpRge~q0YzG|Wf5&>TUu-ZX?MFzDU?#_LLVaAZFh9H?C#W^-9Au5 ziNW-T@kNaUlr#a6po!=YF;R;_O^r=SRTQKoG%e{NReYlB>DU|?W9;ub;z?@H^*xyoMPSiV9d#<6w^Gp!+z0U>`-3$GHEkVB01 z?+ulbs)@?=Caw>1&9k?{;V9xBU5Qb7xUrDy$ZXrJg_P$khAjU=qkfNW^-w;4prJoM zj#e(^G~vc8(MrA_;lEi&1?hIeXB-o#9RLdtKxvH9NRAr9RMd_H906QoxN-Cohaa9} z8sflUj0|xNA4FTVy2-F=urwfOVFuSzxhBPEiW$iK)tY6XZm#)UkjbA2~y(f&lTA)+?$ z<9fI_>Jlqn9V0I45$%4&QHxk{)FDMIteCE&QTAu|AVgERtDV8+m-Yv-3QzEhyp}dDuUY9xOPL!(1DASVxdu zSac+NxHj^58dwVsCX!aJjXa(PX3U?MU$u7k;KPv_^JnCVX&UoqVkezW4`P( zzc|kX4_v_$?ZSusg!LwP(1MplHUzwRgvfqzkKmcehJZJpYq5s0*8~sG0| zfAER+9Arb_LyjWb!yW<;_pKs8z?(%tAI5orhqD<1-aUk9yeg1Lz?)5o#>2A?0^YrZ zXuLHbk${I9V*QBuVosvIm{X*n_J~LGqm4)bAM=jJzgGXXwlDUj7!$^WxjI8iJ#)xv z$Z7F~nN{|<8oWx->W+b~ku3~C z&ZbS^Q)*p4r-f@P*9D;b9x*DV= zqN_?cSSxF$bFtlGliaS5OQIWn616C)A-6NrY|bIW6>z(P?(5lRNNx&wmFv)=SC(Zl zOt;ggJnF4=W@OCGLesv#nSp3+r`0I#X5PU+R7|ZjDlaH%d>!i998l`jW>u`;n^$(<|c4SD{x-ogRZ`)EMcmli(=a1KDkxll(2(7};F2Pfd;=q3%!qXP)o#Sz&C z&N*=%O@o6o*BCD)AD#u(1bqMCodC~!JU8+Fi+5b3@NZiBoE;jWVQTUXKP(U8FprQr z@y|tsZ^RtQz(@x4417A0i2qdvaGs^CzdR&q+GTsh8p*&&28L!}jCcVU>K?iJk1}99 zzr;6hQ{3q<-k!MMoUqZ=WlCJq7XQPSJ9oH8eKNjsM(>`9z2>ov4b$IA9DDFm`ku2* zx!*n4cCu=EIBD;R!zJ&x{n~ND-~UGQ`Bw&x3^W{Y?KbVZc)V-)6 z?zpG?n5sOq?NCS3oFl7$pS*71iBrFA+5W-l&iF%*AA2r$+t#ytJNozaJlXZyrPS|F z-uu>97i=tUOhW!u{+u^$Fm>-wSVoh0@$JO@<_Y$v?3VaDc8prGsCv}1iBmQ_z0ESE z<$;Qp+|4bMzdcZ1Kd!xR+nH%EHeL+=VmFOBeskaJg}2wAE1CG-0aIURXaA+m%aqN9=}YI>Htw8|d}m>ZZT z&z#=9mVr{3`Fq~S&!*n>^_ZUgpYA%?@d&U`iIrI$8LO1&_n F?@!#O|9$`f literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_Alu_vhdl.prj b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_Alu_vhdl.prj new file mode 100644 index 0000000..566e460 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/Test_Alu_vhdl.prj @@ -0,0 +1,7 @@ +# compile vhdl design source files +vhdl xil_defaultlib \ +"../../../../ALU.srcs/sources_1/new/ALU.vhd" \ +"../../../../ALU.srcs/sim_1/new/VHDL.vhd" \ + +# Do not sort compile order +nosort diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.log new file mode 100644 index 0000000..dcdfb14 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.log @@ -0,0 +1,55 @@ +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity ALU +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_Alu +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_Alu +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_Alu +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_Alu +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity ALU +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity ALU +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_Alu +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity ALU +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity ALU +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_Alu +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_Alu +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_Alu +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_Alu +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_Alu +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_Alu +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_Alu +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_Alu +ERROR: [VRFC 10-724] found '0' definitions of operator "<=", cannot determine exact overloaded matching definition for "<=" [/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd:88] +ERROR: [VRFC 10-1504] unit behavioral ignored due to previous errors [/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd:38] +INFO: [VRFC 10-240] VHDL file /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd ignored due to errors +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_Alu +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_Alu +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_Alu +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_Alu +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_Alu +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_Alu +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_Alu +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_Alu diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.sh b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.sh new file mode 100755 index 0000000..a729c87 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/compile.sh @@ -0,0 +1,27 @@ +#!/bin/bash -f +# **************************************************************************** +# Vivado (TM) v2018.2 (64-bit) +# +# Filename : compile.sh +# Simulator : Xilinx Vivado Simulator +# Description : Script for compiling the simulation design source files +# +# Generated by Vivado on Mon May 15 12:53:49 CEST 2023 +# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 +# +# Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. +# +# usage: compile.sh +# +# **************************************************************************** +ExecStep() +{ +"$@" +RETVAL=$? +if [ $RETVAL -ne 0 ] +then +exit $RETVAL +fi +} +echo "xvhdl --incr --relax -prj Test_Alu_vhdl.prj" +ExecStep xvhdl --incr --relax -prj Test_Alu_vhdl.prj 2>&1 | tee -a compile.log diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.log new file mode 100644 index 0000000..04a434c --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.log @@ -0,0 +1,17 @@ +Vivado Simulator 2018.2 +Copyright 1986-1999, 2001-2018 Xilinx, Inc. All Rights Reserved. +Running: /usr/local/insa/Xilinx.VIVADO/Vivado/2018.2/bin/unwrapped/lnx64.o/xelab -wto aef36ef3a0d94dac9e6058b656907afd --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot Test_Alu_behav xil_defaultlib.Test_Alu -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.std_logic_arith +Compiling package ieee.std_logic_unsigned +Compiling architecture behavioral of entity xil_defaultlib.ALU [alu_default] +Compiling architecture behavioral of entity xil_defaultlib.test_alu +Built simulation snapshot Test_Alu_behav diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.sh b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.sh new file mode 100755 index 0000000..3f91c4e --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/elaborate.sh @@ -0,0 +1,26 @@ +#!/bin/bash -f +# **************************************************************************** +# Vivado (TM) v2018.2 (64-bit) +# +# Filename : elaborate.sh +# Simulator : Xilinx Vivado Simulator +# Description : Script for elaborating the compiled design +# +# Generated by Vivado on Mon May 15 12:53:50 CEST 2023 +# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 +# +# Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. +# +# usage: elaborate.sh +# +# **************************************************************************** +ExecStep() +{ +"$@" +RETVAL=$? +if [ $RETVAL -ne 0 ] +then +exit $RETVAL +fi +} +ExecStep xelab -wto aef36ef3a0d94dac9e6058b656907afd --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot Test_Alu_behav xil_defaultlib.Test_Alu -log elaborate.log diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.log new file mode 100644 index 0000000..2cc3412 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.log @@ -0,0 +1,2 @@ +Vivado Simulator 2018.2 +Time resolution is 1 ps diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.sh b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.sh new file mode 100755 index 0000000..e0151ae --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/simulate.sh @@ -0,0 +1,26 @@ +#!/bin/bash -f +# **************************************************************************** +# Vivado (TM) v2018.2 (64-bit) +# +# Filename : simulate.sh +# Simulator : Xilinx Vivado Simulator +# Description : Script for simulating the design by launching the simulator +# +# Generated by Vivado on Mon May 15 12:53:51 CEST 2023 +# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 +# +# Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. +# +# usage: simulate.sh +# +# **************************************************************************** +ExecStep() +{ +"$@" +RETVAL=$? +if [ $RETVAL -ne 0 ] +then +exit $RETVAL +fi +} +ExecStep xsim Test_Alu_behav -key {Behavioral:sim_1:Functional:Test_Alu} -tclbatch Test_Alu.tcl -view /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/Test_Alu_behav.wcfg -log simulate.log diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou new file mode 100644 index 0000000..05685f9 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2018.2 (64-bit) +# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 +# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 +# Start of session at: Sun May 14 22:27:26 2023 +# Process ID: 831441 +# Current directory: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim +# Command line: wbtcv -mode batch -source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log +# Journal file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou +#----------------------------------------------------------- +source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log new file mode 100644 index 0000000..2518533 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log @@ -0,0 +1,13 @@ +#----------------------------------------------------------- +# Webtalk v2018.2 (64-bit) +# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 +# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 +# Start of session at: Sun May 14 22:27:26 2023 +# Process ID: 831441 +# Current directory: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim +# Command line: wbtcv -mode batch -source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log +# Journal file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou +#----------------------------------------------------------- +source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace +INFO: [Common 17-206] Exiting Webtalk at Sun May 14 22:27:27 2023... diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_31637.backup.jou b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_31637.backup.jou new file mode 100644 index 0000000..7eeb0ee --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_31637.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2018.2 (64-bit) +# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 +# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 +# Start of session at: Fri May 12 18:00:54 2023 +# Process ID: 31637 +# Current directory: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim +# Command line: wbtcv -mode batch -source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log +# Journal file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou +#----------------------------------------------------------- +source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_31637.backup.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_31637.backup.log new file mode 100644 index 0000000..266b444 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_31637.backup.log @@ -0,0 +1,13 @@ +#----------------------------------------------------------- +# Webtalk v2018.2 (64-bit) +# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 +# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 +# Start of session at: Fri May 12 18:00:54 2023 +# Process ID: 31637 +# Current directory: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim +# Command line: wbtcv -mode batch -source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log +# Journal file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou +#----------------------------------------------------------- +source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace +INFO: [Common 17-206] Exiting Webtalk at Fri May 12 18:00:55 2023... diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_32017.backup.jou b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_32017.backup.jou new file mode 100644 index 0000000..680239c --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_32017.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2018.2 (64-bit) +# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 +# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 +# Start of session at: Fri May 12 18:03:10 2023 +# Process ID: 32017 +# Current directory: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim +# Command line: wbtcv -mode batch -source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log +# Journal file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou +#----------------------------------------------------------- +source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_32017.backup.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_32017.backup.log new file mode 100644 index 0000000..ac35d6b --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_32017.backup.log @@ -0,0 +1,13 @@ +#----------------------------------------------------------- +# Webtalk v2018.2 (64-bit) +# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 +# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 +# Start of session at: Fri May 12 18:03:10 2023 +# Process ID: 32017 +# Current directory: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim +# Command line: wbtcv -mode batch -source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log +# Journal file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou +#----------------------------------------------------------- +source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace +INFO: [Common 17-206] Exiting Webtalk at Fri May 12 18:03:11 2023... diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_831173.backup.jou b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_831173.backup.jou new file mode 100644 index 0000000..ad264ba --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_831173.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2018.2 (64-bit) +# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 +# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 +# Start of session at: Sun May 14 22:27:00 2023 +# Process ID: 831173 +# Current directory: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim +# Command line: wbtcv -mode batch -source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log +# Journal file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou +#----------------------------------------------------------- +source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_831173.backup.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_831173.backup.log new file mode 100644 index 0000000..5c88519 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk_831173.backup.log @@ -0,0 +1,13 @@ +#----------------------------------------------------------- +# Webtalk v2018.2 (64-bit) +# SW Build 2258646 on Thu Jun 14 20:02:38 MDT 2018 +# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018 +# Start of session at: Sun May 14 22:27:00 2023 +# Process ID: 831173 +# Current directory: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim +# Command line: wbtcv -mode batch -source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.log +# Journal file: /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/webtalk.jou +#----------------------------------------------------------- +source /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl -notrace +INFO: [Common 17-206] Exiting Webtalk at Sun May 14 22:27:01 2023... diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xelab.pb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xelab.pb new file mode 100644 index 0000000000000000000000000000000000000000..f3bbe26b7f80d3fb43c79abbcf4af833a6f69ffd GIT binary patch literal 1964 zcmb7E%}*0S9Hl@YPLwo8LxK<|MwA%3-`(zVvY-bN&;&|jB&3<{Oxuam*>z{Ov{$cs z@L%$;^6D(x)&Xo<56yP=>F(l*Ec?rr*IzTvv z=hJ)Zm~>FavKhrQM!{b{O~-I}F2p=vbCr$!iPy z!NaqRbIQ91lWJYp)iwNB2ER*YCQ_5d5n?9g0+|J^(L8R}-+eTTZkR>6W}9*|Lqs~RuYSnFp@&fv65px@ZNKV=Rte`nufRz(Cq^vb=JfjNz1PT&mDWQpaNpO^iUMyjQ2-&K$tkotxG`RDT zF?k9rEbhWwWt<{OjQn)JdBx~FFVwr(0Gk&=wNP~s3Wf#*%3ewkF1E+5)%1Qo1*Kpn zZs_W`hhY0$qEzP4LLy0{sXiL>o7HqZM*|}k5@B09D39-cBpIrD7lg<2;Z5*t0#6AcssP+lj-XM?wta6w z9x|WD0^2iqP)cQQL89J#A{RWLL6mVpyPPaKoA0IhWKD30(x^uziKL1ncoRHSK`pE^nL@K4mfp)cE?cWyAa|*)$)+6)ORC*Sa(FHq)8D&y;+cbg49&u`c g?ztCWV-mhaG|!@PLr=Hg1_19lRxXd?6qieX0OpZO?f?J) literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/Compile_Options.txt b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/Compile_Options.txt new file mode 100644 index 0000000..8d475f1 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/Compile_Options.txt @@ -0,0 +1 @@ +-wto "aef36ef3a0d94dac9e6058b656907afd" --incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" --snapshot "Test_Alu_behav" "xil_defaultlib.Test_Alu" -log "elaborate.log" diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/TempBreakPointFile.txt b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/obj/xsim_0.lnx64.o b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/obj/xsim_0.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..46c61fb653f3787f2c67bed0e3b15c961ad8759e GIT binary patch literal 16416 zcmdT~e{3AbbzX{$eYR=dNl{xiR;#nMZs^#NPqA!Kv1%NdleI<(n`qdWg@qg`^7sQj zl4tUmP=??fO$t5g#FRzpfJKd%NsNXC)LLj6myQJKVktBcxQ$Q*Clmb z0kv?ee&3s!z2V*+Wwa>J4!oS1Z{B?K=Djy>XHWjx!L}#2)YQ~Ey3{yNI5nnY)twKO zI&o>nod)MF=ZoItwIcf7%vpCu>Sgz|)bs8V>ebfHIPF4Xw7ZCb@cgv< z8tU;E%&<4>#l4x6?i^6B5J!93%}d)%Cz9KMw9O>kV}_s*??86~t5N82jD)}b;ugo5 zI_6H)gr|?8`N0eLW8|@5S82hMqGLGH;)S=yyC_3N3Xay-nsk_xs9E8pY-Pqf4CzGx zkex>5Pmb47AxmqajMoFrQu8xS_c_j0x36z^cqb=fR{ zBU44}HQ~k#P=H{k!@jd-@hEWM~Bcxb~Ar?g{Ah*J`7(kKScV%zoZ zi8eQxM-$eeBF5q3yLc}Qya?2Cj~XEL1Rg)IFb{Ts8w&Bm+AEJM`-hMJ)_>l-Y4KxO z6&okwombP0yqn{ZDAXH54j_Gdc`lQpQP|CKcDALj#CuMcFpD zOYa>f`!4uSsLGqXSVZr+3w=`j`0{3sxi>tx}mxQO}`o+Ui5Q*s^dd0naa9W%3)GZ)~T z@8rGK4dD8Tcq<(FvkT@tRMPiH|IhTjXV?E-`?h?E8N8V(1Q|Rg^|IR}^}HKJ{m!&| z36aFYASL8Y6$hA$FI!k4-UZ}6Aeaz=5dLE}BZ7hLifM-)m^v#1&P1dn(ulFEcVRXg zEL`p~>TpCchPV0}V722#z1~arYK~d&CJx_EFasmHT1QVv*oOn9)A!j{DX*g5AZdA;LslOhdo&E;gej*r>=JiG9Q*iN&CBa@VZ zu#AF(qw3Q{F-Hd!ZOa)#f%mMsE1+83Fi;PK=@!*VR4wPy zIE-h=e|1-QXPm|*Q>_|?l;Tyl5WIC24jqW=7w;mPeDU6~MXA73(^i9oYK&;C;I%W+ z!IE(_kiq>Os<-rj=@;Sy7?u|@tzU>U>31OE$NC4#WY84&payoEs zrQVpGB&wE`r{=YQ$x0l9sywkc%a>5e;YEANw7DWdTo?Ebc&_Ek>cUX%zTi#04edKh zw}b@ua*5VoFWm9Cmk7U>aDV*LC(TP;wpzqx{wlnp?iDg)y%0~peeVN%pDUKuo4mG0 zee^E98I^pznR)TkYHP;#nF>R71!v--ANBPeM^5=hlFO?k)Q-latnbW|E{A5kEC!{e zPP;!@(n^jZr7w|1bPIBWHy8A)pSJV|6T=7j#L7A}PezgnL8wX*olNp*GMRi2=C_m- z!y#etGYDzGADJpbeSHDshSl})uo+j^$4=V1OU~9|2zT&o@%G>Di^+|=4F~Hm&WslK z4^}%wxk!RE1JFI862KSCbQrPIBmgvY0-!p$8Hj$80hE4S7?Y^eB z4rE$Z-o?S^)bQ~JefigNIBsf{)p(}n>UL{=jMTe8ZJm7S6Y&f#?{9z+mv>e;jXVUn z1mhrVxUc`OpFedUT&jZ-ID(5(FS`q@&+mawx8b>h$63jI5*XrK$>5Tq1DLXfyqLB& zpMxZgGC+daX*VN;$T<+kO@>{(M8zrs#R1se`kN@UqZ$p>VsvF3uW)sLYuV zdKd!tt8NAewn0{0;y9xXImqZE{_>i=S}%sv8|QIu@J9lI>txj-e`sjhE3)fL;>!OYn75X^ZH`3`Fr zaN1qdi+Sv0wPy6iH7j(1oJFV>rxc7LQV0Y}eIGLv9|RJd4h1D`z+9yNpcSdZ_FuCl zRF*G7Tmrj~um)oc!qA6Zb9MzPzuR+yPzSs%&D)}P9p+Z2*5Vfot-wsCo`2I+1TRF8{$7ms^ zfg4CV`?&!+i8$Yv_X{0e9*$NXh1K?kgBhnRf9Pn|%% z5U3RMs_Q#MbydFj!+2_`8d-2U)% z7Z3l&%M@V90_-? zF$KS(;^9aQem@e2Afn_2Gkb1{F*>druKp>Lpy?%m;4idvoeq z6Ytnj{wCh&oqrV5{W~shJKJeF)Dd0Rv!=Bh3;7i;TbnJn*^Y0W4*rT! z7xHFa<_n8kYxHK%Epq1M>J4g1mMeI0Yx1TpHeKlRfA5~W=8+bc@3_>-%zQP6U(By4yB$-aE88seeFUs#1+@KhkG6mA%{H67 zS$tuOud%~0o@cLqVikX#+%f#g%CA-2+iLDKf~EFq*tk*wTbMaHjtHW)5J3wY!kXc- zA$~e3jQDAnT3^%7@59{k_)#**Hwf_#ZVz?4uG?5s8LkkF_BO9(jizekA~2Oih7K~e z)rFox7q1HmkF+d?0c5a3Idi+R@T(^IWO){kp_1wt1R06l#P*Y?~-(wBihHf17BlIQ!GUHY40- zQM0aT)(}|PX5ltNR-B>jZxd}l&dfHWjI)6&oQfE`9Z$c+SwG{fU&k3exteQ7BGhqK z0wm7ZMVvXTCRdvwCxntd8CDnO@Q+36RGiheSXTd%AkmVzhd*7zsZL!0zfib4RPW>m@sv0I@T>u(O2s?`}H+-I?tI)j84sP!f1&?Zppg2Wp}BBjNdk`?R3!bP6*{# ztYPee_51bIHIMg+IPVk9-uZS6W15;k<>UC#=P$Wy{KOLDm8SW`-1pGW|3NFP)>p`J zuy1BEj-I|0y)=Qt*o6L`zkRC~TnEihEt>94O$R>--HJ`e!OiJfR-#V_6nslFMm6IZ zn~{UJVvLOk7|&`(T#Q7=b2cLfZ^anLZ?^=w0ZW^8)eJSt-8OWLXnmULmJ| z$;(zuH6f?eyl*L1%X7S@e z0h_aw#Y0rH`0=2C%~{Igp-Hp&@t}asS<2!eCM>0RQ2otGg7E;yS13=85BFpTM~0(A z-4oH&aBr$N`qjbgz{q$u+8rHDWyeQ{qhs0bY-%VqoW0Yr#RrGRhd#y>wdi)ecFl{w z?bJ*}YVN7OJv4_GUnB4xdhA;WFQ=s;a;V`_%@k5k1l?vmwxnxf^5KEn#=7*l!N{4_ z*f!pSS{ouQ4UM4QGFAKaEy6vo$9r{69nJi^sS6cB#Wjm~Sd1+Beo!!GD+0^bs zPyfzm5feSnYoXLYvTw9|DCO)P8yL%uX1kA|W$L3t(jOfmm9ty^s-^!&f9Xcp|mCzM1?RSxyUeYR}>Nnl)`A!V1#di^6dh&E;I@*C&` zF^;!>#+E9zgR+lfsM7kLp1&BF5Zph1E~~Wvyx#wUp3nW?fyc}@{yzvT=sQ5pl?VCP zafVdo{|%_)Jd2T_?I^tdu$sbOE?Mrza~GcA`p*EXw0>qU22eT!gTeKcRQX@Z)j7Yo z865dM_-Z5))QHjN35}b+sVP-k(Zj?8%IU>wD|&?TjKK0zE59pN!fh=|!X=NR`BRNw->GoP z<7mFG@veInE_ocyf7W%>P8<($ z{+7tHq$=l2sEK#!xHEWK;}PuwgTJitqQ>PN3v6BEml?Duy{dA4j+*@KJWwd|ennlU z?cb&CZ{%B{UoeMp-U6OV_(9mM68^&~@;|PEFIU08T?Ky>`d3=Fw~9Vzs>uJW3ZAWk z-(LlPtqRWYtEgucdH(BKCHYsX;Qw9)=l^&2=Ixp4vFuPbIWn9c98T$eZ!$g7KiHG(9>oPdIX*lF zZBxCa#^6{o^};xAaU~;;4EJhS_KXZ=x<^yVvB4KVt`11E`ST+e?9Ko$rMM-Z4R`+f8 zNU5oOdF#btuKv*!zI;YYyZ+cJh(-347JanTe5};8oZ9^H78y&Ae6=)xzu(*&bB6o* z>~WHZ+Ma1`X-huyB{0bRNg~WMO*e73m}X&pIe?>3c45zPb$lo`v6M z;pUY~`Iv>jeLFfR#P7vpc>cx0AGYvU_<}+S>Vt30iujBAeL{KWGQ3FzyGKTzQYw7(chLIu7Ve<;M*9iD71sk(^CbXv2a`e zcP-rJ*~TD73G#PV!M|Arf4>U;8GU~b@{d%(|H#5^{ok{2TmSngR6+lND)^sQ!9T2m zf1ZcA0{_>l;7VG3!-q@T28*%ep(;mRP^rYSZZoX?K z0=W6E;r9lM;Wytkrxfht=DX%%05{(?>jB(+Z{7&t=6h5ART*PuUGu%^(l8cozBdm9 daPz&{5x~v&W;%eI@6B8QH{YA{0o;6V{ulYqu+abj literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/obj/xsim_1.c b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/obj/xsim_1.c new file mode 100644 index 0000000..822ae48 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/obj/xsim_1.c @@ -0,0 +1,106 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern void execute_38(char*, char *); +extern void execute_39(char*, char *); +extern void execute_40(char*, char *); +extern void execute_32(char*, char *); +extern void execute_33(char*, char *); +extern void execute_34(char*, char *); +extern void execute_35(char*, char *); +extern void execute_36(char*, char *); +extern void execute_37(char*, char *); +extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[10] = {(funcp)execute_38, (funcp)execute_39, (funcp)execute_40, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_36, (funcp)execute_37, (funcp)vhdl_transfunc_eventcallback}; +const int NumRelocateId= 10; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/Test_Alu_behav/xsim.reloc", (void **)funcTab, 10); + iki_vhdl_file_variable_register(dp + 3576); + iki_vhdl_file_variable_register(dp + 3632); + + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/Test_Alu_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/Test_Alu_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern void implicit_HDL_SCinstatiate(); + +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_sv_type_file_path_name("xsim.dir/Test_Alu_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/Test_Alu_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/Test_Alu_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/obj/xsim_1.lnx64.o b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/obj/xsim_1.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..877ed7d4b28f91497be11ad750c9c0f8ac475a85 GIT binary patch literal 4560 zcmb`KTWl0%6vw}1D^%rDPzegsMXDl~ZI>2=M6p|3SYiXUEtUv4&hCEg4(!dD*|iW8 zFg5B1F+B3Xqlpi`7+(xADyBq@KA1@2i-|s&U}C&PjV2QD{AbSD4$~bT)RWA9=lh-i zcb&_3_Ectg&w^MiuGnH~mx@UxP@Zoolp6H-pz2af)WK}?yRngM^RMjLZNS+xccHj= z8~t2`mp%L5pG(Alakg(Mq2{-KXy$U~;iI#YWXWaSFG20~$6a|EKO7xTU1Hg??$gpf z{nS`?=7&VK`D?oQclPX~;M`~G%ZpSw%`@{N^L*|y+dR_K^?1|m>HMUl$M3@L=}d5; z*)y~_r822Y`-iY>?n&=(>K@T?S@mRmuojym33LEe95d#B^ugxtZF6EpBzYR zaLp;FcQiAcNsncW{(;^}t74WOQtD3LnApit6`SsgtzNvS^Bg^htB9}R*lv{RrCl#C z*u7-o&`cb$9UNN`jFFGnILGb|#S&DnaqL1cws)rEb$QN{v~gzA)zhv@=Bwqhu8@;+ z9OVZJrfb4e$x7AL$-VmzZgE^Qf1Ja*XiVB>S*xT|bX?mtbL2^RqU_b}Dmqa~|JScU zluD*}a{r-NNNw>RqYT<0S2l-U@~)tWe1tnf4Al+v{=yC|@Jm#o?eweOzQa967r1OE z7_1Z;*QfKx!dC=+{@eE5)VAIDH6DLN2Iexn{(FeGTmNYm{><&L{#)n@uRli&L-96| z=i4P7Kiqe?UL!fATe+PSm%06U0bDZn+5j!43#<>7fF0opZ3-~B;r8@OwpdX5N_asW zl=hu<@!rF-bcLTC@Kp>?p%Sq0 z{GX;+c(Xw5`SZ-zafu?{_6Y))Sp>wMzTF*% z9&gxuiz}J+jj?!OAA*s%x;0pB`Ei9)biT#CC+kMOhVI+)z_>Ew?Ic?snCA#L`>s$;Zg!3{m-gPdMr?+8jdk9cM*c>cPZzUb@ji9kj(-}#uSD=~BKY?a z{I>{xA%edd!QYACMg)JG7T<2YUq^6!pW5kPi{SMLzBXb$&qeS*BKmnXLjOdB{;>%C z^#~rBtyOc!yhPDRCG*Nl7EPDZmSJQfYO-F*k5leq9k+~D43$Q)P%}p;+j&=;wHC(H#jg#80dKx{g z^K~~MQDxJr@Z3^dZuO)^ZPpB{Lc^LRjO@?9pJ(72PQyTE>rc66x<#YnWoO_=W)Q4)BcuPOfJNuVo*Fo+6i|XW?u)Du=#dZ~;rgKvuW{WC@pD}N2PRUb AJOBUy literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/.xsim_webtallk.info b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/.xsim_webtallk.info new file mode 100644 index 0000000..abae0df --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/.xsim_webtallk.info @@ -0,0 +1,5 @@ +1684096019 +1684096045 +51 +1 +aef36ef3a0d94dac9e6058b656907afd diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/usage_statistics_ext_xsim.html b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/usage_statistics_ext_xsim.html new file mode 100644 index 0000000..2c20ced --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/usage_statistics_ext_xsim.html @@ -0,0 +1,53 @@ +Device Usage Statistics Report +

XSIM Usage Report


+ + + + + + + + + + + + + + + + + +
software_version_and_target_device
betaFALSEbuild_version2258646
date_generatedSun May 14 22:27:25 2023os_platformLIN64
product_versionXSIM v2018.2 (64-bit)project_idaef36ef3a0d94dac9e6058b656907afd
project_iteration12random_id48ade6b1-45bb-42c1-b620-33b3e004d501
registration_id48ade6b1-45bb-42c1-b620-33b3e004d501route_designFALSE
target_devicenot_applicabletarget_familynot_applicable
target_packagenot_applicabletarget_speednot_applicable
tool_flowxsim_vivado

+ + + + + + + + +
user_environment
cpu_nameIntel(R) Xeon(R) Silver 4216 CPU @ 2.10GHzcpu_speed800.000 MHz
os_nameUbuntuos_releaseUbuntu 20.04.6 LTS
system_ram134.000 GBtotal_processors2

+ + +
vivado_usage

+ + + + +
xsim
+ + + +
command_line_options
command=xsim
+
+ + + + + + + +
usage
iteration=0runtime=1 ussimulation_memory=122600_KBsimulation_time=0.03_sec
trace_waveform=true
+

+ + diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/usage_statistics_ext_xsim.wdm b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/usage_statistics_ext_xsim.wdm new file mode 100644 index 0000000..0410530 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/usage_statistics_ext_xsim.wdm @@ -0,0 +1,38 @@ +version = "1.0"; +clients = +( + { client_name = "project"; + rules = ( + { + context="software_version_and_target_device"; + xml_map="software_version_and_target_device"; + html_map="software_version_and_target_device"; + html_format="UserEnvStyle"; + }, + { + context="user_environment"; + xml_map="user_environment"; + html_map="user_environment"; + html_format="UserEnvStyle"; + } + ); + }, + + { client_name = "xsim"; + rules = ( + { + context="xsim\\command_line_options"; + xml_map="xsim\\command_line_options"; + html_map="xsim\\command_line_options"; + html_format="UnisimStatsStyle"; + }, + { + context="xsim\\usage"; + xml_map="xsim\\usage"; + html_map="xsim\\usage"; + html_format="UnisimStatsStyle"; + } + ); + } +); + diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/usage_statistics_ext_xsim.xml b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/usage_statistics_ext_xsim.xml new file mode 100644 index 0000000..c05367b --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/usage_statistics_ext_xsim.xml @@ -0,0 +1,44 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+ +
+
+ + + + + +
+
+
+
diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl new file mode 100644 index 0000000..68a1eb5 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/xsim_webtalk.tcl @@ -0,0 +1,32 @@ +webtalk_init -webtalk_dir /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/ +webtalk_register_client -client project +webtalk_add_data -client project -key date_generated -value "Mon May 15 14:24:45 2023" -context "software_version_and_target_device" +webtalk_add_data -client project -key product_version -value "XSIM v2018.2 (64-bit)" -context "software_version_and_target_device" +webtalk_add_data -client project -key build_version -value "2258646" -context "software_version_and_target_device" +webtalk_add_data -client project -key os_platform -value "LIN64" -context "software_version_and_target_device" +webtalk_add_data -client project -key registration_id -value "" -context "software_version_and_target_device" +webtalk_add_data -client project -key tool_flow -value "xsim_vivado" -context "software_version_and_target_device" +webtalk_add_data -client project -key beta -value "FALSE" -context "software_version_and_target_device" +webtalk_add_data -client project -key route_design -value "FALSE" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_family -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_device -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_package -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key random_id -value "48ade6b1-45bb-42c1-b620-33b3e004d501" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_id -value "aef36ef3a0d94dac9e6058b656907afd" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_iteration -value "50" -context "software_version_and_target_device" +webtalk_add_data -client project -key os_name -value "Ubuntu" -context "user_environment" +webtalk_add_data -client project -key os_release -value "Ubuntu 20.04.6 LTS" -context "user_environment" +webtalk_add_data -client project -key cpu_name -value "Intel(R) Core(TM) i7-8700 CPU @ 3.20GHz" -context "user_environment" +webtalk_add_data -client project -key cpu_speed -value "3200.000 MHz" -context "user_environment" +webtalk_add_data -client project -key total_processors -value "1" -context "user_environment" +webtalk_add_data -client project -key system_ram -value "67.000 GB" -context "user_environment" +webtalk_register_client -client xsim +webtalk_add_data -client xsim -key Command -value "xsim" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key trace_waveform -value "true" -context "xsim\\usage" +webtalk_add_data -client xsim -key runtime -value "1 us" -context "xsim\\usage" +webtalk_add_data -client xsim -key iteration -value "0" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Time -value "0.01_sec" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Memory -value "122600_KB" -context "xsim\\usage" +webtalk_transmit -clientid 2250690028 -regid "" -xml /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/usage_statistics_ext_xsim.xml -html /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/usage_statistics_ext_xsim.html -wdm /home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" +webtalk_terminate diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.dbg b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.dbg new file mode 100644 index 0000000000000000000000000000000000000000..595e8b6bc338c6d19e04cc475ba2ceef019224ea GIT binary patch literal 3796 zcmcgvOK%)S5bp4P#|SPtVetqCWcS+GW*r`3ZAXbAN-V93EDJFn?=;zQW@pUItRFXy zIr0-Yp&U7K;>-n!BR_#72M*lK_sv#CtM<-F5r&e!t)8y0tGlYYduF%eBu=?z{dQ$1`gvv*-hHOS%K|&K=bhm+LMH_W4lyy zYW_9vtQDblu8Vn?6a5CX`hO8^iq?kxe4b=yU7GB>4R)_g zZ7A@49?uWuH*Pq{@1lJk_Yvg*Hyz|h(LPVoV7}$W1i3HT=SdojpFfRnw;DYaZ`MirGn%Ne|ebGK|N_eVR z2c92pFLJdmoR>A0ZCCZ{eWpBem8X8$$MeMfraWrpy@oc0yeq)?yd0yvx6!7M_n~Or z!#r!s|YZ2amCHPL~-4Np?5x z1@rUEi*%j*%M8@{p54o$6Pe&YDrQxhLJGPA~j&Ij)Sirx$RXb?XOrf_i*iQrdg;tDPx;(=h?2k$w3uG8f3&h_n^ Z=;OO!7vTRNeiQJ0&-W(3fBB8;%RgP}+%f9)p{)g6xiKyw!5g5Y?-2bghd5Uf;t0CQ$%PTyCrH0fa%za!QPG4F z&Ss%Y9#z<)duEt;dh&vYBxhYj6k&ayofRxp%RuUC?p^5R;162i6&I>1!3E93!=vO` zJl%XX+BQ@mp{_FaaZC>lUNoSN7;~ygh%8By!{qCo8;QI-F$(>t4EHjo(XjF=Q+e@S z^|}K7d#(pur8=-nA-!`;*JphL2lA?TLuANn?=ezSq`=;BE79lS-vZQ{#qm~5hr^~FTicfAj;W9L1@4n3pUo9VZF$P)c zPxEt6chS5Zyl2fPHrT(UZEiN_dFi$7As?J~W!`Bnz;zbqkEn2cBQQF>)dx*ma<`mX z>wwVKwEgDF|?&w=EeZS>Rcere(|7T ze67`CB>lqPS6aitrI1EuqrTCKD2TyPRvZIfmb;s4H$=)rl);#1M=nta<@c*AQRfyB zMPHi9qO|4~F7yE<3Bg&~Q#B7_;Sqb4?z@0rivOdL@eHPIjf6Aw%(x z<@(*QWSb1~v8!$-E02zQ)zhu#6d+_P;^6HG&3MRw8`VFa?i_CW==c{e-0ZrCMVvGJ zY7&Bb$du3sQyf+?#aSAa2`^7C#U723B!=TWmc+Iut$v>)o>1N;HRx2OdEeB8osjEI zy%I?|epO&!Hb21jR|gS0RR?P>zY2SHC7a>1jxXf^$p^p4MRD#KQgYqEY%Jw2-;OG$ zxOn*XwuR3&HZ|rIQytExi=Rk5j;pMbO5tmGIML2i=7guv`K787Ld(Lu#Xud_7PxQq zEEG7ZCr&LOCR4_ZFG|VYKru>Zx}xprV4ca*X1J9)`huaDdDgr}U^n)d z$4hw|X|w~4ScGYOA;=4p&uY4ZXiw=yWNQZ?hnYqlbUoHJ|(GPSiD-15(gq%y$2~+#fChQK4CCn~2|L|9j%JD2 zg4g4;)doJ+zL?<5{X@~ppC=Rt(7kDS9`BsR0W+I=^|TxxJB8YUu+BC}hJ*k7PIZE1 zQbua_@J@?V>QZ_k?GG`?=H$y5P*W8mHoHJ_4bHq#R!e;|cEpnBUiWdcz7YiR!3Uul p%O2n1&!+;m!9&EiT%V)Rtn)?f`>#V=w=!qz*Bg5uKf#m3Kwt(*Kl^B;~RJbcd)zE{^(xg5>8+br|{>Mjw|8X zzWYJjjoW}{kGvmWbJ%>9u=%QB^Hsy$z$rZ>hu48D*kh1-HiX2gFfGqANKi#`abIO zj{LaKOU^~~q|}(`X~{*;oU;@?YaEH50!I?2IFdZWk>n0X4DDO~_Q}{=#eO4V&Ue*( Ut0OLPG9XTWxRafI0od4XVTQH=*#H0l literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.rlx b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.rlx new file mode 100644 index 0000000..8358e6a --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.rlx @@ -0,0 +1,12 @@ + +{ + crc : 117194062558042768 , + ccp_crc : 0 , + cmdline : " -wto aef36ef3a0d94dac9e6058b656907afd --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot Test_Alu_behav xil_defaultlib.Test_Alu" , + buildDate : "Jun 14 2018" , + buildTime : "20:07:38" , + linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/Test_Alu_behav/xsimk\" \"xsim.dir/Test_Alu_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/Test_Alu_behav/obj/xsim_1.lnx64.o\" \"/usr/local/insa/Xilinx.VIVADO/Vivado/2018.2/lib/lnx64.o/librdi_simulator_kernel.so\" \"/usr/local/insa/Xilinx.VIVADO/Vivado/2018.2/lib/lnx64.o/librdi_simbridge_kernel.so\"" , + aggregate_nets : + [ + ] +} \ No newline at end of file diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.rtti b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsim.rtti new file mode 100644 index 0000000000000000000000000000000000000000..a8141a5ecf6e992362547c04f171e2a25db534d7 GIT binary patch literal 318 zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG=SRiB1pOnJFz`!8K$iUzYq?s8Q76ga5 z#E1I$yL&n_Fad=?7%0rZ3B>B5>I~`;Kx_cShCmzz#Nj~f1H>LctgFrdG>Jh0h*^O~ z`8kG!208kG%>W89{Qv*I9whGw!~#&Oz&6E)xjKjV2Z0qbGAsZ}Gk^^ODF$H}_y;s$ aE)aVG1z>Ka%&8hsql=;RWGH;$UwK@tBikc1WD$A~&VK>R2QnsvYgwf{$P`Y=MvA6W9vd;8XYv zw!;qC37^9**bQI69@q=};7j-l_QL`A8V?qRL5%Q-F1nB*W*&GchUu11E0g{^ECc7K7sYlJBn-J!}vyg5Z{FNtj4^C%?jKIFUP!+%`$u&UVGhi}Jo@g2Ab zJMc^#iKpW`@l@OtPr=RbB-|WN#CPHGnB_I&a5Nr`Ti`-`HxA%?a6WE{b8!sL#;vdi zx5jQ9i~Hj^oQB)rUN|17U?)z-E}Vqh;;y(I?u-*~2b_o#@x9oE+v7NVACAEta0`4t zZjL+RNZbiG!JTm<+y&Ri58yiZL0k)W#WnClxH^6qSHa!zWp0`zd=Wo_FW^V&84r}6LcG5jJvg#Uo|<4JfA{v+Or|Ae>U$#^rKf;Zql-0qum&!|TDSlo zz*$%aCt*Dtg%9BnY=8q$0{dVi?1oLS13rRnuo<>kDCc9)xjq5)xfL`vm;~pj0Vl65Wa>0 z9E5!M2691j&W6L_fp5VLN1#6(g)}$@z2G>czzIl(laK_bpevk)&Ts}gz*$IybKrvS zAP&w$3|xQ~@I5q#A0QG+p$S}sM(`ulho7JhT!LC~8EU}KP#wyI72do8j~U_id9%Gk zjK}B8^YLd*c22;ji4qzIkNz*BDy?cz1stHb4b;9Fl&^l3XZPdtxHAUk=4H^CFT22V z<)eGpFpt;TO|Bvy!uwNO=hFG3L2)~T_GkB##~BCa@pnvtyCC2ncx6Ujcdp0!s3BM% zgXhtDhxZpczt~{bm44Nq=BfPJ5IR3yKkZkJ6=NCG7=6~h_F&IL`&u4IJ|nFUu4=)GdynpZP*F0F;E=TUJ3Sbeb6Wo5c=RTn-EcKye-&^|kY{~3_V zA5*aq2YsOrbcb#b6BSHt$2R<0>ww<38b`DmL^Dv^0UC2-(6}0c>NQTin>7!uWlhkU zXxt(=88*6)l^@=x&aEKj7tcUC`;=SW{FQHyQ=daa_d#X)$+hy!>nC(fdVf_k9?d~( zV$X$-SHsVzit3c4W98N9_Nk~&`4!a#u2ILK;I%5RKc{s}=M9a}+|V`G_m8go|C_IS z%8t%dstt{bu4mDI$q#-3Q*77Iu4Mil3_|0r zXgs0Mn#SvZ%H&5{`Q<&w8nf2a9y=ee#wvDRs~;tX-iPZdk?){(5G-cWrCg{ier)Ul z$Bo8xg2~X6pD7byHIza$7d90pKrtMFhJ0DYLMn`fVra-0&;%%kGvMHhrW=ffVmJfQ z+$^au0ZO10qWPgc7D^%d7LLOND25~8;0IJs7{pi<8lz&G)z;V*Tf^EBj8mbxDiW;r zpkRx+TWyEco@TeL^Oaa_t&5`6YTNxsGw0xbtKUvx?JTRUbyAdAw#Pe-HBxBZ6eVHp z;2!|&*Lo^qSwDr=R*`D8?fS`K?UJx|@Ij@{&QD>vDL(HE%JVLcRyFJ~j`a_=Lat%m7VB4>^()T$6=&^= zBTwbd$NJTL)}QB{x_$&V$Kn31zW+{Lzv8Sv&x4P6;vB_ESijr}d3uXpajvg8_JtIu zzlzga#p$o&$Wyuc99IqB^CZ^)lhhxvEqX9I4gNgGp9XwkTk>G?Ie{H^7JnouU-tay zEAWq+PpkJa2X)xndo>AbYgi( literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsimSettings.ini b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsimSettings.ini new file mode 100644 index 0000000..38f4bee --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsimSettings.ini @@ -0,0 +1,41 @@ +[General] +ARRAY_DISPLAY_LIMIT=1024 +RADIX=hex +TIME_UNIT=ns +TRACE_LIMIT=65536 +VHDL_ENTITY_SCOPE_FILTER=true +VHDL_PACKAGE_SCOPE_FILTER=false +VHDL_BLOCK_SCOPE_FILTER=true +VHDL_PROCESS_SCOPE_FILTER=false +VHDL_PROCEDURE_SCOPE_FILTER=false +VERILOG_MODULE_SCOPE_FILTER=true +VERILOG_PACKAGE_SCOPE_FILTER=false +VERILOG_BLOCK_SCOPE_FILTER=false +VERILOG_TASK_SCOPE_FILTER=false +VERILOG_PROCESS_SCOPE_FILTER=false +INPUT_OBJECT_FILTER=true +OUTPUT_OBJECT_FILTER=true +INOUT_OBJECT_FILTER=true +INTERNAL_OBJECT_FILTER=true +CONSTANT_OBJECT_FILTER=true +VARIABLE_OBJECT_FILTER=true +SCOPE_NAME_COLUMN_WIDTH=75 +SCOPE_DESIGN_UNIT_COLUMN_WIDTH=75 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=75 +OBJECT_NAME_COLUMN_WIDTH=75 +OBJECT_VALUE_COLUMN_WIDTH=75 +OBJECT_DATA_TYPE_COLUMN_WIDTH=75 +PROCESS_NAME_COLUMN_WIDTH=75 +PROCESS_TYPE_COLUMN_WIDTH=75 +FRAME_INDEX_COLUMN_WIDTH=75 +FRAME_NAME_COLUMN_WIDTH=75 +FRAME_FILE_NAME_COLUMN_WIDTH=75 +FRAME_LINE_NUM_COLUMN_WIDTH=75 +LOCAL_NAME_COLUMN_WIDTH=75 +LOCAL_VALUE_COLUMN_WIDTH=75 +INPUT_LOCAL_FILTER=1 +OUTPUT_LOCAL_FILTER=1 +INOUT_LOCAL_FILTER=1 +INTERNAL_LOCAL_FILTER=1 +CONSTANT_LOCAL_FILTER=1 +VARIABLE_LOCAL_FILTER=1 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsimcrash.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsimk b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/Test_Alu_behav/xsimk new file mode 100755 index 0000000000000000000000000000000000000000..cdfcdc36e86e1a534b27b153f88ba012152c665b GIT binary patch literal 31496 zcmeHw4Rl<^mFCkASb$Ks!GMN1p@W+s5+k>Nu*CqGmMq)PtN;as@lFh_wz_R;&`(Ed z8Nu;k+ar^a+!k>ro*d6E6O;{`@yzj_cy>Lk_*pDsk8!eWViJ;QCS=h7Lt5BL6hbo2 zgwXqax2j%Ox74!t%wb0X)~#E&>eZ`mzxsFUn%0+=lsM)pb3W;i3ty{{ zu+BKyrxPGyr_Q+qe?ROjb}j^8E||&>D}r2gwU_483XPY6vfM$PUq}~gIYW(wq%2qL zs#~aX40Zg9XSoZQ4Db)Gfc*7nf34yTwaZC;&04;I9@fSfYL}yLMz^SPqn-Km6dizT zLQ_+Y?qIpYy4+!1&QQPhm!Za=G=rbxI=_%MB9E7$l;zd@56KwQ<)0FE27OJzj-jU9 zr%;Y|p6{i*!MR)4SIjT1+8>6p-*_$S?AW?`)w0gmjh!9c{R1}+)UCd8^{U#wp4t`C z?nRJc(G7Rr>wNK(-FpwMXge)%xAlX z!e3^xg4hlC@H!R$R@bv{{_)PW>%Mp9Z$Gnnu|jA6+Xuy$&5~6QLuSLTDT03DGydJ*}WB6xif`-kD(+3frd+BzG)qKN!x5&fwmdj6^i z{@Wt@e^x~PM@8gcDdLCk7Li|F#LpE)vX1EG=SB zOA$TK6{*)P61Rgz#d68O-T;5O^{c>Fn9w(H4pJb|l*){oQ>X+q>hjT%rr}Uf+4jcJxKI z_r{~ic&`-L(H`rJw6(|E9*p!xySK+9J=-E3-Ld#Uq$lA>W)gVcw*Kz6NPI`UJBikH zZjH7*XqE2iZi^;W^$C=s%~G-tdSm^a@rbC1wk11yy3xw*-OXlePeA@BLA^W3Ai;fCn^p5KmSE6lggYSjrCvMaS=;=0X#3W-Zksfz!HTY)azM; zPwL6KLeIYjH@v?9FKio0`nxy6)eT=N8b^DdGQ^Jhy(P#)aQQo?AXshlT$` z^4!9j8WsK#^4$8F+9!N3d2abkrG)a3fbhRdo?AUre&JV;uOjaV|8ep@^3xvxxb9l=+&Y_@6#i=R z-1?b1CH!UNx#cr8F8syhxz#guSol)%+~S!U75<;@0MD(RseQt~OP*UgQz_x!AAwx}q25-rEQE*GRY{7qFe~&1aKWAoLz!8liVlcG7oEii(eE3i)q{{7tOa zz2O6Qc-npOr@!aEc(%+fIpMzYL2?lkT&EROWGA=zuECzEDNp-Sw>^ezr~ec8x`Vfs zGU*QgL$cCM-*zFG%nfK&CWb#JF1R0j$^9ssSAOatWNLfvad({=7Y)w`$AlgU9u~Sk zco6hN!{%}~y<5`haP#2VlHuLKQDpe`?+!i*+W#4o?T)x%cX)4*zI4-Jq<060ByEZl zN#!9;!;Qh6MnO8<0(JnkQRo)r_#S@*BX4kLaG=Dudnb}ppTQp^j|y9J748)qeg1ma zw=mpF85)vyw7piLEi6RK(tD*T!|oPHj{<<~ZV<0Pgzs2dAKAE1octM_qCJ0uc=1f{G#u3k7BZ6jpF{22^Wf+Eo(Qs z!%acg_jGtOG7|W-kCcyt#4H*3x+xe|PHzm>c{zjQXlr9IWPmgT8-txWmJVO)!nRP_ z`2=#n*7&{#3<>ceV<4Sq8cqg->7BvObc+$M$n5$}Hj9$25Dnn7m}=_MO~J)`$}5Ic zaQL296xr4IEP`8NpniPlL(I}>D~eRGNMkT!3`5VN*_FXPO~J?zlJE`_7mmTf zqd=|hQ5jS{fR7hgCs&d{`WohZ!jrX`cbWljXmMbr#l&W+5QV*<<8R! zU_?q)XJSO0BQ8xE6)J`kIt)Ic;-r%4jBN_G>bApWe-8C~6mfSQ9|!m8 zW838N;>#W089eKvJEq;?mSE;YLxVH+FoMv9Yk@ZgC+O1*S}ZYf3ULz#`Z7KzRoomm z0`+vs7zFB(c?6%s&~Y5}5IzU-d5V$~=$@yPiC-}#8(8uHI_E1xZbKe8u_xSsj{GsE z95o(hv-g|-%Iy6RHrD^8`nP@)XYe{sA;ZrHLqd-PYlQ9(20&lh9Xy3d;>92(M5k4K@*O9F^UOZmIg?T z0)ZQ*L0Ao1M+6z~fgt035N5!MqaezOOhM!wQS`9(9G>@ z0ZQ&8h&xPxLc{d~ELh)BEW#7YD7J7~AEx$|-*f?*Xl-Vgj{$1rwc^$~WWA z8pEAcm#D~G{~qqFN)iS1NO)Pw!3s2nX*DO`=X<&_cpvmPLsG)CG1vswWfgQ2rf*k? zZZNgt<}kp9)uCZX==FibjcQnpkxWZiKq8n!_ChI;0Gn4M%?pBE%;s?!Q0++`mVQeH z7omAUG*6eP$hg0dg#%XvvJ%+S7=&$2Sc9|#D~HM#8Vv{}6NVB{r^rF{5D3-)qaeP={u>?yas}ud6}rklGom7_qe6`}Z&YB#3u`0* zQbVd1J$cILz_=A^W~UYKf{v;qs;BmAftNDtnFs$S7?T<3!dN~9lKIiQaGja}GOr-b z8kvvf0`CEzu75x+7>cbI+`+HI`j*@(p^2M>u?=4_&vBq3|yUv`XJ-U_du$p|_;r-H2CmIr7pQ$iZOK?tH>_@$P z$ByG72^=D|oX&KYhJrKQu9X zFrQen4&9TG$%G(GrHGzP*wdU${u=JLj1*acVSA&R0M?q~aN=e& z0U)6#0Gfl9f!HTAfU>U@`7-zZu{=8V`BnV?ALl!qt@k)kJ7=X=IVy8h(Qj%LyhTO4!+`{deCs{K)Pgla<;E|tD zm5}Ad?hq(kZt7HQCFHDJsf4Q^X<7P+YT3yCU=0*y(r2>S-Q(!5AE1;t!MFQ5m}MJu zl34=-+kd7<-1ne3SUjr0Ql~#7_vLK8901LmKR$tP|kOX^?avT z@tqyaj7=B8JOo9VM|Gvm0`3l;)Rnp9QCl5&>6$Tifs93%7N!)OB2owhNt;xJIA4Y-Tyry4MI*!@>$3C)-&+L6r5a~! zXi0v}HLMv*15}PmYDmeeO33nJ3k1r|7mD>pnMQ6prQ`bynEd&I|I#pjzBmj7-Ak>Ad6wXOVeFZ6 zz7S=@v&Z}x)aJ+hlRs85KZz>X0Ta4I%zVK|or3v7pj^x=*6R?(s`=sz1^zt6N{afE z_gl}O$LACs6H)EYBVagR4AG02YxgPNQ3HG@x$vE-d(QbnshHh&2WI%L?QP||gR~XC zE1WMzffvjd0_A+CSkHHgoiSf9Hof`cTe{K%P|lHak{yQ1_mM|!12A*ue37DW5Ktn; z8wYqbU;OkfnJ>5;w&x3~pSp~ttoc4=3C)-Vb224D=+_#c|do0&q=dYX{5 z4BxT;kQXib$*EOqZP!}cp_OS~)Y=ZMrUs<7D+Ql1DWq5ilR^awWY(kISVS}ixBGUt za`5Lbrm$K!?nvu9GnNSXqXVmXSi_OR8$YdSw%Ula*G8l(xE#o-Y?T`pROSZ_R{c7$ODv|b7BCS=LRT6d~yeJualcCv&FBH%tDn$6D0(GOUGvkB3tBl=ziVFMuCD=cb4nai84QAwpgN)H#C)nZ7`q9$N30S~KUNj~1EYvI?$CCOW zmT%?(H}||bc&3JH>;U(~tKFmgCnK`PU4mj*?JT0_K>eClDo^4*pB@@xwPizxLE!#M zcVtnCJG=*y>!Q zz0O72ix;I*Ee@eFK$D=dModGeLM78iWu2uGX-_56Uc89OaEZ%!If+(f+R>t0OE4PN z_#S%$fHxXU>*Q%tOeuV>FcZ-ziZSE@Fo+|AB^Fynt0cmgCBkhfQ)65!o1W(wRmdR`uE%f1Y-{}iZ;IEU~i9bo% ztzz3wqz)rk%1--CS1O>CGbi&ALG%_P=m5j|7f>i+&2Y(!pUo6T{4@*I%e13wP*&pS z_SdcWQ8Jis5aKOt9%!?sO{6r2H55jBlUs6-E;mC4X|Ds2 zmWUYpvhDz5NgcI@v{q?1x;2CjU|Ly3K3r~M4r#9gkoMwC6e7-KUYbD3jDwa-oGmMe zGgEgU*V-Wzz}QZaAn*^8qM3(<$LNPaOq(dEw&DzFubD`zIQxr&X8PI8ajjMQ70s-G zR;GC|&MK@pL)vR5(q5dIW(F8%?U^$_k~mwydyB-`cE;Iu9cS#x6RBoQggVZ0fW#SD z#F;~~>qH&oGU@-N+lG0-F5_5h+ePD>_D64e!f7BXSV1>YC7lV93Xxs3qMPE?>aF-KQBSY$#|xF^TzW@ z3d7&yLiK*=(VM}EzaROb+^E98#^V{hdn?BUXq~33)Hu;!loZ^vR$=4p)-uG(O5gs* z;EIA_p(;d&v6E=ljAhplK)O;~3RiOaW!el2OtbWuxDsh^SRk#2#bMn+;}l{Kb6AX$ zfmZPnKu*6*^I`{Knmy_bn~{xTPUgFRi$xKP4`b8kzp~ZX zVhM3cQ@3Z|UxOd|d;`3;EuCWKw&7i2aC%Yn=l}*|4fy5Xd8Q1y)?usV2pVM6J+0ND zpG4i5t&W*W4#Q>Eh=`P zMFDebkqCU;(vs0yRJ=fo0_N5tQRiA(rnMFoBhaFNxwS~7m0DWPiWVIgP@?#`l}K>? z_wVOoL4Ma)7!R!`9@@T_>r5wT&o`(~0lQLVxjCj8@z4$)bBu|HW>jY4p+c+h;z0p( zsnGFov8BbYwRrKMfVs6uJRG;0Q?0dl@t}aYwMaZXYH0~*EnYk*U~VlE4|SH78m+~P z2L;TnMdIPzS99JCiI!YED1L4w5)c0wO5_m|bA!agz4$5TJD_L_Tg!&xn}*eJJz!ozmc$fIIw)`r!}o1J>)suA7#CAlhJ9Ds#biY1wU8QO)nD z^fIPDtW-Yz9b^x03HlpxSFL!d9PVP%Zez8uZUL4)XefX0j9=MyfZ!Inarni|mr(9# zj7@(FDcJTC>K;5Ez&-$f)*5#Bd)WH>nnrH>3Vz~SziH#}_v`Q7IQ+}{mipoM?{!CR z+zsqgHMY>l@^ zcPtZJ-Wua{;SEQS>gt+XxNisFPBXU*-%-O?)XXh~_pmr6A1b?L0`~Do^NYWo&GHFo zDMEi0=&hjd0bh#o)CB%D%!Tcsdoj!NOR`&Wm)i$=29)14R^svTIOtB$3DCXR>zM|9 zAC!yuGiS2dAm~nfrCbO4Jig-RKHlPYp%-*7e#w#oz4e#b>;cdx{xO?91bXP#+3Yyz z^Y{_*tDu$dLoeu3&`Qh-O`tWPXF!`kx8Nr_`#~4Mc3vfq-0hSM_)9)ixuAmf5?_o@ z_*6E#i~@}d{3M)dR^e0et!&m0{Z5sCeO2}CzDpjeNIAcE^(`M?8N3##l-~k64S(_e zX|8G{lK4zO@0U@(Q(xuZP<5(=@9Sa(d|K+nBzF*$7qO@CseoT?jE^8sSr~178J{rx zei@$w8>;;ERn<^kI#~92spvVw{MWKsPJq0m?P%*0z%HdNGz5foRwJ?h^_(pAF9kLY z>`ex%(La8Xr=R^lj&BhE2-!|!Rfzgqf!&I)f!EMiv<8Iu0Pq<4IHK@LgVXni(C6Dh zAwNz+`GZK5|2&)hs=_@xDeweh;{hsYtZJt1uL8@wm(5;mVHsd={VIpCAKnKxc{ZC} zi!}S;v!!bE# z^ku#NFgV{;$g5Hj#|fwewgTj8#}+UzS>u43_Z6CVnwd4NdH0!lZz0!-yiEQtKgjk7 zuj@B!l@Py%z{RC1udvSN(vsJc+CKB{Ij*~S+3$EGuZub3-Q?6a4U*6qvc?6Vt8>gd z-|Dc>!OP&AwIht(liE?{U3sQ{{=;8hMh=e~(msd_gdYG4U!(P5@4@6txoORx(hbA% zP4cxlBJ+Rqk9jY8q0ZF-g{;?flcw7=-J$7cHT|NdU)A(!O;2e0eNEri^jDf*_)$l$ zD>eO?rmHnwujwXDw`saV)6Z)9MNPk|>C>8?(DeJ7zOCu6G`;XzUB9Lu(^R47|JS^4 z)4X5Pyie1-KhwM~)4U(kybsg7|I)ngay+c+H1D%C@2@nLY*@SYcLO!|ZpFKL`vW)E zuBr{)xV&Gu<&Uli)rMBpF26zHPFpA5RUFt6@9pE>#LC)LftqA=d*8CV*EOxHzh_-! z<*H@!;?x_A?qameDe=x3uw}}eQty5F`FNR=DYX%mE_2Eq`5Lbvs|+U#nDK3Am0|Ro zc_1HO;F$4|k5@QmT;<~zIcEIk;}<(-oaf^hd;uF#=`zfQfm!iOozN_CQ|458=Rnxn z%J7A>nLqP!Je@Y%h)S0^3%zp%?A$WE>3#B3He%#2_vT|ew+v6pW**MRuW)9Y2g;l) zy?HZV{wl{w*oaD(;punA`@WG?=G`T7WlE4NcYe;-qUT+l7E9r`M1em!{}CT8z&VVG zoBNwlwg!n3%O2LpYdtMbBlKfoBxa$_i z&+WKBm*SKYCtxHM<@gWqE?W>k%b~}9&#Ng_4}2ly16tk;kBuT<<(T#+S>o+--S440l$~ZByIAmi+sWMl{MwnY z(@{izkJdl=F;&R)`)CpQ|4{`0q1I#80kXddm2Yd@tRMbJ+xBxKzd`{qjW2)$7naUE zr{Qwov&G>`EpOH}T8$GH`6_2x`%U&Jk;&hP&8DZ=xhd^Mu_m1S1f-B7ayQB!dN$WA|KG}Ch!KlW~ zI#2eyfp-?s^Ph^~CyL-#YyIO*%F4CcSU<-1Z0B}-yNI4Qi{L*2&hgk@FdkcspLIN# z82C*QJ=f!bj^nYgziNTg|0&&H@_hr^(5UgS?k_WreqV6iNQ)$j;DcI^S%;hZ#p4_R-=paaL6@L&skf!O=7v#l40N$~uLE}np3 zadKQmo@lZs(b>79%jw=8!e@EWFY|h~{(*dt7+H=6L?Y|&uD@elWZj*OJQ5;;1D_)6 zTn)O7cRP^{O?NfaH%0DRzy6+eEs>V`hNg8CCp@(E^^05N+s@ezj3_wPq9Y#1p&gN%qif>Z zmbYz<^!0CztPmUIu#+OEhU6c2G2?KFe9L&EOW~0rrZFp5cjCT|8E&qdU^y7mtb6>Kq!WXx1}A5ac**L!YyukLo$!V@a$t zck)m5nCWIQyRFE1JhOGRKJMn6zQox=))6>u>dYRm6W8QAaiycHiLGf{6ODzoNkpwd zc;Y}7J#M@seu|ui184R1MB1a>F&y1u#We?!8Xoz_$`qaiv-v+fTF7&KUC#BW>wL~m$~&W|;LM{rkKgGt z$1|DuHOJksT6G-Ho&8;R>qB}rs)2EC@2cac^l>z^c^31+ERz%h4b>Vy!<+xKu+5;JgZU$R%xc9ZJp#vwcjr?$H%8L!>m z-Cw)4zXL}JcEmJP->~sU>@7Kx*B-@DP_?m#yP;3fWUtE6dr^5z1bGONC=2LR0)wzt zMnNr-wcC5ZCgTJ6%R3>2dgM%>+IYLZ_qE5M#Bi#px(lfiCXE`RT^(((iAMs};zS^) z7B^u2Do5S?{~3R|@6AUkJch`1P$vvE``$WRWKEis%gzP(nDWPU!q6avtP9Joyo>+b z%Pw#B-wX}pNptTa|7rw_pFi}N`prI_p{ukwmUVJfXle)_tGQ)u%A5T>L-{WUd13h^ zZ8zo3zWFBL6fxz^zMr9HzaJuU(bx9%02uD2oAPFV&``5oV(d3^hCYb$+?zMN*+(=q zq$?}5-`Ma767;DlZ}uAvozw=K`U~5?TbFOp3eCQxq2e6mu{>XHT3G&ZV61}n$rZ?_ z=6e~9&glPPWZ2~cy1b$CTP9N&6i?=I8M+^wUEb`68fxs-#XS2B{$~Z{&3=rb*DWOz{(A1Q_w*WWIv8fk(mh8z^#e_Mz;^H{wBeJo_%%2wl lGqxk?T@{@w`E}!Xonz`Xf_wql%fSC~H7LO+@@alwhVpm)_#GCK4WaD(OPnF7d$!A0&qE zmIRI2HGrTHg6$H}Ks3Y$vuZT>{ly<(qA{3g6kqfYFsbL9dw+B1wpTVAeDEeaJHI(+ z&iv+_GiPq^$i9`S0i{}s9`nodD^r;(W4k99X*D{k%%2}${=k&f#X+@nORiWi*0S6E zf+^bJMrEI;r{?x?ywjcMr8j1SL zx%ytee&N^j_q+DJ_7U&(?Vkd1+V}n3((@PEZIjP!Ef-CpUOo0^d;iU6-|;-QFRI-1 zc4cWXF!b`7gL93|QwLv~IkcI$JooIDoj)&sqMiCT3k#Wc7K~OIDfp$WxoW8vQLXMh zQn~u~{KTm_!(8K0@hU z)H%$Z*OT?vz+zjkk7=aTL&2JBp)sp(H`5L)t8q6pX7p{z8uvisoZgVEaW6Ec^i4e) zN}De-7Lg9n>bHh;N#{NX#zDi8$T5>ftQc3;b$q*^k{uZ$ zXjkoYXnDgtmc@Ah3J(i-Er28*kabsqZmh(s!UR(yCd=bY0ELMK%mg5b31kVCB4J2L ze1#7tMtoMq`2Y$Z3z!c8&UFxfS7Ix(?WjIk6;pXtjoV0dC@JnB!;~0wHSr_WUjIL< zo9CIeM0Qw20ALo#!TH$;!Oso%_z zf%WXtz_M%v*hY=_%jNB1M_C)HR(5I}Fe?}tw5*y=*ECZ~^$`nilNqMFDz+}&RE?lC zA0aJMW_fI}>$N|vr-Q05_KO_NnEi&s-@WpJyDzvat}v@pjw0`7$O~F=vN_9!dwuo* z`I6l&Yg)j20&pDVbQ67sDP2Bmz-N$4rT{obo$j^m$9-0T&tOsl2(X~fpxEb)iqE*c zrq3RP&p0#y(Vm||3R#h*yB|y;5~ctPGKC_=byT8DZNyZ``JoWU&JVC|>$bd8kXZ7- z3Z!@d2_b;knF~q;L{bO3Ks2Omg2E6|IBh9l871b-nqm=p!>C`U()bQ^W;EEpnKO-`m8ueq%u+Sbs3 zd78Erdg>ACxSj&mGkQi|3*jj%5KjU1dI}Qplm&cySisu_NJs#PBmn?=?+8f9rSb#` zV8r`eT_7K9w!?dZDzfcLQnL%GBFCeGd6K693q}Qs$p8ap1j0z686^Mg?dL0Cbq^$*1ylT@F(NrR3RjD zAQ655!;5(V#3acnfZpm32{DmJvW1H$^TQ8#zGvjutmOxZX*g<&;%6;zNCA5JK@!r# z3u?d*pAVRy{Lr@iAQ655i{gh#;y(bo_T-6fM@SO+;d2G^lWzb!5MM}yAHbscVUq9z zSkl7}l0<%MX*9T#bntmX_%$dXkPO(5Y*8=x;1NUsA5(aT@@>OffFzn1^;`@1*uoa% k!-%y2Ni;9&xfbv-hAr?{6=N+x63vTxp#@SOp-;8{03BVH{Qv*} literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/test_alu.vdb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/test_alu.vdb new file mode 100644 index 0000000000000000000000000000000000000000..3857093efdda36193b349bf40fe670e4a588ca6f GIT binary patch literal 7062 zcma)BZEsXn5WaV}W!uWOrJy7#Wkq8|RH%tafRfW-v0Gq^f<{42rG=D*_+5=*w{7Su z-6lj)h(x$8)(X+ocSR+Q-%R`gCMv#xel-4qIy3h?=g#h3DmU!C=b4!^&&)YW_$%ZX0`v)2MUMAz2e=h%U$@F=%OTzqQzMd2rvqi_Bino13?fIYb^tj$deAzVx<@>Gw+$=}hPNXpjzylR@)_<*jM{ zi7qJ3oEx1gj8B$dn^?9uD2d){m^;d^EiMUzO5Oa%?S16{p+UHPGoGlM%H{rSKfk!n$Y zcm7~DfALz~k9&{2^(|-n!Ie;5m(6Con=f1p0@Ks|NPo`s=7&zc7ream-47F?U4mJp z1HWoFMeliaG=kpTEjCIHihmTX7T4_<=pU3LqcpZbo1B+q5t+vH|)NwXi7rD{5P;gT=JJzP3dNEQE)Rh)zEw96INIkx2mz`0vDHCXig06i*6RAf-xnn1O54)?BQr`ES#7?#fMH31wcGLXlllXI^+0c zB3yjXNPGaXaAE=#A38~V00|pLG=9+3j1P6q@kx#B!Tdra@d3obi3wDE=p^w0#K#Ys zn(<*(aePv~5?_I49-7AD=&R+iPC7QCR=6_43c;#l4^sQi12j>;sHHt%Rk8l*0qKHRk}yESUQPM&$6l;h0Ia_b)I z^AL?J&8n9wbdD8@<*`(2_?4*&_fGQ@>BV?GjEAjp+XBW9TjOTF^6)Nda$PPlS7aWLhQx2lWrRs@jQwl03Cvb zaA)T3kh-b1Q*N#>g<&s`YA*o(us$F6l%bJ&9BZ&kM;tf4^vwYvgff+*u+ak zSC0A3F=RCZfFJllxKFW9NK>dG`!&BiFTL6e03Fd2;cmiSAWfmT?AQF^ylmHA0Q|CF z4tEsx0%;0WXTRo8=VgcX0^m>k)8VedULZ}O9PQU!bzXLAF93egFNQk{dx12CI<;SO zO}xnQPNgJu=O@fBBIS2@(RDYugj~Y`abu)9>LU_}_3d2U3Vo?k7)fRD-$btAHJWdT z(VQh$*r14?>r}26kck_B*l80JsN)Bn!5eDW@=tJH@e1PI>1Pr;tUiqLy+Bv$#_n|KyZ6QgI4d z2n}GL!|*AnQ|4% zoN|MkLMkN$JD~&EOrH3W^$4M&vrDC)On61oBbNQtU|joeFS}Hnkt`J;7bfbR;|#-8 zOXYb>AYB3MT++aXOC_MkbzQUCRxPY0Pk@T00-?%Nmdbuj3Sj3lTq-h`+{9eASyEUF zDL}MeJ z3n@UwQh~6V^nfM>xUr=IYas=wSSk=!lO6;qFBJeOBp%)CEEU)Z9YDoWfl$#A5k01j H{zvXVQtoP4 literal 0 HcmV?d00001 diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx new file mode 100644 index 0000000..50cb05c --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx @@ -0,0 +1,6 @@ +0.6 +2018.2 +Jun 14 2018 +20:07:38 +/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd,1684148028,vhdl,,,,test_alu,,,,,,,, +/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd,1684096722,vhdl,,,,alu,,,,,,,, diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.ini b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.ini new file mode 100644 index 0000000..e8199b2 --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xsim.ini @@ -0,0 +1 @@ +xil_defaultlib=xsim.dir/xil_defaultlib diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xvhdl.log b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xvhdl.log new file mode 100644 index 0000000..afa41cd --- /dev/null +++ b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xvhdl.log @@ -0,0 +1,2 @@ +INFO: [VRFC 10-163] Analyzing VHDL file "/home/alejeune/Documents/4ir/S2/Projet/Projet-Systemes-Informatiques/VHDL/ALU/ALU.srcs/sim_1/new/VHDL.vhd" into library xil_defaultlib +INFO: [VRFC 10-307] analyzing entity Test_Alu diff --git a/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xvhdl.pb b/VHDL/ALU/ALU.sim/sim_1/behav/xsim/xvhdl.pb new file mode 100644 index 0000000000000000000000000000000000000000..57833cc129cdd43fa4a036315c4e2cbd3e772c37 GIT binary patch literal 355 zcmZ`!%}T>S5N?!cS)l~HiH9Xn5Fyp zR9aEQ!!W})Gv5#SaL7?CxoBQdKGNHZ@r>pufckyoQ(c z?Y=u5Z;RZKeg2)g^@?^Aa5Oyo9q^EJuff '0'); + signal local_B : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); + signal local_Ctrl_Alu : STD_LOGIC_VECTOR (2 downto 0) := (others => '0'); + + --outputs + signal local_S : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); + signal local_N : STD_LOGIC := '0'; + signal local_O : STD_LOGIC := '0'; + signal local_Z : STD_LOGIC := '0'; + signal local_C : STD_LOGIC := '0'; + + -- constant Clock_period : time := 10ns; + +begin + +-- instantiate +instance : ALU PORT MAP ( + A => local_A, + B => local_B, + Ctrl_Alu => local_Ctrl_Alu, + S => local_S, + N => local_N, + O => local_O, + Z => local_Z, + C => local_C +); + +local_Ctrl_Alu <= "000", + "001" after 20 ns, + "010" after 30 ns, + "100" after 40 ns, + "001" after 50 ns, -- test Z flag + "000" after 60 ns, -- test C flag + "010" after 70 ns; -- test O flag + +local_A <= x"00", + x"10" after 10 ns, + x"a2" after 20 ns, + x"12" after 30 ns, + x"18" after 40 ns, + x"19" after 50 ns, + "10000000" after 60 ns; + +local_B <= x"00", + x"78" after 10 ns, + x"b9" after 20 ns, + x"02" after 30 ns, + x"a2" after 40 ns, + x"19" after 50 ns, + "10000000" after 60 ns; + + + + +end Behavioral; diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd new file mode 100644 index 0000000..555837d --- /dev/null +++ b/VHDL/ALU/ALU.srcs/sources_1/new/ALU.vhd @@ -0,0 +1,58 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 12.05.2023 16:14:24 +-- Design Name: +-- Module Name: ALU - Behavioral +-- Project Name: +-- Target Devices: +-- Tool Versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx leaf cells in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity ALU is + Port ( A : in STD_LOGIC_VECTOR (7 downto 0); + B : in STD_LOGIC_VECTOR (7 downto 0); + Ctrl_Alu : in STD_LOGIC_VECTOR (2 downto 0); -- 000 + / 001 - / 010 * / 100 Div + S : out STD_LOGIC_VECTOR (7 downto 0); + N : out STD_LOGIC; + O : out STD_LOGIC; + Z : out STD_LOGIC; + C : out STD_LOGIC); +end ALU; + +architecture Behavioral of ALU is + signal res : STD_LOGIC_VECTOR(15 downto 0):= x"0000"; +begin + res <= (x"00" & A) + (x"00" & B) when (Ctrl_Alu = "000") else + (x"00" & A) - (x"00" & B) when (Ctrl_Alu = "001") else + A * B when (Ctrl_Alu = "010"); -- else + -- A mod B when (Ctrl_Alu = "100"); + S <= res(7 downto 0); + N <= '1' when B > A and Ctrl_Alu="001" else '0'; + O <= '1' when res(15 downto 8) > x"01" and Ctrl_Alu="010" else '0'; + Z <= '1' when res(15 downto 0) = x"0" else '0'; + C <= '1' when res(8)='1' and (Ctrl_Alu = "000") else '0'; +end Behavioral; diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd new file mode 100644 index 0000000..f067be7 --- /dev/null +++ b/VHDL/ALU/ALU.srcs/sources_1/new/InstructionMemory.vhd @@ -0,0 +1,52 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 15.05.2023 13:55:29 +-- Design Name: +-- Module Name: InstructionMemory - Behavioral +-- Project Name: +-- Target Devices: +-- Tool Versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- + + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx leaf cells in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity InstructionMemory is + Port ( Addr : in STD_LOGIC_VECTOR (7 downto 0); + Clk : in STD_LOGIC; + Inst_out : out STD_LOGIC_VECTOR (31 downto 0)); +end InstructionMemory; + +architecture Behavioral of InstructionMemory is + type Mem_array is array (0 to 255) of STD_LOGIC_VECTOR (31 downto 0); + signal Mem : Mem_array; +begin + + process + begin + wait until clk'event and clk = '1'; + Inst_out <= Mem(to_integer(unsigned(Addr))); + end process; + +end Behavioral; diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd new file mode 100644 index 0000000..5e0f95f --- /dev/null +++ b/VHDL/ALU/ALU.srcs/sources_1/new/Memory.vhd @@ -0,0 +1,63 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 15.05.2023 13:37:41 +-- Design Name: +-- Module Name: DataMemory - Behavioral +-- Project Name: +-- Target Devices: +-- Tool Versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- + + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx leaf cells in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity DataMemory is + Port ( Addr : in STD_LOGIC_VECTOR (7 downto 0); + Data_in : in STD_LOGIC_VECTOR (7 downto 0); + Rw : in STD_LOGIC; + Rst : in STD_LOGIC; + Clk : in STD_LOGIC; + Data_out : out STD_LOGIC_VECTOR (7 downto 0)); +end DataMemory; + +architecture Behavioral of DataMemory is + type Mem_array is array (0 to 255) of STD_LOGIC_VECTOR (7 downto 0); + signal Mem : Mem_array; +begin + + process + begin + wait until clk'event and clk = '1'; + if Rst = '0' then -- Reset + mem <= (others => x"00"); + else if Rw = '1' then --reading + Data_out <= Mem(to_integer(unsigned(Addr))); + else -- writting + Mem(to_integer(unsigned(Addr))) <= Data_in; + end if; + end if; + end process; + + +end Behavioral; diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd new file mode 100644 index 0000000..3741490 --- /dev/null +++ b/VHDL/ALU/ALU.srcs/sources_1/new/Pipeline.vhd @@ -0,0 +1,287 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 15.05.2023 14:29:58 +-- Design Name: +-- Module Name: Pipeline - Behavioral +-- Project Name: +-- Target Devices: +-- Tool Versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- + + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx leaf cells in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity Pipeline is + Port ( Clk : in STD_LOGIC); +end Pipeline; + +architecture Behavioral of Pipeline is + + signal IP : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); + signal Rst : STD_LOGIC; -- to modify + + component InstructionMemory + Port ( Addr : in STD_LOGIC_VECTOR (7 downto 0); + Clk : in STD_LOGIC; + Inst_out : out STD_LOGIC_VECTOR (31 downto 0)); + end component; + + signal Li : STD_LOGIC_VECTOR (31 downto 0) := (others => '0'); + + component Stage_Li_Di + Port ( In_A : in STD_LOGIC_VECTOR (7 downto 0); + In_B : in STD_LOGIC_VECTOR (7 downto 0); + In_C : in STD_LOGIC_VECTOR (7 downto 0); + In_Op : in STD_LOGIC_VECTOR (7 downto 0); + Clk : in STD_LOGIC; + Out_A : out STD_LOGIC_VECTOR (7 downto 0); + Out_B : out STD_LOGIC_VECTOR (7 downto 0); + Out_Op : out STD_LOGIC_VECTOR (7 downto 0); + Out_C : out STD_LOGIC_VECTOR (7 downto 0) + ); + end component; + + signal Li_A, Li_Op, Li_B, Li_C : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); + + component Registers + Port ( Addr_A : in STD_LOGIC_VECTOR (3 downto 0); + Addr_B : in STD_LOGIC_VECTOR (3 downto 0); + Addr_W : in STD_LOGIC_VECTOR (3 downto 0); + W : in STD_LOGIC; + Data : in STD_LOGIC_VECTOR (7 downto 0); + Rst : in STD_LOGIC; + Clk : in STD_LOGIC; + QA : out STD_LOGIC_VECTOR (7 downto 0); + QB : out STD_LOGIC_VECTOR (7 downto 0) + ); + end component; + + signal Di_A, Di_Op, Di_B, Di_C : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); + signal Di_RegB, Di_FinalB, Di_C2 : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); + + component Stage_Di_Ex + Port ( In_A : in STD_LOGIC_VECTOR (7 downto 0); + In_B : in STD_LOGIC_VECTOR (7 downto 0); + In_C : in STD_LOGIC_VECTOR (7 downto 0); + In_Op : in STD_LOGIC_VECTOR (7 downto 0); + Clk : in STD_LOGIC; + Out_A : out STD_LOGIC_VECTOR (7 downto 0); + Out_B : out STD_LOGIC_VECTOR (7 downto 0); + Out_Op : out STD_LOGIC_VECTOR (7 downto 0); + Out_C : out STD_LOGIC_VECTOR (7 downto 0) + ); + end component; + + signal Ex_A, Ex_Op, Ex_B, Ex_C : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); + + component ALU + Port ( A : in STD_LOGIC_VECTOR (7 downto 0); + B : in STD_LOGIC_VECTOR (7 downto 0); + Ctrl_Alu : in STD_LOGIC_VECTOR (2 downto 0); -- 000 + / 001 - / 010 * / 100 Div + S : out STD_LOGIC_VECTOR (7 downto 0); + N : out STD_LOGIC; + O : out STD_LOGIC; + Z : out STD_LOGIC; + C : out STD_LOGIC); + end component; + + signal Ex_Ctrl_ALu, Ex_Res_Alu, Ex_FinalB : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); + signal S_NFlag, S_Oflag, S_CFlag, S_ZFlag : STD_LOGIC; + + component Stage_Ex_Mem + Port ( In_A : in STD_LOGIC_VECTOR (7 downto 0); + In_B : in STD_LOGIC_VECTOR (7 downto 0); + In_Op : in STD_LOGIC_VECTOR (7 downto 0); + Clk : in STD_LOGIC; + Out_A : out STD_LOGIC_VECTOR (7 downto 0); + Out_B : out STD_LOGIC_VECTOR (7 downto 0); + Out_Op : out STD_LOGIC_VECTOR (7 downto 0) + ); + end component; + + signal Mem_A, Mem_Op, Mem_B : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); + signal Mem_RW : STD_LOGIC; + signal Mem_Addr, Mem_Data_Out, Mem_FinalB : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); + + component DataMemory + Port ( Addr : in STD_LOGIC_VECTOR (7 downto 0); + Data_in : in STD_LOGIC_VECTOR (7 downto 0); + Rw : in STD_LOGIC; + Rst : in STD_LOGIC; + Clk : in STD_LOGIC; + Data_out : out STD_LOGIC_VECTOR (7 downto 0)); + end component; + + component Stage_Mem_Re + Port ( In_A : in STD_LOGIC_VECTOR (7 downto 0); + In_B : in STD_LOGIC_VECTOR (7 downto 0); + In_Op : in STD_LOGIC_VECTOR (7 downto 0); + Clk : in STD_LOGIC; + Out_A : out STD_LOGIC_VECTOR (7 downto 0); + Out_B : out STD_LOGIC_VECTOR (7 downto 0); + Out_Op : out STD_LOGIC_VECTOR (7 downto 0) + ); + end component; + + signal Re_A, Re_Op, Re_B : STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); + signal Re_W : STD_LOGIC; + +begin + +-- instructionMemory +MemInst : InstructionMemory PORT MAP ( + Addr => IP, + Clk => Clk, + Inst_out => Li); + +-- Stage_Li_Di +Stage1 : Stage_Li_Di PORT MAP ( + In_A => Li(23 downto 16), + In_B => Li(15 downto 8), + In_C => Li(7 downto 0), + In_Op => Li(31 downto 24), + Clk => Clk, + Out_A => Di_A, + Out_B => Di_B, + Out_Op => Di_Op, + Out_C => Di_C); + +-- Registers +StageRegisters : Registers PORT MAP ( + Addr_A => Di_B, + Addr_B => Di_C, + Addr_W => Re_A, + W => Re_W, + Data => Re_B, + Rst => Rst, + Clk => Clk, + QA => Di_RegB, + QB => Di_C2); + +-- Stage DI/EX +Stage2 : Stage_Di_Ex PORT MAP ( + In_A => Di_A, + In_B => Di_FinalB, + In_C => Di_C2, + In_Op => Di_Op, + Clk => Clk, + Out_A => Ex_A, + Out_B => Ex_B, + Out_Op => Ex_Op, + Out_C => Ex_C); + +-- ALU +Ual : ALU PORT MAP ( + A => Ex_B, + B => Ex_C, + Ctrl_Alu => Ex_Ctrl_ALu, + S => Ex_Res_Alu, + N => S_NFlag, + O => S_OFlag, + Z => S_ZFlag, + C => S_CFlag); + +-- Stage Ex/Mem +Stage3 : Stage_Ex_Mem PORT MAP ( + In_A => Ex_A, + In_B => Ex_FinalB, + In_Op => Ex_Op, + Clk => Clk, + Out_A => Mem_A, + Out_B => Mem_B, + Out_Op => Mem_Op); + +-- DataMemory +DataMem : DataMemory PORT MAP ( + Addr => Mem_Addr, + Data_in => Mem_B, + Rw => Mem_RW, + Rst => Rst, + Clk => Clk, + Data_out => Mem_Data_Out); + +-- Stage Mem/RE +Stage4 : Stage_Mem_Re PORT MAP ( + In_A => Mem_A, + In_B => Mem_FinalB, + In_Op => Mem_Op, + Clk => Clk, + Out_A => Re_A, + Out_B => Re_B, + Out_Op => Re_Op); + +-- Instruction code + -- ADD 00000001 + -- MUL 00000010 + -- SUB 00000011 + -- DIV 00000100 + -- COP 00000101 + -- AFC 00000110 + -- LOAD 00000111 + -- STORE 00001000 + + +-- Mux post registers +Di_FinalB <= Di_B when + Di_OP = "00000110" -- AFC + else Di_RegB; + +-- Mux post ALU +Ex_FinalB <= Ex_B when + Ex_Op = "00000110" --AFC + or Ex_Op = "00000101" --COP + or Ex_Op = "00000111" --LOAD + or Ex_Op = "00001000" --STORE + else Ex_Res_Alu; + +-- LC pre ALU +Ex_Ctrl_ALu <= "000" when Ex_Op = "00000001" --ADD + else "001" when Ex_Op = "00000011" -- SUB + else "010" when Ex_Op = "00000010" -- MUL + else "100" when Ex_Op = "00000100" -- DIV + else "111"; --ERROR + +-- Mux post data memory +Mem_FinalB <= Mem_B when + Mem_Op = "00000110" --AFC + or Mem_Op = "00000101" --COP + or Mem_Op = "00000001" --ADD + or Mem_Op = "00000011" -- SUB + or Mem_Op = "00000010" -- MUL + or Mem_Op = "00000100" -- DIV + else Mem_FinalB ; --LOAD & STORE + +-- Mux pre data memory +Mem_Addr <= Mem_B when Mem_Op = "00000111" --LOAD + else Mem_A; --STORE + +-- LC pre data memory +Mem_RW <= '1' when Mem_Op = "00000111" --LOAD + else '0'; --STORE + +-- LC post Pip_Mem_Re +Re_W <= '0' when Re_Op = "00001000" --STORE + else '1'; + + +end Behavioral; diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd new file mode 100644 index 0000000..f132e6e --- /dev/null +++ b/VHDL/ALU/ALU.srcs/sources_1/new/Registers.vhd @@ -0,0 +1,71 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 15.05.2023 12:56:05 +-- Design Name: +-- Module Name: registers - Behavioral +-- Project Name: +-- Target Devices: +-- Tool Versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- + + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx leaf cells in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity Registers is + Port ( Addr_A : in STD_LOGIC_VECTOR (3 downto 0); + Addr_B : in STD_LOGIC_VECTOR (3 downto 0); + Addr_W : in STD_LOGIC_VECTOR (3 downto 0); + W : in STD_LOGIC; + Data : in STD_LOGIC_VECTOR (7 downto 0); + Rst : in STD_LOGIC; + Clk : in STD_LOGIC; + QA : out STD_LOGIC_VECTOR (7 downto 0); + QB : out STD_LOGIC_VECTOR (7 downto 0)); +end Registers; + +architecture Behavioral of Registers is + type Reg_array is array (0 to 15) of STD_LOGIC_VECTOR (7 downto 0); + signal Regs : Reg_array; +begin + process + begin + wait until clk'event and clk = '1'; + + if Rst = '0' then -- Reset + Regs <= (others => x"00"); + elsif W = '1' then -- Writing + Regs(to_integer(unsigned(Addr_W))) <= Data; + end if; + + end process; + + QA <= Regs(to_integer(unsigned(Addr_A))) + when W = '0' or Addr_W /= Addr_A + else Regs(to_integer(unsigned(Addr_W))) ; -- to bypass D --> Q + + QB <= Regs(to_integer(unsigned(Addr_B))) + when W = '0' or Addr_W /= Addr_B + else Regs(to_integer(unsigned(Addr_W))) ; -- to bypass D --> Q + +end Behavioral; diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd new file mode 100644 index 0000000..38fe1e4 --- /dev/null +++ b/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Di_Ex.vhd @@ -0,0 +1,59 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 15.05.2023 14:09:59 +-- Design Name: +-- Module Name: Pipeline - Behavioral +-- Project Name: +-- Target Devices: +-- Tool Versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- + + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx leaf cells in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity Stage_Di_Ex is + Port ( In_A : in STD_LOGIC_VECTOR (7 downto 0); + In_B : in STD_LOGIC_VECTOR (7 downto 0); + In_C : in STD_LOGIC_VECTOR (7 downto 0); + In_Op : in STD_LOGIC_VECTOR (7 downto 0); + Clk : in STD_LOGIC; + Out_A : out STD_LOGIC_VECTOR (7 downto 0); + Out_B : out STD_LOGIC_VECTOR (7 downto 0); + Out_Op : out STD_LOGIC_VECTOR (7 downto 0); + Out_C : out STD_LOGIC_VECTOR (7 downto 0) + ); +end Stage_Di_Ex; + +architecture Behavioral of Stage_Di_Ex is + +begin + process + begin + wait until clk'event and clk = '1'; + Out_A <= In_A; + Out_B <= In_B; + Out_C <= In_C; + Out_Op <= In_Op; + end process; + +end Behavioral; diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd new file mode 100644 index 0000000..082b4e3 --- /dev/null +++ b/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Ex_Mem.vhd @@ -0,0 +1,56 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 15.05.2023 14:09:59 +-- Design Name: +-- Module Name: Pipeline - Behavioral +-- Project Name: +-- Target Devices: +-- Tool Versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- + + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx leaf cells in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity Stage_Ex_Mem is + Port ( In_A : in STD_LOGIC_VECTOR (7 downto 0); + In_B : in STD_LOGIC_VECTOR (7 downto 0); + In_Op : in STD_LOGIC_VECTOR (7 downto 0); + Clk : in STD_LOGIC; + Out_A : out STD_LOGIC_VECTOR (7 downto 0); + Out_B : out STD_LOGIC_VECTOR (7 downto 0); + Out_Op : out STD_LOGIC_VECTOR (7 downto 0) + ); +end Stage_Ex_Mem; + +architecture Behavioral of Stage_Ex_Mem is + +begin + process + begin + wait until clk'event and clk = '1'; + Out_A <= In_A; + Out_B <= In_B; + Out_Op <= In_Op; + end process; + +end Behavioral; diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd new file mode 100644 index 0000000..88424f3 --- /dev/null +++ b/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Li_Di.vhd @@ -0,0 +1,59 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 15.05.2023 14:09:59 +-- Design Name: +-- Module Name: Pipeline - Behavioral +-- Project Name: +-- Target Devices: +-- Tool Versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- + + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx leaf cells in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity Stage_Li_Di is + Port ( In_A : in STD_LOGIC_VECTOR (7 downto 0); + In_B : in STD_LOGIC_VECTOR (7 downto 0); + In_C : in STD_LOGIC_VECTOR (7 downto 0); + In_Op : in STD_LOGIC_VECTOR (7 downto 0); + Clk : in STD_LOGIC; + Out_A : out STD_LOGIC_VECTOR (7 downto 0); + Out_B : out STD_LOGIC_VECTOR (7 downto 0); + Out_Op : out STD_LOGIC_VECTOR (7 downto 0); + Out_C : out STD_LOGIC_VECTOR (7 downto 0) + ); +end Stage_Li_Di; + +architecture Behavioral of Stage_Li_Di is + +begin + process + begin + wait until clk'event and clk = '1'; + Out_A <= In_A; + Out_B <= In_B; + Out_C <= In_C; + Out_Op <= In_Op; + end process; + +end Behavioral; diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd new file mode 100644 index 0000000..4528761 --- /dev/null +++ b/VHDL/ALU/ALU.srcs/sources_1/new/Stage_Mem_Re.vhd @@ -0,0 +1,56 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 15.05.2023 14:09:59 +-- Design Name: +-- Module Name: Pipeline - Behavioral +-- Project Name: +-- Target Devices: +-- Tool Versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- + + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx leaf cells in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity Stage_Mem_Re is + Port ( In_A : in STD_LOGIC_VECTOR (7 downto 0); + In_B : in STD_LOGIC_VECTOR (7 downto 0); + In_Op : in STD_LOGIC_VECTOR (7 downto 0); + Clk : in STD_LOGIC; + Out_A : out STD_LOGIC_VECTOR (7 downto 0); + Out_B : out STD_LOGIC_VECTOR (7 downto 0); + Out_Op : out STD_LOGIC_VECTOR (7 downto 0) + ); +end Stage_Mem_Re; + +architecture Behavioral of Stage_Mem_Re is + +begin + process + begin + wait until clk'event and clk = '1'; + Out_A <= In_A; + Out_B <= In_B; + Out_Op <= In_Op; + end process; + +end Behavioral; diff --git a/VHDL/ALU/ALU.srcs/sources_1/new/register.vhd b/VHDL/ALU/ALU.srcs/sources_1/new/register.vhd new file mode 100644 index 0000000..e69de29 diff --git a/VHDL/ALU/ALU.xpr b/VHDL/ALU/ALU.xpr new file mode 100644 index 0000000..5f3e901 --- /dev/null +++ b/VHDL/ALU/ALU.xpr @@ -0,0 +1,209 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/VHDL/ALU/Test_Alu_behav.wcfg b/VHDL/ALU/Test_Alu_behav.wcfg new file mode 100644 index 0000000..8bcb065 --- /dev/null +++ b/VHDL/ALU/Test_Alu_behav.wcfg @@ -0,0 +1,63 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + local_A[7:0] + local_A[7:0] + UNSIGNEDDECRADIX + + + local_B[7:0] + local_B[7:0] + UNSIGNEDDECRADIX + + + local_Ctrl_Alu[2:0] + local_Ctrl_Alu[2:0] + BINARYRADIX + + + + local_S[7:0] + local_S[7:0] + UNSIGNEDDECRADIX + + + local_N + local_N + + + local_O + local_O + + + local_Z + local_Z + + + local_C + local_C + +