No Description
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

clk_wiz_0.vhd 4.2KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108
  1. -- file: clk_wiz_0.vhd
  2. --
  3. -- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
  4. --
  5. -- This file contains confidential and proprietary information
  6. -- of Xilinx, Inc. and is protected under U.S. and
  7. -- international copyright and other intellectual property
  8. -- laws.
  9. --
  10. -- DISCLAIMER
  11. -- This disclaimer is not a license and does not grant any
  12. -- rights to the materials distributed herewith. Except as
  13. -- otherwise provided in a valid license issued to you by
  14. -- Xilinx, and to the maximum extent permitted by applicable
  15. -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
  16. -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
  17. -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
  18. -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
  19. -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
  20. -- (2) Xilinx shall not be liable (whether in contract or tort,
  21. -- including negligence, or under any other theory of
  22. -- liability) for any loss or damage of any kind or nature
  23. -- related to, arising under or in connection with these
  24. -- materials, including for any direct, or any indirect,
  25. -- special, incidental, or consequential loss or damage
  26. -- (including loss of data, profits, goodwill, or any type of
  27. -- loss or damage suffered as a result of any action brought
  28. -- by a third party) even if such damage or loss was
  29. -- reasonably foreseeable or Xilinx had been advised of the
  30. -- possibility of the same.
  31. --
  32. -- CRITICAL APPLICATIONS
  33. -- Xilinx products are not designed or intended to be fail-
  34. -- safe, or for use in any application requiring fail-safe
  35. -- performance, such as life-support or safety devices or
  36. -- systems, Class III medical devices, nuclear facilities,
  37. -- applications related to the deployment of airbags, or any
  38. -- other applications that could lead to death, personal
  39. -- injury, or severe property or environmental damage
  40. -- (individually and collectively, "Critical
  41. -- Applications"). Customer assumes the sole risk and
  42. -- liability of any use of Xilinx products in Critical
  43. -- Applications, subject only to applicable laws and
  44. -- regulations governing limitations on product liability.
  45. --
  46. -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
  47. -- PART OF THIS FILE AT ALL TIMES.
  48. --
  49. ------------------------------------------------------------------------------
  50. -- User entered comments
  51. ------------------------------------------------------------------------------
  52. -- None
  53. --
  54. ------------------------------------------------------------------------------
  55. -- Output Output Phase Duty Cycle Pk-to-Pk Phase
  56. -- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps)
  57. ------------------------------------------------------------------------------
  58. -- CLK_OUT1___108.000______0.000______50.0______127.691_____97.646
  59. --
  60. ------------------------------------------------------------------------------
  61. -- Input Clock Freq (MHz) Input Jitter (UI)
  62. ------------------------------------------------------------------------------
  63. -- __primary_________100.000____________0.010
  64. library ieee;
  65. use ieee.std_logic_1164.all;
  66. use ieee.std_logic_unsigned.all;
  67. use ieee.std_logic_arith.all;
  68. use ieee.numeric_std.all;
  69. library unisim;
  70. use unisim.vcomponents.all;
  71. entity clk_wiz_0 is
  72. port
  73. (-- Clock in ports
  74. clk_in1 : in std_logic;
  75. -- Clock out ports
  76. clk_out1 : out std_logic
  77. );
  78. end clk_wiz_0;
  79. architecture xilinx of clk_wiz_0 is
  80. attribute CORE_GENERATION_INFO : string;
  81. attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_wiz_0,clk_wiz_v5_1,{component_name=clk_wiz_0,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}";
  82. component clk_wiz_0_clk_wiz
  83. port
  84. (-- Clock in ports
  85. clk_in1 : in std_logic;
  86. -- Clock out ports
  87. clk_out1 : out std_logic
  88. );
  89. end component;
  90. begin
  91. U0: clk_wiz_0_clk_wiz
  92. port map (
  93. -- Clock in ports
  94. clk_in1 => clk_in1,
  95. -- Clock out ports
  96. clk_out1 => clk_out1
  97. );
  98. end xilinx;