No Description
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

Basys3_Master.xdc 16KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361
  1. ## This file is a general .xdc for the Basys3 rev B board
  2. ## To use it in a project:
  3. ## - uncomment the lines corresponding to used pins
  4. ## - rename the used ports (in each line, after get_ports) according to the top level signal names in the project
  5. # Clock signal
  6. #Bank = 34, Pin name = , Sch name = CLK100MHZ
  7. set_property PACKAGE_PIN W5 [get_ports CLK]
  8. set_property IOSTANDARD LVCMOS33 [get_ports CLK]
  9. create_clock -period 10.000 -name sys_clk_pin -waveform {0.000 5.000} -add [get_ports CLK]
  10. # Switches
  11. set_property PACKAGE_PIN V17 [get_ports {SW[0]}]
  12. set_property IOSTANDARD LVCMOS33 [get_ports {SW[0]}]
  13. set_property PACKAGE_PIN V16 [get_ports {SW[1]}]
  14. set_property IOSTANDARD LVCMOS33 [get_ports {SW[1]}]
  15. set_property PACKAGE_PIN W16 [get_ports {SW[2]}]
  16. set_property IOSTANDARD LVCMOS33 [get_ports {SW[2]}]
  17. set_property PACKAGE_PIN W17 [get_ports {SW[3]}]
  18. set_property IOSTANDARD LVCMOS33 [get_ports {SW[3]}]
  19. set_property PACKAGE_PIN W15 [get_ports {SW[4]}]
  20. set_property IOSTANDARD LVCMOS33 [get_ports {SW[4]}]
  21. set_property PACKAGE_PIN V15 [get_ports {SW[5]}]
  22. set_property IOSTANDARD LVCMOS33 [get_ports {SW[5]}]
  23. set_property PACKAGE_PIN W14 [get_ports {SW[6]}]
  24. set_property IOSTANDARD LVCMOS33 [get_ports {SW[6]}]
  25. set_property PACKAGE_PIN W13 [get_ports {SW[7]}]
  26. set_property IOSTANDARD LVCMOS33 [get_ports {SW[7]}]
  27. set_property PACKAGE_PIN V2 [get_ports {SW[8]}]
  28. set_property IOSTANDARD LVCMOS33 [get_ports {SW[8]}]
  29. set_property PACKAGE_PIN T3 [get_ports {SW[9]}]
  30. set_property IOSTANDARD LVCMOS33 [get_ports {SW[9]}]
  31. set_property PACKAGE_PIN T2 [get_ports {SW[10]}]
  32. set_property IOSTANDARD LVCMOS33 [get_ports {SW[10]}]
  33. set_property PACKAGE_PIN R3 [get_ports {SW[11]}]
  34. set_property IOSTANDARD LVCMOS33 [get_ports {SW[11]}]
  35. set_property PACKAGE_PIN W2 [get_ports {SW[12]}]
  36. set_property IOSTANDARD LVCMOS33 [get_ports {SW[12]}]
  37. set_property PACKAGE_PIN U1 [get_ports {SW[13]}]
  38. set_property IOSTANDARD LVCMOS33 [get_ports {SW[13]}]
  39. set_property PACKAGE_PIN T1 [get_ports {SW[14]}]
  40. set_property IOSTANDARD LVCMOS33 [get_ports {SW[14]}]
  41. set_property PACKAGE_PIN R2 [get_ports {SW[15]}]
  42. set_property IOSTANDARD LVCMOS33 [get_ports {SW[15]}]
  43. # LEDs
  44. set_property PACKAGE_PIN U16 [get_ports {LED[0]}]
  45. set_property IOSTANDARD LVCMOS33 [get_ports {LED[0]}]
  46. set_property PACKAGE_PIN E19 [get_ports {LED[1]}]
  47. set_property IOSTANDARD LVCMOS33 [get_ports {LED[1]}]
  48. set_property PACKAGE_PIN U19 [get_ports {LED[2]}]
  49. set_property IOSTANDARD LVCMOS33 [get_ports {LED[2]}]
  50. set_property PACKAGE_PIN V19 [get_ports {LED[3]}]
  51. set_property IOSTANDARD LVCMOS33 [get_ports {LED[3]}]
  52. set_property PACKAGE_PIN W18 [get_ports {LED[4]}]
  53. set_property IOSTANDARD LVCMOS33 [get_ports {LED[4]}]
  54. set_property PACKAGE_PIN U15 [get_ports {LED[5]}]
  55. set_property IOSTANDARD LVCMOS33 [get_ports {LED[5]}]
  56. set_property PACKAGE_PIN U14 [get_ports {LED[6]}]
  57. set_property IOSTANDARD LVCMOS33 [get_ports {LED[6]}]
  58. set_property PACKAGE_PIN V14 [get_ports {LED[7]}]
  59. set_property IOSTANDARD LVCMOS33 [get_ports {LED[7]}]
  60. set_property PACKAGE_PIN V13 [get_ports {LED[8]}]
  61. set_property IOSTANDARD LVCMOS33 [get_ports {LED[8]}]
  62. set_property PACKAGE_PIN V3 [get_ports {LED[9]}]
  63. set_property IOSTANDARD LVCMOS33 [get_ports {LED[9]}]
  64. set_property PACKAGE_PIN W3 [get_ports {LED[10]}]
  65. set_property IOSTANDARD LVCMOS33 [get_ports {LED[10]}]
  66. set_property PACKAGE_PIN U3 [get_ports {LED[11]}]
  67. set_property IOSTANDARD LVCMOS33 [get_ports {LED[11]}]
  68. set_property PACKAGE_PIN P3 [get_ports {LED[12]}]
  69. set_property IOSTANDARD LVCMOS33 [get_ports {LED[12]}]
  70. set_property PACKAGE_PIN N3 [get_ports {LED[13]}]
  71. set_property IOSTANDARD LVCMOS33 [get_ports {LED[13]}]
  72. set_property PACKAGE_PIN P1 [get_ports {LED[14]}]
  73. set_property IOSTANDARD LVCMOS33 [get_ports {LED[14]}]
  74. set_property PACKAGE_PIN L1 [get_ports {LED[15]}]
  75. set_property IOSTANDARD LVCMOS33 [get_ports {LED[15]}]
  76. #7 segment display
  77. #Bank = 34, Pin name = , Sch name = CA
  78. set_property PACKAGE_PIN W7 [get_ports {SSEG_CA[0]}]
  79. set_property IOSTANDARD LVCMOS33 [get_ports {SSEG_CA[0]}]
  80. #Bank = 34, Pin name = , Sch name = CB
  81. set_property PACKAGE_PIN W6 [get_ports {SSEG_CA[1]}]
  82. set_property IOSTANDARD LVCMOS33 [get_ports {SSEG_CA[1]}]
  83. #Bank = 34, Pin name = , Sch name = CC
  84. set_property PACKAGE_PIN U8 [get_ports {SSEG_CA[2]}]
  85. set_property IOSTANDARD LVCMOS33 [get_ports {SSEG_CA[2]}]
  86. #Bank = 34, Pin name = , Sch name = CD
  87. set_property PACKAGE_PIN V8 [get_ports {SSEG_CA[3]}]
  88. set_property IOSTANDARD LVCMOS33 [get_ports {SSEG_CA[3]}]
  89. #Bank = 34, Pin name = , Sch name = CE
  90. set_property PACKAGE_PIN U5 [get_ports {SSEG_CA[4]}]
  91. set_property IOSTANDARD LVCMOS33 [get_ports {SSEG_CA[4]}]
  92. #Bank = 34, Pin name = , Sch name = CF
  93. set_property PACKAGE_PIN V5 [get_ports {SSEG_CA[5]}]
  94. set_property IOSTANDARD LVCMOS33 [get_ports {SSEG_CA[5]}]
  95. #Bank = 34, Pin name = , Sch name = CG
  96. set_property PACKAGE_PIN U7 [get_ports {SSEG_CA[6]}]
  97. set_property IOSTANDARD LVCMOS33 [get_ports {SSEG_CA[6]}]
  98. #Bank = 34, Pin name = , Sch name = DP
  99. set_property PACKAGE_PIN V7 [get_ports {SSEG_CA[7]}]
  100. set_property IOSTANDARD LVCMOS33 [get_ports {SSEG_CA[7]}]
  101. #Bank = 34, Pin name = , Sch name = AN0
  102. set_property PACKAGE_PIN U2 [get_ports {SSEG_AN[0]}]
  103. set_property IOSTANDARD LVCMOS33 [get_ports {SSEG_AN[0]}]
  104. #Bank = 34, Pin name = , Sch name = AN1
  105. set_property PACKAGE_PIN U4 [get_ports {SSEG_AN[1]}]
  106. set_property IOSTANDARD LVCMOS33 [get_ports {SSEG_AN[1]}]
  107. #Bank = 34, Pin name = , Sch name = AN2
  108. set_property PACKAGE_PIN V4 [get_ports {SSEG_AN[2]}]
  109. set_property IOSTANDARD LVCMOS33 [get_ports {SSEG_AN[2]}]
  110. #Bank = 34, Pin name = , Sch name = AN3
  111. set_property PACKAGE_PIN W4 [get_ports {SSEG_AN[3]}]
  112. set_property IOSTANDARD LVCMOS33 [get_ports {SSEG_AN[3]}]
  113. #Buttons
  114. #Bank = 14, Pin name = , Sch name = BTNC
  115. set_property PACKAGE_PIN U18 [get_ports {BTN[4]}]
  116. set_property IOSTANDARD LVCMOS33 [get_ports {BTN[4]}]
  117. #Bank = 14, Pin name = , Sch name = BTNU
  118. set_property PACKAGE_PIN T18 [get_ports {BTN[0]}]
  119. set_property IOSTANDARD LVCMOS33 [get_ports {BTN[0]}]
  120. #Bank = 14, Pin name = , Sch name = BTNL
  121. set_property PACKAGE_PIN W19 [get_ports {BTN[1]}]
  122. set_property IOSTANDARD LVCMOS33 [get_ports {BTN[1]}]
  123. #Bank = 14, Pin name = , Sch name = BTNR
  124. set_property PACKAGE_PIN T17 [get_ports {BTN[2]}]
  125. set_property IOSTANDARD LVCMOS33 [get_ports {BTN[2]}]
  126. #Bank = 14, Pin name = , Sch name = BTND
  127. set_property PACKAGE_PIN U17 [get_ports {BTN[3]}]
  128. set_property IOSTANDARD LVCMOS33 [get_ports {BTN[3]}]
  129. ##Pmod Header JA
  130. ##Bank = 15, Pin name = IO_L1N_T0_AD0N_15, Sch name = JA1
  131. #set_property PACKAGE_PIN B13 [get_ports {JA[0]}]
  132. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[0]}]
  133. ##Bank = 15, Pin name = IO_L5N_T0_AD9N_15, Sch name = JA2
  134. #set_property PACKAGE_PIN F14 [get_ports {JA[1]}]
  135. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[1]}]
  136. ##Bank = 15, Pin name = IO_L16N_T2_A27_15, Sch name = JA3
  137. #set_property PACKAGE_PIN D17 [get_ports {JA[2]}]
  138. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[2]}]
  139. ##Bank = 15, Pin name = IO_L16P_T2_A28_15, Sch name = JA4
  140. #set_property PACKAGE_PIN E17 [get_ports {JA[3]}]
  141. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[3]}]
  142. ##Bank = 15, Pin name = IO_0_15, Sch name = JA7
  143. #set_property PACKAGE_PIN G13 [get_ports {JA[4]}]
  144. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[4]}]
  145. ##Bank = 15, Pin name = IO_L20N_T3_A19_15, Sch name = JA8
  146. #set_property PACKAGE_PIN C17 [get_ports {JA[5]}]
  147. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[5]}]
  148. ##Bank = 15, Pin name = IO_L21N_T3_A17_15, Sch name = JA9
  149. #set_property PACKAGE_PIN D18 [get_ports {JA[6]}]
  150. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[6]}]
  151. ##Bank = 15, Pin name = IO_L21P_T3_DQS_15, Sch name = JA10
  152. #set_property PACKAGE_PIN E18 [get_ports {JA[7]}]
  153. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[7]}]
  154. ##Pmod Header JB
  155. ##Bank = 15, Pin name = IO_L15N_T2_DQS_ADV_B_15, Sch name = JB1
  156. #set_property PACKAGE_PIN G14 [get_ports {JB[0]}]
  157. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[0]}]
  158. ##Bank = 14, Pin name = IO_L13P_T2_MRCC_14, Sch name = JB2
  159. #set_property PACKAGE_PIN P15 [get_ports {JB[1]}]
  160. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[1]}]
  161. ##Bank = 14, Pin name = IO_L21N_T3_DQS_A06_D22_14, Sch name = JB3
  162. #set_property PACKAGE_PIN V11 [get_ports {JB[2]}]
  163. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[2]}]
  164. ##Bank = CONFIG, Pin name = IO_L16P_T2_CSI_B_14, Sch name = JB4
  165. #set_property PACKAGE_PIN V15 [get_ports {JB[3]}]
  166. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[3]}]
  167. ##Bank = 15, Pin name = IO_25_15, Sch name = JB7
  168. #set_property PACKAGE_PIN K16 [get_ports {JB[4]}]
  169. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[4]}]
  170. ##Bank = CONFIG, Pin name = IO_L15P_T2_DQS_RWR_B_14, Sch name = JB8
  171. #set_property PACKAGE_PIN R16 [get_ports {JB[5]}]
  172. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[5]}]
  173. ##Bank = 14, Pin name = IO_L24P_T3_A01_D17_14, Sch name = JB9
  174. #set_property PACKAGE_PIN T9 [get_ports {JB[6]}]
  175. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[6]}]
  176. ##Bank = 14, Pin name = IO_L19N_T3_A09_D25_VREF_14, Sch name = JB10
  177. #set_property PACKAGE_PIN U11 [get_ports {JB[7]}]
  178. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[7]}]
  179. ##Pmod Header JC
  180. ##Bank = 35, Pin name = IO_L23P_T3_35, Sch name = JC1
  181. #set_property PACKAGE_PIN K2 [get_ports {JC[0]}]
  182. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[0]}]
  183. ##Bank = 35, Pin name = IO_L6P_T0_35, Sch name = JC2
  184. #set_property PACKAGE_PIN E7 [get_ports {JC[1]}]
  185. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[1]}]
  186. ##Bank = 35, Pin name = IO_L22P_T3_35, Sch name = JC3
  187. #set_property PACKAGE_PIN J3 [get_ports {JC[2]}]
  188. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[2]}]
  189. ##Bank = 35, Pin name = IO_L21P_T3_DQS_35, Sch name = JC4
  190. #set_property PACKAGE_PIN J4 [get_ports {JC[3]}]
  191. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[3]}]
  192. ##Bank = 35, Pin name = IO_L23N_T3_35, Sch name = JC7
  193. #set_property PACKAGE_PIN K1 [get_ports {JC[4]}]
  194. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[4]}]
  195. ##Bank = 35, Pin name = IO_L5P_T0_AD13P_35, Sch name = JC8
  196. #set_property PACKAGE_PIN E6 [get_ports {JC[5]}]
  197. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[5]}]
  198. ##Bank = 35, Pin name = IO_L22N_T3_35, Sch name = JC9
  199. #set_property PACKAGE_PIN J2 [get_ports {JC[6]}]
  200. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[6]}]
  201. ##Bank = 35, Pin name = IO_L19P_T3_35, Sch name = JC10
  202. #set_property PACKAGE_PIN G6 [get_ports {JC[7]}]
  203. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[7]}]
  204. ##Pmod Header JXADC
  205. ##Bank = 15, Pin name = IO_L9P_T1_DQS_AD3P_15, Sch name = XADC1_P -> XA1_P
  206. #set_property PACKAGE_PIN A13 [get_ports {JXADC[0]}]
  207. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[0]}]
  208. ##Bank = 15, Pin name = IO_L8P_T1_AD10P_15, Sch name = XADC2_P -> XA2_P
  209. #set_property PACKAGE_PIN A15 [get_ports {JXADC[1]}]
  210. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[1]}]
  211. ##Bank = 15, Pin name = IO_L7P_T1_AD2P_15, Sch name = XADC3_P -> XA3_P
  212. #set_property PACKAGE_PIN B16 [get_ports {JXADC[2]}]
  213. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[2]}]
  214. ##Bank = 15, Pin name = IO_L10P_T1_AD11P_15, Sch name = XADC4_P -> XA4_P
  215. #set_property PACKAGE_PIN B18 [get_ports {JXADC[3]}]
  216. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[3]}]
  217. ##Bank = 15, Pin name = IO_L9N_T1_DQS_AD3N_15, Sch name = XADC1_N -> XA1_N
  218. #set_property PACKAGE_PIN A14 [get_ports {JXADC[4]}]
  219. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[4]}]
  220. ##Bank = 15, Pin name = IO_L8N_T1_AD10N_15, Sch name = XADC2_N -> XA2_N
  221. #set_property PACKAGE_PIN A16 [get_ports {JXADC[5]}]
  222. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[5]}]
  223. ##Bank = 15, Pin name = IO_L7N_T1_AD2N_15, Sch name = XADC3_N -> XA3_N
  224. #set_property PACKAGE_PIN B17 [get_ports {JXADC[6]}]
  225. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[6]}]
  226. ##Bank = 15, Pin name = IO_L10N_T1_AD11N_15, Sch name = XADC4_N -> XA4_N
  227. #set_property PACKAGE_PIN A18 [get_ports {JXADC[7]}]
  228. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[7]}]
  229. #VGA Connector
  230. #Bank = 14, Pin name = , Sch name = VGA_R0
  231. set_property PACKAGE_PIN G19 [get_ports {VGA_RED[0]}]
  232. set_property IOSTANDARD LVCMOS33 [get_ports {VGA_RED[0]}]
  233. #Bank = 14, Pin name = , Sch name = VGA_R1
  234. set_property PACKAGE_PIN H19 [get_ports {VGA_RED[1]}]
  235. set_property IOSTANDARD LVCMOS33 [get_ports {VGA_RED[1]}]
  236. #Bank = 14, Pin name = , Sch name = VGA_R2
  237. set_property PACKAGE_PIN J19 [get_ports {VGA_RED[2]}]
  238. set_property IOSTANDARD LVCMOS33 [get_ports {VGA_RED[2]}]
  239. #Bank = 14, Pin name = , Sch name = VGA_R3
  240. set_property PACKAGE_PIN N19 [get_ports {VGA_RED[3]}]
  241. set_property IOSTANDARD LVCMOS33 [get_ports {VGA_RED[3]}]
  242. #Bank = 14, Pin name = , Sch name = VGA_B0
  243. set_property PACKAGE_PIN N18 [get_ports {VGA_BLUE[0]}]
  244. set_property IOSTANDARD LVCMOS33 [get_ports {VGA_BLUE[0]}]
  245. #Bank = 14, Pin name = , Sch name = VGA_B1
  246. set_property PACKAGE_PIN L18 [get_ports {VGA_BLUE[1]}]
  247. set_property IOSTANDARD LVCMOS33 [get_ports {VGA_BLUE[1]}]
  248. #Bank = 14, Pin name = , Sch name = VGA_B2
  249. set_property PACKAGE_PIN K18 [get_ports {VGA_BLUE[2]}]
  250. set_property IOSTANDARD LVCMOS33 [get_ports {VGA_BLUE[2]}]
  251. #Bank = 14, Pin name = , Sch name = VGA_B3
  252. set_property PACKAGE_PIN J18 [get_ports {VGA_BLUE[3]}]
  253. set_property IOSTANDARD LVCMOS33 [get_ports {VGA_BLUE[3]}]
  254. #Bank = 14, Pin name = , Sch name = VGA_G0
  255. set_property PACKAGE_PIN J17 [get_ports {VGA_GREEN[0]}]
  256. set_property IOSTANDARD LVCMOS33 [get_ports {VGA_GREEN[0]}]
  257. #Bank = 14, Pin name = , Sch name = VGA_G1
  258. set_property PACKAGE_PIN H17 [get_ports {VGA_GREEN[1]}]
  259. set_property IOSTANDARD LVCMOS33 [get_ports {VGA_GREEN[1]}]
  260. #Bank = 14, Pin name = , Sch name = VGA_G2
  261. set_property PACKAGE_PIN G17 [get_ports {VGA_GREEN[2]}]
  262. set_property IOSTANDARD LVCMOS33 [get_ports {VGA_GREEN[2]}]
  263. #Bank = 14, Pin name = , Sch name = VGA_G3
  264. set_property PACKAGE_PIN D17 [get_ports {VGA_GREEN[3]}]
  265. set_property IOSTANDARD LVCMOS33 [get_ports {VGA_GREEN[3]}]
  266. #Bank = 14, Pin name = , Sch name = VGA_HS
  267. set_property PACKAGE_PIN P19 [get_ports VGA_HS]
  268. set_property IOSTANDARD LVCMOS33 [get_ports VGA_HS]
  269. #Bank = 14, Pin name = , Sch name = VGA_VS
  270. set_property PACKAGE_PIN R19 [get_ports VGA_VS]
  271. set_property IOSTANDARD LVCMOS33 [get_ports VGA_VS]
  272. ##USB-RS232 Interface
  273. ##Bank = 16, Pin name = , Sch name = UART_TXD_IN
  274. #set_property PACKAGE_PIN B18 [get_ports RsRx]
  275. #set_property IOSTANDARD LVCMOS33 [get_ports RsRx]
  276. #Bank = 16, Pin name = , Sch name = UART_RXD_OUT
  277. set_property PACKAGE_PIN A18 [get_ports UART_TXD]
  278. set_property IOSTANDARD LVCMOS33 [get_ports UART_TXD]
  279. #USB HID (PS/2)
  280. #Bank = 16, Pin name = , Sch name = PS2_CLK
  281. set_property PACKAGE_PIN C17 [get_ports PS2_CLK]
  282. set_property IOSTANDARD LVCMOS33 [get_ports PS2_CLK]
  283. set_property PULLUP true [get_ports PS2_CLK]
  284. #Bank = 16, Pin name = , Sch name = PS2_DATA
  285. set_property PACKAGE_PIN B17 [get_ports PS2_DATA]
  286. set_property IOSTANDARD LVCMOS33 [get_ports PS2_DATA]
  287. set_property PULLUP true [get_ports PS2_DATA]
  288. ##Quad SPI Flash
  289. ##Bank = CONFIG, Pin name = CCLK_0, Sch name = QSPI_SCK
  290. #set_property PACKAGE_PIN C11 [get_ports {QspiSCK}]
  291. #set_property IOSTANDARD LVCMOS33 [get_ports {QspiSCK}]
  292. ##Bank = CONFIG, Pin name = IO_L1P_T0_D00_MOSI_14, Sch name = QSPI_DQ0
  293. #set_property PACKAGE_PIN D18 [get_ports {QspiDB[0]}]
  294. #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[0]}]
  295. ##Bank = CONFIG, Pin name = IO_L1N_T0_D01_DIN_14, Sch name = QSPI_DQ1
  296. #set_property PACKAGE_PIN D19 [get_ports {QspiDB[1]}]
  297. #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[1]}]
  298. ##Bank = CONFIG, Pin name = IO_L20_T0_D02_14, Sch name = QSPI_DQ2
  299. #set_property PACKAGE_PIN G18 [get_ports {QspiDB[2]}]
  300. #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[2]}]
  301. ##Bank = CONFIG, Pin name = IO_L2P_T0_D03_14, Sch name = QSPI_DQ3
  302. #set_property PACKAGE_PIN F18 [get_ports {QspiDB[3]}]
  303. #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[3]}]
  304. ##Bank = CONFIG, Pin name = IO_L6P_T0_FCS_B_14, Sch name = QSPI_CS
  305. #set_property PACKAGE_PIN K19 [get_ports QspiCSn]
  306. #set_property IOSTANDARD LVCMOS33 [get_ports QspiCSn]
  307. set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
  308. set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]
  309. set_property CONFIG_MODE SPIx4 [current_design]
  310. set_property BITSTREAM.CONFIG.CONFIGRATE 33 [current_design]
  311. set_property CONFIG_VOLTAGE 3.3 [current_design]
  312. set_property CFGBVS VCCO [current_design]