No Description
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

GPIO.xpr 8.2KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199
  1. <?xml version="1.0" encoding="UTF-8"?>
  2. <!-- Product Version: Vivado v2016.4 (64-bit) -->
  3. <!-- -->
  4. <!-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -->
  5. <Project Version="7" Minor="17" Path="C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.xpr">
  6. <DefaultLaunch Dir="$PRUNDIR"/>
  7. <Configuration>
  8. <Option Name="Id" Val="0138f6f78b4b4ef3a03837b84ae3d333"/>
  9. <Option Name="Part" Val="xc7a35tcpg236-1"/>
  10. <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>
  11. <Option Name="CompiledLibDirXSim" Val=""/>
  12. <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/>
  13. <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/>
  14. <Option Name="CompiledLibDirIES" Val="$PCACHEDIR/compile_simlib/ies"/>
  15. <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/>
  16. <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/>
  17. <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/>
  18. <Option Name="TargetLanguage" Val="VHDL"/>
  19. <Option Name="BoardPart" Val="digilentinc.com:basys3:part0:1.1"/>
  20. <Option Name="ActiveSimSet" Val="sim_1"/>
  21. <Option Name="DefaultLib" Val="xil_defaultlib"/>
  22. <Option Name="IPRepoPath" Val="$PPRDIR/../repo"/>
  23. <Option Name="IPOutputRepo" Val="$PPRDIR/../repo/cache"/>
  24. <Option Name="IPCachePermission" Val="read"/>
  25. <Option Name="IPCachePermission" Val="write"/>
  26. <Option Name="EnableCoreContainer" Val="FALSE"/>
  27. <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/>
  28. <Option Name="IPUserFilesDir" Val="$PPRDIR/GPIO.ip_user_files"/>
  29. <Option Name="IPStaticSourceDir" Val="$PPRDIR/GPIO.ip_user_files/ipstatic"/>
  30. <Option Name="EnableBDX" Val="FALSE"/>
  31. <Option Name="DSABoardId" Val="basys3"/>
  32. <Option Name="DSANumComputeUnits" Val="16"/>
  33. <Option Name="WTXSimLaunchSim" Val="0"/>
  34. <Option Name="WTModelSimLaunchSim" Val="0"/>
  35. <Option Name="WTQuestaLaunchSim" Val="0"/>
  36. <Option Name="WTIesLaunchSim" Val="0"/>
  37. <Option Name="WTVcsLaunchSim" Val="0"/>
  38. <Option Name="WTRivieraLaunchSim" Val="0"/>
  39. <Option Name="WTActivehdlLaunchSim" Val="0"/>
  40. <Option Name="WTXSimExportSim" Val="0"/>
  41. <Option Name="WTModelSimExportSim" Val="0"/>
  42. <Option Name="WTQuestaExportSim" Val="0"/>
  43. <Option Name="WTIesExportSim" Val="0"/>
  44. <Option Name="WTVcsExportSim" Val="0"/>
  45. <Option Name="WTRivieraExportSim" Val="0"/>
  46. <Option Name="WTActivehdlExportSim" Val="0"/>
  47. <Option Name="GenerateIPUpgradeLog" Val="TRUE"/>
  48. <Option Name="XSimRadix" Val="hex"/>
  49. <Option Name="XSimTimeUnit" Val="ns"/>
  50. <Option Name="XSimArrayDisplayLimit" Val="64"/>
  51. <Option Name="XSimTraceLimit" Val="65536"/>
  52. </Configuration>
  53. <FileSets Version="1" Minor="31">
  54. <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1">
  55. <Filter Type="Srcs"/>
  56. <File Path="$PPRDIR/../src/hdl/Ps2Interface.vhd">
  57. <FileInfo>
  58. <Attr Name="UsedIn" Val="synthesis"/>
  59. <Attr Name="UsedIn" Val="simulation"/>
  60. </FileInfo>
  61. </File>
  62. <File Path="$PPRDIR/../src/hdl/clk_wiz_0_clk_wiz.vhd">
  63. <FileInfo>
  64. <Attr Name="UsedIn" Val="synthesis"/>
  65. <Attr Name="UsedIn" Val="simulation"/>
  66. </FileInfo>
  67. </File>
  68. <File Path="$PPRDIR/../src/hdl/MouseDisplay.vhd">
  69. <FileInfo>
  70. <Attr Name="UsedIn" Val="synthesis"/>
  71. <Attr Name="UsedIn" Val="simulation"/>
  72. </FileInfo>
  73. </File>
  74. <File Path="$PPRDIR/../src/hdl/MouseCtl.vhd">
  75. <FileInfo>
  76. <Attr Name="UsedIn" Val="synthesis"/>
  77. <Attr Name="UsedIn" Val="simulation"/>
  78. </FileInfo>
  79. </File>
  80. <File Path="$PPRDIR/../src/hdl/clk_wiz_0.vhd">
  81. <FileInfo>
  82. <Attr Name="UsedIn" Val="synthesis"/>
  83. <Attr Name="UsedIn" Val="simulation"/>
  84. </FileInfo>
  85. </File>
  86. <File Path="$PPRDIR/../src/hdl/vga_ctrl.vhd">
  87. <FileInfo>
  88. <Attr Name="UsedIn" Val="synthesis"/>
  89. <Attr Name="UsedIn" Val="simulation"/>
  90. </FileInfo>
  91. </File>
  92. <File Path="$PPRDIR/../src/hdl/UART_TX_CTRL.vhd">
  93. <FileInfo>
  94. <Attr Name="UsedIn" Val="synthesis"/>
  95. <Attr Name="UsedIn" Val="simulation"/>
  96. </FileInfo>
  97. </File>
  98. <File Path="$PPRDIR/../src/hdl/debouncer.vhd">
  99. <FileInfo>
  100. <Attr Name="UsedIn" Val="synthesis"/>
  101. <Attr Name="UsedIn" Val="simulation"/>
  102. </FileInfo>
  103. </File>
  104. <File Path="$PPRDIR/../src/hdl/GPIO_Demo.vhd">
  105. <FileInfo>
  106. <Attr Name="UsedIn" Val="synthesis"/>
  107. <Attr Name="UsedIn" Val="simulation"/>
  108. </FileInfo>
  109. </File>
  110. <Config>
  111. <Option Name="DesignMode" Val="RTL"/>
  112. <Option Name="TopModule" Val="GPIO_demo"/>
  113. <Option Name="TopAutoSet" Val="TRUE"/>
  114. </Config>
  115. </FileSet>
  116. <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">
  117. <Filter Type="Constrs"/>
  118. <File Path="$PPRDIR/../src/constraints/Basys3_Master.xdc">
  119. <FileInfo>
  120. <Attr Name="UsedIn" Val="synthesis"/>
  121. <Attr Name="UsedIn" Val="implementation"/>
  122. </FileInfo>
  123. </File>
  124. <Config>
  125. <Option Name="ConstrsType" Val="XDC"/>
  126. </Config>
  127. </FileSet>
  128. <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1">
  129. <Config>
  130. <Option Name="DesignMode" Val="RTL"/>
  131. <Option Name="TopModule" Val="GPIO_demo"/>
  132. <Option Name="TopLib" Val="xil_defaultlib"/>
  133. <Option Name="TopAutoSet" Val="TRUE"/>
  134. <Option Name="TransportPathDelay" Val="0"/>
  135. <Option Name="TransportIntDelay" Val="0"/>
  136. <Option Name="SrcSet" Val="sources_1"/>
  137. </Config>
  138. </FileSet>
  139. </FileSets>
  140. <Simulators>
  141. <Simulator Name="XSim">
  142. <Option Name="Description" Val="Vivado Simulator"/>
  143. <Option Name="CompiledLib" Val="0"/>
  144. </Simulator>
  145. <Simulator Name="ModelSim">
  146. <Option Name="Description" Val="ModelSim Simulator"/>
  147. </Simulator>
  148. <Simulator Name="Questa">
  149. <Option Name="Description" Val="Questa Advanced Simulator"/>
  150. </Simulator>
  151. <Simulator Name="Riviera">
  152. <Option Name="Description" Val="Riviera-PRO Simulator"/>
  153. </Simulator>
  154. <Simulator Name="ActiveHDL">
  155. <Option Name="Description" Val="Active-HDL Simulator"/>
  156. </Simulator>
  157. </Simulators>
  158. <Runs Version="1" Minor="10">
  159. <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a35tcpg236-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true">
  160. <Strategy Version="1" Minor="2">
  161. <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2015">
  162. <Desc>Vivado Synthesis Defaults</Desc>
  163. </StratHandle>
  164. <Step Id="synth_design">
  165. <Option Id="Directive">0</Option>
  166. <Option Id="FlattenHierarchy">1</Option>
  167. <Option Id="FsmExtraction">0</Option>
  168. </Step>
  169. </Strategy>
  170. <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
  171. <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
  172. </Run>
  173. <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a35tcpg236-1" ConstrsSet="constrs_1" Description="Vivado Implementation Defaults" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true">
  174. <Strategy Version="1" Minor="2">
  175. <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2015">
  176. <Desc>Vivado Implementation Defaults</Desc>
  177. </StratHandle>
  178. <Step Id="init_design"/>
  179. <Step Id="opt_design">
  180. <Option Id="Directive">4</Option>
  181. </Step>
  182. <Step Id="power_opt_design"/>
  183. <Step Id="place_design">
  184. <Option Id="Directive">14</Option>
  185. </Step>
  186. <Step Id="post_place_power_opt_design"/>
  187. <Step Id="phys_opt_design"/>
  188. <Step Id="route_design">
  189. <Option Id="Directive">5</Option>
  190. </Step>
  191. <Step Id="post_route_phys_opt_design"/>
  192. <Step Id="write_bitstream"/>
  193. </Strategy>
  194. <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
  195. <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
  196. </Run>
  197. </Runs>
  198. </Project>