No Description
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

gen_run.xml 7.1KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157
  1. <?xml version="1.0" encoding="UTF-8"?>
  2. <GenRun Id="impl_1" LaunchPart="xc7a35tcpg236-1" LaunchTime="1618002924">
  3. <File Type="PWROPT-DRC" Name="GPIO_demo_drc_pwropted.rpt"/>
  4. <File Type="OPT-METHODOLOGY-DRC" Name="GPIO_demo_methodology_drc_opted.rpt"/>
  5. <File Type="INIT-TIMING" Name="GPIO_demo_timing_summary_init.rpt"/>
  6. <File Type="ROUTE-PWR-RPX" Name="GPIO_demo_power_routed.rpx"/>
  7. <File Type="PA-TCL" Name="GPIO_demo.tcl"/>
  8. <File Type="OPT-HWDEF" Name="GPIO_demo.hwdef"/>
  9. <File Type="RDI-RDI" Name="GPIO_demo.vdi"/>
  10. <File Type="OPT-DCP" Name="GPIO_demo_opt.dcp"/>
  11. <File Type="OPT-DRC" Name="GPIO_demo_drc_opted.rpt"/>
  12. <File Type="OPT-TIMING" Name="GPIO_demo_timing_summary_opted.rpt"/>
  13. <File Type="PWROPT-DCP" Name="GPIO_demo_pwropt.dcp"/>
  14. <File Type="PWROPT-TIMING" Name="GPIO_demo_timing_summary_pwropted.rpt"/>
  15. <File Type="PLACE-DCP" Name="GPIO_demo_placed.dcp"/>
  16. <File Type="PLACE-IO" Name="GPIO_demo_io_placed.rpt"/>
  17. <File Type="PLACE-CLK" Name="GPIO_demo_clock_utilization_placed.rpt"/>
  18. <File Type="PLACE-UTIL" Name="GPIO_demo_utilization_placed.rpt"/>
  19. <File Type="PLACE-UTIL-PB" Name="GPIO_demo_utilization_placed.pb"/>
  20. <File Type="PLACE-CTRL" Name="GPIO_demo_control_sets_placed.rpt"/>
  21. <File Type="PLACE-SIMILARITY" Name="GPIO_demo_incremental_reuse_placed.rpt"/>
  22. <File Type="PLACE-PRE-SIMILARITY" Name="GPIO_demo_incremental_reuse_pre_placed.rpt"/>
  23. <File Type="PLACE-TIMING" Name="GPIO_demo_timing_summary_placed.rpt"/>
  24. <File Type="POSTPLACE-PWROPT-DCP" Name="GPIO_demo_postplace_pwropt.dcp"/>
  25. <File Type="POSTPLACE-PWROPT-TIMING" Name="GPIO_demo_timing_summary_postplace_pwropted.rpt"/>
  26. <File Type="PHYSOPT-DCP" Name="GPIO_demo_physopt.dcp"/>
  27. <File Type="PHYSOPT-DRC" Name="GPIO_demo_drc_physopted.rpt"/>
  28. <File Type="PHYSOPT-TIMING" Name="GPIO_demo_timing_summary_physopted.rpt"/>
  29. <File Type="ROUTE-ERROR-DCP" Name="GPIO_demo_routed_error.dcp"/>
  30. <File Type="ROUTE-DCP" Name="GPIO_demo_routed.dcp"/>
  31. <File Type="ROUTE-BLACKBOX-DCP" Name="GPIO_demo_routed_bb.dcp"/>
  32. <File Type="ROUTE-DRC" Name="GPIO_demo_drc_routed.rpt"/>
  33. <File Type="ROUTE-DRC-PB" Name="GPIO_demo_drc_routed.pb"/>
  34. <File Type="BG-BIN" Name="GPIO_demo.bin"/>
  35. <File Type="ROUTE-DRC-RPX" Name="GPIO_demo_drc_routed.rpx"/>
  36. <File Type="BG-DRC" Name="GPIO_demo.drc"/>
  37. <File Type="ROUTE-METHODOLOGY-DRC" Name="GPIO_demo_methodology_drc_routed.rpt"/>
  38. <File Type="BITSTR-MSK" Name="GPIO_demo.msk"/>
  39. <File Type="ROUTE-METHODOLOGY-DRC-RPX" Name="GPIO_demo_methodology_drc_routed.rpx"/>
  40. <File Type="BG-BGN" Name="GPIO_demo.bgn"/>
  41. <File Type="ROUTE-METHODOLOGY-DRC-PB" Name="GPIO_demo_methodology_drc_routed.pb"/>
  42. <File Type="ROUTE-PWR" Name="GPIO_demo_power_routed.rpt"/>
  43. <File Type="ROUTE-PWR-SUM" Name="GPIO_demo_power_summary_routed.pb"/>
  44. <File Type="ROUTE-STATUS" Name="GPIO_demo_route_status.rpt"/>
  45. <File Type="ROUTE-STATUS-PB" Name="GPIO_demo_route_status.pb"/>
  46. <File Type="ROUTE-TIMINGSUMMARY" Name="GPIO_demo_timing_summary_routed.rpt"/>
  47. <File Type="ROUTE-TIMING-PB" Name="GPIO_demo_timing_summary_routed.pb"/>
  48. <File Type="ROUTE-TIMING-RPX" Name="GPIO_demo_timing_summary_routed.rpx"/>
  49. <File Type="ROUTE-SIMILARITY" Name="GPIO_demo_incremental_reuse_routed.rpt"/>
  50. <File Type="ROUTE-CLK" Name="GPIO_demo_clock_utilization_routed.rpt"/>
  51. <File Type="POSTROUTE-PHYSOPT-DCP" Name="GPIO_demo_postroute_physopt.dcp"/>
  52. <File Type="POSTROUTE-PHYSOPT-BLACKBOX-DCP" Name="GPIO_demo_postroute_physopt_bb.dcp"/>
  53. <File Type="POSTROUTE-PHYSOPT-TIMING" Name="GPIO_demo_timing_summary_postroute_physopted.rpt"/>
  54. <File Type="POSTROUTE-PHYSOPT-TIMING-PB" Name="GPIO_demo_timing_summary_postroute_physopted.pb"/>
  55. <File Type="POSTROUTE-PHYSOPT-TIMING-RPX" Name="GPIO_demo_timing_summary_postroute_physopted.rpx"/>
  56. <File Type="BG-BIT" Name="GPIO_demo.bit"/>
  57. <File Type="BITSTR-RBT" Name="GPIO_demo.rbt"/>
  58. <File Type="BITSTR-NKY" Name="GPIO_demo.nky"/>
  59. <File Type="BITSTR-BMM" Name="GPIO_demo_bd.bmm"/>
  60. <File Type="BITSTR-MMI" Name="GPIO_demo.mmi"/>
  61. <File Type="BITSTR-SYSDEF" Name="GPIO_demo.sysdef"/>
  62. <File Type="WBT-USG" Name="usage_statistics_webtalk.html"/>
  63. <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1">
  64. <Filter Type="Srcs"/>
  65. <File Path="$PPRDIR/../src/hdl/Ps2Interface.vhd">
  66. <FileInfo>
  67. <Attr Name="UsedIn" Val="synthesis"/>
  68. <Attr Name="UsedIn" Val="simulation"/>
  69. </FileInfo>
  70. </File>
  71. <File Path="$PPRDIR/../src/hdl/clk_wiz_0_clk_wiz.vhd">
  72. <FileInfo>
  73. <Attr Name="UsedIn" Val="synthesis"/>
  74. <Attr Name="UsedIn" Val="simulation"/>
  75. </FileInfo>
  76. </File>
  77. <File Path="$PPRDIR/../src/hdl/MouseDisplay.vhd">
  78. <FileInfo>
  79. <Attr Name="UsedIn" Val="synthesis"/>
  80. <Attr Name="UsedIn" Val="simulation"/>
  81. </FileInfo>
  82. </File>
  83. <File Path="$PPRDIR/../src/hdl/MouseCtl.vhd">
  84. <FileInfo>
  85. <Attr Name="UsedIn" Val="synthesis"/>
  86. <Attr Name="UsedIn" Val="simulation"/>
  87. </FileInfo>
  88. </File>
  89. <File Path="$PPRDIR/../src/hdl/clk_wiz_0.vhd">
  90. <FileInfo>
  91. <Attr Name="UsedIn" Val="synthesis"/>
  92. <Attr Name="UsedIn" Val="simulation"/>
  93. </FileInfo>
  94. </File>
  95. <File Path="$PPRDIR/../src/hdl/vga_ctrl.vhd">
  96. <FileInfo>
  97. <Attr Name="UsedIn" Val="synthesis"/>
  98. <Attr Name="UsedIn" Val="simulation"/>
  99. </FileInfo>
  100. </File>
  101. <File Path="$PPRDIR/../src/hdl/UART_TX_CTRL.vhd">
  102. <FileInfo>
  103. <Attr Name="UsedIn" Val="synthesis"/>
  104. <Attr Name="UsedIn" Val="simulation"/>
  105. </FileInfo>
  106. </File>
  107. <File Path="$PPRDIR/../src/hdl/debouncer.vhd">
  108. <FileInfo>
  109. <Attr Name="UsedIn" Val="synthesis"/>
  110. <Attr Name="UsedIn" Val="simulation"/>
  111. </FileInfo>
  112. </File>
  113. <File Path="$PPRDIR/../src/hdl/GPIO_Demo.vhd">
  114. <FileInfo>
  115. <Attr Name="UsedIn" Val="synthesis"/>
  116. <Attr Name="UsedIn" Val="simulation"/>
  117. </FileInfo>
  118. </File>
  119. <Config>
  120. <Option Name="DesignMode" Val="RTL"/>
  121. <Option Name="TopModule" Val="GPIO_demo"/>
  122. <Option Name="TopAutoSet" Val="TRUE"/>
  123. </Config>
  124. </FileSet>
  125. <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">
  126. <Filter Type="Constrs"/>
  127. <File Path="$PPRDIR/../src/constraints/Basys3_Master.xdc">
  128. <FileInfo>
  129. <Attr Name="UsedIn" Val="synthesis"/>
  130. <Attr Name="UsedIn" Val="implementation"/>
  131. </FileInfo>
  132. </File>
  133. <Config>
  134. <Option Name="ConstrsType" Val="XDC"/>
  135. </Config>
  136. </FileSet>
  137. <Strategy Version="1" Minor="2">
  138. <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2015">
  139. <Desc>Vivado Implementation Defaults</Desc>
  140. </StratHandle>
  141. <Step Id="init_design"/>
  142. <Step Id="opt_design">
  143. <Option Id="Directive">4</Option>
  144. </Step>
  145. <Step Id="power_opt_design"/>
  146. <Step Id="place_design">
  147. <Option Id="Directive">14</Option>
  148. </Step>
  149. <Step Id="post_place_power_opt_design"/>
  150. <Step Id="phys_opt_design"/>
  151. <Step Id="route_design">
  152. <Option Id="Directive">5</Option>
  153. </Step>
  154. <Step Id="post_route_phys_opt_design"/>
  155. <Step Id="write_bitstream"/>
  156. </Strategy>
  157. </GenRun>