No Description
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

GPIO_demo_methodology_drc_routed.rpt 6.8KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205
  1. Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
  2. -------------------------------------------------------------------------------------------------------------------------
  3. | Tool Version : Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017
  4. | Date : Fri Apr 09 23:16:38 2021
  5. | Host : DESKTOP-GN6T5R2 running 64-bit major release (build 9200)
  6. | Command : report_methodology -file GPIO_demo_methodology_drc_routed.rpt -rpx GPIO_demo_methodology_drc_routed.rpx
  7. | Design : GPIO_demo
  8. | Device : xc7a35tcpg236-1
  9. | Speed File : -1
  10. | Design State : Routed
  11. -------------------------------------------------------------------------------------------------------------------------
  12. Report Methodology
  13. Table of Contents
  14. -----------------
  15. 1. REPORT SUMMARY
  16. 2. REPORT DETAILS
  17. 1. REPORT SUMMARY
  18. -----------------
  19. Netlist: netlist
  20. Floorplan: design_1
  21. Design limits: <entire design considered>
  22. Max violations: <unlimited>
  23. Violations found: 34
  24. +-----------+----------+-------------------------------+------------+
  25. | Rule | Severity | Description | Violations |
  26. +-----------+----------+-------------------------------+------------+
  27. | TIMING-18 | Warning | Missing input or output delay | 34 |
  28. +-----------+----------+-------------------------------+------------+
  29. 2. REPORT DETAILS
  30. -----------------
  31. TIMING-18#1 Warning
  32. Missing input or output delay
  33. An input delay is missing on BTN[0] relative to clock(s) sys_clk_pin
  34. Related violations: <none>
  35. TIMING-18#2 Warning
  36. Missing input or output delay
  37. An input delay is missing on BTN[1] relative to clock(s) sys_clk_pin
  38. Related violations: <none>
  39. TIMING-18#3 Warning
  40. Missing input or output delay
  41. An input delay is missing on BTN[2] relative to clock(s) sys_clk_pin
  42. Related violations: <none>
  43. TIMING-18#4 Warning
  44. Missing input or output delay
  45. An input delay is missing on BTN[3] relative to clock(s) sys_clk_pin
  46. Related violations: <none>
  47. TIMING-18#5 Warning
  48. Missing input or output delay
  49. An input delay is missing on BTN[4] relative to clock(s) sys_clk_pin
  50. Related violations: <none>
  51. TIMING-18#6 Warning
  52. Missing input or output delay
  53. An input delay is missing on PS2_CLK relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0
  54. Related violations: <none>
  55. TIMING-18#7 Warning
  56. Missing input or output delay
  57. An input delay is missing on PS2_DATA relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0
  58. Related violations: <none>
  59. TIMING-18#8 Warning
  60. Missing input or output delay
  61. An output delay is missing on SSEG_AN[0] relative to clock(s) sys_clk_pin
  62. Related violations: <none>
  63. TIMING-18#9 Warning
  64. Missing input or output delay
  65. An output delay is missing on SSEG_AN[1] relative to clock(s) sys_clk_pin
  66. Related violations: <none>
  67. TIMING-18#10 Warning
  68. Missing input or output delay
  69. An output delay is missing on SSEG_AN[2] relative to clock(s) sys_clk_pin
  70. Related violations: <none>
  71. TIMING-18#11 Warning
  72. Missing input or output delay
  73. An output delay is missing on SSEG_AN[3] relative to clock(s) sys_clk_pin
  74. Related violations: <none>
  75. TIMING-18#12 Warning
  76. Missing input or output delay
  77. An output delay is missing on SSEG_CA[0] relative to clock(s) sys_clk_pin
  78. Related violations: <none>
  79. TIMING-18#13 Warning
  80. Missing input or output delay
  81. An output delay is missing on SSEG_CA[1] relative to clock(s) sys_clk_pin
  82. Related violations: <none>
  83. TIMING-18#14 Warning
  84. Missing input or output delay
  85. An output delay is missing on SSEG_CA[2] relative to clock(s) sys_clk_pin
  86. Related violations: <none>
  87. TIMING-18#15 Warning
  88. Missing input or output delay
  89. An output delay is missing on SSEG_CA[3] relative to clock(s) sys_clk_pin
  90. Related violations: <none>
  91. TIMING-18#16 Warning
  92. Missing input or output delay
  93. An output delay is missing on SSEG_CA[4] relative to clock(s) sys_clk_pin
  94. Related violations: <none>
  95. TIMING-18#17 Warning
  96. Missing input or output delay
  97. An output delay is missing on SSEG_CA[5] relative to clock(s) sys_clk_pin
  98. Related violations: <none>
  99. TIMING-18#18 Warning
  100. Missing input or output delay
  101. An output delay is missing on SSEG_CA[6] relative to clock(s) sys_clk_pin
  102. Related violations: <none>
  103. TIMING-18#19 Warning
  104. Missing input or output delay
  105. An output delay is missing on SSEG_CA[7] relative to clock(s) sys_clk_pin
  106. Related violations: <none>
  107. TIMING-18#20 Warning
  108. Missing input or output delay
  109. An output delay is missing on UART_TXD relative to clock(s) sys_clk_pin
  110. Related violations: <none>
  111. TIMING-18#21 Warning
  112. Missing input or output delay
  113. An output delay is missing on VGA_BLUE[0] relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0
  114. Related violations: <none>
  115. TIMING-18#22 Warning
  116. Missing input or output delay
  117. An output delay is missing on VGA_BLUE[1] relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0
  118. Related violations: <none>
  119. TIMING-18#23 Warning
  120. Missing input or output delay
  121. An output delay is missing on VGA_BLUE[2] relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0
  122. Related violations: <none>
  123. TIMING-18#24 Warning
  124. Missing input or output delay
  125. An output delay is missing on VGA_BLUE[3] relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0
  126. Related violations: <none>
  127. TIMING-18#25 Warning
  128. Missing input or output delay
  129. An output delay is missing on VGA_GREEN[0] relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0
  130. Related violations: <none>
  131. TIMING-18#26 Warning
  132. Missing input or output delay
  133. An output delay is missing on VGA_GREEN[1] relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0
  134. Related violations: <none>
  135. TIMING-18#27 Warning
  136. Missing input or output delay
  137. An output delay is missing on VGA_GREEN[2] relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0
  138. Related violations: <none>
  139. TIMING-18#28 Warning
  140. Missing input or output delay
  141. An output delay is missing on VGA_GREEN[3] relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0
  142. Related violations: <none>
  143. TIMING-18#29 Warning
  144. Missing input or output delay
  145. An output delay is missing on VGA_HS relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0
  146. Related violations: <none>
  147. TIMING-18#30 Warning
  148. Missing input or output delay
  149. An output delay is missing on VGA_RED[0] relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0
  150. Related violations: <none>
  151. TIMING-18#31 Warning
  152. Missing input or output delay
  153. An output delay is missing on VGA_RED[1] relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0
  154. Related violations: <none>
  155. TIMING-18#32 Warning
  156. Missing input or output delay
  157. An output delay is missing on VGA_RED[2] relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0
  158. Related violations: <none>
  159. TIMING-18#33 Warning
  160. Missing input or output delay
  161. An output delay is missing on VGA_RED[3] relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0
  162. Related violations: <none>
  163. TIMING-18#34 Warning
  164. Missing input or output delay
  165. An output delay is missing on VGA_VS relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0
  166. Related violations: <none>