No Description
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

webtalk_pa.xml 2.7KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748
  1. <?xml version="1.0" encoding="UTF-8" ?>
  2. <document>
  3. <!--The data in this file is primarily intended for consumption by Xilinx tools.
  4. The structure and the elements are likely to change over the next few releases.
  5. This means code written to parse this file will need to be revisited each subsequent release.-->
  6. <application name="pa" timeStamp="Fri Apr 09 23:28:53 2021">
  7. <section name="Project Information" visible="false">
  8. <property name="ProjectID" value="f5d1f37f0c514482aeb99b8a58e27639" type="ProjectID"/>
  9. <property name="ProjectIteration" value="3" type="ProjectIteration"/>
  10. </section>
  11. <section name="PlanAhead Usage" visible="true">
  12. <item name="Project Data">
  13. <property name="SrcSetCount" value="1" type="SrcSetCount"/>
  14. <property name="ConstraintSetCount" value="1" type="ConstraintSetCount"/>
  15. <property name="DesignMode" value="RTL" type="DesignMode"/>
  16. <property name="SynthesisStrategy" value="Vivado Synthesis Defaults" type="SynthesisStrategy"/>
  17. <property name="ImplStrategy" value="Vivado Implementation Defaults" type="ImplStrategy"/>
  18. </item>
  19. <item name="Java Command Handlers">
  20. <property name="AutoConnectTarget" value="1" type="JavaHandler"/>
  21. <property name="LaunchProgramFpga" value="1" type="JavaHandler"/>
  22. <property name="OpenHardwareManager" value="1" type="JavaHandler"/>
  23. <property name="RunBitgen" value="1" type="JavaHandler"/>
  24. <property name="RunImplementation" value="1" type="JavaHandler"/>
  25. <property name="RunSynthesis" value="1" type="JavaHandler"/>
  26. <property name="ViewTaskProjectManager" value="1" type="JavaHandler"/>
  27. </item>
  28. <item name="Gui Resources Info">
  29. <property name="BaseDialog_OK" value="6" type="GuiResourceData"/>
  30. <property name="CommandsInput_TYPE_TCL_COMMAND_HERE" value="5" type="GuiResourceData"/>
  31. <property name="FileSetPanel_FILE_SET_PANEL_TREE" value="13" type="GuiResourceData"/>
  32. <property name="FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE" value="2" type="GuiResourceData"/>
  33. <property name="PACommandNames_AUTO_CONNECT_TARGET" value="1" type="GuiResourceData"/>
  34. <property name="PACommandNames_OPEN_HARDWARE_MANAGER" value="1" type="GuiResourceData"/>
  35. <property name="PACommandNames_RUN_BITGEN" value="1" type="GuiResourceData"/>
  36. <property name="PAViews_PROJECT_SUMMARY" value="1" type="GuiResourceData"/>
  37. <property name="ProgramDebugTab_PROGRAM_DEVICE" value="1" type="GuiResourceData"/>
  38. <property name="ProgramFpgaDialog_PROGRAM" value="1" type="GuiResourceData"/>
  39. <property name="TclConsoleView_TCL_CONSOLE_CODE_EDITOR" value="1" type="GuiResourceData"/>
  40. </item>
  41. <item name="Other">
  42. <property name="GuiMode" value="4" type="GuiMode"/>
  43. <property name="BatchMode" value="0" type="BatchMode"/>
  44. <property name="TclMode" value="3" type="TclMode"/>
  45. </item>
  46. </section>
  47. </application>
  48. </document>