No Description
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

TestSystem_behav.wcfg 38KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581
  1. <?xml version="1.0" encoding="UTF-8"?>
  2. <wave_config>
  3. <wave_state>
  4. </wave_state>
  5. <db_ref_list>
  6. <db_ref path="TestSystem_behav.wdb" id="1">
  7. <top_modules>
  8. <top_module name="TestSystem" />
  9. <top_module name="font" />
  10. <top_module name="screenproperties" />
  11. </top_modules>
  12. </db_ref>
  13. </db_ref_list>
  14. <zoom_setting>
  15. <ZoomStartTime time="2944585505728fs"></ZoomStartTime>
  16. <ZoomEndTime time="3965757255329fs"></ZoomEndTime>
  17. <Cursor1Time time="7176632820000fs"></Cursor1Time>
  18. </zoom_setting>
  19. <column_width_setting>
  20. <NameColumnWidth column_width="251"></NameColumnWidth>
  21. <ValueColumnWidth column_width="185"></ValueColumnWidth>
  22. </column_width_setting>
  23. <WVObjectSize size="7" />
  24. <wvobject type="logic" fp_name="/TestSystem/CLK">
  25. <obj_property name="ElementShortName">CLK</obj_property>
  26. <obj_property name="ObjectShortName">CLK</obj_property>
  27. </wvobject>
  28. <wvobject type="group" fp_name="group135">
  29. <obj_property name="label">Pipeline</obj_property>
  30. <obj_property name="DisplayName">label</obj_property>
  31. <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/CLK">
  32. <obj_property name="ElementShortName">CLK</obj_property>
  33. <obj_property name="ObjectShortName">CLK</obj_property>
  34. </wvobject>
  35. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/STD_IN">
  36. <obj_property name="ElementShortName">STD_IN[15:0]</obj_property>
  37. <obj_property name="ObjectShortName">STD_IN[15:0]</obj_property>
  38. </wvobject>
  39. <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/STD_IN_Av">
  40. <obj_property name="ElementShortName">STD_IN_Av</obj_property>
  41. <obj_property name="ObjectShortName">STD_IN_Av</obj_property>
  42. </wvobject>
  43. <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/STD_IN_Request">
  44. <obj_property name="ElementShortName">STD_IN_Request</obj_property>
  45. <obj_property name="ObjectShortName">STD_IN_Request</obj_property>
  46. </wvobject>
  47. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/STD_OUT">
  48. <obj_property name="ElementShortName">STD_OUT[15:0]</obj_property>
  49. <obj_property name="ObjectShortName">STD_OUT[15:0]</obj_property>
  50. </wvobject>
  51. <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/STD_OUT_Av">
  52. <obj_property name="ElementShortName">STD_OUT_Av</obj_property>
  53. <obj_property name="ObjectShortName">STD_OUT_Av</obj_property>
  54. </wvobject>
  55. <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/STD_OUT_Int">
  56. <obj_property name="ElementShortName">STD_OUT_Int</obj_property>
  57. <obj_property name="ObjectShortName">STD_OUT_Int</obj_property>
  58. </wvobject>
  59. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/Instruction_from_1">
  60. <obj_property name="ElementShortName">Instruction_from_1[4:0]</obj_property>
  61. <obj_property name="ObjectShortName">Instruction_from_1[4:0]</obj_property>
  62. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  63. </wvobject>
  64. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/A_from_1">
  65. <obj_property name="ElementShortName">A_from_1[15:0]</obj_property>
  66. <obj_property name="ObjectShortName">A_from_1[15:0]</obj_property>
  67. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  68. </wvobject>
  69. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/B_from_1">
  70. <obj_property name="ElementShortName">B_from_1[15:0]</obj_property>
  71. <obj_property name="ObjectShortName">B_from_1[15:0]</obj_property>
  72. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  73. </wvobject>
  74. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/C_from_1">
  75. <obj_property name="ElementShortName">C_from_1[15:0]</obj_property>
  76. <obj_property name="ObjectShortName">C_from_1[15:0]</obj_property>
  77. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  78. </wvobject>
  79. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/Instruction_from_2">
  80. <obj_property name="ElementShortName">Instruction_from_2[4:0]</obj_property>
  81. <obj_property name="ObjectShortName">Instruction_from_2[4:0]</obj_property>
  82. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  83. </wvobject>
  84. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/A_from_2">
  85. <obj_property name="ElementShortName">A_from_2[15:0]</obj_property>
  86. <obj_property name="ObjectShortName">A_from_2[15:0]</obj_property>
  87. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  88. </wvobject>
  89. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/B_from_2">
  90. <obj_property name="ElementShortName">B_from_2[15:0]</obj_property>
  91. <obj_property name="ObjectShortName">B_from_2[15:0]</obj_property>
  92. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  93. </wvobject>
  94. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/C_from_2">
  95. <obj_property name="ElementShortName">C_from_2[15:0]</obj_property>
  96. <obj_property name="ObjectShortName">C_from_2[15:0]</obj_property>
  97. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  98. </wvobject>
  99. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/Instruction_from_3">
  100. <obj_property name="ElementShortName">Instruction_from_3[4:0]</obj_property>
  101. <obj_property name="ObjectShortName">Instruction_from_3[4:0]</obj_property>
  102. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  103. </wvobject>
  104. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/A_from_3">
  105. <obj_property name="ElementShortName">A_from_3[15:0]</obj_property>
  106. <obj_property name="ObjectShortName">A_from_3[15:0]</obj_property>
  107. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  108. </wvobject>
  109. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/B_from_3">
  110. <obj_property name="ElementShortName">B_from_3[15:0]</obj_property>
  111. <obj_property name="ObjectShortName">B_from_3[15:0]</obj_property>
  112. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  113. </wvobject>
  114. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/Instruction_from_4">
  115. <obj_property name="ElementShortName">Instruction_from_4[4:0]</obj_property>
  116. <obj_property name="ObjectShortName">Instruction_from_4[4:0]</obj_property>
  117. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  118. </wvobject>
  119. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/A_from_4">
  120. <obj_property name="ElementShortName">A_from_4[15:0]</obj_property>
  121. <obj_property name="ObjectShortName">A_from_4[15:0]</obj_property>
  122. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  123. </wvobject>
  124. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/B_from_4">
  125. <obj_property name="ElementShortName">B_from_4[15:0]</obj_property>
  126. <obj_property name="ObjectShortName">B_from_4[15:0]</obj_property>
  127. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  128. </wvobject>
  129. </wvobject>
  130. <wvobject type="group" fp_name="group133">
  131. <obj_property name="label">Gestion Instructions</obj_property>
  132. <obj_property name="DisplayName">label</obj_property>
  133. <obj_property name="isExpanded"></obj_property>
  134. <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/CLK">
  135. <obj_property name="ElementShortName">CLK</obj_property>
  136. <obj_property name="ObjectShortName">CLK</obj_property>
  137. </wvobject>
  138. <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/Z">
  139. <obj_property name="ElementShortName">Z</obj_property>
  140. <obj_property name="ObjectShortName">Z</obj_property>
  141. </wvobject>
  142. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/A">
  143. <obj_property name="ElementShortName">A[15:0]</obj_property>
  144. <obj_property name="ObjectShortName">A[15:0]</obj_property>
  145. </wvobject>
  146. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/B">
  147. <obj_property name="ElementShortName">B[15:0]</obj_property>
  148. <obj_property name="ObjectShortName">B[15:0]</obj_property>
  149. </wvobject>
  150. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/C">
  151. <obj_property name="ElementShortName">C[15:0]</obj_property>
  152. <obj_property name="ObjectShortName">C[15:0]</obj_property>
  153. </wvobject>
  154. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/Instruction">
  155. <obj_property name="ElementShortName">Instruction[4:0]</obj_property>
  156. <obj_property name="ObjectShortName">Instruction[4:0]</obj_property>
  157. </wvobject>
  158. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/Pointeur_instruction">
  159. <obj_property name="ElementShortName">Pointeur_instruction[8:0]</obj_property>
  160. <obj_property name="ObjectShortName">Pointeur_instruction[8:0]</obj_property>
  161. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  162. </wvobject>
  163. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/Tableau">
  164. <obj_property name="ElementShortName">Tableau[1:3]</obj_property>
  165. <obj_property name="ObjectShortName">Tableau[1:3]</obj_property>
  166. </wvobject>
  167. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/Adresse_Retour">
  168. <obj_property name="ElementShortName">Adresse_Retour[8:0]</obj_property>
  169. <obj_property name="ObjectShortName">Adresse_Retour[8:0]</obj_property>
  170. </wvobject>
  171. <wvobject type="other" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/Compteur_PRI">
  172. <obj_property name="ElementShortName">Compteur_PRI</obj_property>
  173. <obj_property name="ObjectShortName">Compteur_PRI</obj_property>
  174. </wvobject>
  175. <wvobject type="other" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/locked">
  176. <obj_property name="ElementShortName">locked</obj_property>
  177. <obj_property name="ObjectShortName">locked</obj_property>
  178. </wvobject>
  179. <wvobject type="other" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/compteur">
  180. <obj_property name="ElementShortName">compteur</obj_property>
  181. <obj_property name="ObjectShortName">compteur</obj_property>
  182. </wvobject>
  183. <wvobject type="other" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/bulles">
  184. <obj_property name="ElementShortName">bulles</obj_property>
  185. <obj_property name="ObjectShortName">bulles</obj_property>
  186. </wvobject>
  187. </wvobject>
  188. <wvobject type="group" fp_name="group132">
  189. <obj_property name="label">Registres</obj_property>
  190. <obj_property name="DisplayName">label</obj_property>
  191. <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/CLK">
  192. <obj_property name="ElementShortName">CLK</obj_property>
  193. <obj_property name="ObjectShortName">CLK</obj_property>
  194. </wvobject>
  195. <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/W">
  196. <obj_property name="ElementShortName">W</obj_property>
  197. <obj_property name="ObjectShortName">W</obj_property>
  198. </wvobject>
  199. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/AddrA">
  200. <obj_property name="ElementShortName">AddrA[3:0]</obj_property>
  201. <obj_property name="ObjectShortName">AddrA[3:0]</obj_property>
  202. </wvobject>
  203. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/AddrB">
  204. <obj_property name="ElementShortName">AddrB[3:0]</obj_property>
  205. <obj_property name="ObjectShortName">AddrB[3:0]</obj_property>
  206. </wvobject>
  207. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/AddrC">
  208. <obj_property name="ElementShortName">AddrC[3:0]</obj_property>
  209. <obj_property name="ObjectShortName">AddrC[3:0]</obj_property>
  210. </wvobject>
  211. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/AddrW">
  212. <obj_property name="ElementShortName">AddrW[3:0]</obj_property>
  213. <obj_property name="ObjectShortName">AddrW[3:0]</obj_property>
  214. </wvobject>
  215. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/DATA">
  216. <obj_property name="ElementShortName">DATA[15:0]</obj_property>
  217. <obj_property name="ObjectShortName">DATA[15:0]</obj_property>
  218. </wvobject>
  219. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/QA">
  220. <obj_property name="ElementShortName">QA[15:0]</obj_property>
  221. <obj_property name="ObjectShortName">QA[15:0]</obj_property>
  222. </wvobject>
  223. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/QB">
  224. <obj_property name="ElementShortName">QB[15:0]</obj_property>
  225. <obj_property name="ObjectShortName">QB[15:0]</obj_property>
  226. </wvobject>
  227. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/QC">
  228. <obj_property name="ElementShortName">QC[15:0]</obj_property>
  229. <obj_property name="ObjectShortName">QC[15:0]</obj_property>
  230. </wvobject>
  231. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/REGISTRES">
  232. <obj_property name="ElementShortName">REGISTRES[255:0]</obj_property>
  233. <obj_property name="ObjectShortName">REGISTRES[255:0]</obj_property>
  234. </wvobject>
  235. </wvobject>
  236. <wvobject type="group" fp_name="group134">
  237. <obj_property name="label">Memoire</obj_property>
  238. <obj_property name="DisplayName">label</obj_property>
  239. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/EBP">
  240. <obj_property name="ElementShortName">EBP[4:0]</obj_property>
  241. <obj_property name="ObjectShortName">EBP[4:0]</obj_property>
  242. </wvobject>
  243. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/New_EBP">
  244. <obj_property name="ElementShortName">New_EBP[4:0]</obj_property>
  245. <obj_property name="ObjectShortName">New_EBP[4:0]</obj_property>
  246. </wvobject>
  247. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/Addr_MemoireDonnees">
  248. <obj_property name="ElementShortName">Addr_MemoireDonnees[4:0]</obj_property>
  249. <obj_property name="ObjectShortName">Addr_MemoireDonnees[4:0]</obj_property>
  250. </wvobject>
  251. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/IN_Addr_MemoireDonnees">
  252. <obj_property name="ElementShortName">IN_Addr_MemoireDonnees[4:0]</obj_property>
  253. <obj_property name="ObjectShortName">IN_Addr_MemoireDonnees[4:0]</obj_property>
  254. </wvobject>
  255. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/Addr_MemoireDonnees_EBP">
  256. <obj_property name="ElementShortName">Addr_MemoireDonnees_EBP[4:0]</obj_property>
  257. <obj_property name="ObjectShortName">Addr_MemoireDonnees_EBP[4:0]</obj_property>
  258. </wvobject>
  259. <wvobject type="group" fp_name="group197">
  260. <obj_property name="label">MemoireDonnees</obj_property>
  261. <obj_property name="DisplayName">label</obj_property>
  262. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/MEMORY">
  263. <obj_property name="ElementShortName">MEMORY[511:0]</obj_property>
  264. <obj_property name="ObjectShortName">MEMORY[511:0]</obj_property>
  265. </wvobject>
  266. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/D_OUT">
  267. <obj_property name="ElementShortName">D_OUT[15:0]</obj_property>
  268. <obj_property name="ObjectShortName">D_OUT[15:0]</obj_property>
  269. </wvobject>
  270. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/Addr">
  271. <obj_property name="ElementShortName">Addr[4:0]</obj_property>
  272. <obj_property name="ObjectShortName">Addr[4:0]</obj_property>
  273. </wvobject>
  274. <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/RW">
  275. <obj_property name="ElementShortName">RW</obj_property>
  276. <obj_property name="ObjectShortName">RW</obj_property>
  277. </wvobject>
  278. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/D_IN">
  279. <obj_property name="ElementShortName">D_IN[15:0]</obj_property>
  280. <obj_property name="ObjectShortName">D_IN[15:0]</obj_property>
  281. </wvobject>
  282. <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/CALL">
  283. <obj_property name="ElementShortName">CALL</obj_property>
  284. <obj_property name="ObjectShortName">CALL</obj_property>
  285. </wvobject>
  286. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/IN_EBP">
  287. <obj_property name="ElementShortName">IN_EBP[15:0]</obj_property>
  288. <obj_property name="ObjectShortName">IN_EBP[15:0]</obj_property>
  289. </wvobject>
  290. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/IN_AddrRet">
  291. <obj_property name="ElementShortName">IN_AddrRet[15:0]</obj_property>
  292. <obj_property name="ObjectShortName">IN_AddrRet[15:0]</obj_property>
  293. </wvobject>
  294. <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/RET">
  295. <obj_property name="ElementShortName">RET</obj_property>
  296. <obj_property name="ObjectShortName">RET</obj_property>
  297. </wvobject>
  298. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/OUT_EBP">
  299. <obj_property name="ElementShortName">OUT_EBP[15:0]</obj_property>
  300. <obj_property name="ObjectShortName">OUT_EBP[15:0]</obj_property>
  301. </wvobject>
  302. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/OUT_AddrRet">
  303. <obj_property name="ElementShortName">OUT_AddrRet[15:0]</obj_property>
  304. <obj_property name="ObjectShortName">OUT_AddrRet[15:0]</obj_property>
  305. </wvobject>
  306. </wvobject>
  307. <wvobject type="group" fp_name="group198">
  308. <obj_property name="label">MemoireAdressesRetour</obj_property>
  309. <obj_property name="DisplayName">label</obj_property>
  310. <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/R">
  311. <obj_property name="ElementShortName">R</obj_property>
  312. <obj_property name="ObjectShortName">R</obj_property>
  313. </wvobject>
  314. <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/W">
  315. <obj_property name="ElementShortName">W</obj_property>
  316. <obj_property name="ObjectShortName">W</obj_property>
  317. </wvobject>
  318. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/D_IN">
  319. <obj_property name="ElementShortName">D_IN[4:0]</obj_property>
  320. <obj_property name="ObjectShortName">D_IN[4:0]</obj_property>
  321. </wvobject>
  322. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/D_OUT">
  323. <obj_property name="ElementShortName">D_OUT[4:0]</obj_property>
  324. <obj_property name="ObjectShortName">D_OUT[4:0]</obj_property>
  325. </wvobject>
  326. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/MEMORY">
  327. <obj_property name="ElementShortName">MEMORY[19:0]</obj_property>
  328. <obj_property name="ObjectShortName">MEMORY[19:0]</obj_property>
  329. </wvobject>
  330. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/Addr">
  331. <obj_property name="ElementShortName">Addr[2:0]</obj_property>
  332. <obj_property name="ObjectShortName">Addr[2:0]</obj_property>
  333. </wvobject>
  334. </wvobject>
  335. </wvobject>
  336. <wvobject type="group" fp_name="group136">
  337. <obj_property name="label">PeripheriqueEcran</obj_property>
  338. <obj_property name="DisplayName">label</obj_property>
  339. <wvobject type="group" fp_name="group216">
  340. <obj_property name="label">VGAControleur</obj_property>
  341. <obj_property name="DisplayName">label</obj_property>
  342. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instanceVGA/VGA_RED">
  343. <obj_property name="ElementShortName">VGA_RED[3:0]</obj_property>
  344. <obj_property name="ObjectShortName">VGA_RED[3:0]</obj_property>
  345. </wvobject>
  346. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instanceVGA/VGA_BLUE">
  347. <obj_property name="ElementShortName">VGA_BLUE[3:0]</obj_property>
  348. <obj_property name="ObjectShortName">VGA_BLUE[3:0]</obj_property>
  349. </wvobject>
  350. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instanceVGA/VGA_GREEN">
  351. <obj_property name="ElementShortName">VGA_GREEN[3:0]</obj_property>
  352. <obj_property name="ObjectShortName">VGA_GREEN[3:0]</obj_property>
  353. </wvobject>
  354. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instanceVGA/VGA_HS">
  355. <obj_property name="ElementShortName">VGA_HS</obj_property>
  356. <obj_property name="ObjectShortName">VGA_HS</obj_property>
  357. </wvobject>
  358. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instanceVGA/VGA_VS">
  359. <obj_property name="ElementShortName">VGA_VS</obj_property>
  360. <obj_property name="ObjectShortName">VGA_VS</obj_property>
  361. </wvobject>
  362. <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instanceVGA/X">
  363. <obj_property name="ElementShortName">X</obj_property>
  364. <obj_property name="ObjectShortName">X</obj_property>
  365. </wvobject>
  366. <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instanceVGA/Y">
  367. <obj_property name="ElementShortName">Y</obj_property>
  368. <obj_property name="ObjectShortName">Y</obj_property>
  369. </wvobject>
  370. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instanceVGA/PIXEL_ON">
  371. <obj_property name="ElementShortName">PIXEL_ON</obj_property>
  372. <obj_property name="ObjectShortName">PIXEL_ON</obj_property>
  373. </wvobject>
  374. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instanceVGA/CLK">
  375. <obj_property name="ElementShortName">CLK</obj_property>
  376. <obj_property name="ObjectShortName">CLK</obj_property>
  377. </wvobject>
  378. <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instanceVGA/active">
  379. <obj_property name="ElementShortName">active</obj_property>
  380. <obj_property name="ObjectShortName">active</obj_property>
  381. </wvobject>
  382. </wvobject>
  383. <wvobject type="group" fp_name="group217">
  384. <obj_property name="label">Ecran</obj_property>
  385. <obj_property name="DisplayName">label</obj_property>
  386. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/CLK">
  387. <obj_property name="ElementShortName">CLK</obj_property>
  388. <obj_property name="ObjectShortName">CLK</obj_property>
  389. </wvobject>
  390. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/Data_Av">
  391. <obj_property name="ElementShortName">Data_Av</obj_property>
  392. <obj_property name="ObjectShortName">Data_Av</obj_property>
  393. </wvobject>
  394. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/Data_IN">
  395. <obj_property name="ElementShortName">Data_IN[0:6]</obj_property>
  396. <obj_property name="ObjectShortName">Data_IN[0:6]</obj_property>
  397. </wvobject>
  398. <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/X">
  399. <obj_property name="ElementShortName">X</obj_property>
  400. <obj_property name="ObjectShortName">X</obj_property>
  401. </wvobject>
  402. <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/Y">
  403. <obj_property name="ElementShortName">Y</obj_property>
  404. <obj_property name="ObjectShortName">Y</obj_property>
  405. </wvobject>
  406. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/OUT_ON">
  407. <obj_property name="ElementShortName">OUT_ON</obj_property>
  408. <obj_property name="ObjectShortName">OUT_ON</obj_property>
  409. </wvobject>
  410. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/Ecran">
  411. <obj_property name="ElementShortName">Ecran[0:2239]</obj_property>
  412. <obj_property name="ObjectShortName">Ecran[0:2239]</obj_property>
  413. </wvobject>
  414. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/L">
  415. <obj_property name="ElementShortName">L[0:6]</obj_property>
  416. <obj_property name="ObjectShortName">L[0:6]</obj_property>
  417. </wvobject>
  418. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/C">
  419. <obj_property name="ElementShortName">C[0:6]</obj_property>
  420. <obj_property name="ObjectShortName">C[0:6]</obj_property>
  421. </wvobject>
  422. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/InitialL">
  423. <obj_property name="ElementShortName">InitialL[0:6]</obj_property>
  424. <obj_property name="ObjectShortName">InitialL[0:6]</obj_property>
  425. </wvobject>
  426. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/Full">
  427. <obj_property name="ElementShortName">Full</obj_property>
  428. <obj_property name="ObjectShortName">Full</obj_property>
  429. </wvobject>
  430. <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/point_dereferencement">
  431. <obj_property name="ElementShortName">point_dereferencement</obj_property>
  432. <obj_property name="ObjectShortName">point_dereferencement</obj_property>
  433. </wvobject>
  434. <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/point_dereferencement_ecriture">
  435. <obj_property name="ElementShortName">point_dereferencement_ecriture</obj_property>
  436. <obj_property name="ObjectShortName">point_dereferencement_ecriture</obj_property>
  437. </wvobject>
  438. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/CurrentCodeASCII">
  439. <obj_property name="ElementShortName">CurrentCodeASCII[0:6]</obj_property>
  440. <obj_property name="ObjectShortName">CurrentCodeASCII[0:6]</obj_property>
  441. </wvobject>
  442. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/CurrentFont">
  443. <obj_property name="ElementShortName">CurrentFont[0:63]</obj_property>
  444. <obj_property name="ObjectShortName">CurrentFont[0:63]</obj_property>
  445. </wvobject>
  446. </wvobject>
  447. <wvobject type="group" fp_name="group218">
  448. <obj_property name="label">ScreenDriver</obj_property>
  449. <obj_property name="DisplayName">label</obj_property>
  450. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/CLK">
  451. <obj_property name="ElementShortName">CLK</obj_property>
  452. <obj_property name="ObjectShortName">CLK</obj_property>
  453. </wvobject>
  454. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/Value">
  455. <obj_property name="ElementShortName">Value[15:0]</obj_property>
  456. <obj_property name="ObjectShortName">Value[15:0]</obj_property>
  457. </wvobject>
  458. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/ValueAv">
  459. <obj_property name="ElementShortName">ValueAv</obj_property>
  460. <obj_property name="ObjectShortName">ValueAv</obj_property>
  461. </wvobject>
  462. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/IsInt">
  463. <obj_property name="ElementShortName">IsInt</obj_property>
  464. <obj_property name="ObjectShortName">IsInt</obj_property>
  465. </wvobject>
  466. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/OutData">
  467. <obj_property name="ElementShortName">OutData[0:6]</obj_property>
  468. <obj_property name="ObjectShortName">OutData[0:6]</obj_property>
  469. </wvobject>
  470. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/OutDataAv">
  471. <obj_property name="ElementShortName">OutDataAv</obj_property>
  472. <obj_property name="ObjectShortName">OutDataAv</obj_property>
  473. </wvobject>
  474. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/intern_value">
  475. <obj_property name="ElementShortName">intern_value[15:0]</obj_property>
  476. <obj_property name="ObjectShortName">intern_value[15:0]</obj_property>
  477. </wvobject>
  478. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/current_hexa">
  479. <obj_property name="ElementShortName">current_hexa[3:0]</obj_property>
  480. <obj_property name="ObjectShortName">current_hexa[3:0]</obj_property>
  481. </wvobject>
  482. <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/compteur">
  483. <obj_property name="ElementShortName">compteur</obj_property>
  484. <obj_property name="ObjectShortName">compteur</obj_property>
  485. </wvobject>
  486. <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/work_in_progess">
  487. <obj_property name="ElementShortName">work_in_progess</obj_property>
  488. <obj_property name="ObjectShortName">work_in_progess</obj_property>
  489. </wvobject>
  490. <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/x_to_send">
  491. <obj_property name="ElementShortName">x_to_send</obj_property>
  492. <obj_property name="ObjectShortName">x_to_send</obj_property>
  493. </wvobject>
  494. <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/first_detected">
  495. <obj_property name="ElementShortName">first_detected</obj_property>
  496. <obj_property name="ObjectShortName">first_detected</obj_property>
  497. </wvobject>
  498. </wvobject>
  499. </wvobject>
  500. <wvobject type="group" fp_name="group159">
  501. <obj_property name="label">Peripherique Clavier</obj_property>
  502. <obj_property name="DisplayName">label</obj_property>
  503. <wvobject type="group" fp_name="group160">
  504. <obj_property name="label">Keyboard</obj_property>
  505. <obj_property name="DisplayName">label</obj_property>
  506. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_Keyboard/CLK">
  507. <obj_property name="ElementShortName">CLK</obj_property>
  508. <obj_property name="ObjectShortName">CLK</obj_property>
  509. </wvobject>
  510. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_Keyboard/PS2Clk">
  511. <obj_property name="ElementShortName">PS2Clk</obj_property>
  512. <obj_property name="ObjectShortName">PS2Clk</obj_property>
  513. </wvobject>
  514. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_Keyboard/PS2Data">
  515. <obj_property name="ElementShortName">PS2Data</obj_property>
  516. <obj_property name="ObjectShortName">PS2Data</obj_property>
  517. </wvobject>
  518. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_Keyboard/Data_read">
  519. <obj_property name="ElementShortName">Data_read</obj_property>
  520. <obj_property name="ObjectShortName">Data_read</obj_property>
  521. </wvobject>
  522. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_Keyboard/Data_av">
  523. <obj_property name="ElementShortName">Data_av</obj_property>
  524. <obj_property name="ObjectShortName">Data_av</obj_property>
  525. </wvobject>
  526. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_clavier/instance_Keyboard/Data">
  527. <obj_property name="ElementShortName">Data[0:6]</obj_property>
  528. <obj_property name="ObjectShortName">Data[0:6]</obj_property>
  529. </wvobject>
  530. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_Keyboard/alert">
  531. <obj_property name="ElementShortName">alert</obj_property>
  532. <obj_property name="ObjectShortName">alert</obj_property>
  533. </wvobject>
  534. </wvobject>
  535. <wvobject type="group" fp_name="group161">
  536. <obj_property name="label">KeyboardDriver</obj_property>
  537. <obj_property name="DisplayName">label</obj_property>
  538. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/CLK">
  539. <obj_property name="ElementShortName">CLK</obj_property>
  540. <obj_property name="ObjectShortName">CLK</obj_property>
  541. </wvobject>
  542. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/Data_read">
  543. <obj_property name="ElementShortName">Data_read</obj_property>
  544. <obj_property name="ObjectShortName">Data_read</obj_property>
  545. </wvobject>
  546. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/Data_av">
  547. <obj_property name="ElementShortName">Data_av</obj_property>
  548. <obj_property name="ObjectShortName">Data_av</obj_property>
  549. </wvobject>
  550. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/Data">
  551. <obj_property name="ElementShortName">Data[0:6]</obj_property>
  552. <obj_property name="ObjectShortName">Data[0:6]</obj_property>
  553. </wvobject>
  554. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/STD_IN">
  555. <obj_property name="ElementShortName">STD_IN[15:0]</obj_property>
  556. <obj_property name="ObjectShortName">STD_IN[15:0]</obj_property>
  557. </wvobject>
  558. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/STD_IN_Av">
  559. <obj_property name="ElementShortName">STD_IN_Av</obj_property>
  560. <obj_property name="ObjectShortName">STD_IN_Av</obj_property>
  561. </wvobject>
  562. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/STD_IN_Request">
  563. <obj_property name="ElementShortName">STD_IN_Request</obj_property>
  564. <obj_property name="ObjectShortName">STD_IN_Request</obj_property>
  565. </wvobject>
  566. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/STD_OUT">
  567. <obj_property name="ElementShortName">STD_OUT[15:0]</obj_property>
  568. <obj_property name="ObjectShortName">STD_OUT[15:0]</obj_property>
  569. <obj_property name="Radix">HEXRADIX</obj_property>
  570. </wvobject>
  571. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/STD_OUT_Av">
  572. <obj_property name="ElementShortName">STD_OUT_Av</obj_property>
  573. <obj_property name="ObjectShortName">STD_OUT_Av</obj_property>
  574. </wvobject>
  575. <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/intern_value">
  576. <obj_property name="ElementShortName">intern_value</obj_property>
  577. <obj_property name="ObjectShortName">intern_value</obj_property>
  578. </wvobject>
  579. </wvobject>
  580. </wvobject>
  581. </wave_config>