No Description
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

Basys-3-Master.xdc 12KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299
  1. ## This file is a general .xdc for the Basys3 rev B board
  2. ## To use it in a project:
  3. ## - uncomment the lines corresponding to used pins
  4. ## - rename the used ports (in each line, after get_ports) according to the top level signal names in the project
  5. ## Clock signal
  6. set_property PACKAGE_PIN W5 [get_ports CLK]
  7. set_property IOSTANDARD LVCMOS33 [get_ports CLK]
  8. create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports CLK]
  9. ## Switches
  10. #set_property PACKAGE_PIN V17 [get_ports {sw[0]}]
  11. # set_property IOSTANDARD LVCMOS33 [get_ports {sw[0]}]
  12. #set_property PACKAGE_PIN V16 [get_ports {sw[1]}]
  13. # set_property IOSTANDARD LVCMOS33 [get_ports {sw[1]}]
  14. #set_property PACKAGE_PIN W16 [get_ports {sw[2]}]
  15. # set_property IOSTANDARD LVCMOS33 [get_ports {sw[2]}]
  16. #set_property PACKAGE_PIN W17 [get_ports {sw[3]}]
  17. # set_property IOSTANDARD LVCMOS33 [get_ports {sw[3]}]
  18. #set_property PACKAGE_PIN W15 [get_ports {sw[4]}]
  19. # set_property IOSTANDARD LVCMOS33 [get_ports {sw[4]}]
  20. #set_property PACKAGE_PIN V15 [get_ports {sw[5]}]
  21. # set_property IOSTANDARD LVCMOS33 [get_ports {sw[5]}]
  22. #set_property PACKAGE_PIN W14 [get_ports {sw[6]}]
  23. # set_property IOSTANDARD LVCMOS33 [get_ports {sw[6]}]
  24. #set_property PACKAGE_PIN W13 [get_ports {sw[7]}]
  25. # set_property IOSTANDARD LVCMOS33 [get_ports {sw[7]}]
  26. #set_property PACKAGE_PIN V2 [get_ports {sw[8]}]
  27. # set_property IOSTANDARD LVCMOS33 [get_ports {sw[8]}]
  28. #set_property PACKAGE_PIN T3 [get_ports {sw[9]}]
  29. # set_property IOSTANDARD LVCMOS33 [get_ports {sw[9]}]
  30. #set_property PACKAGE_PIN T2 [get_ports {sw[10]}]
  31. # set_property IOSTANDARD LVCMOS33 [get_ports {sw[10]}]
  32. #set_property PACKAGE_PIN R3 [get_ports {sw[11]}]
  33. # set_property IOSTANDARD LVCMOS33 [get_ports {sw[11]}]
  34. #set_property PACKAGE_PIN W2 [get_ports {sw[12]}]
  35. # set_property IOSTANDARD LVCMOS33 [get_ports {sw[12]}]
  36. #set_property PACKAGE_PIN U1 [get_ports {sw[13]}]
  37. # set_property IOSTANDARD LVCMOS33 [get_ports {sw[13]}]
  38. #set_property PACKAGE_PIN T1 [get_ports {sw[14]}]
  39. # set_property IOSTANDARD LVCMOS33 [get_ports {sw[14]}]
  40. #set_property PACKAGE_PIN R2 [get_ports {sw[15]}]
  41. # set_property IOSTANDARD LVCMOS33 [get_ports {sw[15]}]
  42. ## LEDs
  43. #set_property PACKAGE_PIN U16 [get_ports {led[0]}]
  44. # set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]
  45. #set_property PACKAGE_PIN E19 [get_ports {led[1]}]
  46. # set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}]
  47. #set_property PACKAGE_PIN U19 [get_ports {led[2]}]
  48. # set_property IOSTANDARD LVCMOS33 [get_ports {led[2]}]
  49. #set_property PACKAGE_PIN V19 [get_ports {led[3]}]
  50. # set_property IOSTANDARD LVCMOS33 [get_ports {led[3]}]
  51. #set_property PACKAGE_PIN W18 [get_ports {led[4]}]
  52. # set_property IOSTANDARD LVCMOS33 [get_ports {led[4]}]
  53. #set_property PACKAGE_PIN U15 [get_ports {led[5]}]
  54. # set_property IOSTANDARD LVCMOS33 [get_ports {led[5]}]
  55. #set_property PACKAGE_PIN U14 [get_ports {led[6]}]
  56. # set_property IOSTANDARD LVCMOS33 [get_ports {led[6]}]
  57. #set_property PACKAGE_PIN V14 [get_ports {led[7]}]
  58. # set_property IOSTANDARD LVCMOS33 [get_ports {led[7]}]
  59. #set_property PACKAGE_PIN V13 [get_ports {led[8]}]
  60. # set_property IOSTANDARD LVCMOS33 [get_ports {led[8]}]
  61. #set_property PACKAGE_PIN V3 [get_ports {led[9]}]
  62. # set_property IOSTANDARD LVCMOS33 [get_ports {led[9]}]
  63. #set_property PACKAGE_PIN W3 [get_ports {led[10]}]
  64. # set_property IOSTANDARD LVCMOS33 [get_ports {led[10]}]
  65. #set_property PACKAGE_PIN U3 [get_ports {led[11]}]
  66. #set_property IOSTANDARD LVCMOS33 [get_ports {led[11]}]
  67. #set_property PACKAGE_PIN P3 [get_ports {flag[0]}]
  68. # set_property IOSTANDARD LVCMOS33 [get_ports {flag[0]}]
  69. #set_property PACKAGE_PIN N3 [get_ports {flag[1]}]
  70. # set_property IOSTANDARD LVCMOS33 [get_ports {flag[1]}]
  71. #set_property PACKAGE_PIN P1 [get_ports {flag[2]}]
  72. # set_property IOSTANDARD LVCMOS33 [get_ports {flag[2]}]
  73. #set_property PACKAGE_PIN L1 [get_ports {flag[3]}]
  74. # set_property IOSTANDARD LVCMOS33 [get_ports {flag[3]}]
  75. ##7 segment display
  76. #set_property PACKAGE_PIN W7 [get_ports {seg[0]}]
  77. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[0]}]
  78. #set_property PACKAGE_PIN W6 [get_ports {seg[1]}]
  79. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[1]}]
  80. #set_property PACKAGE_PIN U8 [get_ports {seg[2]}]
  81. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[2]}]
  82. #set_property PACKAGE_PIN V8 [get_ports {seg[3]}]
  83. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[3]}]
  84. #set_property PACKAGE_PIN U5 [get_ports {seg[4]}]
  85. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[4]}]
  86. #set_property PACKAGE_PIN V5 [get_ports {seg[5]}]
  87. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[5]}]
  88. #set_property PACKAGE_PIN U7 [get_ports {seg[6]}]
  89. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[6]}]
  90. #set_property PACKAGE_PIN V7 [get_ports dp]
  91. #set_property IOSTANDARD LVCMOS33 [get_ports dp]
  92. #set_property PACKAGE_PIN U2 [get_ports {an[0]}]
  93. #set_property IOSTANDARD LVCMOS33 [get_ports {an[0]}]
  94. #set_property PACKAGE_PIN U4 [get_ports {an[1]}]
  95. #set_property IOSTANDARD LVCMOS33 [get_ports {an[1]}]
  96. #set_property PACKAGE_PIN V4 [get_ports {an[2]}]
  97. #set_property IOSTANDARD LVCMOS33 [get_ports {an[2]}]
  98. #set_property PACKAGE_PIN W4 [get_ports {an[3]}]
  99. #set_property IOSTANDARD LVCMOS33 [get_ports {an[3]}]
  100. ##Buttons
  101. set_property PACKAGE_PIN U18 [get_ports btnC]
  102. set_property IOSTANDARD LVCMOS33 [get_ports btnC]
  103. ##set_property PACKAGE_PIN T18 [get_ports btnU]
  104. # #set_property IOSTANDARD LVCMOS33 [get_ports btnU]
  105. #set_property PACKAGE_PIN W19 [get_ports btnL]
  106. # set_property IOSTANDARD LVCMOS33 [get_ports btnL]
  107. #set_property PACKAGE_PIN T17 [get_ports btnR]
  108. # set_property IOSTANDARD LVCMOS33 [get_ports btnR]
  109. #set_property PACKAGE_PIN U17 [get_ports btnD]
  110. #set_property IOSTANDARD LVCMOS33 [get_ports btnD]
  111. ##Pmod Header JA
  112. ##Sch name = JA1
  113. #set_property PACKAGE_PIN J1 [get_ports {JA[0]}]
  114. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[0]}]
  115. ##Sch name = JA2
  116. #set_property PACKAGE_PIN L2 [get_ports {JA[1]}]
  117. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[1]}]
  118. ##Sch name = JA3
  119. #set_property PACKAGE_PIN J2 [get_ports {JA[2]}]
  120. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[2]}]
  121. ##Sch name = JA4
  122. #set_property PACKAGE_PIN G2 [get_ports {JA[3]}]
  123. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[3]}]
  124. ##Sch name = JA7
  125. #set_property PACKAGE_PIN H1 [get_ports {JA[4]}]
  126. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[4]}]
  127. ##Sch name = JA8
  128. #set_property PACKAGE_PIN K2 [get_ports {JA[5]}]
  129. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[5]}]
  130. ##Sch name = JA9
  131. #set_property PACKAGE_PIN H2 [get_ports {JA[6]}]
  132. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[6]}]
  133. ##Sch name = JA10
  134. #set_property PACKAGE_PIN G3 [get_ports {JA[7]}]
  135. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[7]}]
  136. ##Pmod Header JB
  137. ##Sch name = JB1
  138. #set_property PACKAGE_PIN A14 [get_ports {JB[0]}]
  139. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[0]}]
  140. ##Sch name = JB2
  141. #set_property PACKAGE_PIN A16 [get_ports {JB[1]}]
  142. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[1]}]
  143. ##Sch name = JB3
  144. #set_property PACKAGE_PIN B15 [get_ports {JB[2]}]
  145. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[2]}]
  146. ##Sch name = JB4
  147. #set_property PACKAGE_PIN B16 [get_ports {JB[3]}]
  148. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[3]}]
  149. ##Sch name = JB7
  150. #set_property PACKAGE_PIN A15 [get_ports {JB[4]}]
  151. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[4]}]
  152. ##Sch name = JB8
  153. #set_property PACKAGE_PIN A17 [get_ports {JB[5]}]
  154. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[5]}]
  155. ##Sch name = JB9
  156. #set_property PACKAGE_PIN C15 [get_ports {JB[6]}]
  157. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[6]}]
  158. ##Sch name = JB10
  159. #set_property PACKAGE_PIN C16 [get_ports {JB[7]}]
  160. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[7]}]
  161. ##Pmod Header JC
  162. ##Sch name = JC1
  163. #set_property PACKAGE_PIN K17 [get_ports {JC[0]}]
  164. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[0]}]
  165. ##Sch name = JC2
  166. #set_property PACKAGE_PIN M18 [get_ports {JC[1]}]
  167. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[1]}]
  168. ##Sch name = JC3
  169. #set_property PACKAGE_PIN N17 [get_ports {JC[2]}]
  170. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[2]}]
  171. ##Sch name = JC4
  172. #set_property PACKAGE_PIN P18 [get_ports {JC[3]}]
  173. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[3]}]
  174. ##Sch name = JC7
  175. #set_property PACKAGE_PIN L17 [get_ports {JC[4]}]
  176. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[4]}]
  177. ##Sch name = JC8
  178. #set_property PACKAGE_PIN M19 [get_ports {JC[5]}]
  179. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[5]}]
  180. ##Sch name = JC9
  181. #set_property PACKAGE_PIN P17 [get_ports {JC[6]}]
  182. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[6]}]
  183. ##Sch name = JC10
  184. #set_property PACKAGE_PIN R18 [get_ports {JC[7]}]
  185. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[7]}]
  186. ##Pmod Header JXADC
  187. ##Sch name = XA1_P
  188. #set_property PACKAGE_PIN J3 [get_ports {JXADC[0]}]
  189. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[0]}]
  190. ##Sch name = XA2_P
  191. #set_property PACKAGE_PIN L3 [get_ports {JXADC[1]}]
  192. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[1]}]
  193. ##Sch name = XA3_P
  194. #set_property PACKAGE_PIN M2 [get_ports {JXADC[2]}]
  195. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[2]}]
  196. ##Sch name = XA4_P
  197. #set_property PACKAGE_PIN N2 [get_ports {JXADC[3]}]
  198. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[3]}]
  199. ##Sch name = XA1_N
  200. #set_property PACKAGE_PIN K3 [get_ports {JXADC[4]}]
  201. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[4]}]
  202. ##Sch name = XA2_N
  203. #set_property PACKAGE_PIN M3 [get_ports {JXADC[5]}]
  204. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[5]}]
  205. ##Sch name = XA3_N
  206. #set_property PACKAGE_PIN M1 [get_ports {JXADC[6]}]
  207. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[6]}]
  208. ##Sch name = XA4_N
  209. #set_property PACKAGE_PIN N1 [get_ports {JXADC[7]}]
  210. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[7]}]
  211. ##VGA Connector
  212. set_property PACKAGE_PIN G19 [get_ports {vgaRed[0]}]
  213. set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[0]}]
  214. set_property PACKAGE_PIN H19 [get_ports {vgaRed[1]}]
  215. set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[1]}]
  216. set_property PACKAGE_PIN J19 [get_ports {vgaRed[2]}]
  217. set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[2]}]
  218. set_property PACKAGE_PIN N19 [get_ports {vgaRed[3]}]
  219. set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[3]}]
  220. set_property PACKAGE_PIN N18 [get_ports {vgaBlue[0]}]
  221. set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[0]}]
  222. set_property PACKAGE_PIN L18 [get_ports {vgaBlue[1]}]
  223. set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[1]}]
  224. set_property PACKAGE_PIN K18 [get_ports {vgaBlue[2]}]
  225. set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[2]}]
  226. set_property PACKAGE_PIN J18 [get_ports {vgaBlue[3]}]
  227. set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[3]}]
  228. set_property PACKAGE_PIN J17 [get_ports {vgaGreen[0]}]
  229. set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[0]}]
  230. set_property PACKAGE_PIN H17 [get_ports {vgaGreen[1]}]
  231. set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[1]}]
  232. set_property PACKAGE_PIN G17 [get_ports {vgaGreen[2]}]
  233. set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[2]}]
  234. set_property PACKAGE_PIN D17 [get_ports {vgaGreen[3]}]
  235. set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[3]}]
  236. set_property PACKAGE_PIN P19 [get_ports Hsync]
  237. set_property IOSTANDARD LVCMOS33 [get_ports Hsync]
  238. set_property PACKAGE_PIN R19 [get_ports Vsync]
  239. set_property IOSTANDARD LVCMOS33 [get_ports Vsync]
  240. ##USB-RS232 Interface
  241. #set_property PACKAGE_PIN B18 [get_ports RsRx]
  242. #set_property IOSTANDARD LVCMOS33 [get_ports RsRx]
  243. #set_property PACKAGE_PIN A18 [get_ports RsTx]
  244. #set_property IOSTANDARD LVCMOS33 [get_ports RsTx]
  245. ##USB HID (PS/2)
  246. set_property PACKAGE_PIN C17 [get_ports PS2Clk]
  247. set_property IOSTANDARD LVCMOS33 [get_ports PS2Clk]
  248. set_property PULLUP true [get_ports PS2Clk]
  249. set_property PACKAGE_PIN B17 [get_ports PS2Data]
  250. set_property IOSTANDARD LVCMOS33 [get_ports PS2Data]
  251. set_property PULLUP true [get_ports PS2Data]
  252. ##Quad SPI Flash
  253. ##Note that CCLK_0 cannot be placed in 7 series devices. You can access it using the
  254. ##STARTUPE2 primitive.
  255. #set_property PACKAGE_PIN D18 [get_ports {QspiDB[0]}]
  256. #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[0]}]
  257. #set_property PACKAGE_PIN D19 [get_ports {QspiDB[1]}]
  258. #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[1]}]
  259. #set_property PACKAGE_PIN G18 [get_ports {QspiDB[2]}]
  260. #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[2]}]
  261. #set_property PACKAGE_PIN F18 [get_ports {QspiDB[3]}]
  262. #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[3]}]
  263. #set_property PACKAGE_PIN K19 [get_ports QspiCSn]
  264. #set_property IOSTANDARD LVCMOS33 [get_ports QspiCSn]
  265. ## Configuration options, can be used for all designs
  266. set_property CONFIG_VOLTAGE 3.3 [current_design]
  267. set_property CFGBVS VCCO [current_design]