No Description
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

Compteur8BitsBasys3.xpr 7.3KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166
  1. <?xml version="1.0" encoding="UTF-8"?>
  2. <!-- Product Version: Vivado v2016.4 (64-bit) -->
  3. <!-- -->
  4. <!-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -->
  5. <Project Version="7" Minor="17" Path="C:/Users/Hp/Documents/Compteur8BitsBasys3/Compteur8BitsBasys3.xpr">
  6. <DefaultLaunch Dir="$PRUNDIR"/>
  7. <Configuration>
  8. <Option Name="Id" Val="b3843060a8224f8699d89033689dec00"/>
  9. <Option Name="Part" Val="xc7a35tcpg236-1"/>
  10. <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>
  11. <Option Name="CompiledLibDirXSim" Val=""/>
  12. <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/>
  13. <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/>
  14. <Option Name="CompiledLibDirIES" Val="$PCACHEDIR/compile_simlib/ies"/>
  15. <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/>
  16. <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/>
  17. <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/>
  18. <Option Name="TargetLanguage" Val="VHDL"/>
  19. <Option Name="SimulatorLanguage" Val="VHDL"/>
  20. <Option Name="BoardPart" Val="digilentinc.com:basys3:part0:1.1"/>
  21. <Option Name="ActiveSimSet" Val="sim_1"/>
  22. <Option Name="DefaultLib" Val="xil_defaultlib"/>
  23. <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/>
  24. <Option Name="IPCachePermission" Val="read"/>
  25. <Option Name="IPCachePermission" Val="write"/>
  26. <Option Name="EnableCoreContainer" Val="FALSE"/>
  27. <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/>
  28. <Option Name="IPUserFilesDir" Val="$PPRDIR/Compteur8BitsBasys3.ip_user_files"/>
  29. <Option Name="IPStaticSourceDir" Val="$PPRDIR/Compteur8BitsBasys3.ip_user_files/ipstatic"/>
  30. <Option Name="EnableBDX" Val="FALSE"/>
  31. <Option Name="DSABoardId" Val="basys3"/>
  32. <Option Name="DSANumComputeUnits" Val="16"/>
  33. <Option Name="WTXSimLaunchSim" Val="0"/>
  34. <Option Name="WTModelSimLaunchSim" Val="0"/>
  35. <Option Name="WTQuestaLaunchSim" Val="0"/>
  36. <Option Name="WTIesLaunchSim" Val="0"/>
  37. <Option Name="WTVcsLaunchSim" Val="0"/>
  38. <Option Name="WTRivieraLaunchSim" Val="0"/>
  39. <Option Name="WTActivehdlLaunchSim" Val="0"/>
  40. <Option Name="WTXSimExportSim" Val="0"/>
  41. <Option Name="WTModelSimExportSim" Val="0"/>
  42. <Option Name="WTQuestaExportSim" Val="0"/>
  43. <Option Name="WTIesExportSim" Val="0"/>
  44. <Option Name="WTVcsExportSim" Val="0"/>
  45. <Option Name="WTRivieraExportSim" Val="0"/>
  46. <Option Name="WTActivehdlExportSim" Val="0"/>
  47. <Option Name="GenerateIPUpgradeLog" Val="TRUE"/>
  48. <Option Name="XSimRadix" Val="hex"/>
  49. <Option Name="XSimTimeUnit" Val="ns"/>
  50. <Option Name="XSimArrayDisplayLimit" Val="64"/>
  51. <Option Name="XSimTraceLimit" Val="65536"/>
  52. </Configuration>
  53. <FileSets Version="1" Minor="31">
  54. <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1">
  55. <Filter Type="Srcs"/>
  56. <File Path="$PSRCDIR/sources_1/new/ClockDivider10.vhd">
  57. <FileInfo>
  58. <Attr Name="UsedIn" Val="synthesis"/>
  59. <Attr Name="UsedIn" Val="simulation"/>
  60. </FileInfo>
  61. </File>
  62. <File Path="$PSRCDIR/sources_1/new/Compteur.vhd">
  63. <FileInfo>
  64. <Attr Name="UsedIn" Val="synthesis"/>
  65. <Attr Name="UsedIn" Val="simulation"/>
  66. </FileInfo>
  67. </File>
  68. <File Path="$PSRCDIR/sources_1/new/ClockDivider1000.vhd">
  69. <FileInfo>
  70. <Attr Name="UsedIn" Val="synthesis"/>
  71. <Attr Name="UsedIn" Val="simulation"/>
  72. </FileInfo>
  73. </File>
  74. <File Path="$PSRCDIR/sources_1/new/System.vhd">
  75. <FileInfo>
  76. <Attr Name="UsedIn" Val="synthesis"/>
  77. <Attr Name="UsedIn" Val="simulation"/>
  78. </FileInfo>
  79. </File>
  80. <Config>
  81. <Option Name="DesignMode" Val="RTL"/>
  82. <Option Name="TopModule" Val="System"/>
  83. <Option Name="TopAutoSet" Val="TRUE"/>
  84. </Config>
  85. </FileSet>
  86. <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">
  87. <Filter Type="Constrs"/>
  88. <File Path="$PSRCDIR/constrs_1/imports/digilent-xdc-master/Basys-3-Master.xdc">
  89. <FileInfo>
  90. <Attr Name="ImportPath" Val="$PPRDIR/../../../../Xilinx/digilent-xdc-master/Basys-3-Master.xdc"/>
  91. <Attr Name="ImportTime" Val="1614979917"/>
  92. <Attr Name="UsedIn" Val="synthesis"/>
  93. <Attr Name="UsedIn" Val="implementation"/>
  94. </FileInfo>
  95. </File>
  96. <Config>
  97. <Option Name="ConstrsType" Val="XDC"/>
  98. </Config>
  99. </FileSet>
  100. <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1">
  101. <Filter Type="Srcs"/>
  102. <File Path="$PSRCDIR/sim_1/new/test_Compteur.vhd">
  103. <FileInfo>
  104. <Attr Name="AutoDisabled" Val="1"/>
  105. <Attr Name="UsedIn" Val="synthesis"/>
  106. <Attr Name="UsedIn" Val="simulation"/>
  107. </FileInfo>
  108. </File>
  109. <Config>
  110. <Option Name="DesignMode" Val="RTL"/>
  111. <Option Name="TopModule" Val="System"/>
  112. <Option Name="TopLib" Val="xil_defaultlib"/>
  113. <Option Name="TopAutoSet" Val="TRUE"/>
  114. <Option Name="TransportPathDelay" Val="0"/>
  115. <Option Name="TransportIntDelay" Val="0"/>
  116. <Option Name="SimMode" Val="post-implementation"/>
  117. <Option Name="SrcSet" Val="sources_1"/>
  118. </Config>
  119. </FileSet>
  120. </FileSets>
  121. <Simulators>
  122. <Simulator Name="XSim">
  123. <Option Name="Description" Val="Vivado Simulator"/>
  124. <Option Name="CompiledLib" Val="0"/>
  125. </Simulator>
  126. <Simulator Name="ModelSim">
  127. <Option Name="Description" Val="ModelSim Simulator"/>
  128. </Simulator>
  129. <Simulator Name="Questa">
  130. <Option Name="Description" Val="Questa Advanced Simulator"/>
  131. </Simulator>
  132. <Simulator Name="Riviera">
  133. <Option Name="Description" Val="Riviera-PRO Simulator"/>
  134. </Simulator>
  135. <Simulator Name="ActiveHDL">
  136. <Option Name="Description" Val="Active-HDL Simulator"/>
  137. </Simulator>
  138. </Simulators>
  139. <Runs Version="1" Minor="10">
  140. <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a35tcpg236-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true">
  141. <Strategy Version="1" Minor="2">
  142. <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2016"/>
  143. <Step Id="synth_design"/>
  144. </Strategy>
  145. <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
  146. <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
  147. </Run>
  148. <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a35tcpg236-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true">
  149. <Strategy Version="1" Minor="2">
  150. <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2016"/>
  151. <Step Id="init_design"/>
  152. <Step Id="opt_design"/>
  153. <Step Id="power_opt_design"/>
  154. <Step Id="place_design"/>
  155. <Step Id="post_place_power_opt_design"/>
  156. <Step Id="phys_opt_design"/>
  157. <Step Id="route_design"/>
  158. <Step Id="post_route_phys_opt_design"/>
  159. <Step Id="write_bitstream"/>
  160. </Strategy>
  161. <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
  162. <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
  163. </Run>
  164. </Runs>
  165. </Project>