No Description
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

LedTest.vhd 1.1KB

1234567891011121314151617181920212223242526272829303132333435363738394041424344454647
  1. ----------------------------------------------------------------------------------
  2. -- Company:
  3. -- Engineer:
  4. --
  5. -- Create Date: 09.04.2021 19:00:49
  6. -- Design Name:
  7. -- Module Name: LedTest - Behavioral
  8. -- Project Name:
  9. -- Target Devices:
  10. -- Tool Versions:
  11. -- Description:
  12. --
  13. -- Dependencies:
  14. --
  15. -- Revision:
  16. -- Revision 0.01 - File Created
  17. -- Additional Comments:
  18. --
  19. ----------------------------------------------------------------------------------
  20. library IEEE;
  21. use IEEE.STD_LOGIC_1164.ALL;
  22. use IEEE.STD_LOGIC_UNSIGNED.ALL;
  23. -- Uncomment the following library declaration if using
  24. -- arithmetic functions with Signed or Unsigned values
  25. use IEEE.NUMERIC_STD.ALL;
  26. -- Uncomment the following library declaration if instantiating
  27. -- any Xilinx leaf cells in this code.
  28. --library UNISIM;
  29. --use UNISIM.VComponents.all;
  30. entity LedTest is
  31. Port ( clk : in STD_LOGIC;
  32. sw : in STD_LOGIC_VECTOR (0 to 15);
  33. led : out STD_LOGIC_VECTOR (0 to 15));
  34. end LedTest;
  35. architecture Behavioral of LedTest is
  36. begin
  37. process
  38. begin
  39. led <= sw;
  40. end process;
  41. end Behavioral;