No Description
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

TestMemoireAdressesRetour.vhd 2.7KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899
  1. ----------------------------------------------------------------------------------
  2. -- Company:
  3. -- Engineer:
  4. --
  5. -- Create Date: 16.04.2021 12:58:02
  6. -- Design Name:
  7. -- Module Name: TestMemoireAdressesRetour - Behavioral
  8. -- Project Name:
  9. -- Target Devices:
  10. -- Tool Versions:
  11. -- Description:
  12. --
  13. -- Dependencies:
  14. --
  15. -- Revision:
  16. -- Revision 0.01 - File Created
  17. -- Additional Comments:
  18. --
  19. ----------------------------------------------------------------------------------
  20. library IEEE;
  21. use IEEE.STD_LOGIC_1164.ALL;
  22. -- Uncomment the following library declaration if using
  23. -- arithmetic functions with Signed or Unsigned values
  24. --use IEEE.NUMERIC_STD.ALL;
  25. -- Uncomment the following library declaration if instantiating
  26. -- any Xilinx leaf cells in this code.
  27. --library UNISIM;
  28. --use UNISIM.VComponents.all;
  29. entity TestMemoireAdressesRetour is
  30. -- Port ( );
  31. end TestMemoireAdressesRetour;
  32. architecture Behavioral of TestMemoireAdressesRetour is
  33. component MemoireAdressesRetour is
  34. Generic (Nb_bits : Natural;
  35. Addr_size : Natural;
  36. Mem_size : Natural);
  37. Port ( R : in STD_LOGIC;
  38. W : in STD_LOGIC;
  39. D_IN : in STD_LOGIC_VECTOR (Nb_bits-1 downto 0);
  40. RST : in STD_LOGIC;
  41. CLK : in STD_LOGIC;
  42. D_OUT : out STD_LOGIC_VECTOR (Nb_bits-1 downto 0);
  43. E : out STD_LOGIC;
  44. F : out STD_LOGIC);
  45. end component;
  46. signal my_R : STD_LOGIC := '0';
  47. signal my_W : STD_LOGIC := '0';
  48. signal my_D_IN : STD_LOGIC_VECTOR (7 downto 0) := (others => '0');
  49. signal my_RST : STD_LOGIC := '0';
  50. signal my_CLK : STD_LOGIC := '0';
  51. signal my_D_OUT : STD_LOGIC_VECTOR (7 downto 0);
  52. signal my_E : STD_LOGIC;
  53. signal my_F : STD_LOGIC;
  54. constant CLK_period : time := 10 ns;
  55. begin
  56. instance : MemoireAdressesRetour
  57. generic map (Nb_bits => 8,
  58. Addr_size => 2,
  59. Mem_size => 4
  60. )
  61. port map (
  62. R => my_R,
  63. W => my_W,
  64. D_IN => my_D_IN,
  65. RST => my_RST,
  66. CLK => my_CLK,
  67. D_OUT => my_D_OUT,
  68. E => my_E,
  69. F => my_F
  70. );
  71. CLK_process :process
  72. begin
  73. my_CLK <= '0';
  74. wait for CLK_period/2;
  75. my_CLK <= '1';
  76. wait for CLK_period/2;
  77. end process;
  78. process
  79. begin
  80. my_RST <= '1' after 0 ns, '0' after 100 ns;
  81. my_R <= '1' after 20 ns, '0' after 30 ns;
  82. my_W <= '1' after 10 ns, '0' after 20 ns, '1' after 50 ns, '0' after 90 ns, '0' after 110 ns;
  83. my_D_IN <= "01010101" after 10 ns, "11100111" after 30 ns, "11111111" after 50 ns, "11111110" after 60 ns, "11111101" after 70 ns, "11111100" after 80 ns;
  84. wait;
  85. end process;
  86. end Behavioral;