No Description
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

TestSystem_behav.wcfg 38KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576
  1. <?xml version="1.0" encoding="UTF-8"?>
  2. <wave_config>
  3. <wave_state>
  4. </wave_state>
  5. <db_ref_list>
  6. <db_ref path="TestSystem_behav.wdb" id="1">
  7. <top_modules>
  8. <top_module name="TestSystem" />
  9. <top_module name="font" />
  10. <top_module name="screenproperties" />
  11. </top_modules>
  12. </db_ref>
  13. </db_ref_list>
  14. <zoom_setting>
  15. <ZoomStartTime time="10606008000000fs"></ZoomStartTime>
  16. <ZoomEndTime time="10825655309273fs"></ZoomEndTime>
  17. <Cursor1Time time="6077804260000fs"></Cursor1Time>
  18. </zoom_setting>
  19. <column_width_setting>
  20. <NameColumnWidth column_width="251"></NameColumnWidth>
  21. <ValueColumnWidth column_width="173"></ValueColumnWidth>
  22. </column_width_setting>
  23. <WVObjectSize size="7" />
  24. <wvobject type="logic" fp_name="/TestSystem/CLK">
  25. <obj_property name="ElementShortName">CLK</obj_property>
  26. <obj_property name="ObjectShortName">CLK</obj_property>
  27. </wvobject>
  28. <wvobject type="group" fp_name="group135">
  29. <obj_property name="label">Pipeline</obj_property>
  30. <obj_property name="DisplayName">label</obj_property>
  31. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/Instruction_from_1">
  32. <obj_property name="ElementShortName">Instruction_from_1[4:0]</obj_property>
  33. <obj_property name="ObjectShortName">Instruction_from_1[4:0]</obj_property>
  34. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  35. </wvobject>
  36. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/A_from_1">
  37. <obj_property name="ElementShortName">A_from_1[15:0]</obj_property>
  38. <obj_property name="ObjectShortName">A_from_1[15:0]</obj_property>
  39. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  40. </wvobject>
  41. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/B_from_1">
  42. <obj_property name="ElementShortName">B_from_1[15:0]</obj_property>
  43. <obj_property name="ObjectShortName">B_from_1[15:0]</obj_property>
  44. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  45. </wvobject>
  46. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/C_from_1">
  47. <obj_property name="ElementShortName">C_from_1[15:0]</obj_property>
  48. <obj_property name="ObjectShortName">C_from_1[15:0]</obj_property>
  49. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  50. </wvobject>
  51. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/Instruction_from_2">
  52. <obj_property name="ElementShortName">Instruction_from_2[4:0]</obj_property>
  53. <obj_property name="ObjectShortName">Instruction_from_2[4:0]</obj_property>
  54. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  55. </wvobject>
  56. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/A_from_2">
  57. <obj_property name="ElementShortName">A_from_2[15:0]</obj_property>
  58. <obj_property name="ObjectShortName">A_from_2[15:0]</obj_property>
  59. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  60. </wvobject>
  61. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/B_from_2">
  62. <obj_property name="ElementShortName">B_from_2[15:0]</obj_property>
  63. <obj_property name="ObjectShortName">B_from_2[15:0]</obj_property>
  64. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  65. </wvobject>
  66. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/C_from_2">
  67. <obj_property name="ElementShortName">C_from_2[15:0]</obj_property>
  68. <obj_property name="ObjectShortName">C_from_2[15:0]</obj_property>
  69. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  70. </wvobject>
  71. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/Instruction_from_3">
  72. <obj_property name="ElementShortName">Instruction_from_3[4:0]</obj_property>
  73. <obj_property name="ObjectShortName">Instruction_from_3[4:0]</obj_property>
  74. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  75. </wvobject>
  76. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/A_from_3">
  77. <obj_property name="ElementShortName">A_from_3[15:0]</obj_property>
  78. <obj_property name="ObjectShortName">A_from_3[15:0]</obj_property>
  79. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  80. </wvobject>
  81. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/B_from_3">
  82. <obj_property name="ElementShortName">B_from_3[15:0]</obj_property>
  83. <obj_property name="ObjectShortName">B_from_3[15:0]</obj_property>
  84. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  85. </wvobject>
  86. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/Instruction_from_4">
  87. <obj_property name="ElementShortName">Instruction_from_4[4:0]</obj_property>
  88. <obj_property name="ObjectShortName">Instruction_from_4[4:0]</obj_property>
  89. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  90. </wvobject>
  91. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/A_from_4">
  92. <obj_property name="ElementShortName">A_from_4[15:0]</obj_property>
  93. <obj_property name="ObjectShortName">A_from_4[15:0]</obj_property>
  94. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  95. </wvobject>
  96. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/B_from_4">
  97. <obj_property name="ElementShortName">B_from_4[15:0]</obj_property>
  98. <obj_property name="ObjectShortName">B_from_4[15:0]</obj_property>
  99. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  100. </wvobject>
  101. </wvobject>
  102. <wvobject type="group" fp_name="group133">
  103. <obj_property name="label">Gestion Instructions</obj_property>
  104. <obj_property name="DisplayName">label</obj_property>
  105. <obj_property name="isExpanded"></obj_property>
  106. <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/CLK">
  107. <obj_property name="ElementShortName">CLK</obj_property>
  108. <obj_property name="ObjectShortName">CLK</obj_property>
  109. </wvobject>
  110. <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/Z">
  111. <obj_property name="ElementShortName">Z</obj_property>
  112. <obj_property name="ObjectShortName">Z</obj_property>
  113. </wvobject>
  114. <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/STD_IN_Request">
  115. <obj_property name="ElementShortName">STD_IN_Request</obj_property>
  116. <obj_property name="ObjectShortName">STD_IN_Request</obj_property>
  117. </wvobject>
  118. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/Addr_Retour">
  119. <obj_property name="ElementShortName">Addr_Retour[15:0]</obj_property>
  120. <obj_property name="ObjectShortName">Addr_Retour[15:0]</obj_property>
  121. </wvobject>
  122. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/A">
  123. <obj_property name="ElementShortName">A[15:0]</obj_property>
  124. <obj_property name="ObjectShortName">A[15:0]</obj_property>
  125. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  126. </wvobject>
  127. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/B">
  128. <obj_property name="ElementShortName">B[15:0]</obj_property>
  129. <obj_property name="ObjectShortName">B[15:0]</obj_property>
  130. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  131. </wvobject>
  132. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/C">
  133. <obj_property name="ElementShortName">C[15:0]</obj_property>
  134. <obj_property name="ObjectShortName">C[15:0]</obj_property>
  135. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  136. </wvobject>
  137. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/Instruction">
  138. <obj_property name="ElementShortName">Instruction[4:0]</obj_property>
  139. <obj_property name="ObjectShortName">Instruction[4:0]</obj_property>
  140. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  141. </wvobject>
  142. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/Pointeur_instruction">
  143. <obj_property name="ElementShortName">Pointeur_instruction[8:0]</obj_property>
  144. <obj_property name="ObjectShortName">Pointeur_instruction[8:0]</obj_property>
  145. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  146. </wvobject>
  147. <wvobject type="other" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/bulles">
  148. <obj_property name="ElementShortName">bulles</obj_property>
  149. <obj_property name="ObjectShortName">bulles</obj_property>
  150. </wvobject>
  151. <wvobject type="other" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/compteur">
  152. <obj_property name="ElementShortName">compteur</obj_property>
  153. <obj_property name="ObjectShortName">compteur</obj_property>
  154. </wvobject>
  155. <wvobject type="other" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/Compteur_PRI">
  156. <obj_property name="ElementShortName">Compteur_PRI</obj_property>
  157. <obj_property name="ObjectShortName">Compteur_PRI</obj_property>
  158. </wvobject>
  159. <wvobject type="other" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/locked">
  160. <obj_property name="ElementShortName">locked</obj_property>
  161. <obj_property name="ObjectShortName">locked</obj_property>
  162. </wvobject>
  163. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage1/Tableau">
  164. <obj_property name="ElementShortName">Tableau[1:3]</obj_property>
  165. <obj_property name="ObjectShortName">Tableau[1:3]</obj_property>
  166. </wvobject>
  167. </wvobject>
  168. <wvobject type="group" fp_name="group132">
  169. <obj_property name="label">Registres</obj_property>
  170. <obj_property name="DisplayName">label</obj_property>
  171. <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/CLK">
  172. <obj_property name="ElementShortName">CLK</obj_property>
  173. <obj_property name="ObjectShortName">CLK</obj_property>
  174. </wvobject>
  175. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/STD_IN">
  176. <obj_property name="ElementShortName">STD_IN[15:0]</obj_property>
  177. <obj_property name="ObjectShortName">STD_IN[15:0]</obj_property>
  178. </wvobject>
  179. <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/STD_IN_Av">
  180. <obj_property name="ElementShortName">STD_IN_Av</obj_property>
  181. <obj_property name="ObjectShortName">STD_IN_Av</obj_property>
  182. </wvobject>
  183. <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/STD_IN_Request">
  184. <obj_property name="ElementShortName">STD_IN_Request</obj_property>
  185. <obj_property name="ObjectShortName">STD_IN_Request</obj_property>
  186. </wvobject>
  187. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/STD_OUT">
  188. <obj_property name="ElementShortName">STD_OUT[15:0]</obj_property>
  189. <obj_property name="ObjectShortName">STD_OUT[15:0]</obj_property>
  190. </wvobject>
  191. <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/STD_OUT_Av">
  192. <obj_property name="ElementShortName">STD_OUT_Av</obj_property>
  193. <obj_property name="ObjectShortName">STD_OUT_Av</obj_property>
  194. </wvobject>
  195. <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/STD_OUT_Int">
  196. <obj_property name="ElementShortName">STD_OUT_Int</obj_property>
  197. <obj_property name="ObjectShortName">STD_OUT_Int</obj_property>
  198. </wvobject>
  199. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/IN_2_A">
  200. <obj_property name="ElementShortName">IN_2_A[15:0]</obj_property>
  201. <obj_property name="ObjectShortName">IN_2_A[15:0]</obj_property>
  202. </wvobject>
  203. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/IN_2_B">
  204. <obj_property name="ElementShortName">IN_2_B[15:0]</obj_property>
  205. <obj_property name="ObjectShortName">IN_2_B[15:0]</obj_property>
  206. </wvobject>
  207. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/IN_2_C">
  208. <obj_property name="ElementShortName">IN_2_C[15:0]</obj_property>
  209. <obj_property name="ObjectShortName">IN_2_C[15:0]</obj_property>
  210. </wvobject>
  211. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/IN_2_Instruction">
  212. <obj_property name="ElementShortName">IN_2_Instruction[4:0]</obj_property>
  213. <obj_property name="ObjectShortName">IN_2_Instruction[4:0]</obj_property>
  214. </wvobject>
  215. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/OUT_2_A">
  216. <obj_property name="ElementShortName">OUT_2_A[15:0]</obj_property>
  217. <obj_property name="ObjectShortName">OUT_2_A[15:0]</obj_property>
  218. </wvobject>
  219. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/OUT_2_B">
  220. <obj_property name="ElementShortName">OUT_2_B[15:0]</obj_property>
  221. <obj_property name="ObjectShortName">OUT_2_B[15:0]</obj_property>
  222. </wvobject>
  223. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/OUT_2_C">
  224. <obj_property name="ElementShortName">OUT_2_C[15:0]</obj_property>
  225. <obj_property name="ObjectShortName">OUT_2_C[15:0]</obj_property>
  226. </wvobject>
  227. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/OUT_2_Instruction">
  228. <obj_property name="ElementShortName">OUT_2_Instruction[4:0]</obj_property>
  229. <obj_property name="ObjectShortName">OUT_2_Instruction[4:0]</obj_property>
  230. </wvobject>
  231. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/IN_5_A">
  232. <obj_property name="ElementShortName">IN_5_A[15:0]</obj_property>
  233. <obj_property name="ObjectShortName">IN_5_A[15:0]</obj_property>
  234. </wvobject>
  235. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/IN_5_B">
  236. <obj_property name="ElementShortName">IN_5_B[15:0]</obj_property>
  237. <obj_property name="ObjectShortName">IN_5_B[15:0]</obj_property>
  238. </wvobject>
  239. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/IN_5_Instruction">
  240. <obj_property name="ElementShortName">IN_5_Instruction[4:0]</obj_property>
  241. <obj_property name="ObjectShortName">IN_5_Instruction[4:0]</obj_property>
  242. </wvobject>
  243. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/Commande_BancRegistres">
  244. <obj_property name="ElementShortName">Commande_BancRegistres[0:0]</obj_property>
  245. <obj_property name="ObjectShortName">Commande_BancRegistres[0:0]</obj_property>
  246. </wvobject>
  247. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/Entree_BancRegistre_DATA">
  248. <obj_property name="ElementShortName">Entree_BancRegistre_DATA[15:0]</obj_property>
  249. <obj_property name="ObjectShortName">Entree_BancRegistre_DATA[15:0]</obj_property>
  250. </wvobject>
  251. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/Sortie_BancRegistres_A">
  252. <obj_property name="ElementShortName">Sortie_BancRegistres_A[15:0]</obj_property>
  253. <obj_property name="ObjectShortName">Sortie_BancRegistres_A[15:0]</obj_property>
  254. </wvobject>
  255. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage2_5/Sortie_BancRegistres_B">
  256. <obj_property name="ElementShortName">Sortie_BancRegistres_B[15:0]</obj_property>
  257. <obj_property name="ObjectShortName">Sortie_BancRegistres_B[15:0]</obj_property>
  258. </wvobject>
  259. </wvobject>
  260. <wvobject type="group" fp_name="group134">
  261. <obj_property name="label">Memoire</obj_property>
  262. <obj_property name="DisplayName">label</obj_property>
  263. <wvobject type="group" fp_name="group197">
  264. <obj_property name="label">MemoireDonnees</obj_property>
  265. <obj_property name="DisplayName">label</obj_property>
  266. <obj_property name="isExpanded"></obj_property>
  267. </wvobject>
  268. <wvobject type="group" fp_name="group198">
  269. <obj_property name="label">MemoireAdressesRetour</obj_property>
  270. <obj_property name="DisplayName">label</obj_property>
  271. <obj_property name="isExpanded"></obj_property>
  272. <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/CLK">
  273. <obj_property name="ElementShortName">CLK</obj_property>
  274. <obj_property name="ObjectShortName">CLK</obj_property>
  275. </wvobject>
  276. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/IN_A">
  277. <obj_property name="ElementShortName">IN_A[15:0]</obj_property>
  278. <obj_property name="ObjectShortName">IN_A[15:0]</obj_property>
  279. </wvobject>
  280. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/IN_B">
  281. <obj_property name="ElementShortName">IN_B[15:0]</obj_property>
  282. <obj_property name="ObjectShortName">IN_B[15:0]</obj_property>
  283. </wvobject>
  284. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/IN_Instruction">
  285. <obj_property name="ElementShortName">IN_Instruction[4:0]</obj_property>
  286. <obj_property name="ObjectShortName">IN_Instruction[4:0]</obj_property>
  287. </wvobject>
  288. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/OUT_A">
  289. <obj_property name="ElementShortName">OUT_A[15:0]</obj_property>
  290. <obj_property name="ObjectShortName">OUT_A[15:0]</obj_property>
  291. </wvobject>
  292. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/OUT_B">
  293. <obj_property name="ElementShortName">OUT_B[15:0]</obj_property>
  294. <obj_property name="ObjectShortName">OUT_B[15:0]</obj_property>
  295. </wvobject>
  296. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/OUT_Instruction">
  297. <obj_property name="ElementShortName">OUT_Instruction[4:0]</obj_property>
  298. <obj_property name="ObjectShortName">OUT_Instruction[4:0]</obj_property>
  299. </wvobject>
  300. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/OUT_AddrRetour">
  301. <obj_property name="ElementShortName">OUT_AddrRetour[15:0]</obj_property>
  302. <obj_property name="ObjectShortName">OUT_AddrRetour[15:0]</obj_property>
  303. </wvobject>
  304. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/EBP">
  305. <obj_property name="ElementShortName">EBP[5:0]</obj_property>
  306. <obj_property name="ObjectShortName">EBP[5:0]</obj_property>
  307. </wvobject>
  308. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/Addr_MemoireDonnees">
  309. <obj_property name="ElementShortName">Addr_MemoireDonnees[5:0]</obj_property>
  310. <obj_property name="ObjectShortName">Addr_MemoireDonnees[5:0]</obj_property>
  311. </wvobject>
  312. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/IN_Addr_MemoireDonnees">
  313. <obj_property name="ElementShortName">IN_Addr_MemoireDonnees[5:0]</obj_property>
  314. <obj_property name="ObjectShortName">IN_Addr_MemoireDonnees[5:0]</obj_property>
  315. </wvobject>
  316. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/Addr_MemoireDonnees_EBP">
  317. <obj_property name="ElementShortName">Addr_MemoireDonnees_EBP[5:0]</obj_property>
  318. <obj_property name="ObjectShortName">Addr_MemoireDonnees_EBP[5:0]</obj_property>
  319. </wvobject>
  320. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/Commande_MemoireDonnees">
  321. <obj_property name="ElementShortName">Commande_MemoireDonnees[0:0]</obj_property>
  322. <obj_property name="ObjectShortName">Commande_MemoireDonnees[0:0]</obj_property>
  323. </wvobject>
  324. <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_non_securisee/instance_Etage4/Sortie_MemoireDonnees">
  325. <obj_property name="ElementShortName">Sortie_MemoireDonnees[15:0]</obj_property>
  326. <obj_property name="ObjectShortName">Sortie_MemoireDonnees[15:0]</obj_property>
  327. </wvobject>
  328. </wvobject>
  329. </wvobject>
  330. <wvobject type="group" fp_name="group136">
  331. <obj_property name="label">PeripheriqueEcran</obj_property>
  332. <obj_property name="DisplayName">label</obj_property>
  333. <obj_property name="isExpanded"></obj_property>
  334. <wvobject type="group" fp_name="group216">
  335. <obj_property name="label">VGAControleur</obj_property>
  336. <obj_property name="DisplayName">label</obj_property>
  337. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instanceVGA/VGA_RED">
  338. <obj_property name="ElementShortName">VGA_RED[3:0]</obj_property>
  339. <obj_property name="ObjectShortName">VGA_RED[3:0]</obj_property>
  340. </wvobject>
  341. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instanceVGA/VGA_BLUE">
  342. <obj_property name="ElementShortName">VGA_BLUE[3:0]</obj_property>
  343. <obj_property name="ObjectShortName">VGA_BLUE[3:0]</obj_property>
  344. </wvobject>
  345. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instanceVGA/VGA_GREEN">
  346. <obj_property name="ElementShortName">VGA_GREEN[3:0]</obj_property>
  347. <obj_property name="ObjectShortName">VGA_GREEN[3:0]</obj_property>
  348. </wvobject>
  349. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instanceVGA/VGA_HS">
  350. <obj_property name="ElementShortName">VGA_HS</obj_property>
  351. <obj_property name="ObjectShortName">VGA_HS</obj_property>
  352. </wvobject>
  353. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instanceVGA/VGA_VS">
  354. <obj_property name="ElementShortName">VGA_VS</obj_property>
  355. <obj_property name="ObjectShortName">VGA_VS</obj_property>
  356. </wvobject>
  357. <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instanceVGA/X">
  358. <obj_property name="ElementShortName">X</obj_property>
  359. <obj_property name="ObjectShortName">X</obj_property>
  360. </wvobject>
  361. <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instanceVGA/Y">
  362. <obj_property name="ElementShortName">Y</obj_property>
  363. <obj_property name="ObjectShortName">Y</obj_property>
  364. </wvobject>
  365. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instanceVGA/PIXEL_ON">
  366. <obj_property name="ElementShortName">PIXEL_ON</obj_property>
  367. <obj_property name="ObjectShortName">PIXEL_ON</obj_property>
  368. </wvobject>
  369. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instanceVGA/CLK">
  370. <obj_property name="ElementShortName">CLK</obj_property>
  371. <obj_property name="ObjectShortName">CLK</obj_property>
  372. </wvobject>
  373. <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instanceVGA/active">
  374. <obj_property name="ElementShortName">active</obj_property>
  375. <obj_property name="ObjectShortName">active</obj_property>
  376. </wvobject>
  377. </wvobject>
  378. <wvobject type="group" fp_name="group217">
  379. <obj_property name="label">Ecran</obj_property>
  380. <obj_property name="DisplayName">label</obj_property>
  381. <obj_property name="isExpanded"></obj_property>
  382. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/CLK">
  383. <obj_property name="ElementShortName">CLK</obj_property>
  384. <obj_property name="ObjectShortName">CLK</obj_property>
  385. </wvobject>
  386. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/Data_Av">
  387. <obj_property name="ElementShortName">Data_Av</obj_property>
  388. <obj_property name="ObjectShortName">Data_Av</obj_property>
  389. </wvobject>
  390. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/Data_IN">
  391. <obj_property name="ElementShortName">Data_IN[0:6]</obj_property>
  392. <obj_property name="ObjectShortName">Data_IN[0:6]</obj_property>
  393. <obj_property name="Radix">BINARYRADIX</obj_property>
  394. </wvobject>
  395. <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/X">
  396. <obj_property name="ElementShortName">X</obj_property>
  397. <obj_property name="ObjectShortName">X</obj_property>
  398. </wvobject>
  399. <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/Y">
  400. <obj_property name="ElementShortName">Y</obj_property>
  401. <obj_property name="ObjectShortName">Y</obj_property>
  402. </wvobject>
  403. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/OUT_ON">
  404. <obj_property name="ElementShortName">OUT_ON</obj_property>
  405. <obj_property name="ObjectShortName">OUT_ON</obj_property>
  406. </wvobject>
  407. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/Ecran">
  408. <obj_property name="ElementShortName">Ecran[0:559]</obj_property>
  409. <obj_property name="ObjectShortName">Ecran[0:559]</obj_property>
  410. <obj_property name="Radix">HEXRADIX</obj_property>
  411. </wvobject>
  412. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/L">
  413. <obj_property name="ElementShortName">L[0:6]</obj_property>
  414. <obj_property name="ObjectShortName">L[0:6]</obj_property>
  415. </wvobject>
  416. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/C">
  417. <obj_property name="ElementShortName">C[0:6]</obj_property>
  418. <obj_property name="ObjectShortName">C[0:6]</obj_property>
  419. <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
  420. </wvobject>
  421. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/InitialL">
  422. <obj_property name="ElementShortName">InitialL[0:6]</obj_property>
  423. <obj_property name="ObjectShortName">InitialL[0:6]</obj_property>
  424. </wvobject>
  425. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/Full">
  426. <obj_property name="ElementShortName">Full</obj_property>
  427. <obj_property name="ObjectShortName">Full</obj_property>
  428. </wvobject>
  429. <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/point_dereferencement">
  430. <obj_property name="ElementShortName">point_dereferencement</obj_property>
  431. <obj_property name="ObjectShortName">point_dereferencement</obj_property>
  432. </wvobject>
  433. <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/point_dereferencement_ecriture">
  434. <obj_property name="ElementShortName">point_dereferencement_ecriture</obj_property>
  435. <obj_property name="ObjectShortName">point_dereferencement_ecriture</obj_property>
  436. </wvobject>
  437. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/CurrentCodeASCII">
  438. <obj_property name="ElementShortName">CurrentCodeASCII[0:6]</obj_property>
  439. <obj_property name="ObjectShortName">CurrentCodeASCII[0:6]</obj_property>
  440. </wvobject>
  441. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/CurrentFont">
  442. <obj_property name="ElementShortName">CurrentFont[0:63]</obj_property>
  443. <obj_property name="ObjectShortName">CurrentFont[0:63]</obj_property>
  444. </wvobject>
  445. </wvobject>
  446. <wvobject type="group" fp_name="group218">
  447. <obj_property name="label">ScreenDriver</obj_property>
  448. <obj_property name="DisplayName">label</obj_property>
  449. <obj_property name="isExpanded"></obj_property>
  450. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/CLK">
  451. <obj_property name="ElementShortName">CLK</obj_property>
  452. <obj_property name="ObjectShortName">CLK</obj_property>
  453. </wvobject>
  454. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/Value">
  455. <obj_property name="ElementShortName">Value[15:0]</obj_property>
  456. <obj_property name="ObjectShortName">Value[15:0]</obj_property>
  457. </wvobject>
  458. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/ValueAv">
  459. <obj_property name="ElementShortName">ValueAv</obj_property>
  460. <obj_property name="ObjectShortName">ValueAv</obj_property>
  461. </wvobject>
  462. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/IsInt">
  463. <obj_property name="ElementShortName">IsInt</obj_property>
  464. <obj_property name="ObjectShortName">IsInt</obj_property>
  465. </wvobject>
  466. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/OutData">
  467. <obj_property name="ElementShortName">OutData[0:6]</obj_property>
  468. <obj_property name="ObjectShortName">OutData[0:6]</obj_property>
  469. </wvobject>
  470. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/OutDataAv">
  471. <obj_property name="ElementShortName">OutDataAv</obj_property>
  472. <obj_property name="ObjectShortName">OutDataAv</obj_property>
  473. </wvobject>
  474. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/intern_value">
  475. <obj_property name="ElementShortName">intern_value[15:0]</obj_property>
  476. <obj_property name="ObjectShortName">intern_value[15:0]</obj_property>
  477. </wvobject>
  478. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/current_hexa">
  479. <obj_property name="ElementShortName">current_hexa[3:0]</obj_property>
  480. <obj_property name="ObjectShortName">current_hexa[3:0]</obj_property>
  481. </wvobject>
  482. <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/compteur">
  483. <obj_property name="ElementShortName">compteur</obj_property>
  484. <obj_property name="ObjectShortName">compteur</obj_property>
  485. </wvobject>
  486. <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instance_ScreenDriver/first_detected">
  487. <obj_property name="ElementShortName">first_detected</obj_property>
  488. <obj_property name="ObjectShortName">first_detected</obj_property>
  489. </wvobject>
  490. </wvobject>
  491. </wvobject>
  492. <wvobject type="group" fp_name="group159">
  493. <obj_property name="label">Peripherique Clavier</obj_property>
  494. <obj_property name="DisplayName">label</obj_property>
  495. <obj_property name="isExpanded"></obj_property>
  496. <wvobject type="group" fp_name="group160">
  497. <obj_property name="label">Keyboard</obj_property>
  498. <obj_property name="DisplayName">label</obj_property>
  499. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_Keyboard/CLK">
  500. <obj_property name="ElementShortName">CLK</obj_property>
  501. <obj_property name="ObjectShortName">CLK</obj_property>
  502. </wvobject>
  503. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_Keyboard/PS2Clk">
  504. <obj_property name="ElementShortName">PS2Clk</obj_property>
  505. <obj_property name="ObjectShortName">PS2Clk</obj_property>
  506. </wvobject>
  507. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_Keyboard/PS2Data">
  508. <obj_property name="ElementShortName">PS2Data</obj_property>
  509. <obj_property name="ObjectShortName">PS2Data</obj_property>
  510. </wvobject>
  511. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_Keyboard/Data_read">
  512. <obj_property name="ElementShortName">Data_read</obj_property>
  513. <obj_property name="ObjectShortName">Data_read</obj_property>
  514. </wvobject>
  515. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_Keyboard/Data_av">
  516. <obj_property name="ElementShortName">Data_av</obj_property>
  517. <obj_property name="ObjectShortName">Data_av</obj_property>
  518. </wvobject>
  519. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_clavier/instance_Keyboard/Data">
  520. <obj_property name="ElementShortName">Data[0:6]</obj_property>
  521. <obj_property name="ObjectShortName">Data[0:6]</obj_property>
  522. </wvobject>
  523. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_Keyboard/alert">
  524. <obj_property name="ElementShortName">alert</obj_property>
  525. <obj_property name="ObjectShortName">alert</obj_property>
  526. </wvobject>
  527. </wvobject>
  528. <wvobject type="group" fp_name="group161">
  529. <obj_property name="label">KeyboardDriver</obj_property>
  530. <obj_property name="DisplayName">label</obj_property>
  531. <obj_property name="isExpanded"></obj_property>
  532. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/CLK">
  533. <obj_property name="ElementShortName">CLK</obj_property>
  534. <obj_property name="ObjectShortName">CLK</obj_property>
  535. </wvobject>
  536. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/Data_read">
  537. <obj_property name="ElementShortName">Data_read</obj_property>
  538. <obj_property name="ObjectShortName">Data_read</obj_property>
  539. </wvobject>
  540. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/Data_av">
  541. <obj_property name="ElementShortName">Data_av</obj_property>
  542. <obj_property name="ObjectShortName">Data_av</obj_property>
  543. </wvobject>
  544. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/Data">
  545. <obj_property name="ElementShortName">Data[0:6]</obj_property>
  546. <obj_property name="ObjectShortName">Data[0:6]</obj_property>
  547. <obj_property name="Radix">BINARYRADIX</obj_property>
  548. </wvobject>
  549. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/STD_IN">
  550. <obj_property name="ElementShortName">STD_IN[15:0]</obj_property>
  551. <obj_property name="ObjectShortName">STD_IN[15:0]</obj_property>
  552. </wvobject>
  553. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/STD_IN_Av">
  554. <obj_property name="ElementShortName">STD_IN_Av</obj_property>
  555. <obj_property name="ObjectShortName">STD_IN_Av</obj_property>
  556. </wvobject>
  557. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/STD_IN_Request">
  558. <obj_property name="ElementShortName">STD_IN_Request</obj_property>
  559. <obj_property name="ObjectShortName">STD_IN_Request</obj_property>
  560. </wvobject>
  561. <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/STD_OUT">
  562. <obj_property name="ElementShortName">STD_OUT[15:0]</obj_property>
  563. <obj_property name="ObjectShortName">STD_OUT[15:0]</obj_property>
  564. <obj_property name="Radix">HEXRADIX</obj_property>
  565. </wvobject>
  566. <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/STD_OUT_Av">
  567. <obj_property name="ElementShortName">STD_OUT_Av</obj_property>
  568. <obj_property name="ObjectShortName">STD_OUT_Av</obj_property>
  569. </wvobject>
  570. <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/intern_value">
  571. <obj_property name="ElementShortName">intern_value</obj_property>
  572. <obj_property name="ObjectShortName">intern_value</obj_property>
  573. </wvobject>
  574. </wvobject>
  575. </wvobject>
  576. </wave_config>