No Description
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

Processeur.xpr 19KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497
  1. <?xml version="1.0" encoding="UTF-8"?>
  2. <!-- Product Version: Vivado v2018.2 (64-bit) -->
  3. <!-- -->
  4. <!-- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. -->
  5. <Project Version="7" Minor="38" Path="/home/pfaure/Documents/PSI/Processeur/Processeur.xpr">
  6. <DefaultLaunch Dir="$PRUNDIR"/>
  7. <Configuration>
  8. <Option Name="Id" Val="c2fc77f80b2a4a04afc3ac9eb7900c74"/>
  9. <Option Name="Part" Val="xc7a35tcpg236-1"/>
  10. <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>
  11. <Option Name="CompiledLibDirXSim" Val=""/>
  12. <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/>
  13. <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/>
  14. <Option Name="CompiledLibDirIES" Val="$PCACHEDIR/compile_simlib/ies"/>
  15. <Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/>
  16. <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/>
  17. <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/>
  18. <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/>
  19. <Option Name="TargetLanguage" Val="VHDL"/>
  20. <Option Name="SimulatorLanguage" Val="VHDL"/>
  21. <Option Name="BoardPart" Val=""/>
  22. <Option Name="SourceMgmtMode" Val="DisplayOnly"/>
  23. <Option Name="ActiveSimSet" Val="sim_1"/>
  24. <Option Name="DefaultLib" Val="xil_defaultlib"/>
  25. <Option Name="ProjectType" Val="Default"/>
  26. <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/>
  27. <Option Name="IPCachePermission" Val="read"/>
  28. <Option Name="IPCachePermission" Val="write"/>
  29. <Option Name="EnableCoreContainer" Val="FALSE"/>
  30. <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/>
  31. <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/>
  32. <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>
  33. <Option Name="EnableBDX" Val="FALSE"/>
  34. <Option Name="DSAVendor" Val="xilinx"/>
  35. <Option Name="DSABoardId" Val="basys3"/>
  36. <Option Name="DSANumComputeUnits" Val="16"/>
  37. <Option Name="WTXSimLaunchSim" Val="603"/>
  38. <Option Name="WTModelSimLaunchSim" Val="0"/>
  39. <Option Name="WTQuestaLaunchSim" Val="0"/>
  40. <Option Name="WTIesLaunchSim" Val="0"/>
  41. <Option Name="WTVcsLaunchSim" Val="0"/>
  42. <Option Name="WTRivieraLaunchSim" Val="0"/>
  43. <Option Name="WTActivehdlLaunchSim" Val="0"/>
  44. <Option Name="WTXSimExportSim" Val="2"/>
  45. <Option Name="WTModelSimExportSim" Val="2"/>
  46. <Option Name="WTQuestaExportSim" Val="2"/>
  47. <Option Name="WTIesExportSim" Val="2"/>
  48. <Option Name="WTVcsExportSim" Val="2"/>
  49. <Option Name="WTRivieraExportSim" Val="2"/>
  50. <Option Name="WTActivehdlExportSim" Val="2"/>
  51. <Option Name="GenerateIPUpgradeLog" Val="TRUE"/>
  52. <Option Name="XSimRadix" Val="hex"/>
  53. <Option Name="XSimTimeUnit" Val="ns"/>
  54. <Option Name="XSimArrayDisplayLimit" Val="64"/>
  55. <Option Name="XSimTraceLimit" Val="65536"/>
  56. <Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/>
  57. </Configuration>
  58. <FileSets Version="1" Minor="31">
  59. <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1">
  60. <Filter Type="Srcs"/>
  61. <File Path="$PSRCDIR/sources_1/new/ALU.vhd">
  62. <FileInfo>
  63. <Attr Name="UsedIn" Val="synthesis"/>
  64. <Attr Name="UsedIn" Val="simulation"/>
  65. </FileInfo>
  66. </File>
  67. <File Path="$PSRCDIR/sources_1/new/BancRegistres.vhd">
  68. <FileInfo>
  69. <Attr Name="UsedIn" Val="synthesis"/>
  70. <Attr Name="UsedIn" Val="simulation"/>
  71. </FileInfo>
  72. </File>
  73. <File Path="$PSRCDIR/sources_1/new/MemoireAdressesRetour.vhd">
  74. <FileInfo>
  75. <Attr Name="UsedIn" Val="synthesis"/>
  76. <Attr Name="UsedIn" Val="simulation"/>
  77. </FileInfo>
  78. </File>
  79. <File Path="$PSRCDIR/sources_1/new/MemoireInstructions.vhd">
  80. <FileInfo>
  81. <Attr Name="UsedIn" Val="synthesis"/>
  82. <Attr Name="UsedIn" Val="simulation"/>
  83. </FileInfo>
  84. </File>
  85. <File Path="$PSRCDIR/sources_1/new/MemoireDonnees.vhd">
  86. <FileInfo>
  87. <Attr Name="UsedIn" Val="synthesis"/>
  88. <Attr Name="UsedIn" Val="simulation"/>
  89. </FileInfo>
  90. </File>
  91. <File Path="$PSRCDIR/sources_1/new/MUX.vhd">
  92. <FileInfo>
  93. <Attr Name="UsedIn" Val="synthesis"/>
  94. <Attr Name="UsedIn" Val="simulation"/>
  95. </FileInfo>
  96. </File>
  97. <File Path="$PSRCDIR/sources_1/new/LC.vhd">
  98. <FileInfo>
  99. <Attr Name="UsedIn" Val="synthesis"/>
  100. <Attr Name="UsedIn" Val="simulation"/>
  101. </FileInfo>
  102. </File>
  103. <File Path="$PSRCDIR/sources_1/new/Etage1_LectureInstruction_NS.vhd">
  104. <FileInfo>
  105. <Attr Name="UsedIn" Val="synthesis"/>
  106. <Attr Name="UsedIn" Val="simulation"/>
  107. </FileInfo>
  108. </File>
  109. <File Path="$PSRCDIR/sources_1/new/Etage1_LectureInstruction.vhd">
  110. <FileInfo>
  111. <Attr Name="UsedIn" Val="synthesis"/>
  112. <Attr Name="UsedIn" Val="simulation"/>
  113. </FileInfo>
  114. </File>
  115. <File Path="$PSRCDIR/sources_1/new/Etage2-5_Registres.vhd">
  116. <FileInfo>
  117. <Attr Name="UsedIn" Val="synthesis"/>
  118. <Attr Name="UsedIn" Val="simulation"/>
  119. </FileInfo>
  120. </File>
  121. <File Path="$PSRCDIR/sources_1/new/Etage3_Calcul.vhd">
  122. <FileInfo>
  123. <Attr Name="UsedIn" Val="synthesis"/>
  124. <Attr Name="UsedIn" Val="simulation"/>
  125. </FileInfo>
  126. </File>
  127. <File Path="$PSRCDIR/sources_1/new/Etage4_Memoire_NS.vhd">
  128. <FileInfo>
  129. <Attr Name="UsedIn" Val="synthesis"/>
  130. <Attr Name="UsedIn" Val="simulation"/>
  131. </FileInfo>
  132. </File>
  133. <File Path="$PSRCDIR/sources_1/new/Etage4_Memoire.vhd">
  134. <FileInfo>
  135. <Attr Name="UsedIn" Val="synthesis"/>
  136. <Attr Name="UsedIn" Val="simulation"/>
  137. </FileInfo>
  138. </File>
  139. <File Path="$PSRCDIR/sources_1/new/Pipeline_NS.vhd">
  140. <FileInfo>
  141. <Attr Name="UsedIn" Val="synthesis"/>
  142. <Attr Name="UsedIn" Val="simulation"/>
  143. </FileInfo>
  144. </File>
  145. <File Path="$PSRCDIR/sources_1/new/Pipeline.vhd">
  146. <FileInfo>
  147. <Attr Name="UsedIn" Val="synthesis"/>
  148. <Attr Name="UsedIn" Val="simulation"/>
  149. </FileInfo>
  150. </File>
  151. <File Path="$PSRCDIR/sources_1/new/Clock_Divider.vhd">
  152. <FileInfo>
  153. <Attr Name="UsedIn" Val="synthesis"/>
  154. <Attr Name="UsedIn" Val="simulation"/>
  155. </FileInfo>
  156. </File>
  157. <File Path="$PSRCDIR/sources_1/new/clk_wiz_0_clk_wiz.vhd">
  158. <FileInfo>
  159. <Attr Name="UsedIn" Val="synthesis"/>
  160. <Attr Name="UsedIn" Val="simulation"/>
  161. </FileInfo>
  162. </File>
  163. <File Path="$PSRCDIR/sources_1/new/clk_wiz_0.vhd">
  164. <FileInfo>
  165. <Attr Name="UsedIn" Val="synthesis"/>
  166. <Attr Name="UsedIn" Val="simulation"/>
  167. </FileInfo>
  168. </File>
  169. <File Path="$PSRCDIR/sources_1/new/ScreenProperties.vhd">
  170. <FileInfo>
  171. <Attr Name="UsedIn" Val="synthesis"/>
  172. <Attr Name="UsedIn" Val="simulation"/>
  173. </FileInfo>
  174. </File>
  175. <File Path="$PSRCDIR/sources_1/new/VGAControler.vhd">
  176. <FileInfo>
  177. <Attr Name="UsedIn" Val="synthesis"/>
  178. <Attr Name="UsedIn" Val="simulation"/>
  179. </FileInfo>
  180. </File>
  181. <File Path="$PSRCDIR/sources_1/new/font.vhd">
  182. <FileInfo>
  183. <Attr Name="UsedIn" Val="synthesis"/>
  184. <Attr Name="UsedIn" Val="simulation"/>
  185. </FileInfo>
  186. </File>
  187. <File Path="$PSRCDIR/sources_1/new/TableASCII.vhd">
  188. <FileInfo>
  189. <Attr Name="UsedIn" Val="synthesis"/>
  190. <Attr Name="UsedIn" Val="simulation"/>
  191. </FileInfo>
  192. </File>
  193. <File Path="$PSRCDIR/sources_1/new/Ecran.vhd">
  194. <FileInfo>
  195. <Attr Name="UsedIn" Val="synthesis"/>
  196. <Attr Name="UsedIn" Val="simulation"/>
  197. </FileInfo>
  198. </File>
  199. <File Path="$PSRCDIR/sources_1/new/System.vhd">
  200. <FileInfo SFType="VHDL2008">
  201. <Attr Name="UsedIn" Val="simulation"/>
  202. <Attr Name="UsedIn" Val="synthesis"/>
  203. </FileInfo>
  204. </File>
  205. <File Path="$PSRCDIR/sources_1/new/Keyboard.vhd">
  206. <FileInfo>
  207. <Attr Name="UsedIn" Val="synthesis"/>
  208. <Attr Name="UsedIn" Val="simulation"/>
  209. </FileInfo>
  210. </File>
  211. <File Path="$PSRCDIR/sources_1/new/KeyboardControler.vhd">
  212. <FileInfo>
  213. <Attr Name="UsedIn" Val="synthesis"/>
  214. <Attr Name="UsedIn" Val="simulation"/>
  215. </FileInfo>
  216. </File>
  217. <File Path="$PSRCDIR/sources_1/new/SystemKeyboardScreen.vhd">
  218. <FileInfo>
  219. <Attr Name="UsedIn" Val="synthesis"/>
  220. <Attr Name="UsedIn" Val="simulation"/>
  221. </FileInfo>
  222. </File>
  223. <File Path="$PSRCDIR/sources_1/new/KeyboardToASCII.vhd">
  224. <FileInfo>
  225. <Attr Name="UsedIn" Val="synthesis"/>
  226. <Attr Name="UsedIn" Val="simulation"/>
  227. </FileInfo>
  228. </File>
  229. <File Path="$PSRCDIR/sources_1/new/Compteur_Y.vhd">
  230. <FileInfo>
  231. <Attr Name="UsedIn" Val="synthesis"/>
  232. <Attr Name="UsedIn" Val="simulation"/>
  233. </FileInfo>
  234. </File>
  235. <File Path="$PSRCDIR/sources_1/new/Compteur_X.vhd">
  236. <FileInfo>
  237. <Attr Name="UsedIn" Val="synthesis"/>
  238. <Attr Name="UsedIn" Val="simulation"/>
  239. </FileInfo>
  240. </File>
  241. <File Path="$PSRCDIR/sources_1/new/ScreenDriver.vhd">
  242. <FileInfo>
  243. <Attr Name="UsedIn" Val="synthesis"/>
  244. <Attr Name="UsedIn" Val="simulation"/>
  245. </FileInfo>
  246. </File>
  247. <File Path="$PSRCDIR/sources_1/new/PeripheriqueEcran.vhd">
  248. <FileInfo>
  249. <Attr Name="UsedIn" Val="synthesis"/>
  250. <Attr Name="UsedIn" Val="simulation"/>
  251. </FileInfo>
  252. </File>
  253. <File Path="$PSRCDIR/sources_1/new/PeripheriqueClavier.vhd">
  254. <FileInfo>
  255. <Attr Name="UsedIn" Val="synthesis"/>
  256. <Attr Name="UsedIn" Val="simulation"/>
  257. </FileInfo>
  258. </File>
  259. <File Path="$PSRCDIR/sources_1/new/KeyboardDriver.vhd">
  260. <FileInfo>
  261. <Attr Name="UsedIn" Val="synthesis"/>
  262. <Attr Name="UsedIn" Val="simulation"/>
  263. </FileInfo>
  264. </File>
  265. <Config>
  266. <Option Name="DesignMode" Val="RTL"/>
  267. <Option Name="TopModule" Val="System"/>
  268. </Config>
  269. </FileSet>
  270. <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">
  271. <Filter Type="Constrs"/>
  272. <File Path="$PSRCDIR/constrs_1/imports/digilent-xdc-master/Basys-3-Master.xdc">
  273. <FileInfo>
  274. <Attr Name="ImportPath" Val="$PPRDIR/../../../../../Xilinx/digilent-xdc-master/Basys-3-Master.xdc"/>
  275. <Attr Name="ImportTime" Val="1614979917"/>
  276. <Attr Name="UsedIn" Val="synthesis"/>
  277. <Attr Name="UsedIn" Val="implementation"/>
  278. </FileInfo>
  279. </File>
  280. <Config>
  281. <Option Name="ConstrsType" Val="XDC"/>
  282. </Config>
  283. </FileSet>
  284. <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1">
  285. <Filter Type="Srcs"/>
  286. <File Path="$PSRCDIR/sim_1/new/TestMemoireDonnees.vhd">
  287. <FileInfo>
  288. <Attr Name="UsedIn" Val="synthesis"/>
  289. <Attr Name="UsedIn" Val="simulation"/>
  290. </FileInfo>
  291. </File>
  292. <File Path="$PSRCDIR/sim_1/new/TestMemoireAdressesRetour.vhd">
  293. <FileInfo>
  294. <Attr Name="UsedIn" Val="synthesis"/>
  295. <Attr Name="UsedIn" Val="simulation"/>
  296. </FileInfo>
  297. </File>
  298. <File Path="$PSRCDIR/sim_1/new/TestBancRegistres.vhd">
  299. <FileInfo>
  300. <Attr Name="UsedIn" Val="synthesis"/>
  301. <Attr Name="UsedIn" Val="simulation"/>
  302. </FileInfo>
  303. </File>
  304. <File Path="$PSRCDIR/sim_1/new/TestALU.vhd">
  305. <FileInfo>
  306. <Attr Name="UsedIn" Val="synthesis"/>
  307. <Attr Name="UsedIn" Val="simulation"/>
  308. </FileInfo>
  309. </File>
  310. <File Path="$PSRCDIR/sim_1/new/TestMemoireInstructions.vhd">
  311. <FileInfo>
  312. <Attr Name="UsedIn" Val="synthesis"/>
  313. <Attr Name="UsedIn" Val="simulation"/>
  314. </FileInfo>
  315. </File>
  316. <File Path="$PSRCDIR/sim_1/new/Test_LC.vhd">
  317. <FileInfo>
  318. <Attr Name="UsedIn" Val="synthesis"/>
  319. <Attr Name="UsedIn" Val="simulation"/>
  320. </FileInfo>
  321. </File>
  322. <File Path="$PSRCDIR/sim_1/new/Test_MUX.vhd">
  323. <FileInfo>
  324. <Attr Name="UsedIn" Val="synthesis"/>
  325. <Attr Name="UsedIn" Val="simulation"/>
  326. </FileInfo>
  327. </File>
  328. <File Path="$PSRCDIR/sim_1/new/Test_Etape1_LectureInstruction.vhd">
  329. <FileInfo>
  330. <Attr Name="UsedIn" Val="synthesis"/>
  331. <Attr Name="UsedIn" Val="simulation"/>
  332. </FileInfo>
  333. </File>
  334. <File Path="$PSRCDIR/sim_1/new/Test_Etage3_Calcul.vhd">
  335. <FileInfo>
  336. <Attr Name="UsedIn" Val="synthesis"/>
  337. <Attr Name="UsedIn" Val="simulation"/>
  338. </FileInfo>
  339. </File>
  340. <File Path="$PSRCDIR/sim_1/new/Test_Etage4_Memoire.vhd">
  341. <FileInfo>
  342. <Attr Name="UsedIn" Val="synthesis"/>
  343. <Attr Name="UsedIn" Val="simulation"/>
  344. </FileInfo>
  345. </File>
  346. <File Path="$PSRCDIR/sim_1/new/Test_Etage2_5_Registres.vhd">
  347. <FileInfo>
  348. <Attr Name="UsedIn" Val="synthesis"/>
  349. <Attr Name="UsedIn" Val="simulation"/>
  350. </FileInfo>
  351. </File>
  352. <File Path="$PSRCDIR/sim_1/new/Test_Pipeline.vhd">
  353. <FileInfo>
  354. <Attr Name="UsedIn" Val="synthesis"/>
  355. <Attr Name="UsedIn" Val="simulation"/>
  356. </FileInfo>
  357. </File>
  358. <File Path="$PPRDIR/SimulationsConfig/Test_Etage4_Memoire_behav.wcfg">
  359. <FileInfo>
  360. <Attr Name="UsedIn" Val="simulation"/>
  361. </FileInfo>
  362. </File>
  363. <File Path="$PSRCDIR/sim_1/new/Test_Ecran.vhd">
  364. <FileInfo>
  365. <Attr Name="UsedIn" Val="synthesis"/>
  366. <Attr Name="UsedIn" Val="simulation"/>
  367. </FileInfo>
  368. </File>
  369. <File Path="$PSRCDIR/sim_1/new/Test_VGAControler.vhd">
  370. <FileInfo>
  371. <Attr Name="UsedIn" Val="synthesis"/>
  372. <Attr Name="UsedIn" Val="simulation"/>
  373. </FileInfo>
  374. </File>
  375. <File Path="$PSRCDIR/sim_1/new/TestTableASCII.vhd">
  376. <FileInfo>
  377. <Attr Name="UsedIn" Val="synthesis"/>
  378. <Attr Name="UsedIn" Val="simulation"/>
  379. </FileInfo>
  380. </File>
  381. <File Path="$PSRCDIR/sim_1/new/Test_KeyboardControler.vhd">
  382. <FileInfo>
  383. <Attr Name="UsedIn" Val="synthesis"/>
  384. <Attr Name="UsedIn" Val="simulation"/>
  385. </FileInfo>
  386. </File>
  387. <File Path="$PSRCDIR/sim_1/new/Test_Keyboard.vhd">
  388. <FileInfo>
  389. <Attr Name="UsedIn" Val="synthesis"/>
  390. <Attr Name="UsedIn" Val="simulation"/>
  391. </FileInfo>
  392. </File>
  393. <File Path="$PSRCDIR/sim_1/new/Test_Compteur.vhd">
  394. <FileInfo>
  395. <Attr Name="UsedIn" Val="synthesis"/>
  396. <Attr Name="UsedIn" Val="simulation"/>
  397. </FileInfo>
  398. </File>
  399. <File Path="$PSRCDIR/sim_1/new/Test_SystemKeyboardScreen.vhd">
  400. <FileInfo>
  401. <Attr Name="UsedIn" Val="synthesis"/>
  402. <Attr Name="UsedIn" Val="simulation"/>
  403. </FileInfo>
  404. </File>
  405. <File Path="$PSRCDIR/sim_1/new/TestScreenDriver.vhd">
  406. <FileInfo>
  407. <Attr Name="UsedIn" Val="synthesis"/>
  408. <Attr Name="UsedIn" Val="simulation"/>
  409. </FileInfo>
  410. </File>
  411. <File Path="$PSRCDIR/sim_1/new/TestSystem.vhd">
  412. <FileInfo>
  413. <Attr Name="UsedIn" Val="synthesis"/>
  414. <Attr Name="UsedIn" Val="simulation"/>
  415. </FileInfo>
  416. </File>
  417. <File Path="$PPRDIR/SimulationsConfig/TestSystem_behav.wcfg">
  418. <FileInfo>
  419. <Attr Name="UsedIn" Val="simulation"/>
  420. </FileInfo>
  421. </File>
  422. <Config>
  423. <Option Name="DesignMode" Val="RTL"/>
  424. <Option Name="TopModule" Val="TestSystem"/>
  425. <Option Name="TopLib" Val="xil_defaultlib"/>
  426. <Option Name="TransportPathDelay" Val="0"/>
  427. <Option Name="TransportIntDelay" Val="0"/>
  428. <Option Name="SrcSet" Val="sources_1"/>
  429. <Option Name="XSimWcfgFile" Val="$PSIMDIR/sim_1/behav/xsim.dir/Test_Pipeline_behav/webtalk/Test_Pipeline_behav.wcfg"/>
  430. <Option Name="XSimWcfgFile" Val="$PSIMDIR/sim_1/behav/Test_Pipeline_behav.wcfg"/>
  431. <Option Name="XSimWcfgFile" Val="$PSIMDIR/sim_1/behav/Test_Pipeline_behav.wcfg"/>
  432. <Option Name="XSimWcfgFile" Val="$PPRDIR/SimulationsConfig/Test_Etage4_Memoire_behav.wcfg"/>
  433. <Option Name="XSimWcfgFile" Val="$PPRDIR/SimulationsConfig/TestSystem_behav.wcfg"/>
  434. </Config>
  435. </FileSet>
  436. </FileSets>
  437. <Simulators>
  438. <Simulator Name="XSim">
  439. <Option Name="Description" Val="Vivado Simulator"/>
  440. <Option Name="CompiledLib" Val="0"/>
  441. </Simulator>
  442. <Simulator Name="ModelSim">
  443. <Option Name="Description" Val="ModelSim Simulator"/>
  444. </Simulator>
  445. <Simulator Name="Questa">
  446. <Option Name="Description" Val="Questa Advanced Simulator"/>
  447. </Simulator>
  448. <Simulator Name="IES">
  449. <Option Name="Description" Val="Incisive Enterprise Simulator (IES)"/>
  450. </Simulator>
  451. <Simulator Name="Xcelium">
  452. <Option Name="Description" Val="Xcelium Parallel Simulator"/>
  453. </Simulator>
  454. <Simulator Name="VCS">
  455. <Option Name="Description" Val="Verilog Compiler Simulator (VCS)"/>
  456. </Simulator>
  457. <Simulator Name="Riviera">
  458. <Option Name="Description" Val="Riviera-PRO Simulator"/>
  459. </Simulator>
  460. </Simulators>
  461. <Runs Version="1" Minor="10">
  462. <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a35tcpg236-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true">
  463. <Strategy Version="1" Minor="2">
  464. <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2016"/>
  465. <Step Id="synth_design"/>
  466. </Strategy>
  467. <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
  468. <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2017"/>
  469. <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
  470. </Run>
  471. <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a35tcpg236-1" ConstrsSet="constrs_1" Description="Spread logic throughout the device to avoid creating congested regions. (medium setting)" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" GenFullBitstream="false">
  472. <Strategy Version="1" Minor="2">
  473. <StratHandle Name="Congestion_SpreadLogic_medium" Flow="Vivado Implementation 2018"/>
  474. <Step Id="init_design"/>
  475. <Step Id="opt_design"/>
  476. <Step Id="power_opt_design"/>
  477. <Step Id="place_design">
  478. <Option Id="Directive">5</Option>
  479. </Step>
  480. <Step Id="post_place_power_opt_design"/>
  481. <Step Id="phys_opt_design" EnableStepBool="1">
  482. <Option Id="Directive">0</Option>
  483. </Step>
  484. <Step Id="route_design">
  485. <Option Id="Directive">7</Option>
  486. </Step>
  487. <Step Id="post_route_phys_opt_design"/>
  488. <Step Id="write_bitstream"/>
  489. </Strategy>
  490. <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
  491. <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2018"/>
  492. <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
  493. </Run>
  494. </Runs>
  495. <Board/>
  496. </Project>