No Description
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

Processeur.xpr 12KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302
  1. <?xml version="1.0" encoding="UTF-8"?>
  2. <!-- Product Version: Vivado v2016.4 (64-bit) -->
  3. <!-- -->
  4. <!-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -->
  5. <Project Version="7" Minor="17" Path="/home/paulfaure/Documents/4A/PSI/Processeur/Processeur.xpr">
  6. <DefaultLaunch Dir="$PRUNDIR"/>
  7. <Configuration>
  8. <Option Name="Id" Val="c2fc77f80b2a4a04afc3ac9eb7900c74"/>
  9. <Option Name="Part" Val="xc7a35tcpg236-1"/>
  10. <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>
  11. <Option Name="CompiledLibDirXSim" Val=""/>
  12. <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/>
  13. <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/>
  14. <Option Name="CompiledLibDirIES" Val="$PCACHEDIR/compile_simlib/ies"/>
  15. <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/>
  16. <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/>
  17. <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/>
  18. <Option Name="TargetLanguage" Val="VHDL"/>
  19. <Option Name="SimulatorLanguage" Val="VHDL"/>
  20. <Option Name="BoardPart" Val=""/>
  21. <Option Name="SourceMgmtMode" Val="DisplayOnly"/>
  22. <Option Name="ActiveSimSet" Val="sim_1"/>
  23. <Option Name="DefaultLib" Val="xil_defaultlib"/>
  24. <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/>
  25. <Option Name="IPCachePermission" Val="read"/>
  26. <Option Name="IPCachePermission" Val="write"/>
  27. <Option Name="EnableCoreContainer" Val="FALSE"/>
  28. <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/>
  29. <Option Name="IPUserFilesDir" Val="$PPRDIR/Processeur.ip_user_files"/>
  30. <Option Name="IPStaticSourceDir" Val="$PPRDIR/Processeur.ip_user_files/ipstatic"/>
  31. <Option Name="EnableBDX" Val="FALSE"/>
  32. <Option Name="DSABoardId" Val="basys3"/>
  33. <Option Name="DSANumComputeUnits" Val="16"/>
  34. <Option Name="WTXSimLaunchSim" Val="339"/>
  35. <Option Name="WTModelSimLaunchSim" Val="0"/>
  36. <Option Name="WTQuestaLaunchSim" Val="0"/>
  37. <Option Name="WTIesLaunchSim" Val="0"/>
  38. <Option Name="WTVcsLaunchSim" Val="0"/>
  39. <Option Name="WTRivieraLaunchSim" Val="0"/>
  40. <Option Name="WTActivehdlLaunchSim" Val="0"/>
  41. <Option Name="WTXSimExportSim" Val="0"/>
  42. <Option Name="WTModelSimExportSim" Val="0"/>
  43. <Option Name="WTQuestaExportSim" Val="0"/>
  44. <Option Name="WTIesExportSim" Val="0"/>
  45. <Option Name="WTVcsExportSim" Val="0"/>
  46. <Option Name="WTRivieraExportSim" Val="0"/>
  47. <Option Name="WTActivehdlExportSim" Val="0"/>
  48. <Option Name="GenerateIPUpgradeLog" Val="TRUE"/>
  49. <Option Name="XSimRadix" Val="hex"/>
  50. <Option Name="XSimTimeUnit" Val="ns"/>
  51. <Option Name="XSimArrayDisplayLimit" Val="64"/>
  52. <Option Name="XSimTraceLimit" Val="65536"/>
  53. </Configuration>
  54. <FileSets Version="1" Minor="31">
  55. <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1">
  56. <Filter Type="Srcs"/>
  57. <File Path="$PSRCDIR/sources_1/new/ALU.vhd">
  58. <FileInfo>
  59. <Attr Name="UsedIn" Val="synthesis"/>
  60. <Attr Name="UsedIn" Val="simulation"/>
  61. </FileInfo>
  62. </File>
  63. <File Path="$PSRCDIR/sources_1/new/System.vhd">
  64. <FileInfo>
  65. <Attr Name="UsedIn" Val="synthesis"/>
  66. <Attr Name="UsedIn" Val="simulation"/>
  67. </FileInfo>
  68. </File>
  69. <File Path="$PSRCDIR/sources_1/new/BancRegistres.vhd">
  70. <FileInfo>
  71. <Attr Name="UsedIn" Val="synthesis"/>
  72. <Attr Name="UsedIn" Val="simulation"/>
  73. </FileInfo>
  74. </File>
  75. <File Path="$PSRCDIR/sources_1/new/MemoireAdressesRetour.vhd">
  76. <FileInfo>
  77. <Attr Name="UsedIn" Val="synthesis"/>
  78. <Attr Name="UsedIn" Val="simulation"/>
  79. </FileInfo>
  80. </File>
  81. <File Path="$PSRCDIR/sources_1/new/MemoireInstructions.vhd">
  82. <FileInfo>
  83. <Attr Name="UsedIn" Val="synthesis"/>
  84. <Attr Name="UsedIn" Val="simulation"/>
  85. </FileInfo>
  86. </File>
  87. <File Path="$PSRCDIR/sources_1/new/MemoireDonnees.vhd">
  88. <FileInfo>
  89. <Attr Name="UsedIn" Val="synthesis"/>
  90. <Attr Name="UsedIn" Val="simulation"/>
  91. </FileInfo>
  92. </File>
  93. <File Path="$PSRCDIR/sources_1/new/MUX.vhd">
  94. <FileInfo>
  95. <Attr Name="UsedIn" Val="synthesis"/>
  96. <Attr Name="UsedIn" Val="simulation"/>
  97. </FileInfo>
  98. </File>
  99. <File Path="$PSRCDIR/sources_1/new/LC.vhd">
  100. <FileInfo>
  101. <Attr Name="UsedIn" Val="synthesis"/>
  102. <Attr Name="UsedIn" Val="simulation"/>
  103. </FileInfo>
  104. </File>
  105. <File Path="$PSRCDIR/sources_1/new/Etage2-5_Registres.vhd">
  106. <FileInfo>
  107. <Attr Name="UsedIn" Val="synthesis"/>
  108. <Attr Name="UsedIn" Val="simulation"/>
  109. </FileInfo>
  110. </File>
  111. <File Path="$PSRCDIR/sources_1/new/Etage3_Calcul.vhd">
  112. <FileInfo>
  113. <Attr Name="UsedIn" Val="synthesis"/>
  114. <Attr Name="UsedIn" Val="simulation"/>
  115. </FileInfo>
  116. </File>
  117. <File Path="$PSRCDIR/sources_1/new/Clock_Divider.vhd">
  118. <FileInfo>
  119. <Attr Name="UsedIn" Val="synthesis"/>
  120. <Attr Name="UsedIn" Val="simulation"/>
  121. </FileInfo>
  122. </File>
  123. <File Path="$PSRCDIR/sources_1/new/Etage4_Memoire_NS.vhd">
  124. <FileInfo>
  125. <Attr Name="UsedIn" Val="synthesis"/>
  126. <Attr Name="UsedIn" Val="simulation"/>
  127. </FileInfo>
  128. </File>
  129. <File Path="$PSRCDIR/sources_1/new/Pipeline_NS.vhd">
  130. <FileInfo>
  131. <Attr Name="UsedIn" Val="synthesis"/>
  132. <Attr Name="UsedIn" Val="simulation"/>
  133. </FileInfo>
  134. </File>
  135. <File Path="$PSRCDIR/sources_1/new/Etage1_LectureInstruction_NS.vhd">
  136. <FileInfo>
  137. <Attr Name="UsedIn" Val="synthesis"/>
  138. <Attr Name="UsedIn" Val="simulation"/>
  139. </FileInfo>
  140. </File>
  141. <Config>
  142. <Option Name="DesignMode" Val="RTL"/>
  143. <Option Name="TopModule" Val="System"/>
  144. <Option Name="TopAutoSet" Val="TRUE"/>
  145. </Config>
  146. </FileSet>
  147. <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">
  148. <Filter Type="Constrs"/>
  149. <File Path="$PSRCDIR/constrs_1/imports/digilent-xdc-master/Basys-3-Master.xdc">
  150. <FileInfo>
  151. <Attr Name="ImportPath" Val="$PPRDIR/../../../../../Xilinx/digilent-xdc-master/Basys-3-Master.xdc"/>
  152. <Attr Name="ImportTime" Val="1614979917"/>
  153. <Attr Name="UsedIn" Val="synthesis"/>
  154. <Attr Name="UsedIn" Val="implementation"/>
  155. </FileInfo>
  156. </File>
  157. <Config>
  158. <Option Name="ConstrsType" Val="XDC"/>
  159. </Config>
  160. </FileSet>
  161. <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1">
  162. <Filter Type="Srcs"/>
  163. <File Path="$PSRCDIR/sim_1/new/TestMemoireDonnees.vhd">
  164. <FileInfo>
  165. <Attr Name="UsedIn" Val="synthesis"/>
  166. <Attr Name="UsedIn" Val="simulation"/>
  167. </FileInfo>
  168. </File>
  169. <File Path="$PSRCDIR/sim_1/new/TestMemoireAdressesRetour.vhd">
  170. <FileInfo>
  171. <Attr Name="UsedIn" Val="synthesis"/>
  172. <Attr Name="UsedIn" Val="simulation"/>
  173. </FileInfo>
  174. </File>
  175. <File Path="$PSRCDIR/sim_1/new/TestBancRegistres.vhd">
  176. <FileInfo>
  177. <Attr Name="UsedIn" Val="synthesis"/>
  178. <Attr Name="UsedIn" Val="simulation"/>
  179. </FileInfo>
  180. </File>
  181. <File Path="$PSRCDIR/sim_1/new/TestALU.vhd">
  182. <FileInfo>
  183. <Attr Name="UsedIn" Val="synthesis"/>
  184. <Attr Name="UsedIn" Val="simulation"/>
  185. </FileInfo>
  186. </File>
  187. <File Path="$PSRCDIR/sim_1/new/TestMemoireInstructions.vhd">
  188. <FileInfo>
  189. <Attr Name="UsedIn" Val="synthesis"/>
  190. <Attr Name="UsedIn" Val="simulation"/>
  191. </FileInfo>
  192. </File>
  193. <File Path="$PSRCDIR/sim_1/new/Test_LC.vhd">
  194. <FileInfo>
  195. <Attr Name="UsedIn" Val="synthesis"/>
  196. <Attr Name="UsedIn" Val="simulation"/>
  197. </FileInfo>
  198. </File>
  199. <File Path="$PSRCDIR/sim_1/new/Test_MUX.vhd">
  200. <FileInfo>
  201. <Attr Name="UsedIn" Val="synthesis"/>
  202. <Attr Name="UsedIn" Val="simulation"/>
  203. </FileInfo>
  204. </File>
  205. <File Path="$PSRCDIR/sim_1/new/Test_Etape1_LectureInstruction.vhd">
  206. <FileInfo>
  207. <Attr Name="UsedIn" Val="synthesis"/>
  208. <Attr Name="UsedIn" Val="simulation"/>
  209. </FileInfo>
  210. </File>
  211. <File Path="$PSRCDIR/sim_1/new/Test_Etage3_Calcul.vhd">
  212. <FileInfo>
  213. <Attr Name="UsedIn" Val="synthesis"/>
  214. <Attr Name="UsedIn" Val="simulation"/>
  215. </FileInfo>
  216. </File>
  217. <File Path="$PSRCDIR/sim_1/new/Test_Etage4_Memoire.vhd">
  218. <FileInfo>
  219. <Attr Name="UsedIn" Val="synthesis"/>
  220. <Attr Name="UsedIn" Val="simulation"/>
  221. </FileInfo>
  222. </File>
  223. <File Path="$PSRCDIR/sim_1/new/Test_Etage2_5_Registres.vhd">
  224. <FileInfo>
  225. <Attr Name="UsedIn" Val="synthesis"/>
  226. <Attr Name="UsedIn" Val="simulation"/>
  227. </FileInfo>
  228. </File>
  229. <File Path="$PSRCDIR/sim_1/new/Test_Pipeline.vhd">
  230. <FileInfo>
  231. <Attr Name="UsedIn" Val="synthesis"/>
  232. <Attr Name="UsedIn" Val="simulation"/>
  233. </FileInfo>
  234. </File>
  235. <File Path="$PPRDIR/SimulationsConfig/Test_Etage4_Memoire_behav.wcfg">
  236. <FileInfo>
  237. <Attr Name="UsedIn" Val="simulation"/>
  238. </FileInfo>
  239. </File>
  240. <Config>
  241. <Option Name="DesignMode" Val="RTL"/>
  242. <Option Name="TopModule" Val="Test_Pipeline"/>
  243. <Option Name="TopLib" Val="xil_defaultlib"/>
  244. <Option Name="TransportPathDelay" Val="0"/>
  245. <Option Name="TransportIntDelay" Val="0"/>
  246. <Option Name="SrcSet" Val="sources_1"/>
  247. <Option Name="XSimWcfgFile" Val="$PSIMDIR/sim_1/behav/xsim.dir/Test_Pipeline_behav/webtalk/Test_Pipeline_behav.wcfg"/>
  248. <Option Name="XSimWcfgFile" Val="$PSIMDIR/sim_1/behav/Test_Pipeline_behav.wcfg"/>
  249. <Option Name="XSimWcfgFile" Val="$PSIMDIR/sim_1/behav/Test_Pipeline_behav.wcfg"/>
  250. <Option Name="XSimWcfgFile" Val="$PPRDIR/SimulationsConfig/Test_Etage4_Memoire_behav.wcfg"/>
  251. </Config>
  252. </FileSet>
  253. </FileSets>
  254. <Simulators>
  255. <Simulator Name="XSim">
  256. <Option Name="Description" Val="Vivado Simulator"/>
  257. <Option Name="CompiledLib" Val="0"/>
  258. </Simulator>
  259. <Simulator Name="ModelSim">
  260. <Option Name="Description" Val="ModelSim Simulator"/>
  261. </Simulator>
  262. <Simulator Name="Questa">
  263. <Option Name="Description" Val="Questa Advanced Simulator"/>
  264. </Simulator>
  265. <Simulator Name="IES">
  266. <Option Name="Description" Val="Incisive Enterprise Simulator (IES)"/>
  267. </Simulator>
  268. <Simulator Name="VCS">
  269. <Option Name="Description" Val="Verilog Compiler Simulator (VCS)"/>
  270. </Simulator>
  271. <Simulator Name="Riviera">
  272. <Option Name="Description" Val="Riviera-PRO Simulator"/>
  273. </Simulator>
  274. </Simulators>
  275. <Runs Version="1" Minor="10">
  276. <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a35tcpg236-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true">
  277. <Strategy Version="1" Minor="2">
  278. <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2016"/>
  279. <Step Id="synth_design"/>
  280. </Strategy>
  281. <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
  282. <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
  283. </Run>
  284. <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a35tcpg236-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true">
  285. <Strategy Version="1" Minor="2">
  286. <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2016"/>
  287. <Step Id="init_design"/>
  288. <Step Id="opt_design"/>
  289. <Step Id="power_opt_design"/>
  290. <Step Id="place_design"/>
  291. <Step Id="post_place_power_opt_design"/>
  292. <Step Id="phys_opt_design"/>
  293. <Step Id="route_design"/>
  294. <Step Id="post_route_phys_opt_design"/>
  295. <Step Id="write_bitstream"/>
  296. </Strategy>
  297. <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
  298. <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
  299. </Run>
  300. </Runs>
  301. </Project>