No Description
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

vivado.jou 2.5KB

1234567891011121314151617181920212223242526272829303132333435363738394041424344454647484950515253545556575859606162636465
  1. #-----------------------------------------------------------
  2. # Vivado v2016.4 (64-bit)
  3. # SW Build 1756540 on Mon Jan 23 19:11:23 MST 2017
  4. # IP Build 1755317 on Mon Jan 23 20:30:07 MST 2017
  5. # Start of session at: Mon May 10 16:43:40 2021
  6. # Process ID: 13872
  7. # Current directory: C:/Users/Hp/Documents/Compteur8BitsBasys3
  8. # Command line: vivado.exe -gui_launcher_event rodinguilauncherevent1028 C:\Users\Hp\Documents\Compteur8BitsBasys3\Processeur.xpr
  9. # Log file: C:/Users/Hp/Documents/Compteur8BitsBasys3/vivado.log
  10. # Journal file: C:/Users/Hp/Documents/Compteur8BitsBasys3\vivado.jou
  11. #-----------------------------------------------------------
  12. start_gui
  13. open_project C:/Users/Hp/Documents/Compteur8BitsBasys3/Processeur.xpr
  14. launch_simulation
  15. source Test_Pipeline.tcl
  16. relaunch_sim
  17. relaunch_sim
  18. restart
  19. run 10 us
  20. restart
  21. run 10 us
  22. restart
  23. run 10 us
  24. restart
  25. run 10 us
  26. relaunch_sim
  27. relaunch_sim
  28. relaunch_sim
  29. relaunch_sim
  30. restart
  31. run 100 us
  32. reset_run synth_1
  33. launch_runs synth_1 -jobs 2
  34. wait_on_run synth_1
  35. launch_runs impl_1 -jobs 2
  36. wait_on_run impl_1
  37. launch_runs impl_1 -to_step write_bitstream -jobs 2
  38. wait_on_run impl_1
  39. open_hw
  40. connect_hw_server
  41. open_hw_target
  42. set_property PROGRAM.FILE {C:/Users/Hp/Documents/Compteur8BitsBasys3/Processeur.runs/impl_1/System.bit} [lindex [get_hw_devices xc7a35t_0] 0]
  43. current_hw_device [lindex [get_hw_devices xc7a35t_0] 0]
  44. refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a35t_0] 0]
  45. set_property PROBES.FILE {} [lindex [get_hw_devices xc7a35t_0] 0]
  46. set_property PROGRAM.FILE {C:/Users/Hp/Documents/Compteur8BitsBasys3/Processeur.runs/impl_1/System.bit} [lindex [get_hw_devices xc7a35t_0] 0]
  47. program_hw_devices [lindex [get_hw_devices xc7a35t_0] 0]
  48. refresh_hw_device [lindex [get_hw_devices xc7a35t_0] 0]
  49. restart
  50. run 100 us
  51. restart
  52. run 100 us
  53. save_wave_config {C:/Users/Hp/Documents/Compteur8BitsBasys3/Test_Pipeline_behav.wcfg}
  54. save_wave_config {C:/Users/Hp/Documents/Compteur8BitsBasys3/Test_Pipeline_behav.wcfg}
  55. save_wave_config {C:/Users/Hp/Documents/Compteur8BitsBasys3/Processeur.sim/sim_1/behav/Test_Pipeline_behav.wcfg}
  56. restart
  57. run 100 us
  58. save_wave_config {C:/Users/Hp/Documents/Compteur8BitsBasys3/Test_Pipeline_behav.wcfg}
  59. restart
  60. run 100 us
  61. save_wave_config {C:/Users/Hp/Documents/Compteur8BitsBasys3/Processeur.sim/sim_1/behav/Test_Pipeline_behav.wcfg}
  62. save_wave_config {C:/Users/Hp/Documents/Compteur8BitsBasys3/Processeur.sim/sim_1/behav/Test_Pipeline_behav.wcfg}
  63. save_wave_config {C:/Users/Hp/Documents/Compteur8BitsBasys3/Test_Pipeline_behav.wcfg}
  64. close_hw
  65. relaunch_sim