From df3b1ecdacb03fd539d18d51983aab2d03493e5d Mon Sep 17 00:00:00 2001 From: pfaure Date: Thu, 27 May 2021 12:24:35 +0200 Subject: [PATCH] =?UTF-8?q?Impl=C3=A9mentation=20demo?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- Processeur.srcs/sources_1/new/MemoireInstructions.vhd | 5 ++--- Processeur.srcs/sources_1/new/System.vhd | 4 ++-- 2 files changed, 4 insertions(+), 5 deletions(-) diff --git a/Processeur.srcs/sources_1/new/MemoireInstructions.vhd b/Processeur.srcs/sources_1/new/MemoireInstructions.vhd index 4ffbb62..dded7d9 100644 --- a/Processeur.srcs/sources_1/new/MemoireInstructions.vhd +++ b/Processeur.srcs/sources_1/new/MemoireInstructions.vhd @@ -40,8 +40,7 @@ entity MemoireInstructions is end MemoireInstructions; architecture Behavioral of MemoireInstructions is - signal MEMORY : STD_LOGIC_VECTOR ((Mem_Size * Nb_bits)-1 downto 0) := - "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "00000000000000000000000000000" & "10101000000000000000000000000" & "10001000000000000000000000000" & "01100000000000000000000000000" & "00001000000000000001000000000" & "01010000000100000010100000000" & "00010000000000000000100000000" & "01001000000010000000100000000" & "01110000001010000000100000000" & "01001000000010000000000000000" & "01001000000000000000000000000" & "10011000101110000010000000000" & "01011000001010000001100000000" & "01011000000110000001000000000" & "01110000001000000000100000000" & "01001000000010000000000000000" & "01011000001100000000100000000" & "01101000000100000001100000000" & "01001000000110000110000000000" & "00001000000100000000000000010" & "01010000000000000010000000000" & "01011000000100000000000000000" & "00010000000100000000100000010" & "01001000000010000000100000000" & "01110000001000000000100000000" & "01001000000010000000000000000" & "01011000001010000000100000000" & "01001000000100000000100000000" & "01101000000000000001100000000" & "01001000000110000000100000000" & "00001000000000000001000000000" & "01010000000100000001100000000" & "00010000000000000000100000000" & "01001000000010000000100000000" & "01110000000110000000100000000" & "01001000000010000000000000000" & "01001000000000000000000000000" & "10100000000000000000000000000" & "01011000000010000000000000000" & "01011000000000000000100000000" & "01000000000010000000000000000" & "01001000000000000000100000000" & "10011000000010000000100000000" & "01011000000110000001100000000" & "01011000000100000001000000000" & "01011000000010000000000000000" & "01000000000000000000100000000" & "01101000000000000001000000000" & "01001000000100000101000000000" & "00001000000000000001000000000" & "00010000000000000001100000000" & "01001000000110000000100000000" & "01000000000100000000100000000" & "01001000000000000000100000000" & "10001000000000000000000000000" & "01100000000000000000000000000" & "00001000000000000001000000000" & "00010000000000000001100000000" & "01001000000110000000100000000" & "01000000000100000000100000000" & "01010000000010000000000000000" & "01001000000000000000000000000" & "10100000000000000000000000000" & "01011000000110000001100000000" & "01011000000100000001000000000" & "01011000000010000000000000000" & "01011000000000000000100000000" & "01000000000010000000000000000" & "01001000000000000001000000000" & "01101000000000000001000000000" & "01001000000100000111000000000" & "00001000000000000001000000000" & "00010000000000000001100000000" & "01001000000110000000100000000" & "01000000000100000000100000000" & "01001000000000000000000000000" & "10001000000000000000000000000" & "01100000000000000000000000000" & "00001000000000000001000000000" & "00010000000000000001100000000" & "01001000000110000000100000000" & "01000000000100000000100000000" & "01010000000010000000000000000" & "01001000000000000000100000000" & "01111001100000000000000000000"; + signal MEMORY : STD_LOGIC_VECTOR ((Mem_Size * Nb_bits)-1 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000010001000000000000000000000000010100000000000010100000000001001100011011000101000000000001011000010100000000100000000010010000000000001010000000000111000010100000000100000000001001000000100000000000000000101010000001100000000000000000111101101101000000000000000001000000000010000000000000000000010000000000000000000000000100100000000000000010000000001000000000000000000100000000101010000000100000000000000001000100000000000000000000000001001000000000101010100000000101010000000100000000000000001000100000000000000000000000001001000000001010101000000000100000111101000000000000000000001100000010000000100000000000101000000000000000000000000010010000000000000101000000000100000000000000000010000000001000000000010000000000000000010010000000000000000000000000111101010011000000000000000001000000000010000000000000000000010000000000000000000000000100100000000000000010000000001000000000000000000100000000010100000000100001010000000000110100000000000000000000000001010000000000000101100000000100110000000100010101000000000101100001100000000110000000001011000010110000000100000000010110000101000000000000000000000100000001000000110000000101010000000110000110000000000000100000000100000000000000010100100000000000000010000000001110000011000000001100000000010010000001100000000000000000100000000001000000000000000010000011010000000000000000000000110000001100000011000000010010100000001000000010000001001001000000100000101000000000010000000000100000000000000000100100000000000000000000000010100000000000000000000000000010110000010000000010000000000101100000011000000110000000001011000000100000000000000000010110000000000000001000000000100000000001000000100000000001011000001010000000100000000010000000001000000011000000000111100100010000000000000000001000000000000000001000000000000010000001000000010000000010100100000001000000010000000001000000000100000000000000000010100000000000000010000000000101100000111000000000000000001111010000000000000000000000010000000001100000001000000000110000000001000000010000000000001000000010000001000000001010100000001000000110000000000101100000100000000100000000000010000000010000000000000001010010000000000000001000000000111000000110000000000000000001001000000000000000000000000010000000000100000011000000000101000000011000000100000000010000010000000000000000000000000110000001100000011000000100101100000110000000110000000000110000000100000001000000001010000000000100000000000000000101000000000000000110000000001100000000100000001000000000000010000001000000001000000100101000000001000001010000000000010000000100000001100000010010010000001100000001000000000111000000101000000110000000001001000000110000000000000000010000000001000000000000000000101000000000000000100000000010000010001110000000000000000000110000000000000000000000100101100000010000000000000000000101000000100000001000000001010000000000100000011000000000101100000011000000010000000001010000000110000000100000000010000000001000000000000000000100100000001000000000000000001001000000000000000000000000101000000000000000000000000000101100000010000000100000000001011000000010000000100000000010110000000000000000000000000100000000000000000010000000001000000000010000000000000000010000000000000000001000000001001000000001000000000000000010101000011110000000000000000011110000010100000000000000000100000000000000000010000000000001000000010000000100000010010010000001000000001000000000100000000001000000000000000010101000000010000000000000000100010000000100000000000000000100100000001111111110000000010101000000010000000000000000100010000000100000000000000000100100000001000000000000000010000000100100000000000000000000110000001100000011000000010010100000001000000010000001001001000000100000010100000000010000000000100000000000000000100100000000000000000000000001111010011110000000000000000"; begin D_OUT <= MEMORY (((to_integer(unsigned(Addr)) + 1) * Nb_bits) - 1 downto Nb_bits * to_integer(unsigned(Addr))); -end Behavioral; \ No newline at end of file +end Behavioral; diff --git a/Processeur.srcs/sources_1/new/System.vhd b/Processeur.srcs/sources_1/new/System.vhd index 7944604..95d0b6a 100644 --- a/Processeur.srcs/sources_1/new/System.vhd +++ b/Processeur.srcs/sources_1/new/System.vhd @@ -75,8 +75,8 @@ begin CLK_OUT => my_CLK); instance : Pipeline - generic map (Addr_Memoire_Instruction_Size => 7, - Memoire_Instruction_Size => 128) + generic map (Addr_Memoire_Instruction_Size => 8, + Memoire_Instruction_Size => 256) port map (CLK => my_CLK, RST => my_RST, STD_IN => sw,