Browse Source

Version fonctionnelle processeur sécurisé

Paul Faure 2 years ago
parent
commit
ca762f57c7

+ 2
- 1
.gitignore View File

4
 Processeur.runs/*
4
 Processeur.runs/*
5
 Processeur.sim/*
5
 Processeur.sim/*
6
 vivado*
6
 vivado*
7
-.Xil
7
+.Xil
8
+*.log

+ 1
- 0
Processeur.srcs/sim_1/new/Test_Pipeline.vhd View File

80
     
80
     
81
     process 
81
     process 
82
     begin
82
     begin
83
+        my_STD_IN <= "00000001" after 2600 ns, "00000010" after 5600 ns, "00000011" after 8600 ns, "00000100" after 11600 ns, "00000101" after 14600 ns, "00000110" after 17600 ns, "00000111" after 20600 ns, "00001000" after 23600 ns, "00001001" after 26600 ns, "00000000" after 29600 ns;
83
         wait;
84
         wait;
84
     end process;
85
     end process;
85
 end Behavioral;
86
 end Behavioral;

+ 1
- 1
Processeur.srcs/sources_1/new/Etage2-5_Registres.vhd View File

168
     
168
     
169
     -- Un multiplexeur pourrait être utilisé ici, mais cela n'a pas été jugé pertinent
169
     -- Un multiplexeur pourrait être utilisé ici, mais cela n'a pas été jugé pertinent
170
     Entree_BancRegistre_DATA <= (others => '0') when RST = '0' else
170
     Entree_BancRegistre_DATA <= (others => '0') when RST = '0' else
171
-                                STD_IN when IN_2_Instruction = Code_Instruction_GET else
171
+                                STD_IN when IN_5_Instruction = Code_Instruction_GET else
172
                                 IN_5_B;
172
                                 IN_5_B;
173
     
173
     
174
     
174
     

+ 1
- 1
Processeur.srcs/sources_1/new/MemoireInstructions.vhd
File diff suppressed because it is too large
View File


+ 2
- 2
Processeur.xpr View File

32
     <Option Name="EnableBDX" Val="FALSE"/>
32
     <Option Name="EnableBDX" Val="FALSE"/>
33
     <Option Name="DSABoardId" Val="basys3"/>
33
     <Option Name="DSABoardId" Val="basys3"/>
34
     <Option Name="DSANumComputeUnits" Val="16"/>
34
     <Option Name="DSANumComputeUnits" Val="16"/>
35
-    <Option Name="WTXSimLaunchSim" Val="247"/>
35
+    <Option Name="WTXSimLaunchSim" Val="258"/>
36
     <Option Name="WTModelSimLaunchSim" Val="0"/>
36
     <Option Name="WTModelSimLaunchSim" Val="0"/>
37
     <Option Name="WTQuestaLaunchSim" Val="0"/>
37
     <Option Name="WTQuestaLaunchSim" Val="0"/>
38
     <Option Name="WTIesLaunchSim" Val="0"/>
38
     <Option Name="WTIesLaunchSim" Val="0"/>
240
       </File>
240
       </File>
241
       <Config>
241
       <Config>
242
         <Option Name="DesignMode" Val="RTL"/>
242
         <Option Name="DesignMode" Val="RTL"/>
243
-        <Option Name="TopModule" Val="Test_Etage4_Memoire"/>
243
+        <Option Name="TopModule" Val="Test_Pipeline"/>
244
         <Option Name="TopLib" Val="xil_defaultlib"/>
244
         <Option Name="TopLib" Val="xil_defaultlib"/>
245
         <Option Name="TransportPathDelay" Val="0"/>
245
         <Option Name="TransportPathDelay" Val="0"/>
246
         <Option Name="TransportIntDelay" Val="0"/>
246
         <Option Name="TransportIntDelay" Val="0"/>

+ 63
- 38
SimulationsConfig/Test_Pipeline_behav1.wcfg View File

3
    <wave_state>
3
    <wave_state>
4
    </wave_state>
4
    </wave_state>
5
    <db_ref_list>
5
    <db_ref_list>
6
-      <db_ref path="Test_Pipeline_behav1.wdb" id="1">
6
+      <db_ref path="Test_Pipeline_behav.wdb" id="1">
7
          <top_modules>
7
          <top_modules>
8
             <top_module name="Test_Pipeline" />
8
             <top_module name="Test_Pipeline" />
9
          </top_modules>
9
          </top_modules>
10
       </db_ref>
10
       </db_ref>
11
    </db_ref_list>
11
    </db_ref_list>
12
    <zoom_setting>
12
    <zoom_setting>
13
-      <ZoomStartTime time="320666666fs"></ZoomStartTime>
14
-      <ZoomEndTime time="441266667fs"></ZoomEndTime>
15
-      <Cursor1Time time="404267000fs"></Cursor1Time>
13
+      <ZoomStartTime time="1000913916666fs"></ZoomStartTime>
14
+      <ZoomEndTime time="1001017216667fs"></ZoomEndTime>
15
+      <Cursor1Time time="1001000000000fs"></Cursor1Time>
16
    </zoom_setting>
16
    </zoom_setting>
17
    <column_width_setting>
17
    <column_width_setting>
18
       <NameColumnWidth column_width="146"></NameColumnWidth>
18
       <NameColumnWidth column_width="146"></NameColumnWidth>
19
-      <ValueColumnWidth column_width="73"></ValueColumnWidth>
19
+      <ValueColumnWidth column_width="71"></ValueColumnWidth>
20
    </column_width_setting>
20
    </column_width_setting>
21
-   <WVObjectSize size="10" />
22
-   <wvobject fp_name="/Test_Pipeline/my_CLK" type="logic">
21
+   <WVObjectSize size="11" />
22
+   <wvobject type="logic" fp_name="/Test_Pipeline/my_CLK">
23
       <obj_property name="ElementShortName">my_CLK</obj_property>
23
       <obj_property name="ElementShortName">my_CLK</obj_property>
24
       <obj_property name="ObjectShortName">my_CLK</obj_property>
24
       <obj_property name="ObjectShortName">my_CLK</obj_property>
25
    </wvobject>
25
    </wvobject>
26
-   <wvobject fp_name="/Test_Pipeline/my_RST" type="logic">
26
+   <wvobject type="logic" fp_name="/Test_Pipeline/my_RST">
27
       <obj_property name="ElementShortName">my_RST</obj_property>
27
       <obj_property name="ElementShortName">my_RST</obj_property>
28
       <obj_property name="ObjectShortName">my_RST</obj_property>
28
       <obj_property name="ObjectShortName">my_RST</obj_property>
29
    </wvobject>
29
    </wvobject>
30
-   <wvobject fp_name="/Test_Pipeline/my_STD_IN" type="array">
30
+   <wvobject type="array" fp_name="/Test_Pipeline/my_STD_IN">
31
       <obj_property name="ElementShortName">my_STD_IN[7:0]</obj_property>
31
       <obj_property name="ElementShortName">my_STD_IN[7:0]</obj_property>
32
       <obj_property name="ObjectShortName">my_STD_IN[7:0]</obj_property>
32
       <obj_property name="ObjectShortName">my_STD_IN[7:0]</obj_property>
33
+      <obj_property name="Radix">BINARYRADIX</obj_property>
33
    </wvobject>
34
    </wvobject>
34
-   <wvobject fp_name="/Test_Pipeline/my_STD_OUT" type="array">
35
+   <wvobject type="array" fp_name="/Test_Pipeline/my_STD_OUT">
35
       <obj_property name="ElementShortName">my_STD_OUT[7:0]</obj_property>
36
       <obj_property name="ElementShortName">my_STD_OUT[7:0]</obj_property>
36
       <obj_property name="ObjectShortName">my_STD_OUT[7:0]</obj_property>
37
       <obj_property name="ObjectShortName">my_STD_OUT[7:0]</obj_property>
38
+      <obj_property name="Radix">BINARYRADIX</obj_property>
37
    </wvobject>
39
    </wvobject>
38
-   <wvobject fp_name="/Test_Pipeline/CLK_period" type="other">
40
+   <wvobject type="other" fp_name="/Test_Pipeline/CLK_period">
39
       <obj_property name="ElementShortName">CLK_period</obj_property>
41
       <obj_property name="ElementShortName">CLK_period</obj_property>
40
       <obj_property name="ObjectShortName">CLK_period</obj_property>
42
       <obj_property name="ObjectShortName">CLK_period</obj_property>
41
    </wvobject>
43
    </wvobject>
42
-   <wvobject fp_name="group20" type="group">
44
+   <wvobject type="group" fp_name="group20">
43
       <obj_property name="label">Etage1</obj_property>
45
       <obj_property name="label">Etage1</obj_property>
44
       <obj_property name="DisplayName">label</obj_property>
46
       <obj_property name="DisplayName">label</obj_property>
45
       <obj_property name="isExpanded"></obj_property>
47
       <obj_property name="isExpanded"></obj_property>
46
-      <wvobject fp_name="/Test_Pipeline/instance/Instruction_from_1" type="array">
48
+      <wvobject type="array" fp_name="/Test_Pipeline/instance/Instruction_from_1">
47
          <obj_property name="ElementShortName">Instruction_from_1[4:0]</obj_property>
49
          <obj_property name="ElementShortName">Instruction_from_1[4:0]</obj_property>
48
          <obj_property name="ObjectShortName">Instruction_from_1[4:0]</obj_property>
50
          <obj_property name="ObjectShortName">Instruction_from_1[4:0]</obj_property>
49
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
51
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
50
       </wvobject>
52
       </wvobject>
51
-      <wvobject fp_name="/Test_Pipeline/instance/A_from_1" type="array">
53
+      <wvobject type="array" fp_name="/Test_Pipeline/instance/A_from_1">
52
          <obj_property name="ElementShortName">A_from_1[7:0]</obj_property>
54
          <obj_property name="ElementShortName">A_from_1[7:0]</obj_property>
53
          <obj_property name="ObjectShortName">A_from_1[7:0]</obj_property>
55
          <obj_property name="ObjectShortName">A_from_1[7:0]</obj_property>
54
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
56
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
55
       </wvobject>
57
       </wvobject>
56
-      <wvobject fp_name="/Test_Pipeline/instance/B_from_1" type="array">
58
+      <wvobject type="array" fp_name="/Test_Pipeline/instance/B_from_1">
57
          <obj_property name="ElementShortName">B_from_1[7:0]</obj_property>
59
          <obj_property name="ElementShortName">B_from_1[7:0]</obj_property>
58
          <obj_property name="ObjectShortName">B_from_1[7:0]</obj_property>
60
          <obj_property name="ObjectShortName">B_from_1[7:0]</obj_property>
59
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
61
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
60
       </wvobject>
62
       </wvobject>
61
-      <wvobject fp_name="/Test_Pipeline/instance/C_from_1" type="array">
63
+      <wvobject type="array" fp_name="/Test_Pipeline/instance/C_from_1">
62
          <obj_property name="ElementShortName">C_from_1[7:0]</obj_property>
64
          <obj_property name="ElementShortName">C_from_1[7:0]</obj_property>
63
          <obj_property name="ObjectShortName">C_from_1[7:0]</obj_property>
65
          <obj_property name="ObjectShortName">C_from_1[7:0]</obj_property>
64
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
66
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
65
       </wvobject>
67
       </wvobject>
66
    </wvobject>
68
    </wvobject>
67
-   <wvobject fp_name="group21" type="group">
69
+   <wvobject type="group" fp_name="group21">
68
       <obj_property name="label">Etage2</obj_property>
70
       <obj_property name="label">Etage2</obj_property>
69
       <obj_property name="DisplayName">label</obj_property>
71
       <obj_property name="DisplayName">label</obj_property>
70
       <obj_property name="isExpanded"></obj_property>
72
       <obj_property name="isExpanded"></obj_property>
71
-      <wvobject fp_name="/Test_Pipeline/instance/Instruction_from_2" type="array">
73
+      <wvobject type="array" fp_name="/Test_Pipeline/instance/Instruction_from_2">
72
          <obj_property name="ElementShortName">Instruction_from_2[4:0]</obj_property>
74
          <obj_property name="ElementShortName">Instruction_from_2[4:0]</obj_property>
73
          <obj_property name="ObjectShortName">Instruction_from_2[4:0]</obj_property>
75
          <obj_property name="ObjectShortName">Instruction_from_2[4:0]</obj_property>
74
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
76
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
75
       </wvobject>
77
       </wvobject>
76
-      <wvobject fp_name="/Test_Pipeline/instance/A_from_2" type="array">
78
+      <wvobject type="array" fp_name="/Test_Pipeline/instance/A_from_2">
77
          <obj_property name="ElementShortName">A_from_2[7:0]</obj_property>
79
          <obj_property name="ElementShortName">A_from_2[7:0]</obj_property>
78
          <obj_property name="ObjectShortName">A_from_2[7:0]</obj_property>
80
          <obj_property name="ObjectShortName">A_from_2[7:0]</obj_property>
79
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
81
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
80
       </wvobject>
82
       </wvobject>
81
-      <wvobject fp_name="/Test_Pipeline/instance/B_from_2" type="array">
83
+      <wvobject type="array" fp_name="/Test_Pipeline/instance/B_from_2">
82
          <obj_property name="ElementShortName">B_from_2[7:0]</obj_property>
84
          <obj_property name="ElementShortName">B_from_2[7:0]</obj_property>
83
          <obj_property name="ObjectShortName">B_from_2[7:0]</obj_property>
85
          <obj_property name="ObjectShortName">B_from_2[7:0]</obj_property>
84
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
86
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
85
       </wvobject>
87
       </wvobject>
86
-      <wvobject fp_name="/Test_Pipeline/instance/C_from_2" type="array">
88
+      <wvobject type="array" fp_name="/Test_Pipeline/instance/C_from_2">
87
          <obj_property name="ElementShortName">C_from_2[7:0]</obj_property>
89
          <obj_property name="ElementShortName">C_from_2[7:0]</obj_property>
88
          <obj_property name="ObjectShortName">C_from_2[7:0]</obj_property>
90
          <obj_property name="ObjectShortName">C_from_2[7:0]</obj_property>
89
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
91
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
90
       </wvobject>
92
       </wvobject>
91
    </wvobject>
93
    </wvobject>
92
-   <wvobject fp_name="group22" type="group">
94
+   <wvobject type="group" fp_name="group22">
93
       <obj_property name="label">Etage3</obj_property>
95
       <obj_property name="label">Etage3</obj_property>
94
       <obj_property name="DisplayName">label</obj_property>
96
       <obj_property name="DisplayName">label</obj_property>
95
       <obj_property name="isExpanded"></obj_property>
97
       <obj_property name="isExpanded"></obj_property>
96
-      <wvobject fp_name="/Test_Pipeline/instance/Instruction_from_3" type="array">
98
+      <wvobject type="array" fp_name="/Test_Pipeline/instance/Instruction_from_3">
97
          <obj_property name="ElementShortName">Instruction_from_3[4:0]</obj_property>
99
          <obj_property name="ElementShortName">Instruction_from_3[4:0]</obj_property>
98
          <obj_property name="ObjectShortName">Instruction_from_3[4:0]</obj_property>
100
          <obj_property name="ObjectShortName">Instruction_from_3[4:0]</obj_property>
99
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
101
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
100
       </wvobject>
102
       </wvobject>
101
-      <wvobject fp_name="/Test_Pipeline/instance/A_from_3" type="array">
103
+      <wvobject type="array" fp_name="/Test_Pipeline/instance/A_from_3">
102
          <obj_property name="ElementShortName">A_from_3[7:0]</obj_property>
104
          <obj_property name="ElementShortName">A_from_3[7:0]</obj_property>
103
          <obj_property name="ObjectShortName">A_from_3[7:0]</obj_property>
105
          <obj_property name="ObjectShortName">A_from_3[7:0]</obj_property>
104
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
106
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
105
       </wvobject>
107
       </wvobject>
106
-      <wvobject fp_name="/Test_Pipeline/instance/B_from_3" type="array">
108
+      <wvobject type="array" fp_name="/Test_Pipeline/instance/B_from_3">
107
          <obj_property name="ElementShortName">B_from_3[7:0]</obj_property>
109
          <obj_property name="ElementShortName">B_from_3[7:0]</obj_property>
108
          <obj_property name="ObjectShortName">B_from_3[7:0]</obj_property>
110
          <obj_property name="ObjectShortName">B_from_3[7:0]</obj_property>
109
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
111
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
110
       </wvobject>
112
       </wvobject>
111
    </wvobject>
113
    </wvobject>
112
-   <wvobject fp_name="group23" type="group">
114
+   <wvobject type="group" fp_name="group23">
113
       <obj_property name="label">Etage4</obj_property>
115
       <obj_property name="label">Etage4</obj_property>
114
       <obj_property name="DisplayName">label</obj_property>
116
       <obj_property name="DisplayName">label</obj_property>
115
       <obj_property name="isExpanded"></obj_property>
117
       <obj_property name="isExpanded"></obj_property>
116
-      <wvobject fp_name="/Test_Pipeline/instance/Instruction_from_4" type="array">
118
+      <wvobject type="array" fp_name="/Test_Pipeline/instance/Instruction_from_4">
117
          <obj_property name="ElementShortName">Instruction_from_4[4:0]</obj_property>
119
          <obj_property name="ElementShortName">Instruction_from_4[4:0]</obj_property>
118
          <obj_property name="ObjectShortName">Instruction_from_4[4:0]</obj_property>
120
          <obj_property name="ObjectShortName">Instruction_from_4[4:0]</obj_property>
119
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
121
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
120
       </wvobject>
122
       </wvobject>
121
-      <wvobject fp_name="/Test_Pipeline/instance/A_from_4" type="array">
123
+      <wvobject type="array" fp_name="/Test_Pipeline/instance/A_from_4">
122
          <obj_property name="ElementShortName">A_from_4[7:0]</obj_property>
124
          <obj_property name="ElementShortName">A_from_4[7:0]</obj_property>
123
          <obj_property name="ObjectShortName">A_from_4[7:0]</obj_property>
125
          <obj_property name="ObjectShortName">A_from_4[7:0]</obj_property>
124
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
126
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
125
       </wvobject>
127
       </wvobject>
126
-      <wvobject fp_name="/Test_Pipeline/instance/B_from_4" type="array">
128
+      <wvobject type="array" fp_name="/Test_Pipeline/instance/B_from_4">
127
          <obj_property name="ElementShortName">B_from_4[7:0]</obj_property>
129
          <obj_property name="ElementShortName">B_from_4[7:0]</obj_property>
128
          <obj_property name="ObjectShortName">B_from_4[7:0]</obj_property>
130
          <obj_property name="ObjectShortName">B_from_4[7:0]</obj_property>
129
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
131
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
130
       </wvobject>
132
       </wvobject>
131
    </wvobject>
133
    </wvobject>
132
-   <wvobject fp_name="group31" type="group">
134
+   <wvobject type="group" fp_name="group31">
133
       <obj_property name="label">Registres</obj_property>
135
       <obj_property name="label">Registres</obj_property>
134
       <obj_property name="DisplayName">label</obj_property>
136
       <obj_property name="DisplayName">label</obj_property>
135
-      <obj_property name="isExpanded"></obj_property>
136
-      <wvobject fp_name="/Test_Pipeline/instance/instance_Etage2_5/instance_BancRegistres/REGISTRES" type="array">
137
+      <wvobject type="array" fp_name="/Test_Pipeline/instance/instance_Etage2_5/instance_BancRegistres/REGISTRES">
137
          <obj_property name="ElementShortName">REGISTRES[127:0]</obj_property>
138
          <obj_property name="ElementShortName">REGISTRES[127:0]</obj_property>
138
          <obj_property name="ObjectShortName">REGISTRES[127:0]</obj_property>
139
          <obj_property name="ObjectShortName">REGISTRES[127:0]</obj_property>
139
       </wvobject>
140
       </wvobject>
140
-      <wvobject fp_name="/Test_Pipeline/instance/instance_Etage2_5/instance_BancRegistres/AddrA" type="array">
141
+      <wvobject type="array" fp_name="/Test_Pipeline/instance/instance_Etage2_5/instance_BancRegistres/AddrA">
141
          <obj_property name="ElementShortName">AddrA[3:0]</obj_property>
142
          <obj_property name="ElementShortName">AddrA[3:0]</obj_property>
142
          <obj_property name="ObjectShortName">AddrA[3:0]</obj_property>
143
          <obj_property name="ObjectShortName">AddrA[3:0]</obj_property>
143
       </wvobject>
144
       </wvobject>
144
-      <wvobject fp_name="/Test_Pipeline/instance/instance_Etage2_5/instance_BancRegistres/AddrB" type="array">
145
+      <wvobject type="array" fp_name="/Test_Pipeline/instance/instance_Etage2_5/instance_BancRegistres/AddrB">
145
          <obj_property name="ElementShortName">AddrB[3:0]</obj_property>
146
          <obj_property name="ElementShortName">AddrB[3:0]</obj_property>
146
          <obj_property name="ObjectShortName">AddrB[3:0]</obj_property>
147
          <obj_property name="ObjectShortName">AddrB[3:0]</obj_property>
147
       </wvobject>
148
       </wvobject>
148
-      <wvobject fp_name="/Test_Pipeline/instance/instance_Etage2_5/instance_BancRegistres/AddrC" type="array">
149
+      <wvobject type="array" fp_name="/Test_Pipeline/instance/instance_Etage2_5/instance_BancRegistres/AddrC">
149
          <obj_property name="ElementShortName">AddrC[3:0]</obj_property>
150
          <obj_property name="ElementShortName">AddrC[3:0]</obj_property>
150
          <obj_property name="ObjectShortName">AddrC[3:0]</obj_property>
151
          <obj_property name="ObjectShortName">AddrC[3:0]</obj_property>
151
       </wvobject>
152
       </wvobject>
152
-      <wvobject fp_name="/Test_Pipeline/instance/instance_Etage2_5/instance_BancRegistres/AddrW" type="array">
153
+      <wvobject type="array" fp_name="/Test_Pipeline/instance/instance_Etage2_5/instance_BancRegistres/AddrW">
153
          <obj_property name="ElementShortName">AddrW[3:0]</obj_property>
154
          <obj_property name="ElementShortName">AddrW[3:0]</obj_property>
154
          <obj_property name="ObjectShortName">AddrW[3:0]</obj_property>
155
          <obj_property name="ObjectShortName">AddrW[3:0]</obj_property>
155
       </wvobject>
156
       </wvobject>
156
-      <wvobject fp_name="/Test_Pipeline/instance/instance_Etage2_5/instance_BancRegistres/W" type="logic">
157
+      <wvobject type="logic" fp_name="/Test_Pipeline/instance/instance_Etage2_5/instance_BancRegistres/W">
157
          <obj_property name="ElementShortName">W</obj_property>
158
          <obj_property name="ElementShortName">W</obj_property>
158
          <obj_property name="ObjectShortName">W</obj_property>
159
          <obj_property name="ObjectShortName">W</obj_property>
159
       </wvobject>
160
       </wvobject>
160
-      <wvobject fp_name="/Test_Pipeline/instance/instance_Etage2_5/instance_BancRegistres/DATA" type="array">
161
+      <wvobject type="array" fp_name="/Test_Pipeline/instance/instance_Etage2_5/instance_BancRegistres/DATA">
161
          <obj_property name="ElementShortName">DATA[7:0]</obj_property>
162
          <obj_property name="ElementShortName">DATA[7:0]</obj_property>
162
          <obj_property name="ObjectShortName">DATA[7:0]</obj_property>
163
          <obj_property name="ObjectShortName">DATA[7:0]</obj_property>
163
       </wvobject>
164
       </wvobject>
164
    </wvobject>
165
    </wvobject>
166
+   <wvobject type="group" fp_name="group57">
167
+      <obj_property name="label">Memoire</obj_property>
168
+      <obj_property name="DisplayName">label</obj_property>
169
+      <wvobject type="array" fp_name="/Test_Pipeline/instance/instance_Etage4/instance_MemoireDonnees/MEMORY">
170
+         <obj_property name="ElementShortName">MEMORY[255:0]</obj_property>
171
+         <obj_property name="ObjectShortName">MEMORY[255:0]</obj_property>
172
+      </wvobject>
173
+      <wvobject type="array" fp_name="/Test_Pipeline/instance/instance_Etage4/instance_MemoireDonnees/D_OUT">
174
+         <obj_property name="ElementShortName">D_OUT[7:0]</obj_property>
175
+         <obj_property name="ObjectShortName">D_OUT[7:0]</obj_property>
176
+      </wvobject>
177
+      <wvobject type="array" fp_name="/Test_Pipeline/instance/instance_Etage4/instance_MemoireDonnees/D_IN">
178
+         <obj_property name="ElementShortName">D_IN[7:0]</obj_property>
179
+         <obj_property name="ObjectShortName">D_IN[7:0]</obj_property>
180
+      </wvobject>
181
+      <wvobject type="array" fp_name="/Test_Pipeline/instance/instance_Etage4/instance_MemoireDonnees/Addr">
182
+         <obj_property name="ElementShortName">Addr[4:0]</obj_property>
183
+         <obj_property name="ObjectShortName">Addr[4:0]</obj_property>
184
+      </wvobject>
185
+      <wvobject type="logic" fp_name="/Test_Pipeline/instance/instance_Etage4/instance_MemoireDonnees/RW">
186
+         <obj_property name="ElementShortName">RW</obj_property>
187
+         <obj_property name="ObjectShortName">RW</obj_property>
188
+      </wvobject>
189
+   </wvobject>
165
 </wave_config>
190
 </wave_config>

Loading…
Cancel
Save