diff --git a/Compteur8BitsBasys3.srcs/constrs_1/imports/digilent-xdc-master/Basys-3-Master.xdc b/Compteur8BitsBasys3.srcs/constrs_1/imports/digilent-xdc-master/Basys-3-Master.xdc index 27543c5..e901bdc 100644 --- a/Compteur8BitsBasys3.srcs/constrs_1/imports/digilent-xdc-master/Basys-3-Master.xdc +++ b/Compteur8BitsBasys3.srcs/constrs_1/imports/digilent-xdc-master/Basys-3-Master.xdc @@ -111,7 +111,7 @@ set_property IOSTANDARD LVCMOS33 [get_ports {LED[7]}] set_property PACKAGE_PIN U18 [get_ports btnC] set_property IOSTANDARD LVCMOS33 [get_ports btnC] #set_property PACKAGE_PIN T18 [get_ports btnU] - #set_property IOSTANDARD LVCMOS33 [get_ports btnU] +#set_property IOSTANDARD LVCMOS33 [get_ports btnU] set_property PACKAGE_PIN W19 [get_ports btnL] set_property IOSTANDARD LVCMOS33 [get_ports btnL] set_property PACKAGE_PIN T17 [get_ports btnR] diff --git a/Compteur8BitsBasys3.srcs/sim_1/new/test_Compteur.vhd b/Compteur8BitsBasys3.srcs/sim_1/new/test_Compteur.vhd deleted file mode 100644 index 88d42fb..0000000 --- a/Compteur8BitsBasys3.srcs/sim_1/new/test_Compteur.vhd +++ /dev/null @@ -1,53 +0,0 @@ ----------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 09.04.2021 22:51:31 --- Design Name: --- Module Name: test_Compteur - Behavioral --- Project Name: --- Target Devices: --- Tool Versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- - - -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - --- Uncomment the following library declaration if using --- arithmetic functions with Signed or Unsigned values ---use IEEE.NUMERIC_STD.ALL; - --- Uncomment the following library declaration if instantiating --- any Xilinx leaf cells in this code. ---library UNISIM; ---use UNISIM.VComponents.all; - -entity test_Compteur is --- Port ( ); -end test_Compteur; - -architecture Behavioral of test_Compteur is - component Compteur is - Port ( CK : in STD_LOGIC; - RST : in STD_LOGIC; - SENS : in STD_LOGIC; - LOAD : in STD_LOGIC; - EN : in STD_LOGIC; - Din : in STD_LOGIC_VECTOR (7 downto 0); - Dout : out STD_LOGIC_VECTOR (7 downto 0)); - end component; - - signal CK -begin - - -end Behavioral; diff --git a/Compteur8BitsBasys3.srcs/sources_1/new/Compteur.vhd b/Compteur8BitsBasys3.srcs/sources_1/new/Compteur.vhd index eb8a5ce..938774b 100644 --- a/Compteur8BitsBasys3.srcs/sources_1/new/Compteur.vhd +++ b/Compteur8BitsBasys3.srcs/sources_1/new/Compteur.vhd @@ -43,7 +43,7 @@ entity Compteur is end Compteur; architecture Behavioral of Compteur is - signal aux: STD_LOGIC_VECTOR (7 downto 0) := (others => '0'); + signal aux: STD_LOGIC_VECTOR (7 downto 0); begin Dout <= aux; process diff --git a/Compteur8BitsBasys3.xpr b/Compteur8BitsBasys3.xpr index 1fb19bf..aa65aac 100644 --- a/Compteur8BitsBasys3.xpr +++ b/Compteur8BitsBasys3.xpr @@ -60,13 +60,13 @@ - + - + @@ -100,13 +100,6 @@ - - - - - - -