Browse Source

Test étage 4

Paul Faure 2 years ago
parent
commit
5663419784

+ 41
- 25
Processeur.srcs/sim_1/new/Test_Etage4_Memoire.vhd View File

@@ -37,34 +37,44 @@ end Test_Etage4_Memoire;
37 37
 
38 38
 architecture Behavioral of Test_Etage4_Memoire is
39 39
     component Etage4_Memoire is
40
-    Generic ( Nb_bits : Natural;
41
-              Mem_size : Natural;
42
-              Instruction_bus_size : Natural;
43
-              Bits_Controle_LC : STD_LOGIC_VECTOR;
44
-              Bits_Controle_MUX_IN : STD_LOGIC_VECTOR;
45
-              Bits_Controle_MUX_OUT : STD_LOGIC_VECTOR);
46
-        Port ( CLK : in STD_LOGIC;
47
-               RST : in STD_LOGIC;
48
-               IN_A : in STD_LOGIC_VECTOR (Nb_bits - 1 downto 0);
49
-               IN_B : in STD_LOGIC_VECTOR (Nb_bits - 1 downto 0);
50
-               IN_Instruction : in STD_LOGIC_VECTOR (Instruction_bus_size - 1 downto 0);
51
-               OUT_A : out STD_LOGIC_VECTOR (Nb_bits - 1 downto 0);
52
-               OUT_B : out STD_LOGIC_VECTOR (Nb_bits - 1 downto 0);
53
-               OUT_Instruction : out STD_LOGIC_VECTOR (Instruction_bus_size - 1 downto 0));
40
+    Generic ( Nb_bits : Natural; -- Taille d'un mot binaire
41
+              Mem_size : Natural; -- Taille de la mémoire de donnees (nombre de mots binaires stockables)
42
+              Adresse_mem_size : Natural; -- Nombre de bits pour adresser la mémoire de donnees
43
+              Instruction_bus_size : Natural; -- Nombre de bits du bus d'instruction (Taille d'un code instruction)
44
+              Mem_EBP_size : Natural; -- Taille de la mémoire du contexte (profondeur d'appel maximale)
45
+              Adresse_size_mem_EBP : Natural; -- Nombre de bits pour adresser la mémoire de contexte
46
+              Bits_Controle_LC : STD_LOGIC_VECTOR; -- Vecteur de bit controlant le Link Controler (cf LC.vhd)
47
+              Bits_Controle_MUX_IN : STD_LOGIC_VECTOR; -- Vecteur de bit controlant le multiplexer selectionnant A ou B comme adresse (cf MUX.vhd)
48
+              Bits_Controle_MUX_IN_EBP : STD_LOGIC_VECTOR; -- Vecteur de bit controlant le multiplexer selectionnant si on doit ajouter ou non EBP à l'adresse (cf MUX.vhd)
49
+              Bits_Controle_MUX_OUT : STD_LOGIC_VECTOR; -- Vecteur de bit controlant le multiplexer de sortie (cf MUX.vhd)
50
+              Code_Instruction_CALL : STD_LOGIC_VECTOR; -- Numéro de l'instruction CALL
51
+              Code_Instruction_RET : STD_LOGIC_VECTOR); -- Numéro de l'instruction RET
52
+    Port ( CLK : in STD_LOGIC; -- Clock
53
+           RST : in STD_LOGIC; -- Reset
54
+           IN_A : in STD_LOGIC_VECTOR (Nb_bits - 1 downto 0); -- Entrée de l'opérande A
55
+           IN_B : in STD_LOGIC_VECTOR (Nb_bits - 1 downto 0); -- Entrée de l'opérande B
56
+           IN_Instruction : in STD_LOGIC_VECTOR (Instruction_bus_size - 1 downto 0); -- Entrée de l'instruction
57
+           OUT_A : out STD_LOGIC_VECTOR (Nb_bits - 1 downto 0); -- Sortie de l'opérande A
58
+           OUT_B : out STD_LOGIC_VECTOR (Nb_bits - 1 downto 0); -- Sortie de l'opérande B
59
+           OUT_Instruction : out STD_LOGIC_VECTOR (Instruction_bus_size - 1 downto 0)); -- Sortie de l'instruction
54 60
     end component;
55 61
     
56 62
     signal my_CLK : STD_LOGIC := '0';
57 63
     signal my_RST : STD_LOGIC := '1';
58 64
     signal my_IN_A : STD_LOGIC_VECTOR (7 downto 0) := (others => '0');
59 65
     signal my_IN_B : STD_LOGIC_VECTOR (7 downto 0) := (others => '0');
60
-    signal my_IN_Instruction : STD_LOGIC_VECTOR (2 downto 0) := (others => '0');
66
+    signal my_IN_Instruction : STD_LOGIC_VECTOR (4 downto 0) := (others => '0');
61 67
     signal my_OUT_A : STD_LOGIC_VECTOR (7 downto 0) := (others => '0');
62 68
     signal my_OUT_B : STD_LOGIC_VECTOR (7 downto 0) := (others => '0');
63
-    signal my_OUT_Instruction : STD_LOGIC_VECTOR (2 downto 0) := (others => '0');
69
+    signal my_OUT_Instruction : STD_LOGIC_VECTOR (4 downto 0) := (others => '0');
64 70
     
65
-    constant Bits_Controle_LC : STD_LOGIC_VECTOR (7 downto 0) := "01111111";
66
-    constant Bits_Controle_MUX_IN : STD_LOGIC_VECTOR (7 downto 0) := "10111111";
67
-    constant Bits_Controle_MUX_OUT : STD_LOGIC_VECTOR (7 downto 0) := "01000000";
71
+    constant Bits_Controle_LC : STD_LOGIC_VECTOR (31 downto 0) := "1111111111" & "1111111001011111111111";
72
+    constant Bits_Controle_MUX_IN : STD_LOGIC_VECTOR (31 downto 0) := "1111111111" & "1111111110101111111111";
73
+    constant Bits_Controle_MUX_IN_EBP : STD_LOGIC_VECTOR (31 downto 0) := "1111111111" & "1111111011001111111111";
74
+    constant Bits_Controle_MUX_OUT : STD_LOGIC_VECTOR (31 downto 0) := "1111111111" & "0000000001010000000000";
75
+    
76
+    constant Code_Instruction_CALL : STD_LOGIC_VECTOR (4 downto 0) := "10011";
77
+    constant Code_Instruction_RET : STD_LOGIC_VECTOR (4 downto 0) := "10100";
68 78
     
69 79
     constant CLK_period : time := 10 ns;
70 80
     
@@ -72,11 +82,17 @@ begin
72 82
 
73 83
     instance : Etage4_Memoire
74 84
     generic map( Nb_bits => 8,
75
-                 Mem_size => 256,
76
-                 Instruction_bus_size => 3,
85
+                 Mem_size => 16,
86
+                 Adresse_mem_size => 4,
87
+                 Instruction_bus_size => 5,
88
+                 Mem_EBP_size => 8,
89
+                 Adresse_size_mem_EBP => 3,
77 90
                  Bits_Controle_LC => Bits_Controle_LC,
78 91
                  Bits_Controle_MUX_IN => Bits_Controle_MUX_IN,
79
-                 Bits_Controle_MUX_OUT => Bits_Controle_MUX_OUT)
92
+                 Bits_Controle_MUX_IN_EBP => Bits_Controle_MUX_IN_EBP,
93
+                 Bits_Controle_MUX_OUT => Bits_Controle_MUX_OUT,
94
+                 Code_Instruction_CALL => Code_Instruction_CALL,
95
+                 Code_Instruction_RET => Code_Instruction_RET)
80 96
     port map(    CLK => my_CLK,
81 97
                  RST => my_RST,
82 98
                  IN_A => my_IN_A,
@@ -96,9 +112,9 @@ begin
96 112
     
97 113
     process 
98 114
     begin     
99
-        my_IN_A <= "01011111" after 0 ns, "11111111" after 124 ns;
100
-        my_IN_B <= "10100110" after 0 ns, "01011111" after 124 ns;
101
-        my_IN_Instruction <= "000" after 0 ns, "001" after 10 ns, "010" after 20 ns, "011" after 30 ns, "100" after 40 ns, "101" after 50 ns, "110" after 60 ns, "111" after 70 ns, "000" after 80 ns, "110" after 100 ns, "111" after 110 ns, "110" after 120 ns;
115
+        my_IN_A <= "00000000" after 0 ns, "00000001" after 4 ns, "00000010" after 14 ns;
116
+        my_IN_B <= "00000000" after 0 ns, "00000001" after 4 ns, "00000010" after 14 ns;
117
+        my_IN_Instruction <= "00000" after 0 ns, "01011" after 4 ns, "01011" after 14 ns;
102 118
         my_RST <= '0' after 125 ns;
103 119
         wait;
104 120
     end process;    

+ 1
- 1
Processeur.srcs/sources_1/new/MemoireInstructions.vhd
File diff suppressed because it is too large
View File


+ 8
- 2
Processeur.xpr View File

@@ -32,7 +32,7 @@
32 32
     <Option Name="EnableBDX" Val="FALSE"/>
33 33
     <Option Name="DSABoardId" Val="basys3"/>
34 34
     <Option Name="DSANumComputeUnits" Val="16"/>
35
-    <Option Name="WTXSimLaunchSim" Val="235"/>
35
+    <Option Name="WTXSimLaunchSim" Val="247"/>
36 36
     <Option Name="WTModelSimLaunchSim" Val="0"/>
37 37
     <Option Name="WTQuestaLaunchSim" Val="0"/>
38 38
     <Option Name="WTIesLaunchSim" Val="0"/>
@@ -233,9 +233,14 @@
233 233
           <Attr Name="UsedIn" Val="simulation"/>
234 234
         </FileInfo>
235 235
       </File>
236
+      <File Path="$PPRDIR/SimulationsConfig/Test_Etage4_Memoire_behav.wcfg">
237
+        <FileInfo>
238
+          <Attr Name="UsedIn" Val="simulation"/>
239
+        </FileInfo>
240
+      </File>
236 241
       <Config>
237 242
         <Option Name="DesignMode" Val="RTL"/>
238
-        <Option Name="TopModule" Val="Test_Pipeline"/>
243
+        <Option Name="TopModule" Val="Test_Etage4_Memoire"/>
239 244
         <Option Name="TopLib" Val="xil_defaultlib"/>
240 245
         <Option Name="TransportPathDelay" Val="0"/>
241 246
         <Option Name="TransportIntDelay" Val="0"/>
@@ -243,6 +248,7 @@
243 248
         <Option Name="XSimWcfgFile" Val="$PSIMDIR/sim_1/behav/xsim.dir/Test_Pipeline_behav/webtalk/Test_Pipeline_behav.wcfg"/>
244 249
         <Option Name="XSimWcfgFile" Val="$PSIMDIR/sim_1/behav/Test_Pipeline_behav.wcfg"/>
245 250
         <Option Name="XSimWcfgFile" Val="$PSIMDIR/sim_1/behav/Test_Pipeline_behav.wcfg"/>
251
+        <Option Name="XSimWcfgFile" Val="$PPRDIR/SimulationsConfig/Test_Etage4_Memoire_behav.wcfg"/>
246 252
       </Config>
247 253
     </FileSet>
248 254
   </FileSets>

+ 123
- 0
SimulationsConfig/Test_Etage4_Memoire_behav.wcfg View File

@@ -0,0 +1,123 @@
1
+<?xml version="1.0" encoding="UTF-8"?>
2
+<wave_config>
3
+   <wave_state>
4
+   </wave_state>
5
+   <db_ref_list>
6
+      <db_ref path="Test_Etage4_Memoire_behav.wdb" id="1">
7
+         <top_modules>
8
+            <top_module name="Test_Etage4_Memoire" />
9
+         </top_modules>
10
+      </db_ref>
11
+   </db_ref_list>
12
+   <zoom_setting>
13
+      <ZoomStartTime time="0fs"></ZoomStartTime>
14
+      <ZoomEndTime time="19120001fs"></ZoomEndTime>
15
+      <Cursor1Time time="8460000fs"></Cursor1Time>
16
+   </zoom_setting>
17
+   <column_width_setting>
18
+      <NameColumnWidth column_width="146"></NameColumnWidth>
19
+      <ValueColumnWidth column_width="193"></ValueColumnWidth>
20
+   </column_width_setting>
21
+   <WVObjectSize size="25" />
22
+   <wvobject type="logic" fp_name="/Test_Etage4_Memoire/my_CLK">
23
+      <obj_property name="ElementShortName">my_CLK</obj_property>
24
+      <obj_property name="ObjectShortName">my_CLK</obj_property>
25
+   </wvobject>
26
+   <wvobject type="logic" fp_name="/Test_Etage4_Memoire/my_RST">
27
+      <obj_property name="ElementShortName">my_RST</obj_property>
28
+      <obj_property name="ObjectShortName">my_RST</obj_property>
29
+   </wvobject>
30
+   <wvobject type="array" fp_name="/Test_Etage4_Memoire/my_IN_A">
31
+      <obj_property name="ElementShortName">my_IN_A[7:0]</obj_property>
32
+      <obj_property name="ObjectShortName">my_IN_A[7:0]</obj_property>
33
+   </wvobject>
34
+   <wvobject type="array" fp_name="/Test_Etage4_Memoire/my_IN_B">
35
+      <obj_property name="ElementShortName">my_IN_B[7:0]</obj_property>
36
+      <obj_property name="ObjectShortName">my_IN_B[7:0]</obj_property>
37
+   </wvobject>
38
+   <wvobject type="array" fp_name="/Test_Etage4_Memoire/my_IN_Instruction">
39
+      <obj_property name="ElementShortName">my_IN_Instruction[4:0]</obj_property>
40
+      <obj_property name="ObjectShortName">my_IN_Instruction[4:0]</obj_property>
41
+   </wvobject>
42
+   <wvobject type="array" fp_name="/Test_Etage4_Memoire/my_OUT_A">
43
+      <obj_property name="ElementShortName">my_OUT_A[7:0]</obj_property>
44
+      <obj_property name="ObjectShortName">my_OUT_A[7:0]</obj_property>
45
+   </wvobject>
46
+   <wvobject type="array" fp_name="/Test_Etage4_Memoire/my_OUT_B">
47
+      <obj_property name="ElementShortName">my_OUT_B[7:0]</obj_property>
48
+      <obj_property name="ObjectShortName">my_OUT_B[7:0]</obj_property>
49
+   </wvobject>
50
+   <wvobject type="array" fp_name="/Test_Etage4_Memoire/my_OUT_Instruction">
51
+      <obj_property name="ElementShortName">my_OUT_Instruction[4:0]</obj_property>
52
+      <obj_property name="ObjectShortName">my_OUT_Instruction[4:0]</obj_property>
53
+   </wvobject>
54
+   <wvobject type="array" fp_name="/Test_Etage4_Memoire/instance/instance_MemoireDonnees/Addr">
55
+      <obj_property name="ElementShortName">Addr[3:0]</obj_property>
56
+      <obj_property name="ObjectShortName">Addr[3:0]</obj_property>
57
+   </wvobject>
58
+   <wvobject type="array" fp_name="/Test_Etage4_Memoire/instance/instance_MemoireDonnees/D_IN">
59
+      <obj_property name="ElementShortName">D_IN[7:0]</obj_property>
60
+      <obj_property name="ObjectShortName">D_IN[7:0]</obj_property>
61
+   </wvobject>
62
+   <wvobject type="logic" fp_name="/Test_Etage4_Memoire/instance/instance_MemoireDonnees/RW">
63
+      <obj_property name="ElementShortName">RW</obj_property>
64
+      <obj_property name="ObjectShortName">RW</obj_property>
65
+   </wvobject>
66
+   <wvobject type="array" fp_name="/Test_Etage4_Memoire/instance/instance_MemoireDonnees/MEMORY">
67
+      <obj_property name="ElementShortName">MEMORY[127:0]</obj_property>
68
+      <obj_property name="ObjectShortName">MEMORY[127:0]</obj_property>
69
+      <obj_property name="Radix">HEXRADIX</obj_property>
70
+   </wvobject>
71
+   <wvobject type="array" fp_name="/Test_Etage4_Memoire/instance/Addr_MemoireDonnees">
72
+      <obj_property name="ElementShortName">Addr_MemoireDonnees[3:0]</obj_property>
73
+      <obj_property name="ObjectShortName">Addr_MemoireDonnees[3:0]</obj_property>
74
+   </wvobject>
75
+   <wvobject type="array" fp_name="/Test_Etage4_Memoire/instance/IN_Addr_MemoireDonnees">
76
+      <obj_property name="ElementShortName">IN_Addr_MemoireDonnees[3:0]</obj_property>
77
+      <obj_property name="ObjectShortName">IN_Addr_MemoireDonnees[3:0]</obj_property>
78
+   </wvobject>
79
+   <wvobject type="array" fp_name="/Test_Etage4_Memoire/instance/Addr_MemoireDonnees_EBP">
80
+      <obj_property name="ElementShortName">Addr_MemoireDonnees_EBP[3:0]</obj_property>
81
+      <obj_property name="ObjectShortName">Addr_MemoireDonnees_EBP[3:0]</obj_property>
82
+   </wvobject>
83
+   <wvobject type="array" fp_name="/Test_Etage4_Memoire/instance/instance_MemoireDonnees/Addr">
84
+      <obj_property name="ElementShortName">Addr[3:0]</obj_property>
85
+      <obj_property name="ObjectShortName">Addr[3:0]</obj_property>
86
+   </wvobject>
87
+   <wvobject type="logic" fp_name="/Test_Etage4_Memoire/instance/instance_MemoireDonnees/RW">
88
+      <obj_property name="ElementShortName">RW</obj_property>
89
+      <obj_property name="ObjectShortName">RW</obj_property>
90
+   </wvobject>
91
+   <wvobject type="array" fp_name="/Test_Etage4_Memoire/instance/instance_MemoireDonnees/D_IN">
92
+      <obj_property name="ElementShortName">D_IN[7:0]</obj_property>
93
+      <obj_property name="ObjectShortName">D_IN[7:0]</obj_property>
94
+   </wvobject>
95
+   <wvobject type="logic" fp_name="/Test_Etage4_Memoire/instance/instance_MemoireDonnees/RST">
96
+      <obj_property name="ElementShortName">RST</obj_property>
97
+      <obj_property name="ObjectShortName">RST</obj_property>
98
+   </wvobject>
99
+   <wvobject type="logic" fp_name="/Test_Etage4_Memoire/instance/instance_MemoireDonnees/CLK">
100
+      <obj_property name="ElementShortName">CLK</obj_property>
101
+      <obj_property name="ObjectShortName">CLK</obj_property>
102
+   </wvobject>
103
+   <wvobject type="array" fp_name="/Test_Etage4_Memoire/instance/instance_MemoireDonnees/D_OUT">
104
+      <obj_property name="ElementShortName">D_OUT[7:0]</obj_property>
105
+      <obj_property name="ObjectShortName">D_OUT[7:0]</obj_property>
106
+   </wvobject>
107
+   <wvobject type="array" fp_name="/Test_Etage4_Memoire/instance/instance_MemoireDonnees/MEMORY">
108
+      <obj_property name="ElementShortName">MEMORY[127:0]</obj_property>
109
+      <obj_property name="ObjectShortName">MEMORY[127:0]</obj_property>
110
+   </wvobject>
111
+   <wvobject type="other" fp_name="/Test_Etage4_Memoire/instance/instance_MemoireDonnees/Nb_bits">
112
+      <obj_property name="ElementShortName">Nb_bits</obj_property>
113
+      <obj_property name="ObjectShortName">Nb_bits</obj_property>
114
+   </wvobject>
115
+   <wvobject type="other" fp_name="/Test_Etage4_Memoire/instance/instance_MemoireDonnees/Addr_size">
116
+      <obj_property name="ElementShortName">Addr_size</obj_property>
117
+      <obj_property name="ObjectShortName">Addr_size</obj_property>
118
+   </wvobject>
119
+   <wvobject type="other" fp_name="/Test_Etage4_Memoire/instance/instance_MemoireDonnees/Mem_size">
120
+      <obj_property name="ElementShortName">Mem_size</obj_property>
121
+      <obj_property name="ObjectShortName">Mem_size</obj_property>
122
+   </wvobject>
123
+</wave_config>

Loading…
Cancel
Save