From 4f64f6664a57fa1c32f7819fd737dbba3829ea40 Mon Sep 17 00:00:00 2001 From: pfaure Date: Mon, 3 May 2021 15:56:14 +0200 Subject: [PATCH] Suppression fichiers inutiles --- .gitignore | 12 +- .../digilent-xdc-master/Basys-3-Master.xdc | 299 -- .../sources_1/new/ClockDivider10.vhd | 54 - .../sources_1/new/ClockDivider1000.vhd | 50 - .../sources_1/new/Compteur.vhd | 64 - .../sources_1/new/System.vhd | 66 - Compteur8BitsBasys3.xpr | 159 - proj/GPIO.cache/wt/gui_resources.wdf | 13 - proj/GPIO.cache/wt/java_command_handlers.wdf | 9 - proj/GPIO.cache/wt/project.wpc | 4 - proj/GPIO.cache/wt/synthesis.wdf | 38 - proj/GPIO.cache/wt/synthesis_details.wdf | 3 - proj/GPIO.cache/wt/webtalk_pa.xml | 48 - proj/GPIO.hw/GPIO.lpr | 8 - proj/GPIO.hw/hw_1/hw.xml | 15 - proj/GPIO.runs/.jobs/vrs_config_1.xml | 5 - proj/GPIO.runs/.jobs/vrs_config_2.xml | 5 - proj/GPIO.runs/.jobs/vrs_config_3.xml | 5 - .../impl_1/.Vivado_Implementation.queue.rst | 0 proj/GPIO.runs/impl_1/.init_design.begin.rst | 5 - proj/GPIO.runs/impl_1/.init_design.end.rst | 0 proj/GPIO.runs/impl_1/.opt_design.begin.rst | 5 - proj/GPIO.runs/impl_1/.opt_design.end.rst | 0 proj/GPIO.runs/impl_1/.place_design.begin.rst | 5 - proj/GPIO.runs/impl_1/.place_design.end.rst | 0 proj/GPIO.runs/impl_1/.route_design.begin.rst | 5 - proj/GPIO.runs/impl_1/.route_design.end.rst | 0 proj/GPIO.runs/impl_1/.vivado.begin.rst | 10 - proj/GPIO.runs/impl_1/.vivado.end.rst | 0 .../impl_1/.write_bitstream.begin.rst | 5 - .../GPIO.runs/impl_1/.write_bitstream.end.rst | 0 proj/GPIO.runs/impl_1/GPIO_demo.bit | Bin 519183 -> 0 bytes proj/GPIO.runs/impl_1/GPIO_demo.tcl | 67 - proj/GPIO.runs/impl_1/GPIO_demo.vdi | 475 --- .../GPIO.runs/impl_1/GPIO_demo_960.backup.vdi | 414 --- .../GPIO_demo_clock_utilization_routed.rpt | 235 -- .../impl_1/GPIO_demo_control_sets_placed.rpt | 104 - proj/GPIO.runs/impl_1/GPIO_demo_drc_opted.rpt | 35 - proj/GPIO.runs/impl_1/GPIO_demo_drc_routed.pb | Bin 37 -> 0 bytes .../GPIO.runs/impl_1/GPIO_demo_drc_routed.rpt | 35 - .../GPIO.runs/impl_1/GPIO_demo_drc_routed.rpx | Bin 101 -> 0 bytes proj/GPIO.runs/impl_1/GPIO_demo_io_placed.rpt | 277 -- .../GPIO_demo_methodology_drc_routed.rpt | 205 -- .../GPIO_demo_methodology_drc_routed.rpx | Bin 10167 -> 0 bytes proj/GPIO.runs/impl_1/GPIO_demo_opt.dcp | Bin 306125 -> 0 bytes proj/GPIO.runs/impl_1/GPIO_demo_placed.dcp | Bin 440936 -> 0 bytes .../impl_1/GPIO_demo_power_routed.rpt | 157 - .../impl_1/GPIO_demo_power_routed.rpx | Bin 457863 -> 0 bytes .../impl_1/GPIO_demo_power_summary_routed.pb | Bin 723 -> 0 bytes .../impl_1/GPIO_demo_route_status.pb | Bin 44 -> 0 bytes .../impl_1/GPIO_demo_route_status.rpt | 11 - proj/GPIO.runs/impl_1/GPIO_demo_routed.dcp | Bin 531281 -> 0 bytes .../GPIO_demo_timing_summary_routed.rpt | 2891 ----------------- .../GPIO_demo_timing_summary_routed.rpx | Bin 195363 -> 0 bytes .../impl_1/GPIO_demo_utilization_placed.pb | Bin 276 -> 0 bytes .../impl_1/GPIO_demo_utilization_placed.rpt | 212 -- proj/GPIO.runs/impl_1/ISEWrap.js | 244 -- proj/GPIO.runs/impl_1/ISEWrap.sh | 63 - proj/GPIO.runs/impl_1/gen_run.xml | 157 - proj/GPIO.runs/impl_1/htr.txt | 9 - proj/GPIO.runs/impl_1/init_design.pb | Bin 1795 -> 0 bytes proj/GPIO.runs/impl_1/opt_design.pb | Bin 5880 -> 0 bytes proj/GPIO.runs/impl_1/place_design.pb | Bin 11900 -> 0 bytes proj/GPIO.runs/impl_1/project.wdf | 31 - proj/GPIO.runs/impl_1/route_design.pb | Bin 13171 -> 0 bytes proj/GPIO.runs/impl_1/rundef.js | 40 - proj/GPIO.runs/impl_1/runme.bat | 10 - proj/GPIO.runs/impl_1/runme.log | 473 --- proj/GPIO.runs/impl_1/runme.sh | 47 - .../impl_1/usage_statistics_webtalk.html | 506 --- .../impl_1/usage_statistics_webtalk.xml | 453 --- proj/GPIO.runs/impl_1/vivado.jou | 12 - proj/GPIO.runs/impl_1/vivado.pb | Bin 149 -> 0 bytes proj/GPIO.runs/impl_1/vivado_960.backup.jou | 12 - proj/GPIO.runs/impl_1/write_bitstream.pb | Bin 5871 -> 0 bytes .../synth_1/.Vivado_Synthesis.queue.rst | 0 .../synth_1/.Xil/GPIO_demo_propImpl.xdc | 135 - proj/GPIO.runs/synth_1/.vivado.begin.rst | 5 - proj/GPIO.runs/synth_1/.vivado.end.rst | 0 proj/GPIO.runs/synth_1/GPIO_demo.dcp | Bin 241063 -> 0 bytes proj/GPIO.runs/synth_1/GPIO_demo.tcl | 43 - proj/GPIO.runs/synth_1/GPIO_demo.vds | 803 ----- .../synth_1/GPIO_demo_utilization_synth.pb | Bin 276 -> 0 bytes .../synth_1/GPIO_demo_utilization_synth.rpt | 185 -- proj/GPIO.runs/synth_1/ISEWrap.js | 244 -- proj/GPIO.runs/synth_1/ISEWrap.sh | 63 - proj/GPIO.runs/synth_1/gen_run.xml | 95 - proj/GPIO.runs/synth_1/htr.txt | 9 - proj/GPIO.runs/synth_1/project.wdf | 31 - proj/GPIO.runs/synth_1/rundef.js | 36 - proj/GPIO.runs/synth_1/runme.bat | 10 - proj/GPIO.runs/synth_1/runme.log | 802 ----- proj/GPIO.runs/synth_1/runme.sh | 43 - proj/GPIO.runs/synth_1/vivado.jou | 12 - proj/GPIO.runs/synth_1/vivado.pb | Bin 108888 -> 0 bytes proj/GPIO.xpr | 199 -- 96 files changed, 7 insertions(+), 10775 deletions(-) delete mode 100644 Compteur8BitsBasys3.srcs/constrs_1/imports/digilent-xdc-master/Basys-3-Master.xdc delete mode 100644 Compteur8BitsBasys3.srcs/sources_1/new/ClockDivider10.vhd delete mode 100644 Compteur8BitsBasys3.srcs/sources_1/new/ClockDivider1000.vhd delete mode 100644 Compteur8BitsBasys3.srcs/sources_1/new/Compteur.vhd delete mode 100644 Compteur8BitsBasys3.srcs/sources_1/new/System.vhd delete mode 100644 Compteur8BitsBasys3.xpr delete mode 100644 proj/GPIO.cache/wt/gui_resources.wdf delete mode 100644 proj/GPIO.cache/wt/java_command_handlers.wdf delete mode 100644 proj/GPIO.cache/wt/project.wpc delete mode 100644 proj/GPIO.cache/wt/synthesis.wdf delete mode 100644 proj/GPIO.cache/wt/synthesis_details.wdf delete mode 100644 proj/GPIO.cache/wt/webtalk_pa.xml delete mode 100644 proj/GPIO.hw/GPIO.lpr delete mode 100644 proj/GPIO.hw/hw_1/hw.xml delete mode 100644 proj/GPIO.runs/.jobs/vrs_config_1.xml delete mode 100644 proj/GPIO.runs/.jobs/vrs_config_2.xml delete mode 100644 proj/GPIO.runs/.jobs/vrs_config_3.xml delete mode 100644 proj/GPIO.runs/impl_1/.Vivado_Implementation.queue.rst delete mode 100644 proj/GPIO.runs/impl_1/.init_design.begin.rst delete mode 100644 proj/GPIO.runs/impl_1/.init_design.end.rst delete mode 100644 proj/GPIO.runs/impl_1/.opt_design.begin.rst delete mode 100644 proj/GPIO.runs/impl_1/.opt_design.end.rst delete mode 100644 proj/GPIO.runs/impl_1/.place_design.begin.rst delete mode 100644 proj/GPIO.runs/impl_1/.place_design.end.rst delete mode 100644 proj/GPIO.runs/impl_1/.route_design.begin.rst delete mode 100644 proj/GPIO.runs/impl_1/.route_design.end.rst delete mode 100644 proj/GPIO.runs/impl_1/.vivado.begin.rst delete mode 100644 proj/GPIO.runs/impl_1/.vivado.end.rst delete mode 100644 proj/GPIO.runs/impl_1/.write_bitstream.begin.rst delete mode 100644 proj/GPIO.runs/impl_1/.write_bitstream.end.rst delete mode 100644 proj/GPIO.runs/impl_1/GPIO_demo.bit delete mode 100644 proj/GPIO.runs/impl_1/GPIO_demo.tcl delete mode 100644 proj/GPIO.runs/impl_1/GPIO_demo.vdi delete mode 100644 proj/GPIO.runs/impl_1/GPIO_demo_960.backup.vdi delete mode 100644 proj/GPIO.runs/impl_1/GPIO_demo_clock_utilization_routed.rpt delete mode 100644 proj/GPIO.runs/impl_1/GPIO_demo_control_sets_placed.rpt delete mode 100644 proj/GPIO.runs/impl_1/GPIO_demo_drc_opted.rpt delete mode 100644 proj/GPIO.runs/impl_1/GPIO_demo_drc_routed.pb delete mode 100644 proj/GPIO.runs/impl_1/GPIO_demo_drc_routed.rpt delete mode 100644 proj/GPIO.runs/impl_1/GPIO_demo_drc_routed.rpx delete mode 100644 proj/GPIO.runs/impl_1/GPIO_demo_io_placed.rpt delete mode 100644 proj/GPIO.runs/impl_1/GPIO_demo_methodology_drc_routed.rpt delete mode 100644 proj/GPIO.runs/impl_1/GPIO_demo_methodology_drc_routed.rpx delete mode 100644 proj/GPIO.runs/impl_1/GPIO_demo_opt.dcp delete mode 100644 proj/GPIO.runs/impl_1/GPIO_demo_placed.dcp delete mode 100644 proj/GPIO.runs/impl_1/GPIO_demo_power_routed.rpt delete mode 100644 proj/GPIO.runs/impl_1/GPIO_demo_power_routed.rpx delete mode 100644 proj/GPIO.runs/impl_1/GPIO_demo_power_summary_routed.pb delete mode 100644 proj/GPIO.runs/impl_1/GPIO_demo_route_status.pb delete mode 100644 proj/GPIO.runs/impl_1/GPIO_demo_route_status.rpt delete mode 100644 proj/GPIO.runs/impl_1/GPIO_demo_routed.dcp delete mode 100644 proj/GPIO.runs/impl_1/GPIO_demo_timing_summary_routed.rpt delete mode 100644 proj/GPIO.runs/impl_1/GPIO_demo_timing_summary_routed.rpx delete mode 100644 proj/GPIO.runs/impl_1/GPIO_demo_utilization_placed.pb delete mode 100644 proj/GPIO.runs/impl_1/GPIO_demo_utilization_placed.rpt delete mode 100644 proj/GPIO.runs/impl_1/ISEWrap.js delete mode 100644 proj/GPIO.runs/impl_1/ISEWrap.sh delete mode 100644 proj/GPIO.runs/impl_1/gen_run.xml delete mode 100644 proj/GPIO.runs/impl_1/htr.txt delete mode 100644 proj/GPIO.runs/impl_1/init_design.pb delete mode 100644 proj/GPIO.runs/impl_1/opt_design.pb delete mode 100644 proj/GPIO.runs/impl_1/place_design.pb delete mode 100644 proj/GPIO.runs/impl_1/project.wdf delete mode 100644 proj/GPIO.runs/impl_1/route_design.pb delete mode 100644 proj/GPIO.runs/impl_1/rundef.js delete mode 100644 proj/GPIO.runs/impl_1/runme.bat delete mode 100644 proj/GPIO.runs/impl_1/runme.log delete mode 100644 proj/GPIO.runs/impl_1/runme.sh delete mode 100644 proj/GPIO.runs/impl_1/usage_statistics_webtalk.html delete mode 100644 proj/GPIO.runs/impl_1/usage_statistics_webtalk.xml delete mode 100644 proj/GPIO.runs/impl_1/vivado.jou delete mode 100644 proj/GPIO.runs/impl_1/vivado.pb delete mode 100644 proj/GPIO.runs/impl_1/vivado_960.backup.jou delete mode 100644 proj/GPIO.runs/impl_1/write_bitstream.pb delete mode 100644 proj/GPIO.runs/synth_1/.Vivado_Synthesis.queue.rst delete mode 100644 proj/GPIO.runs/synth_1/.Xil/GPIO_demo_propImpl.xdc delete mode 100644 proj/GPIO.runs/synth_1/.vivado.begin.rst delete mode 100644 proj/GPIO.runs/synth_1/.vivado.end.rst delete mode 100644 proj/GPIO.runs/synth_1/GPIO_demo.dcp delete mode 100644 proj/GPIO.runs/synth_1/GPIO_demo.tcl delete mode 100644 proj/GPIO.runs/synth_1/GPIO_demo.vds delete mode 100644 proj/GPIO.runs/synth_1/GPIO_demo_utilization_synth.pb delete mode 100644 proj/GPIO.runs/synth_1/GPIO_demo_utilization_synth.rpt delete mode 100644 proj/GPIO.runs/synth_1/ISEWrap.js delete mode 100644 proj/GPIO.runs/synth_1/ISEWrap.sh delete mode 100644 proj/GPIO.runs/synth_1/gen_run.xml delete mode 100644 proj/GPIO.runs/synth_1/htr.txt delete mode 100644 proj/GPIO.runs/synth_1/project.wdf delete mode 100644 proj/GPIO.runs/synth_1/rundef.js delete mode 100644 proj/GPIO.runs/synth_1/runme.bat delete mode 100644 proj/GPIO.runs/synth_1/runme.log delete mode 100644 proj/GPIO.runs/synth_1/runme.sh delete mode 100644 proj/GPIO.runs/synth_1/vivado.jou delete mode 100644 proj/GPIO.runs/synth_1/vivado.pb delete mode 100644 proj/GPIO.xpr diff --git a/.gitignore b/.gitignore index 7ffc11d..ddb4605 100644 --- a/.gitignore +++ b/.gitignore @@ -1,5 +1,7 @@ -Compteur8BitsBasys3.ip_user_files/* -Compteur8BitsBasys3.cache/* -Compteur8BitsBasys3.hw/* -Compteur8BitsBasys3.runs/* -Compteur8BitsBasys3.sim/* +Processeur.ip_user_files/* +Processeur.cache/* +Processeur.hw/* +Processeur.runs/* +Processeur.sim/* +vivado* +.Xil \ No newline at end of file diff --git a/Compteur8BitsBasys3.srcs/constrs_1/imports/digilent-xdc-master/Basys-3-Master.xdc b/Compteur8BitsBasys3.srcs/constrs_1/imports/digilent-xdc-master/Basys-3-Master.xdc deleted file mode 100644 index e901bdc..0000000 --- a/Compteur8BitsBasys3.srcs/constrs_1/imports/digilent-xdc-master/Basys-3-Master.xdc +++ /dev/null @@ -1,299 +0,0 @@ -## This file is a general .xdc for the Basys3 rev B board -## To use it in a project: -## - uncomment the lines corresponding to used pins -## - rename the used ports (in each line, after get_ports) according to the top level signal names in the project - -## Clock signal -set_property PACKAGE_PIN W5 [get_ports CLK] -set_property IOSTANDARD LVCMOS33 [get_ports CLK] -create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports CLK] - -## Switches -set_property PACKAGE_PIN V17 [get_ports {SW[0]}] -set_property IOSTANDARD LVCMOS33 [get_ports {SW[0]}] -set_property PACKAGE_PIN V16 [get_ports {SW[1]}] -set_property IOSTANDARD LVCMOS33 [get_ports {SW[1]}] -set_property PACKAGE_PIN W16 [get_ports {SW[2]}] -set_property IOSTANDARD LVCMOS33 [get_ports {SW[2]}] -set_property PACKAGE_PIN W17 [get_ports {SW[3]}] -set_property IOSTANDARD LVCMOS33 [get_ports {SW[3]}] -set_property PACKAGE_PIN W15 [get_ports {SW[4]}] -set_property IOSTANDARD LVCMOS33 [get_ports {SW[4]}] -set_property PACKAGE_PIN V15 [get_ports {SW[5]}] -set_property IOSTANDARD LVCMOS33 [get_ports {SW[5]}] -set_property PACKAGE_PIN W14 [get_ports {SW[6]}] -set_property IOSTANDARD LVCMOS33 [get_ports {SW[6]}] -set_property PACKAGE_PIN W13 [get_ports {SW[7]}] -set_property IOSTANDARD LVCMOS33 [get_ports {SW[7]}] -#set_property PACKAGE_PIN V2 [get_ports {sw[8]}] -#set_property IOSTANDARD LVCMOS33 [get_ports {sw[8]}] -#set_property PACKAGE_PIN T3 [get_ports {sw[9]}] -#set_property IOSTANDARD LVCMOS33 [get_ports {sw[9]}] -#set_property PACKAGE_PIN T2 [get_ports {sw[10]}] -#set_property IOSTANDARD LVCMOS33 [get_ports {sw[10]}] -#set_property PACKAGE_PIN R3 [get_ports {sw[11]}] -#set_property IOSTANDARD LVCMOS33 [get_ports {sw[11]}] -#set_property PACKAGE_PIN W2 [get_ports {sw[12]}] -#set_property IOSTANDARD LVCMOS33 [get_ports {sw[12]}] -#set_property PACKAGE_PIN U1 [get_ports {sw[13]}] -#set_property IOSTANDARD LVCMOS33 [get_ports {sw[13]}] -#set_property PACKAGE_PIN T1 [get_ports {sw[14]}] -#set_property IOSTANDARD LVCMOS33 [get_ports {sw[14]}] -#set_property PACKAGE_PIN R2 [get_ports {sw[15]}] -#set_property IOSTANDARD LVCMOS33 [get_ports {sw[15]}] - - -## LEDs -set_property PACKAGE_PIN U16 [get_ports {LED[0]}] -set_property IOSTANDARD LVCMOS33 [get_ports {LED[0]}] -set_property PACKAGE_PIN E19 [get_ports {LED[1]}] -set_property IOSTANDARD LVCMOS33 [get_ports {LED[1]}] -set_property PACKAGE_PIN U19 [get_ports {LED[2]}] -set_property IOSTANDARD LVCMOS33 [get_ports {LED[2]}] -set_property PACKAGE_PIN V19 [get_ports {LED[3]}] -set_property IOSTANDARD LVCMOS33 [get_ports {LED[3]}] -set_property PACKAGE_PIN W18 [get_ports {LED[4]}] -set_property IOSTANDARD LVCMOS33 [get_ports {LED[4]}] -set_property PACKAGE_PIN U15 [get_ports {LED[5]}] -set_property IOSTANDARD LVCMOS33 [get_ports {LED[5]}] -set_property PACKAGE_PIN U14 [get_ports {LED[6]}] -set_property IOSTANDARD LVCMOS33 [get_ports {LED[6]}] -set_property PACKAGE_PIN V14 [get_ports {LED[7]}] -set_property IOSTANDARD LVCMOS33 [get_ports {LED[7]}] -#set_property PACKAGE_PIN V13 [get_ports {led[8]}] -#set_property IOSTANDARD LVCMOS33 [get_ports {led[8]}] -#set_property PACKAGE_PIN V3 [get_ports {led[9]}] -#set_property IOSTANDARD LVCMOS33 [get_ports {led[9]}] -#set_property PACKAGE_PIN W3 [get_ports {led[10]}] -#set_property IOSTANDARD LVCMOS33 [get_ports {led[10]}] -#set_property PACKAGE_PIN U3 [get_ports {led[11]}] -#set_property IOSTANDARD LVCMOS33 [get_ports {led[11]}] -#set_property PACKAGE_PIN P3 [get_ports {led[12]}] -#set_property IOSTANDARD LVCMOS33 [get_ports {led[12]}] -#set_property PACKAGE_PIN N3 [get_ports {led[13]}] -#set_property IOSTANDARD LVCMOS33 [get_ports {led[13]}] -#set_property PACKAGE_PIN P1 [get_ports {led[14]}] -#set_property IOSTANDARD LVCMOS33 [get_ports {led[14]}] -#set_property PACKAGE_PIN L1 [get_ports {led[15]}] -#set_property IOSTANDARD LVCMOS33 [get_ports {led[15]}] - - -##7 segment display -#set_property PACKAGE_PIN W7 [get_ports {seg[0]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {seg[0]}] -#set_property PACKAGE_PIN W6 [get_ports {seg[1]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {seg[1]}] -#set_property PACKAGE_PIN U8 [get_ports {seg[2]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {seg[2]}] -#set_property PACKAGE_PIN V8 [get_ports {seg[3]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {seg[3]}] -#set_property PACKAGE_PIN U5 [get_ports {seg[4]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {seg[4]}] -#set_property PACKAGE_PIN V5 [get_ports {seg[5]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {seg[5]}] -#set_property PACKAGE_PIN U7 [get_ports {seg[6]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {seg[6]}] - -#set_property PACKAGE_PIN V7 [get_ports dp] - #set_property IOSTANDARD LVCMOS33 [get_ports dp] - -#set_property PACKAGE_PIN U2 [get_ports {an[0]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {an[0]}] -#set_property PACKAGE_PIN U4 [get_ports {an[1]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {an[1]}] -#set_property PACKAGE_PIN V4 [get_ports {an[2]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {an[2]}] -#set_property PACKAGE_PIN W4 [get_ports {an[3]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {an[3]}] - - -##Buttons -set_property PACKAGE_PIN U18 [get_ports btnC] -set_property IOSTANDARD LVCMOS33 [get_ports btnC] -#set_property PACKAGE_PIN T18 [get_ports btnU] -#set_property IOSTANDARD LVCMOS33 [get_ports btnU] -set_property PACKAGE_PIN W19 [get_ports btnL] -set_property IOSTANDARD LVCMOS33 [get_ports btnL] -set_property PACKAGE_PIN T17 [get_ports btnR] -set_property IOSTANDARD LVCMOS33 [get_ports btnR] -set_property PACKAGE_PIN U17 [get_ports btnD] -set_property IOSTANDARD LVCMOS33 [get_ports btnD] - - - -##Pmod Header JA -##Sch name = JA1 -#set_property PACKAGE_PIN J1 [get_ports {JA[0]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JA[0]}] -##Sch name = JA2 -#set_property PACKAGE_PIN L2 [get_ports {JA[1]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JA[1]}] -##Sch name = JA3 -#set_property PACKAGE_PIN J2 [get_ports {JA[2]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JA[2]}] -##Sch name = JA4 -#set_property PACKAGE_PIN G2 [get_ports {JA[3]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JA[3]}] -##Sch name = JA7 -#set_property PACKAGE_PIN H1 [get_ports {JA[4]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JA[4]}] -##Sch name = JA8 -#set_property PACKAGE_PIN K2 [get_ports {JA[5]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JA[5]}] -##Sch name = JA9 -#set_property PACKAGE_PIN H2 [get_ports {JA[6]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JA[6]}] -##Sch name = JA10 -#set_property PACKAGE_PIN G3 [get_ports {JA[7]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JA[7]}] - - - -##Pmod Header JB -##Sch name = JB1 -#set_property PACKAGE_PIN A14 [get_ports {JB[0]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JB[0]}] -##Sch name = JB2 -#set_property PACKAGE_PIN A16 [get_ports {JB[1]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JB[1]}] -##Sch name = JB3 -#set_property PACKAGE_PIN B15 [get_ports {JB[2]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JB[2]}] -##Sch name = JB4 -#set_property PACKAGE_PIN B16 [get_ports {JB[3]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JB[3]}] -##Sch name = JB7 -#set_property PACKAGE_PIN A15 [get_ports {JB[4]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JB[4]}] -##Sch name = JB8 -#set_property PACKAGE_PIN A17 [get_ports {JB[5]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JB[5]}] -##Sch name = JB9 -#set_property PACKAGE_PIN C15 [get_ports {JB[6]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JB[6]}] -##Sch name = JB10 -#set_property PACKAGE_PIN C16 [get_ports {JB[7]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JB[7]}] - - - -##Pmod Header JC -##Sch name = JC1 -#set_property PACKAGE_PIN K17 [get_ports {JC[0]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JC[0]}] -##Sch name = JC2 -#set_property PACKAGE_PIN M18 [get_ports {JC[1]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JC[1]}] -##Sch name = JC3 -#set_property PACKAGE_PIN N17 [get_ports {JC[2]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JC[2]}] -##Sch name = JC4 -#set_property PACKAGE_PIN P18 [get_ports {JC[3]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JC[3]}] -##Sch name = JC7 -#set_property PACKAGE_PIN L17 [get_ports {JC[4]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JC[4]}] -##Sch name = JC8 -#set_property PACKAGE_PIN M19 [get_ports {JC[5]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JC[5]}] -##Sch name = JC9 -#set_property PACKAGE_PIN P17 [get_ports {JC[6]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JC[6]}] -##Sch name = JC10 -#set_property PACKAGE_PIN R18 [get_ports {JC[7]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JC[7]}] - - -##Pmod Header JXADC -##Sch name = XA1_P -#set_property PACKAGE_PIN J3 [get_ports {JXADC[0]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[0]}] -##Sch name = XA2_P -#set_property PACKAGE_PIN L3 [get_ports {JXADC[1]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[1]}] -##Sch name = XA3_P -#set_property PACKAGE_PIN M2 [get_ports {JXADC[2]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[2]}] -##Sch name = XA4_P -#set_property PACKAGE_PIN N2 [get_ports {JXADC[3]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[3]}] -##Sch name = XA1_N -#set_property PACKAGE_PIN K3 [get_ports {JXADC[4]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[4]}] -##Sch name = XA2_N -#set_property PACKAGE_PIN M3 [get_ports {JXADC[5]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[5]}] -##Sch name = XA3_N -#set_property PACKAGE_PIN M1 [get_ports {JXADC[6]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[6]}] -##Sch name = XA4_N -#set_property PACKAGE_PIN N1 [get_ports {JXADC[7]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[7]}] - - - -##VGA Connector -#set_property PACKAGE_PIN G19 [get_ports {vgaRed[0]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[0]}] -#set_property PACKAGE_PIN H19 [get_ports {vgaRed[1]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[1]}] -#set_property PACKAGE_PIN J19 [get_ports {vgaRed[2]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[2]}] -#set_property PACKAGE_PIN N19 [get_ports {vgaRed[3]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[3]}] -#set_property PACKAGE_PIN N18 [get_ports {vgaBlue[0]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[0]}] -#set_property PACKAGE_PIN L18 [get_ports {vgaBlue[1]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[1]}] -#set_property PACKAGE_PIN K18 [get_ports {vgaBlue[2]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[2]}] -#set_property PACKAGE_PIN J18 [get_ports {vgaBlue[3]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[3]}] -#set_property PACKAGE_PIN J17 [get_ports {vgaGreen[0]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[0]}] -#set_property PACKAGE_PIN H17 [get_ports {vgaGreen[1]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[1]}] -#set_property PACKAGE_PIN G17 [get_ports {vgaGreen[2]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[2]}] -#set_property PACKAGE_PIN D17 [get_ports {vgaGreen[3]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[3]}] -#set_property PACKAGE_PIN P19 [get_ports Hsync] - #set_property IOSTANDARD LVCMOS33 [get_ports Hsync] -#set_property PACKAGE_PIN R19 [get_ports Vsync] - #set_property IOSTANDARD LVCMOS33 [get_ports Vsync] - - -##USB-RS232 Interface -#set_property PACKAGE_PIN B18 [get_ports RsRx] - #set_property IOSTANDARD LVCMOS33 [get_ports RsRx] -#set_property PACKAGE_PIN A18 [get_ports RsTx] - #set_property IOSTANDARD LVCMOS33 [get_ports RsTx] - - -##USB HID (PS/2) -#set_property PACKAGE_PIN C17 [get_ports PS2Clk] - #set_property IOSTANDARD LVCMOS33 [get_ports PS2Clk] - #set_property PULLUP true [get_ports PS2Clk] -#set_property PACKAGE_PIN B17 [get_ports PS2Data] - #set_property IOSTANDARD LVCMOS33 [get_ports PS2Data] - #set_property PULLUP true [get_ports PS2Data] - - -##Quad SPI Flash -##Note that CCLK_0 cannot be placed in 7 series devices. You can access it using the -##STARTUPE2 primitive. -#set_property PACKAGE_PIN D18 [get_ports {QspiDB[0]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[0]}] -#set_property PACKAGE_PIN D19 [get_ports {QspiDB[1]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[1]}] -#set_property PACKAGE_PIN G18 [get_ports {QspiDB[2]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[2]}] -#set_property PACKAGE_PIN F18 [get_ports {QspiDB[3]}] - #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[3]}] -#set_property PACKAGE_PIN K19 [get_ports QspiCSn] - #set_property IOSTANDARD LVCMOS33 [get_ports QspiCSn] - - -## Configuration options, can be used for all designs -set_property CONFIG_VOLTAGE 3.3 [current_design] -set_property CFGBVS VCCO [current_design] diff --git a/Compteur8BitsBasys3.srcs/sources_1/new/ClockDivider10.vhd b/Compteur8BitsBasys3.srcs/sources_1/new/ClockDivider10.vhd deleted file mode 100644 index d09c2ec..0000000 --- a/Compteur8BitsBasys3.srcs/sources_1/new/ClockDivider10.vhd +++ /dev/null @@ -1,54 +0,0 @@ ----------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 09.04.2021 21:42:26 --- Design Name: --- Module Name: ClockDivider10 - Behavioral --- Project Name: --- Target Devices: --- Tool Versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- - - -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - --- Uncomment the following library declaration if using --- arithmetic functions with Signed or Unsigned values ---use IEEE.NUMERIC_STD.ALL; - --- Uncomment the following library declaration if instantiating --- any Xilinx leaf cells in this code. ---library UNISIM; ---use UNISIM.VComponents.all; - -entity ClockDivider10 is - Port ( clk_in : in STD_LOGIC; - clk_out : out STD_LOGIC); -end ClockDivider10; - -architecture Behavioral of ClockDivider10 is - subtype int10 is INTEGER range 0 to 10; - signal N : int10 := 0; - signal aux : STD_LOGIC; -begin - process - begin - wait until clk_in'event and clk_in = '1'; - N <= N + 1; - if N = 10 then - aux <= not aux; - N <= 0; - end if; - end process; - clk_out <= aux; -end Behavioral; diff --git a/Compteur8BitsBasys3.srcs/sources_1/new/ClockDivider1000.vhd b/Compteur8BitsBasys3.srcs/sources_1/new/ClockDivider1000.vhd deleted file mode 100644 index cf026ad..0000000 --- a/Compteur8BitsBasys3.srcs/sources_1/new/ClockDivider1000.vhd +++ /dev/null @@ -1,50 +0,0 @@ ----------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 09.04.2021 21:44:36 --- Design Name: --- Module Name: ClockDivider1000 - Structural --- Project Name: --- Target Devices: --- Tool Versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- - - -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - --- Uncomment the following library declaration if using --- arithmetic functions with Signed or Unsigned values ---use IEEE.NUMERIC_STD.ALL; - --- Uncomment the following library declaration if instantiating --- any Xilinx leaf cells in this code. ---library UNISIM; ---use UNISIM.VComponents.all; - -entity ClockDivider1000 is - Port ( clk_in : in STD_LOGIC; - clk_out : out STD_LOGIC); -end ClockDivider1000; - -architecture Structural of ClockDivider1000 is - component ClockDivider10 - Port ( clk_in : in STD_LOGIC; - clk_out : out STD_LOGIC); - end component; - - signal aux1, aux2 : STD_LOGIC; -begin - U1: ClockDivider10 port map(clk_in, aux1); - U2: ClockDivider10 port map(aux1, aux2); - U3: ClockDivider10 port map(aux2, clk_out); -end Structural; diff --git a/Compteur8BitsBasys3.srcs/sources_1/new/Compteur.vhd b/Compteur8BitsBasys3.srcs/sources_1/new/Compteur.vhd deleted file mode 100644 index 938774b..0000000 --- a/Compteur8BitsBasys3.srcs/sources_1/new/Compteur.vhd +++ /dev/null @@ -1,64 +0,0 @@ ----------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 09.04.2021 21:20:39 --- Design Name: --- Module Name: Compteur - Behavioral --- Project Name: --- Target Devices: --- Tool Versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- - - -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.STD_LOGIC_UNSIGNED.ALL; - --- Uncomment the following library declaration if using --- arithmetic functions with Signed or Unsigned values --- use IEEE.NUMERIC_STD.ALL; - --- Uncomment the following library declaration if instantiating --- any Xilinx leaf cells in this code. ---library UNISIM; ---use UNISIM.VComponents.all; - -entity Compteur is - Port ( CK : in STD_LOGIC; - RST : in STD_LOGIC; - SENS : in STD_LOGIC; - LOAD : in STD_LOGIC; - EN : in STD_LOGIC; - Din : in STD_LOGIC_VECTOR (7 downto 0); - Dout : out STD_LOGIC_VECTOR (7 downto 0)); -end Compteur; - -architecture Behavioral of Compteur is - signal aux: STD_LOGIC_VECTOR (7 downto 0); -begin - Dout <= aux; - process - begin - wait until CK'event and CK='1'; - if RST = '0' then - aux <= (others => '0'); - elsif LOAD = '1' then - aux <= Din; - elsif EN = '0' then - if SENS = '1' then - aux <= aux + 1; - else - aux <= aux - 1; - end if; - end if; - end process; -end Behavioral; diff --git a/Compteur8BitsBasys3.srcs/sources_1/new/System.vhd b/Compteur8BitsBasys3.srcs/sources_1/new/System.vhd deleted file mode 100644 index 52fded3..0000000 --- a/Compteur8BitsBasys3.srcs/sources_1/new/System.vhd +++ /dev/null @@ -1,66 +0,0 @@ ----------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 09.04.2021 22:03:10 --- Design Name: --- Module Name: System - Behavioral --- Project Name: --- Target Devices: --- Tool Versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- - - -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - --- Uncomment the following library declaration if using --- arithmetic functions with Signed or Unsigned values ---use IEEE.NUMERIC_STD.ALL; - --- Uncomment the following library declaration if instantiating --- any Xilinx leaf cells in this code. ---library UNISIM; ---use UNISIM.VComponents.all; - -entity System is - Port ( SW : in STD_LOGIC_VECTOR (0 to 7); - btnL : in STD_LOGIC; - btnC : in STD_LOGIC; - btnR : in STD_LOGIC; - btnD : in STD_LOGIC; - LED : out STD_LOGIC_VECTOR (0 to 7); - CLK : in STD_LOGIC); -end System; - -architecture Structural of System is - - component ClockDivider1000 - Port ( clk_in : in STD_LOGIC; - clk_out : out STD_LOGIC); - end component; - - component Compteur - Port ( CK : in STD_LOGIC; - RST : in STD_LOGIC; - SENS : in STD_LOGIC; - LOAD : in STD_LOGIC; - EN : in STD_LOGIC; - Din : in STD_LOGIC_VECTOR (7 downto 0); - Dout : out STD_LOGIC_VECTOR (7 downto 0)); - end component; - - signal CLK_DIV_1000, CLK_DIV_1000000 : STD_LOGIC; -begin - DIV1: ClockDivider1000 port map(CLK, CLK_DIV_1000); - DIV2: ClockDivider1000 port map(CLK_DIV_1000, CLK_DIV_1000000); - CMPT: Compteur port map(CLK_DIV_1000000, btnC, btnR, btnL, btnD, SW, LED); -end Structural; diff --git a/Compteur8BitsBasys3.xpr b/Compteur8BitsBasys3.xpr deleted file mode 100644 index aa65aac..0000000 --- a/Compteur8BitsBasys3.xpr +++ /dev/null @@ -1,159 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/proj/GPIO.cache/wt/gui_resources.wdf b/proj/GPIO.cache/wt/gui_resources.wdf deleted file mode 100644 index 8c78a4f..0000000 --- a/proj/GPIO.cache/wt/gui_resources.wdf +++ /dev/null @@ -1,13 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:626173656469616c6f675f6f6b:36:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:636f6d6d616e6473696e7075745f747970655f74636c5f636f6d6d616e645f68657265:35:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:3133:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061636f6d6d616e646e616d65735f6175746f5f636f6e6e6563745f746172676574:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061636f6d6d616e646e616d65735f6f70656e5f68617264776172655f6d616e61676572:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061636f6d6d616e646e616d65735f72756e5f62697467656e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:706176696577735f70726f6a6563745f73756d6d617279:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:70726f6772616d64656275677461625f70726f6772616d5f646576696365:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:70726f6772616d667067616469616c6f675f70726f6772616d:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:74636c636f6e736f6c65766965775f74636c5f636f6e736f6c655f636f64655f656469746f72:31:00:00 -eof:87845066 diff --git a/proj/GPIO.cache/wt/java_command_handlers.wdf b/proj/GPIO.cache/wt/java_command_handlers.wdf deleted file mode 100644 index 018d823..0000000 --- a/proj/GPIO.cache/wt/java_command_handlers.wdf +++ /dev/null @@ -1,9 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6175746f636f6e6e656374746172676574:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6c61756e636870726f6772616d66706761:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e68617264776172656d616e61676572:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e62697467656e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e696d706c656d656e746174696f6e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e73796e746865736973:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b70726f6a6563746d616e61676572:31:00:00 -eof:1593923137 diff --git a/proj/GPIO.cache/wt/project.wpc b/proj/GPIO.cache/wt/project.wpc deleted file mode 100644 index 3c63dc5..0000000 --- a/proj/GPIO.cache/wt/project.wpc +++ /dev/null @@ -1,4 +0,0 @@ -version:1 -57656254616c6b5472616e736d697373696f6e417474656d70746564:1 -6d6f64655f636f756e7465727c4755494d6f6465:1 -eof: diff --git a/proj/GPIO.cache/wt/synthesis.wdf b/proj/GPIO.cache/wt/synthesis.wdf deleted file mode 100644 index 6e0f843..0000000 --- a/proj/GPIO.cache/wt/synthesis.wdf +++ /dev/null @@ -1,38 +0,0 @@ -version:1 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:786337613335746370673233362d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:4750494f5f64656d6f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:6e6f6e65:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:52756e74696d654f7074696d697a6564:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:64656661756c743a3a3130303030:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:64656661756c743a3a33:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:6f6666:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a333473:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:3639322e3635364d42:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3432342e3137364d42:00:00 -eof:1465870805 diff --git a/proj/GPIO.cache/wt/synthesis_details.wdf b/proj/GPIO.cache/wt/synthesis_details.wdf deleted file mode 100644 index 78f8d66..0000000 --- a/proj/GPIO.cache/wt/synthesis_details.wdf +++ /dev/null @@ -1,3 +0,0 @@ -version:1 -73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00 -eof:2511430288 diff --git a/proj/GPIO.cache/wt/webtalk_pa.xml b/proj/GPIO.cache/wt/webtalk_pa.xml deleted file mode 100644 index 0c5b6c8..0000000 --- a/proj/GPIO.cache/wt/webtalk_pa.xml +++ /dev/null @@ -1,48 +0,0 @@ - - - - -
- - -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
-
diff --git a/proj/GPIO.hw/GPIO.lpr b/proj/GPIO.hw/GPIO.lpr deleted file mode 100644 index 8c04d07..0000000 --- a/proj/GPIO.hw/GPIO.lpr +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/proj/GPIO.hw/hw_1/hw.xml b/proj/GPIO.hw/hw_1/hw.xml deleted file mode 100644 index fa93dd2..0000000 --- a/proj/GPIO.hw/hw_1/hw.xml +++ /dev/null @@ -1,15 +0,0 @@ - - - - - - - - - - - - - - - diff --git a/proj/GPIO.runs/.jobs/vrs_config_1.xml b/proj/GPIO.runs/.jobs/vrs_config_1.xml deleted file mode 100644 index c5f28e1..0000000 --- a/proj/GPIO.runs/.jobs/vrs_config_1.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/proj/GPIO.runs/.jobs/vrs_config_2.xml b/proj/GPIO.runs/.jobs/vrs_config_2.xml deleted file mode 100644 index 9f3ec3f..0000000 --- a/proj/GPIO.runs/.jobs/vrs_config_2.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/proj/GPIO.runs/.jobs/vrs_config_3.xml b/proj/GPIO.runs/.jobs/vrs_config_3.xml deleted file mode 100644 index 8064f23..0000000 --- a/proj/GPIO.runs/.jobs/vrs_config_3.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/proj/GPIO.runs/impl_1/.Vivado_Implementation.queue.rst b/proj/GPIO.runs/impl_1/.Vivado_Implementation.queue.rst deleted file mode 100644 index e69de29..0000000 diff --git a/proj/GPIO.runs/impl_1/.init_design.begin.rst b/proj/GPIO.runs/impl_1/.init_design.begin.rst deleted file mode 100644 index 5804455..0000000 --- a/proj/GPIO.runs/impl_1/.init_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/proj/GPIO.runs/impl_1/.init_design.end.rst b/proj/GPIO.runs/impl_1/.init_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/proj/GPIO.runs/impl_1/.opt_design.begin.rst b/proj/GPIO.runs/impl_1/.opt_design.begin.rst deleted file mode 100644 index 5804455..0000000 --- a/proj/GPIO.runs/impl_1/.opt_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/proj/GPIO.runs/impl_1/.opt_design.end.rst b/proj/GPIO.runs/impl_1/.opt_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/proj/GPIO.runs/impl_1/.place_design.begin.rst b/proj/GPIO.runs/impl_1/.place_design.begin.rst deleted file mode 100644 index 5804455..0000000 --- a/proj/GPIO.runs/impl_1/.place_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/proj/GPIO.runs/impl_1/.place_design.end.rst b/proj/GPIO.runs/impl_1/.place_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/proj/GPIO.runs/impl_1/.route_design.begin.rst b/proj/GPIO.runs/impl_1/.route_design.begin.rst deleted file mode 100644 index 5804455..0000000 --- a/proj/GPIO.runs/impl_1/.route_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/proj/GPIO.runs/impl_1/.route_design.end.rst b/proj/GPIO.runs/impl_1/.route_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/proj/GPIO.runs/impl_1/.vivado.begin.rst b/proj/GPIO.runs/impl_1/.vivado.begin.rst deleted file mode 100644 index 236874a..0000000 --- a/proj/GPIO.runs/impl_1/.vivado.begin.rst +++ /dev/null @@ -1,10 +0,0 @@ - - - - - - - - - - diff --git a/proj/GPIO.runs/impl_1/.vivado.end.rst b/proj/GPIO.runs/impl_1/.vivado.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/proj/GPIO.runs/impl_1/.write_bitstream.begin.rst b/proj/GPIO.runs/impl_1/.write_bitstream.begin.rst deleted file mode 100644 index e2e5929..0000000 --- a/proj/GPIO.runs/impl_1/.write_bitstream.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/proj/GPIO.runs/impl_1/.write_bitstream.end.rst b/proj/GPIO.runs/impl_1/.write_bitstream.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/proj/GPIO.runs/impl_1/GPIO_demo.bit b/proj/GPIO.runs/impl_1/GPIO_demo.bit deleted file mode 100644 index 555927ee44b1610601dc7c16ec73f7d518666658..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 519183 zcmeF)3$!G6ec1VbS6A2j-F>Ce15tA&iyARt*~ZQoxWp!o5i*(p-VhvP z;I^KeX6>n-YK-*)!f|LU9H zde7hb+u!o4zxrKgU-#?F&$XL%O zk_T0d>omuWS5+?YBwzhJv~;{xRoo+^#V}(vFlZwqmwX-MZ?#o8dlhA{AG9Q{xijt{ ztPNo%gmoayf-ncdJP7k4EP${G!eR(ZAS{Kj48pn))`PGm2)hQtu7$7@5Oy7eT@PV5 zK-i5C_ACgy3BsNYVL61Igs^1@yBWf6fw1R5*sT!uO%S#MVb6uI62i_y*aZlCAB5cp zVIP37OAz)!2>TF({S<`V4`B~L*iS>)M=(v|wO~JM-3#kogfQ4Y4RFkW_1a)+8%%A(_S)cP+a6!OHdxgLtJ+{y8?0)BRc)}U z4OX?msy0~F2CLd&RU52o!#>!CeXtGtU>o)&Q;lz1xds?}?q(Vg2K%51=XECRpC;^| zChVUkob#D3lmX5MO*rQ>Lr4?O2TkZlCiEi{`jH9!$b^1mLO(L0ADPgPOz1}@^dl4c zkqQ0CgmXR<&iPC@=QH7)&xCV66VCa}W!PVChA=pnGT~gxgyV||#}^ZhFD4yd;2g|^ zb1Cz~P6Zx8VIA3+%VRehcik;JqLV&I>F!FRF!FR-|u^bqE6kEHJ==^AHPsu)qfk-qW$b4hzn0 zEI7BZ;M~Rnk1RO1vEbasf^!=S&TT9>x3S>d#sW7jaMJ=eEpqc0VL$tO5Ox{D9)_?- zAncRl!))-~2H$P)-3H%n@ZARAZSdU&-)->S2H$P)-3H%n@ZARAZSdU&-)->S2H$P) z-3H%n@ZARAZSdU&-)->S2H$P)-3H%n@ZARAZSdU&-)->S2H$P)-3H%n@ZARAZSdU& z-)->S2H$P)-3H%n@ZARAZSdU&-)->S2H$P)-3H%n@ZARAZSdU&-)->S2H$P)-3H%n z@ZARAZSdU&-)->S2H$P)-3H%n@ZARAZSdU&-yQJX0pA_)-2vYn@ZAC59q`=&-yQJX z0pA_)-2vYn@ZAC59q`=&-yQJX0pA_)-2vYn@ZAC59q`=&-yQJX0pA_)-2vYn@ZAC5 z9q`=&-yQJX0pA_)-2vYn@ZAC59q`=&-yQJX0pA_)-2vYn@ZAC59q`=&-yQJX0pA_) z-2vYn@ZAC59q`=&-yQJX0pA_)-2vYn@ZAC59q`=&-yQJX0pA_)-2vYn@ZAC59q`=& z-(B$C1>arp-38xW@ZAO9UGUun-(B$C1>arp-38xW@ZAO9UGUun-(B$C1>arp-38xW z@ZAO9UGUun-(B$C1>arp-38xW@ZAO9U2xL{H(hYk1vg!A(*-wOaMJ}hU2xL{H(hYk z1vg!A(*-wOaMJ}hU2xL{H(hYk1vg!A(*-wOaMJ}hU2xL{H(hYk1vg!A(*-wOaMJ}h zU2xL{H(hYk1vfo#(*rj>aMJ@fJ#faMJ@fJ#faMJ@fJ#faMJ@fJ#fT`_m_f)A?y(d`{ekr5PT28_Yiy!!S@h+55e~kd=J6*5PT28_Yiy!!S@h+ z55e~kd=J6*5PT28_Yiy!!S@h+55e~kd=J6*5PT28_Yiy!!S@h+55e~kd=J6*5PT28 z_Yiy!!S@h+55e~kd=J6*5PT28_Yiy!!S@h+55e~kd=J6*5PT28_Yiy!!S@h+55e~k zd=J6*5PT28_Yiy!!S@h+55e~kd=J6*5PT28_Yiy!!S@h+55e~kd=J6*2z-yg_XvEC z!1oAzkHGf`e2>8Q2z-yg_XvEC!1oAzkHGf`e2>8Q2z-yg_XvEC!1oAzkHGf`e2>8Q z2z-yg_XvEC!1oAzkHGf`e2>8Q2z-yg_XvEC!1oAzkHGf`e2>8Q2z-yg_XvEC!1oAz zkHGf`e2>8Q2z-yg_XvEC!1oAzkHGf`e2>8Q2z-yg_XvEC!1oAzkHGf`e2>8Q2z-yg z_XvEC!1oAzkHGf`e2>8Q7<`Yx_ZWPS!S@(^kHPmCe2>BR7<`Yx_ZWPS!S@(^kHPmC ze2>BR7<`Yx_ZWPS!S@(^kHPmCe2>BR7<`Yx_ZWPS!S@*ai^0Db{ENZA82pRDzZm?B z!M_;%i^0Db{ENZA82pRDzZm?B!M_;%i^0Db{ENZA82pRDzZm?B!M_;%i^0Db{ENZA z82pRDzZm?B!M_;%i^0Db{ENXqdFKDv=YJ;PUjqIm;9mm%CE#BI{w3gF0{$i7UjqIm z;9mm%CE#BI{w3gF0{$i7UjqIm;9mm%CE#BI{w3gF0{$i7UjqIm;9mmQw+UR|CUAY5 z!1ZmCL;pJoVapJ9GlYTf3HY9X?+N&xfbR+To`CNO_@0383HY9X?+N&xfbR+To`CNO z_@0383HY9X?+N&xfbR+To`CNO_@0383HY9X?+N&xfbR+To`CNO_@0383HY9X?+N&x zg6}E#o`UZw_@09ADfpg(?6HaDAKQf$tgko`LTf_@0698Tg)o?-}@>f$tgko`LTf_@0698Tg)o?-}@>f$tgk zo`LTf_@0698Tg)o?_Kb(3;uP%zb^RK1^>F>Ul;uAf`47`uM7Tl!M`r}*9HH&;9nQ~ z>w@UIL0b-}+b_}2yhy5L_I{Of{$UGT39{&m5>F8J34|GMB`7yRpje_ime3;uP% zzb^RK1^>F>Ul;uAf`47`uM7Tl!M`r}*9HH&;9nQ~>w@UIL0b-}+b_}2yhy5L_I z{Of{$UGT39{&m5>F8J34|GMB`7yRpze{g-+Fn2fp{f_a6A(1K)e#dk=i?f$u%=y$8Pc!1o^b-UHuz;Cl~z?}6_< z@Vy7V_rUia_}&BGd*FKyeD8tpJ@CB;zW2cQ9{An^-+SPD4}9-|?>+Fn2fp{f_a6A( z1K)e#dk=i?f$u%=y$8Pc!1o^b-UHuz;Cl~z?}6_<@O=qKLv2_WKtxIrhU4mol5*!DY;5fJh$H65y4lcoQX^DiI zQZzK*`^a|u)_p{p#VE-vW@?eA?KLpsxc2^BqVRFe+@8qH&$Yig^I4pH24}qgnHMKt zZyO_Ws~7$Ca7@54EFPDq z!)^L}K6<@JS5zq^%&QXNaY|xJs!-C9u=b(PiN_wtqPvb1}2hf@9v2tvq)reHBh)e zHo3&=lT$jN>W@XR{OadouN)*d?iHgs;d`Ak%o6zmDHMhBVrY6jPGgH|) za%P5)Uv+QUOtkJOn+s-h!oPPm^EEbCN2=Fy^E`cQ`ZExa%-+e-p=-s-x34;Qf4`Kz z)9*gRok*hY>POpGziM;#k@mZ*mhF)n-dU7!b$(&w+B3B9;tb{G$XO}3rQr0BRC?iN z4;hXzuFbY3ausI$w_Sye9%Yj|JHt>~`q(up*f7d|EE#V$&d_?ZHrc*))^aq7{0t=7 zkyJLS!FI%%h1qNWU>0&Qp*)o1)2aGLsRoC$@$qIMr2RK$As5rlgHcHO`tOcL9J-Wx zv*h&~VkmU8$p6_N%yYA!$D`&@>yj&@7_H1vQ=k0$-3yaXbH-BiocrW-tTY_YG-fPo zqqCDdcl7c(T6(_BuQk+C(N_6Km0D^*$xbvNk4MX5bp~vdMN8gHxpcIoj%V%mZy(Qg zuHsg_=v=#%6!%`b|8B`ec@=ZA&rRF^?>-|oAzC>ylOMeQ+_kOj_n!RV{eSe)OX_1I z+elq5{WxMv1n{sL8RrRW$8rRsTFJ=!abyCUrS7bb^A7l(=|JwHt%`|+n9C_)S z6y=?|VBcG;-CDU zO+NS6zI8)AJ&`o0oJeQN>0kBab(_e?1f71H{K+glP;jq0nfo@;$F8cMERJ1!?F$nR z_X?`K-ecMQ{KPBgDkb=6zJ0?ozphmKsqIS}F*oMwIqX&qh8bkc-vCP>vX1RB4rR z9&D7VoIt|Ip2WXB_AO3pgW`u=kQ8M<{alawN3i~anwi1e43F&Y3}@~@S#z9xrRYC~ zOIk-Y@t{?g_k;CB)5k`V>V|OSkX09DbE00*jB)$nX5@44T&_6l%Ix*umeA*0PN%$O z>%En|*Popj-TC?M;`!G3eCX`A|Ep}XQ`7s;N%!Rble-5 zW1p<&8#Mkq4azHLHFuU&w$)KYm;6+bHt_jX$=zJg=7gqiFka*+Prh{5r@hyDT`zIU z<`iHvr``E^5AwDzec^Q{&gf&8zOT)A+;gOCsph_E!z-GW;c@xB;V1ua<4w={fzL@l zsoLb%{WpF7uYCVcH}Sorx#=fMKe_SDn_m9i|9G=a-u(NYPn=(EqVaDYx8F5y`Q`G; zhWbx+zT>r1@}1QtepfYlOI?O}7;jujx3$SeBfme~F6N;vsxnAPHinMGH=TP*$%aNdxA^K);HD%;}6`bs`&a^e1yc`4vA^lKCP^_Z|- z{o2Zl}S^+kG(*tRKC2{Epiiybd<8W-9)J;4^{S=TuDy561l7z+}N}2{+^l4@3>4Q5049J z;_?SCIF~Qvp8keugZoJ`H}5H~T+sI?D!IRURgPVT-ldv)4d2A?Vvg*>^2yGMoOV^u zwy~7l#xOEg6Bf3Avjpp{#Kj0SjqR!T$T=-pHYq#m@@1vUbyMH2D1Qw+kR=WL{CV^aV zT}M~)+ghe`GF!R1XvtZz+3}=J^w7jMd3baQo42&R`mWOHbkuFP+;Tw~)oHQxm*$m2 zp11qEP0aPEJpa%y|LOX3|MfrkOYivfXD_Muf7ny!%ToTD*iYu>*-t<8f_Gnf?zMmU zo8Ix97hO_T99N#Sls&5bW_wvTnC<-SKX)zF?rv=S#^BrW{qF$pf9+X{*?!$D<>n}P z`TO!+>@R(3W8)7u^jpGBZj<@BKKXCb4)1-hZWGBGjpAsj z`O|nm^@w>oT#2sEo^ZIl@><=Oad;C$8~G;cP(`=jHZ;@dNjYzvlVN_YwWfc*VqO}3 z-jPek>^v|!Y}Yx-(C?E4moHU&QFT@#=O+DeLwBrm4bAu1Y`4@M{W~uk+u6Hs9$UDE z1#EpkyYXizYYnS<$gb`fIfOSQDEXUB$?0DClzvj=#XQ_F-u|Jz%G#Llw*2mrYL$)2 z@7Rnce|Kz9!vbDiT}t(@ry9$9zs~=lVO2jiyLmsPiC;?DQKoKt$AZSrZh2BRwhaA@ z>zn=NWH18pL_c0r&V}_SSe6P#x3$2%Btaw@&?yj_MAWSo}YZ@kKFVf|AS>1a?f>>+ob!@_L4Ps?wM{;jTF-!=MPdqs&ETnVU9QslGj&589{6qvUN(&i%H$OBb1oUZUox zP4ap1WRBWoTc=sf%t8%}xSlMf>&Ug`>F0ZeOtj>$@k8>~#us5wfJawUDUV;0zork# ze304nW|-6bk2tOkKgZ=;q}YZt7iFKHd%Oo{(efT<(}(1pO)tT4{`JqGHvcrB`}W~7 zHF>&J4>URZLwWDMVrZt}lda_^=Af5IBiz&QhS8As&;9Q@^5f0Rb5NY_={YDw zRfM8nkU1z%`=#^JCUVMEw(@x>O>+G_ltP!Gke_c>bMY&p?R;L^M2!EP)2cNG$1Zi( zytK&=EZz7AuYP_y2W>Jx_uZfQIqxg44^GcRo4jyd`pLTb($n)&mU$`1`o^M7X00vP z|La3@(ofodW!CEUu=!d4uV4Dlhh2BJ)=Rpxm7l}s=JdLLev5O*;ZvBEHRtDftjW9X zljpQ88?#cghtEfH_ub+)$tT)L;CI*~-;na?_oIzTPkQS@onm8tfnc>|ttQ zw$@3)*~-u1bF==TjVB&|&Rq19x%uqZQBVF}F&F)$`(20MGZ$+vYJh8C_q`CNpUWz% zs*vw`)?YEwOPV|+uW54bhx0yYFC&+Q2lHI&t@&OZ`+(~_{7$YGL65adVRy2Vk`TNZ;HAo?HANji}9icCS3y~&PhI$%SQUv z)LUzMXPTdT&VtSJ#JX*oI-Vc@<$Fa6l*-z((9 zig|dp!~A?NQJTjnvObZ zE}nEgPtTr}KBC{lZ2Zvu%Z)EWU4W0sd9cx{=Nddt>;`9kd)5P|WjgclmRNgkzUS6@ zK;96`^>3Cnedw+O9^V#s)+9|cMF&ABaZVDl7vax8B*=o+UH@@WS|8hgk!SzYIn5~*Ud~T$l zbfit@VQ9}oA#@quvhKXgG1VQ-FVFn^?)VS?=J{1qIZeN*MRuwAdHzZ3rj2vw&aKJw zHkv-n&u=L`bJH`rP2Sk_7Lk6k)ci6GN)T_HnvZ@mH!Y$z;%DZhP3GtGlj<8QEprZx zpPy$i-zqtNx&%==1I1i=JWys~PdXG)dG?s!spRnE`Pa{l+3x6VG}H6WU!+SS|C4ah2IQJyJ2Kf@f{ zoFt9WmEYZ&k0J3KeNHwH??lhd^Lmz~P2@nlXpBW8>VFIV(}~ zi}T)dYCi6gQQcQIzcuNa#4=y)xhraWd9SnY;ODS^-OR3$CI-A@8;wK zzv)+(lj~0rj^U%Y_RY@puELHX|I=@ZW8Xq~-T!#(+gxn$8>R+!TeIZnQhNDb(;R)a z{!RpfBPK@iKzefI}{R8|Lrl{^z@Dh#g6&K?4kw^T>~Tf(8XL* z)4wk`BGFQo>A%?-%Kc>J#DCpStVNs&)j+vtJQwQ0`j#aDKdH5bwzg)$r;vL7bpxVE#+UAd=h>hDu zF!}p|=i|$@SFt6pw}v)e3{5X4uUZYHKec_+<@VXV=jOLp9Jng>uB`pV=w8_#Zvq*a z-&WdQRa2WgQT;ahpe2e!Y-Z5{hp4n~lvPGtyL>p8!pnOye?C8TaO24dm&KTHdf$M_ zSx;;hI^Ud{?8LOvoop^=C^h%@)S}L~J&yg0PuKJ~LyOQw4II7(M)?1;xg@Jd>Tn&uqLxX!Lr+uw;oR=%@=k{xC+S{CV`jcz-tDlm0&1N2o&8fx2a19*$ zr;%!ciWMYf78dC!!{Qr#J z7sh=5Z8GILqdLW8Qrc%K*WIWF+m!9L3YnSsaO`E8a0!-`{*wJMp1??(Y;DuHEHe`y zw$h(?(u)LVy9Rb0*JRJmCCgKNZ(!n8Qr}xtk9Te$DRwSd%ul-p>MF0tJGVDKZ8GRX z(|cdfP}aWQ*E3X=2kyIdd(`8d?Xq*ej#rO&h7Zk`db-drG8EP8(O=TPcJwqBDJ*JW zbPXIs-|dX;TY|7_A?$hxy8*(MA?#)dy9L6Y z17Rx=_FM=nA?!Sa-3wtCA?$q+b{~X&0KzUo*!>XpVF-Hw!hRaUJ_2Ds17RP9u#Z95 z$06)zA?!g28}s>G)yF;o>-`*r{XB&I!uYV3g0L2Z84%WnurcQ_y6i0*)^i{Xj*%@m zMz#V-6ONHBI7YS-NHc{nIJUOBuwDB@TdhIwZNkmc+>)qTHsNOJo-gw+rI~4mm%z72zvy= zJ~=+j05=VA(*QROaMLg$FK}&PfVT#CYk;=~T(1~lu>lqvV6g$$G6pzpfYSyzZGh7T zIBkH_1~_ei(*`(gfYSyzZGh7TIBkH_1~_ei(*`(gfYSyzZGh7TIBkH_1~_ei)5Znp zw_vyd78~z}G{I>DoHoE|1DrO%X#<=#z-a@VHo$2EoHoE|1DrO%X#<=#z-a@VHo$2E zoHoE|1DrO%X#<=#z-a@VHo$2EoHoE|1DtMy(`|6N4NkYg={7js2B+KLbQ_#*gVSwr zx(!aZ!Ra z$J*dn8ysuHwP+izMcd$C8~kg7e{Jxu4gR&kzc%>S2LIaNUmN^ugMV%CuMPgS!M`^6 z*9QOE;9nd3YlDAn@UIR2wZXqO_}2#i+TdRs{A+`MZSb!R{O-{4>En6Z|v5KNI{j!9NrHGr>O-{4>En6Z|v5KNI{j!9NrHGr>O-{4>En6Z|v5 zKNI{j!9NqOQ%$%|HQ_qdgzHo@AAhZ8o`kSv2)h}=z;_dTH^Fxkd^f>&6MQ$pcN2U! z!FLmUH^Fxkd^f>&6MQ$pcN2U!!FLmUH^Fxkd^f>&6MQ$pcN2U!!FLmUH^FxkeD8pN z9q_LM{&m2=4*1sr|2p7b2mI@Re;x3z1O9cuzYh4<0slJSUkCi_fPWqEuLJ&dz`qXo z*8%@J;9m#)>wte9@UH{@b-=$4_}2mdI^bUi{Of>!9q_LM{&m2=4*1sr|2p7b2mI@R ze;x3z1O9cuzYh4<0slJSUkCi_fPWqEuLJ&dz`qXo*8%@J;9m#)>wte9@UH{@b-=$4 z_}2mdI^bW2{DbS;4qV@M;QF=$*SDR^_-=vk z7Wi&~?-uxOf$tXhZh`L>_-=vk7Wi&~?-uxOf$tXhZh`L>_-=vk7Wi&~?-uxOf$tXh zZh`L>_-=vk7Wi&~?-uxOf$tXhZh`L>_-=vk7Wi&~?-uxOf$tXhZh`L>_-=vk7Wi&~ z?-uxOf$tXhZh`L>_-=vk7Wi&~?-uxOf$tXhZh`L>_-=vk7Wi&~?-uxOf$tXhZh`L> z_-=vk7Wi&~?>6{ugYP!@ZiDYO_-=#mHu!FX?>6{ugYP!@ZiDYO_-=#mHu!FX?>6{u zgYP!@ZiDYO_-=#mHu!FX?>6{ugYP!@ZiDYO_-=#mHu!FX?>6{ugYP!@ZiDYO_-=#m zHu!FX?>6{ugYP!@ZiDYO_-=#mHu!FX?>6{ugYP!@ZiDYO_-=#mHu!FX?>6{ugYP!@ zZiDYO_-=#mHu!FX?>6{ugYP!@ZiDYO_-=#mHu!FX?+*CxfbS0Y?tt$O`0jx34*2eX z?+*CxfbS0Y?tt$O`0jx34*2eX?+*CxfbS0Y?tt$O`0jx34*2eX?+*CxfbS0Y?tt$O z`0jx34*2eX?+*CxfbS0Y?tt$O`0jx34*2eX?+*CxfbS0Y?tt$O`0jx34*2eX?+*Cx zfbS0Y?tt$O`0jx34*2eX?+*CxfbS0Y?tt$O`0jx34*2eX?+*CxfbS0Y?tt$O`0jx3 z4*2eX?=JZ6g6}T)?t4KXsxaoqMF1YD} zn=ZKNf}1Y5>4KXsxaoqMF1YD}n=ZKNf}1Y5>4KXsxaoqMF1YD}n=ZKNf}1Y5>4KXs zxaoqMF1YD}n=ZKNf}0+=>4BRbxaonL9=Pd&n;y97ftwz<>4BRbxaonL9=Pd&n;y97 zftwz<>4BRbxaonL9=Pd&n;y97ftwz<>4BRbxaonL9=Pd&n;u*jdvIOs<>S9|;+=%B zWeB?&!oYVAeD}b24}AB)cMp8`z;_RP_rP}#eD}b24}AB)cMp8`z;_RP_rP}#eD}b2 z4}AB)cMp8`z;_RP_rP}#eD}b24}AB)cMp8`z;_RP_rP}#eD}b24}AB*cOQKB!FL~g z_rZ4`eD}e3AAI-0cOQKB!FL~g_rZ4`eD}e3AAI-0cOQKB!FL~g_rZ4`eD}e3AAI-0 zcOQKB!FL~g_rZ4`eD}e3AAI-0cOQKB!FL~g_rZ4`eD}e3AAI-0cOQKB!FL~g_rZ4` zeD}e3AAI-0cOQKB!FL~g_rZ4`eD}e3AAI-0cOQKB!FL~g_rZ4`eD}e3AAI-0cOQHY zz|8>M48Y9*+zi0Y0Nf0~%>djCz|8>M48Y9*+zi0Y0Nf0~%>djCz|8>M48Y9*+zi0Y z0Nf0~%>djCz|8>M48Y9*+zi0Y0Nf0~%>djCz|8>M48Y9*+zi0Y0Nf0~%>djCz|8>M z48Y9*+zi0Y0Nf0~%>djCz|8>M48Y9*+zi0Y0Nf0~%>djCz|8>M48Y9*+zi0Y0Nf0~ z%>djCz|DZ%gzMq}u8V`q{ z1m8pOJp|uF@I3_IL-0KW-$U>{1m8pOJp|uF@I3_IL-0KW-$U>{1m8pOJp|uF@I3_I zL-0KW-$U>{1m8pOJp|uF@I3_IL-0KW-$U>{1m8pOJp|uF@I3_IL-0KW-$U>{1m8pO zJp|uF@I3_IL-0KW-$U>{1m8pOJp|uF@I3_IL-0KW-$U>{1m8pOJp|uF@I3_IL-0KW z-y`rn0^cL>Jp$h&@I3Jp$h&@I3Jp$h& z@I3Jp$h&@I3Jp$h&@I3Jp$h&@I3Jp$h&@I3Jp$h&@I3Jp$h&@I32p z|6=ej2LEF4F9!c&@Gl1cV(>2p|6=ej2LEF4F9!c&@Gl1cV(>2p|6=ej2LEF4F9!c& z@Gl1cV(>2p|6=ej2LEF4F9!c&@Gl1cV(>2p{}S*o0sj*4F9H7&@Gk-X67Vkp{}S*o z0sj*4F9H7&@Gk-X67Vkp{}S*o0sj*4F9H7&@Gk-X67Vkp{}S*o0sj*4F9H7&xV}x` z`Zj^<+XSv}lYIPVOUX$HTZXWkAq;#^!1n}vPr&yCd{4ml1bk1x_XK=T!1n}vPr&yC zd{4ml1bk1x_XK=T!1n}vPr&yCd{4ml1bk1x_XK=T!1n}vPr&yCd{4ml1bk1x_XK=T z!1n}vPr&ySd{4pm6nsy?_Y{0j!S@t=Pr>&Td{4pm6nsy?_Y{0j!S@t=Pr>&Td{4pm z6nsy?_Y{0j!S@t=Pr>&Td{4pm6nsy?_Z0j~!M_yzOToVs{7b>V6#PrUzZCpS!M_yz zOToVs{7b>V6#PrUzZCpS!M_yzOToVs{7b>V6#PrUzZCpS!M_yzOToVs{7b>V6#PrU zzZCpS!M_yzOToVk{L8?<4E)Q$zYP4#z`qRq%fP=3{L8?<4E)Q$zYP4#z`qRq%fP=3 z{L8?<4E)Q$zYP4#z`qRq%fP=3{L8?<4E)Q$zYMN#Gq}FZ;QBU$>)R|Je=VAwgs^1@ zyBWg3_Y8c`!1oM%&%pN#e9yr541CYP_Y8c`!1oM%&%pN#e9yr541CYP_Y8c`!1oM% z&%pN#e9yr541CYP_Y8c`!1oM%&%pN#e9yr5Y>e+^ODWaL4-nE6K*tKY}U{M1Ts(}&5wQF)o zTdsNTnb0P7C!;qo;h{b!sK?urzxMaG&o_5&&|XF^ML5Wxd=|xreo1fee)yQr^LD-G zcS3J}s@KMP1CtzbrK6>5Xysn)cDsUaWnX8mK;QFUGBz+%_&` zv3yVi`r8we9JbOMBg-{+OmcJcnpQsHf^DT&j!601|1@IZV$q@oCQ<_<&XaG=CFQBU z*Lp^EiizZYkVutY$1DofD%6<~Dck>Q#KOg*;Tl+0Mycc*dXpNe^b8}vtc=TQc|@w$ z{P2i{i$#kXm`DwbIIdmDCFOm*hgKXg1JjNV*}3L35V#0h)WDHyU_>8!e=aHRD53nK56H-#)mCJ7Bz6R8W?e0`(Q3f%5m+ZM@xCHwe?n}GK_fN zGk)GwwlsH%=94Z_IX=PYtK-8KA;(YyBl^j8i_Zp*q2j+$H?iBA*5Dq<34KSqoQ0ZQ zde1E6VrKG?&q7W*KROG!Sma?oYhc82&CR8#`*?qCN)=L0Azf?n+1nIqb&+Jh21fLu zFqfdK*N2LJ#wPlD%^y!RxkX}&8W^g95q)UCpYsf*GGcPfH)KY{JcdP`7aqe#7Mu76 zt$`7JXz)4D5%0wx@ovl2tKy|oS8v;kEzf%m?CwLx#as%#=Vzre)kpSfCG#7j4^8e{ zP`ps>dOen4T3|NVf%g5#hC$3Y8@gBBbIEjSKZa2&MYIB3Cf(1PQj z1;;@Pj)N8)2d(kHA5d81e?OqG#{YgmVU7R&fWpdQpFas<%Mb?Vd=?zbEjX52a4fg( zg}i|879E$y|9(JWjsN|C!W#ek0fhzUk=FR%4=AkhzaLOo<9|P(u*Uy>Kw-got2O@j z0}2alw7^CSY_z~e3v9H&Mhk4Tz(xyfw7^CSY_z~e3(kctI2X3Y|9(JWjrsimeST(L zhQ9JJggpXbpBx`%gYP!@ZiDYO_-=#mHu!FX?>6{ugYP!@ZiDYO_-=#mHu!FX?>6{u zgYP!@ZiDYO_-=#mHu!FX?>6{ugYP!@ZiDYO_-=#mHu!FX?>6{ugYP!@ZiDYO_-=#m zHu!FX?>6{ugYP!@ZiDYO_-=#mHu!FX?>6{ugYP!@ZiDYO_-=#mHu!FX?>6{ugYP!@ zZiDYO_-=#mHu!FX?>6{ugYP!@ZiDYO_-=#mHu!FX?>6}EfbS0Y?tt$O`0jx34*2eX z?+*CxfbS0Y?tt$O`0jx34*2eX?+*CxfbS0Y?tt$O`0jx34*2eX?+*CxfbS0Y?tt$O z`0jx34*2eX?+*CxfbS0Y?tt$O`0jx34*2eX?+*CxfbS0Y?tt$O`0jx34*2eX?+*Cx zfbS0Y?tt$O`0jx34*2eX?+*CxfbS0Y?tt$O`0jx34*2eX?+*CxfbS0Y?tt$O`0jx3 z4*2eX?+*Cxg6}T)?tfny#x=E1e72gZ5embq9$e#jV2%f_cwmPIK6v1R2L^axfCtwr9vtUAIL>=;ocG{3 z@4<22gX6ph$9WHq^Bx@MJvh#LaGdwxIPbx6-h<=32gi92j`JQI=RG*idvKih;5hHW zao&gHybs5DACB{Wd;I5{J{aJG0X`Vu!*z}iKKS5+4?g(dgAYFV;DZl7_~3&NKKS5+ z57#+9T<7@UiVv>%;EE5|IX+zH_;8)$gH=9Q<%3l|SmlFNK3L`F&{s}E*fNCO3}IlS ze;(EYH+^u^hifh$O!dK3AFjE4@YV-!eR$8&hwCvPuE%^Z+y}#bFx&^jeK6by!+kK^ z2g7|Z+y}#bFx&^j1F$Lps{*hp0ILG9Dgdhjuqptn0dLPJOaZbFgyaoBQQJy!y_;}0>dLPJOaZbFgyaoBQQJy z!y_;}0>dLPJOaZbFgyaoBQQJy!y_;}0>dLPJOaZbFgyaoBQQJy!y_;}0>dLPJOaZb zFgyZ_Bd|CEizBc&0*fQCI0B0!us8yXBd|CEizBc&0*fQCI0B0!us8yXBd|CEizBc& z0*fQCI0B0!us8yXBd|CEizBc&0*fQCI0lPjus8;bW3V^|i({}j28&~`I0lPjus8;b zW3V^|i({}j28&~`I0lPjus8;bW3V^|i({}j28&~`I0lPjus8;bW3V^|t75P!2CL$G z$6s&8;8+Zf#o$;Bj>X_u435R%SPYKE;8+Zf#o$;Bj>X_u435R%SPYKE;8+Zf#o$;B zj>X_u435R%SPYKE;8+Zf#o$;Bj>X_u42~t>SOShE;8+5VCE!>BjwRq&0*)o%SOShE z;8+5VCE!>BjwRq&0*)o%SOShE;8+5VCE!>BjwRq&0*)o%SOShE;8+5VC2&odz%^w8 z*OUodQzrR%#VJ^vg2gFVoPxzESe$~zDOj9>#VJ^vg2gFVoPxzESe$~zDOj9> z#VJ^vg2gFVoPxzESe$}YDOi<)Rq4IsZA>ph7#Np=aVZ#=f^jJrmx6IA7?*-^DHxZ6 zaVZ#=f^jJrmx6IA7?*-^DHxZ6aVZ#=f^jJrmx6IA7?*-^DHxZ6aVZ#=f^jJrmx6H_ z7?*)@85oy=aTyqwfpHlamw|B^7?*)@85oy=aTyqwfpHlamw|B^7?*)@85oy=aTyqw zfpHlamw|B^7?*)@85oy=aT#21W^lck!S!Ya*PB^B-ni@}ge^nZ%@78LXJB{+hG$@L z2Hs}iZ3f4G_3FsBRVbitf1xY7k5y5K_>4CsOZT`-^v26VxIE*Q`S1G->97Yyiv z0bMYl3kGz-fG!x&1p~TZKo<cX+S z3&-*<9Lu|KEbqdxybH(jE*#6da4he_vAhe%@-7_9yKpS;!m+$d$8xwn>caI=7p{-G zaDCLhJpSCK`!Iw(0%4yVAJzkNdSFfu%;|wSJuqhp^0EYZS%SPQL0*=?xFs-W3Cvjn zbC$rIB{*g+!7*zIjy+4zH_Oqo=jo$~CJ`G`yLD=J?!^)QYyj)BF zzTB$P&*)cXfBJ~O7cA)w4aH!(9@jxT=j-JY9$#ZkIKf47iyGLhff2u}Y2^~Ss$Tsc zHzOTu6ZChDr&F)r%) z10^;TIZ20Rj%TtMY^EL`&sIlmWygN6cFvDlM=vYJ1x#jndi#FUIm`|?BJBw!Ga}`| zB6EDuv4-S_-dgWJ9D3Cwu2|=}9v?Be@vHCN3ySfPM~3W-U(eU$kt-d3;WaPYbKTu# z>aVi7JRh4xIS8r8V5w8jJX<9MnNEow~T;Lq|_HKY9`q zOktNNhu&Y0mZ{{>+f9FP&n=Hjd)#tPaPvg5K48hXp5!$rADZt-a_9Vx;NzNYmB+QE zW7^JUo5S`B2pbi&Gycx`?dz1=XAeH-fBSyd*-v42rd19W)Z=Qlo~g&x!ee;Jk6|05 zHgVwXI!mLH8M$nG+FM7i?aN25I@N_cx4(0KsvDn1;!6)4Db=l(QL3(sapQVJb$C5L zt#PeXJ)YKf#-)4c?LKQ+#4)2Us|%bPlk|14Z3;{%$x>vQv#* z=eZtFbz_UfkD~@gypO+>%Z69g9Y1g!+drmlJaORduYDK$hRb_K zt;<-C>lJp+*UR@BA9lTQ>DKAh<8kqhd-=}%?3^E)pBq=l28|0CPJ8&AY-jvBUOnC` zypC6ocgEjqzFu$Kc(3&*9bV_7PIu>gy?obrAMa1rX^k2mrcFkrqw}?Mxn8!PAsNXi2zI(Yut%iJ`<3Y4NM|FC8MqETV z?iv_zPIjYiE;%QAa^HE``G)hf=l7klI-;4?Q{R~5d`Gb-J-;LQea&{ueMM_rq@C}y z?wmjF0v%-gy?thGXPU=7zgIRTKgEtXd(H2NKJnQ@Z{PXazVouzHuehNxuJu`-?{$o z`MwPLoTNXDp07ivKR)F4{R?|$ZLc!!KfJ%GgT~)0gInoI%(9A|SFie|tfunnRlk&* zQ^zyW-(J4CvHtw#?8u25rE z{H;3MTyylqAUz2*E~{lF|BX_n$IkbI40Ty9hc8I1Y0ZzzkKQB>ffQnoVXrdoKfJ%8 zea6o(*LAk<{Ou8O5q#V=Fygp&A(u?7s#mQXcV4Ejy`rDyO@DNNv`C zjd)BMJLG2CKYrBmE!H1f1Bd+7{Mz3fo8lY?6f<2m^Yb&Ey>H0O1-~$@V&~IJcz)9@ z`$hBr*#U|u>m2A1IoQU&w;%dy*DjZI zOUZjOe=Z|uY8yV*grEK1NpEh#oqW>S>!+9RNOtG^j^GK+?sK29_WMEEfwQIGsMBMpRIJz(&{WsaOnQMgC&;lJNhB4IYi&tcXk#L zuR;xs=tG~#B_Gmo=vxB-yJ-kZp`!cO8t$I zmfmJRUjOUGvY)84D*i!PJSW4qZTn`-ehOvW(XZNi?CE()rJk?H-f360T0P~RZs~D8 zSpL4j_@CDE$~aMN*0{sffs|V53QOHO&?&gI6&NEi#pu@_uA+_4}z^b_Dbl8;pUx6nf)Ea z*k4lR{-RATRzA6;CX&*%KX%|`q_qpZ(q3bs(@d08%Abr&Gi|9edYL?NwUED-{OS36 zFeTNZKcn}ja%cx^4VL#qcfAh9+!Z#}1$Rs*_2`pRNw?oiTSk@m1$iw7n=w>5m>M}c zKUmstt3>71e15Qx*GHy2jfMT$4#zQeKc<4lCXtItyZZ&g9Zgw8~_L`R+sqP{5ruyT0`Hu9rN7{St*+)6=s&lq| zTn=*V=xTCu-L(znRpUW7m-voc+^_5P@*S1AtR$o!bXdP9mGz=YjE`7nyIQ1f#JV1i zn7;LUlPKg~Y4mrXepc%O=>8+UB&l8{fz9Q`uGI2fO9l&u+H+`fT$t_36~^owrBWA$*4Q$BU1?7SJ*S!~RauQ?Kg|0R{fc&E zeh|`MywBHS5U(DOTWx0>9dv$Nx`$n!TzB9#WnU=uO0x5h9qavN#WB3x$y;)Ca`N@u zS#}C}RnFbA-m)Ce?kysrI0+g#8$H?7Oc+lFG>+|{2cr<;XqUp4XchjLsLE!8Vb z^_$X(T8Td2s{hqn)xB%5!ddwkq`!++ua0WnrCUrl?;wUujT#Pc*c!EZwS|!Zdo0vB z8{~K>X1@qS3l2Q_?C(18D#c)p&2iaZusPq?Lr$i&6?*to^;%S~O6?ds74!MZpl0(b zmK3)t@nDtN9F5%Jg{>zdmd_fKpq5=y-^k=osTvO5&Ne`T3GFxcnn5T*22 z>5f>u5rxZ#2^$eUV$s;j*S&o5L-aF~PqUxmaAS`xR6nW|tyHZu&bA^}ZL@93gmT&% z+0Ns)G(JRjwR}RE8cycgcMs3)ce>t}$Bn%zA^i?kUKEn?LX}VGMI+-o@)o6(&d6IM zdd2t((do_SU_bky{rNhE;n>Cn}fAEHK_^NJ0>*fBXoUgxs~M6cct#ZuTOGa@v`MycY@vHM3#4C`G-p5 zh07{&<(opf!`vzHN3W+=R#bkM^O1E^U>OY3FhcR>Y`u6A8G&aPO z{bw3&vh?ZU&F^Qryh|D9m3dyq<#}bvxEzf8^AFr*lWzLn*8gJ$E>%$ zzM-z@VQuXR9km`#J*l3qr@yhrv(hF?ZMDhTnr?$rPd>e9ll|_DWA^u5J*Vy;^?1yB z>+4SqI!E14>NffM*Y}&H`HD9S{Y1CPl`BfsZPKsdQ%`!|plx!)a}K$W)#ny6mN((I z&P_~hzMg-}diI!7Mm6>>dauz7Wf)qoo-YM-N#(A~`ovO)*Ow6WxQh6V^K#?o4qDc? zst{x+SH)ARsIu_3{DJg@scux8 z-3pQMI;J(Ima9`xd z97AZA_>O+BP{xDhiJ{ZkIwz}U^ov)>%TW4yS+j@u(dp%Xy-NDgJm0p7^LbIt5AI*Q zV{rfDU5^g#gS=H$@ylEF8)`Ca-7lF}^E+Q29ldyGw8i{YsDah~w~|}AYHb_Kd*m0FqgloVojquY_(d(a_0eK zS?RaHwoJzGfQ6nd#1`r&0YU8N!>Ii@taPH|#G zO;bIdSi<{EsC)Z9BOW%|<;%)fm&=o?burJ4QYT|vkZ-$`Qo*^NldJeE^7WQ=$GNqVtrrW>sqay>4qNvVHT>LcQ|p^CR_>9#0~ncX!|=taHipB$0ocqHv1!zSqUb8Phj zJ$;pq?HqoXAL8zDmb2%6uXT9x#!ed);2E7kfq640rUt zD?7g2*L9)1Eafa^-`6jtDh>Ik9+AK5pI1t!CYFZIk|C?#A5(^xKbYI8I6UUJl;q$}7d@j>U zccksZe^KheVzu8m+e4Z<*Phz%DV-1qvZ5@LR|^DI(OPj#x318r@K;QtjYXKTr}=LZ8UhyQ-z79N{ERDNUxM8qTVOZ&iJ3xOF<0 zCEC0U!5>bmB!whwYCvQt%W71;T8qaWVV&AN`IQCA*{atK8+H6R;^t5hw|XzKP^|jDo3Qx$d$ZtLRuw| zd$&yeR^y#=ek^an_dldCQuRbB*|1vXofkwBdHYe0dHRyDb;3NW8{~X-i7%(igQ0Gq z7vGSaF>ecWd(X-6?8m27kUKnill`$TCWcog?>d>BeUalOrdWJI_mP*%neb()aNTb% zJ7z04^|M{71x3|^T4n35Qclh;s@LSDDcAGLd{_tfuTZKy%PM-w`sMtQtS8MjH$(W{ z(<;i>yp^y2>SJGg`fHaSd*&}5{^HkO6x{TZ8&8<#{U2R-%$En>UVi0*aiP5UzVlyp zE}qY=|F!(edifE#U+>KKUwDyRo}B+*?kfNH_qXmVfBU5u|5OYrf9jWemU-X(Tm8h8 z7LjZHjnA!q?Txp8{~M-Nj^j@^n_55ln)mXr|LJ32{Lf#z^gn(5?><-B#JefGNw#L#x|`M(6MI@IKl$WCGCcKEJ&vFLi@#9n6|V@Y z!_V2XYRvsb8`V91`s#=1?$e!aXOY4KXsK;0JgIDYzxCv-nazohqXxwmdiKha%6 zzRJJZPvmRlRX@3MMc_csPyQ!grhZ!tAG_){(c3zBoAh%yqWa@0e|$ap zs@WsO8&~&;aD?EpTK5jMYD&xBD%Xj{AhAR~mY4hUay=_&w57i9&OCG1KQ!(%)pviN zJhwvSrusg4RLEd_osyfsZC+`n5raN}#MHtBEa zE&coIdT-rv|6Uz0QFm2%%V)mT_(#+O59u}Q^>*g>$5nY<^}fK)facB*U4%`x5U=?7 z+Cz_j?BgGQ`^Ud>?c?uw=;KPA8$hwPwxQaq^&1FsLKW*<_14em$0)>V?a5P5%e{L~ zsV6sNeCpKNlPa#}wKF3IL*4>Vr@S|h2x{n}B$`&npM3k;LvMV?$KU?Yxof}jvBy7t zPTJ(p1n$3(S{}4X-|VMO)or4ls@p_uoI17fqH6A%!iPeujb87416(b`x zX<>Nnv~v53C$F4)<2&B|_Hz$i`;|9-?A+VS&3+=g^;5(BMA!DyvF<0T-%tAeLY@nv z`-k+Ce!o%ij_Z?t&L4T?OZxJp?sn5E&T*zYwPV+luefsV@sGW|I(EI|jc*qds$-Y* zle$gnep0uIK7v)puBv}*$T3TwFH1jpy6QJ$+C)vbpVar3Z6BL?f8NZe-#D*6J-uz{ z(T$jL&iQ)z{>Ji&(S`lR zJVLC3*WDv{*UCD6F?8wJU%&qpn9Jg=l6!5hSaj9@jOwbG}}_&+!A^)IE`% zdZNSVD}_nb^j3;{ zP5IB>E5?z-4QUCfYuz|iZ;(Tcu2=b`8~M|p**el3(jbO+pN^qBQDUk579+W!4^OwW z@6fyqrCiCuSC&pu#VYT5i+c`} z+Bdpi-E&h1jZki1LGSj}uCI?tJzc_#tv> zAj_(woQ(TNKS@X5tlg5=5#JXss(1%}$z_cTn`@PWsd~H_Fue(PQjNPSi}@w#1hNa~ z^3$4mKeQ}WDfKM}HR&G0fs9L;(5JF8xcViRpR1J$>B{U$iJI$Qx06Aq)1S&!uGf*% zhszg~b6Yj7%1M`^N^g0vZ}e{tH>xuhotbG5$v>as7D`E5O1G*^scU)afYfZFF3N8T zJWpDqQ?zCG?#Pt(zLbbgaVe4CN-gzCfOp|Obzj?%8@(<+Vl1o6US2xpBg^7a)p72s z-Ufa|)|K=xEo#E)O3GzF)l$Y6&KIhXvnHolzR^(2ZK-n^ z+R{xKdcsYgYd}sFf?Lln^fwnSWEQ>*Nwi)F|Y5DbrhRb1ZFi)3;RHoW@HbWqa41l5!y?e7o${`4e&?mh02k zmXuVk;!mp9Ei$iOpgOtw2N#PUmJZS@FBekjaw;aMOH>&Q+gA0M-Vd#GtpI`$_JFLi&j~Ra#Nex9>NWN`( zbeP#H+buaE)H#&#_M_OOHa8-j8?KC4IGIHc8eY*;x2z7#O{EN?BJF62C|lTRko^3Gggm5y|i&=$DbD#_8e81dKDkC|jInpl@QD0@*OIPy;i_%=t%MB6d zPW8A{#QiF9T6Y%C8>Bm!{c3S?_1JBfTIxjW?prK5!sQdIt#|zA*IaVm_u=Q>{l1Im z%X`loGQObhz1L9Z%ToTT#yLv8?7gn{iM`_-E{6AOzvUI5|Imw99=tL7j?dhm+<(*S zzWz6Ef8Wc(Pkh9PzFImzaliLG?>5J{COPp!Gdt0H$?N{zfBElk|B02Kd*Y+lJoo>& zKm8ZK^=qFv|Ai0yYWdLnKmV&=d;9}`{Epz6-#n@Qbw~P%ldR<5@#~-e#M+HFe$W5) z?_X0s{N$&fbGTgYUhD3)H(qk=_|tcH%=2>WvX-?gt+pk7ME4WfkET5spPJSdykGmD zy*obczxcL~u1DW->!swwH~rn;|ACwS#mheMq3bVPxx;zv{|k&ic&7cmU;D-E`hW5n zFZreSK9heU`-zvo?BBfW;x#MA%U7Pd;}z=sovmNJ_qwIuc>G77`+;|UHG1X^uKH{K zkNudFpK(^c^VdKB``>B&{y+P{dtP(l{Hvbx@TAI7s2@3XWn)8bYSA|)>EXR&zNp*} zo&2l&|4cs3eu@vB`*OLq_OyEP!b1YipnVYeFj7UVHk@r{axg1;+2Lt(m{{tS<%6yXPKt>P>5FYw~T?;1#csFMgkj&&d~yH(uG3 zH-XRAH7518acV@ap#gr%|CQ5a;{u?TD@XLnnc>< zv!7O9x^m?^zf;=e)SyjH4cbJ;YfnqnZhYyf-~HWz$kb4?Fy{6klzDvKntlK13zw}GNQ&0WzJ@;%pS@n}sYkzX;|8MVG z04yo0vrqT*%-p>UJ9lPhA3V2qSaC$eky(uoWP8U2R{}cd4j@r>Skd5W6yzzI-J0HA z7#1I+K?OBB_`cXp96%%;WbrunL4{Qbe~eUp>Vcm{OBV;AcLW0uhgk6r!Says#1oe*@ge}Dgh z$-Z)(>@rfr)*)a8B-{%R@*K7I67@}NE0uZaE7h}(qLHDqm~L4=^wM*IPp$XHasTWyZqv-* z4ADB;Ze-}57#G(x$)EsqK(`WYnz@L<@oyEungAtjyOgfSa|qEDSbun|N5>P*VjUvU zYiM==H~q(6O!@*mvJYJj(<9JT8PZs@LN2dogp7`lsY|0hyySE!EOY;@6OWY!Q{;4L0`D}*2x+Ep!2q@ zbM9|FzA>BKXdcVy{?`(H@tm;(_|P5J0^wt}yevM84?y>uFB0~4_eiXL$8zWRyC!RPo$GE z+*7emC_B1o^T6n)16^6#Jit21Wg8cz6?_7M)xi|hJiyNZd%F4hT;U6j2%pw0O8 zZN8N@vrcy1f7>tax&Pr^$(`mIAEe1Io^$tUm32ZGyPp3vZD!MiLn_ zlGdI*(+1hL!|ET^&$_{BI84^Fi`HslB7YoVv^|kQLfL91a*<%t|%2b_R~ev%&s@LwyLVN>%wZOBnLjFNKjB6)A*_wl;y$Q_7YBF?#YF*HP>lKd-nszO{b zqJhu?7&wo*xPf}t$Wrr`g)i#d=7^fsNUN#5qTl`v~0n7N{nT#e?*_?RV!iI?vyu*i@RN5*qD zd7B}0&z-_PY?~E?lF7uq$LyHuPJ4r?OwRxtM7kft&nJvq4qLxO+EL!QiORwE5INnd za=kxp6{mXns8k|GJ~3fv&pjbBLp2XB919&l`cu#XJa8OGq&>{$D;OG?*v)#&G`T+F zmx^=N&PPj(^kFDzgs5Hf)TnSV$VAtSElC|BE%9*9qZ0QdO#icD;KuRuVQXYwe!vjc zr5SH_gGoYvF={k{#t*IF(Rk_koZX+!``hL#Y^)x|O)RBxl55fsrH0V)!<}x_38G5y zsFceEKM>FnUb*0gc-*A;>?hjoV;!Et3Zy9Cp*;GnD!g}Rt2ou-6P^EsN1*qzOwG@a zMkU;Wd59r%07Z1vQ%qlpaw7__GV${f=H=exZ}KB3rz{D#+`P-1kEW=okQtoy^Z@#R zBHRL}I0SP_J%m_}$s^@R zGhCX_yZqVB^QeP^hKy4<;GZ8%%=JVqgT&WhX;F&h&)?wmj3Owxl(=bL8lf=Z?DIkj zkJ3FpjVkS}A5u@j=ehMsiEfdlepjkeqni5Jv@XZ-A8H-1s+^)$dgKlB9jxAdJ-JiU znbau9<8j5i2}km=h6v9ZekQ~n)%a~;26q7FuE3c<&r!GvsZ7r|{a{@r^j?bV& zQ3$qY%sKz75V=I$z}$Q_WGXJmr!!q=+<`ufNd?x_Q+hL@XK3^QC(X-Dp()qVJj%JV z=tHx7DM1DWKYT-5p{r#Xx^Udba}FYDJvtrdS|aYa?eo|WbD1`>#kH9OSWk*4zZA}T zA4?Xe{H_!hKtRB3?c-!dv4%*ou*Y%3g#}(Wr^wf-AEz;h;XWER_ou4m1hXY}8Maeu z*7=43FKjxbu6N$9@o_U9e)&4&4!PcQ(J$aILS;N3YgQ0S`4gI;AO5|A=tis)(zFF@ z4>zF~VKLXJ>0BAU5bb_p2A}Ry7{xrSwTcu&%{ZJ13&gc=9amWc{W#`Xc_t&$ad)Ti{ILaSi5BRYVw*g3rqN5`M_=l{tB9GiPuIuA5 zMxnc;GVZ6ybv#1EQgP1m`EE&c7DmUY>;Nj@ZZI_@SNnNuxS@Q9q7#7{KZdf)!}V3d+FwG zed;O@TI}w)_UiP>EW*GE41KZBprn})u1;n|}X+~)kt>2JF3_|sqZ z%8eIYcl?`vHgeHNzjo|fx}HDDHu_7%nZbQt+v34i_=WfP_V4;VE_Uny+imj~(Xg}; z4pEWaZr0yJoBchb;l^-`?}BL?Z}*A$F1B^eW@o-S*!9E;n!68c9ks^XrAb^GhqbSm z_jcTWM)t06^sdeRm`i4z;2S8D2cVZptlGkAyqr!p&pt2EYZC4vainGbyxqJAxubj3~N}jS*%4J+dsK~GK=04FEh;k zWZiwkKUsIMi@JtQ57{=*f6$@H3EE?H^3`F=V&Wx}&HkEs$0qstVivKl{okc46^@s^ zk9G3QKY>Ofoe*K&c9wNw)_q2X_|VCLfuF22j{I|g*zL}zrRF1Ynh?+tmkpr8HMjPjtmjjH8MRbqt@ZA5^e_- z5_!Hp!AJBgn&5|)b@+CmSuZ)x>mIjwsd47joYT=nHA-!cPqVp?zp7ad_2$RJooRE1 zW}X}8HP>)RpQ#Hi=_uakZ6%?bdynRDX0#`@##@GT-oXv)9A~ay11-DP`qy8GWxR-Q zmAmAUR&i>K&)T~h%U6Fcv(lZf-`FvNujBYOqhW#-a?iWt4%~N*(mngJ_=~?qm&IDD z$;o@}$(VY zBAr0K(8$@o#t4g28Uz)KA97`uoSS`2H#S ziSs{Wv|?-)}tBI>{UrwXOZfL?=l<$?GHwotSogj> zZoQRtlJpaxp_90uVC)*p`er|&)9yz6B)z_s{iOY}s9nNK=JEYW?V5B_V+o{|eW@dh zjs)5zfl*BIgSVq_h}Lm+bwz&vyNIgODIvbvEx2d$`$8^Km`g|hkrdm(&65QDWnY=6 zlG*^EKc}GH3GqjL+j;o_vNC>JPD?l zCZRHT?TF)b?euo1M%7-AVvOz-JR@9YUhW)Xr_9k)xFEqCBr$tf<6#0d<){XmZ+I3Q zqCz6A6hzOjH??wp%d1$L;#l9Do5qV!wd*R9_!Q2>Dgs=utBvLycBgX?>rF@w*)GrV z^KK)UZ%N^^NTHt*RnPkpWtm{XXqM+L@fFLTL&Y(*c_i3sw07?5sj02i(^`{~lQw_u zpwJ~j9Y>BZO(`6d`O6CkNR!Bb$f?AG_e1P&)o6oL!Bng2DUmBz;^CS#;MUIHd(m9& z{G2cLz=G3^T{yCjf9CuLEpw5z4M!WOL;gSpAG~VJ8TrRqc^3_>jfOc!#nCkg@Fq3I zORV5ZsYz_$;C;A(Ork7>?Ts>&$C#$;s28M0fKvFuh!fvIWM6?(v5@d#E5*Gj;>B`A zzEqr8fN#pn+CGGCNFBn$ON$oD0&4;DW0iv*#vx(e(jwz9uNq{>dj=-zk7A^}tUEk!u-kG3yj*R!>d{0EZ6-n3~H>b_}N@xk)5d5FDX6rGb)udG8Buyu3M&GJZcHF)A3nspOyNrLQLOwp zts?C05!JVkOlic-VNXsF`fg0sv5NrU67b73WQ86g)8^$^N9+fAq{5@Of(t&h0-st)iG1Pf5N6*P#nX0u{!! z-3ryiUNzWfaru)itf|*RUJbBXInh9-L!8Ao87 zm1*e^m1NNdt}17e#qwpt{BXC2k7Q%>P1+pg^qDxA^D)%S8DZIIJa-gRCtB>D!M!7+ zP|r++Z}QQX=%{vlw;i?S`ujdpI1@(eL5(+xjgKr9<9yy}(PuAq!GJ@X0axseoS%4N zF5V_1LtdO)k7MS^4_^c|U;iD{t`Vg|(x#Z1Z>Z*m#D5&Y{+Jq%uT8MzSvrnuj2wSS zWBF?5(%kCl7^BI@r%(q;dwSfV0i@x*s84Q`jPuKnd^l>w-2wu`Im$~qv?7II1|K-q zGs_?~H^Z7j4y+{r18x5KlT*!fci;3qZ7RgYk(W$Gps1>@Czqn&OS-R#)aKamVPLf3 zthF+-;EEhtYEHzW$fAHYAs_R)K79Oh6z7UtiwQD4>|wb&FZ<9Y`U11b91F2Q2R2}Y zk1yx)8%^V>DaggZqYDE#6^!zV;!MC)3wz;}r_>qKb90>;>VfCmid-DPxnJO7#i1>J z6l)@i&N#wGWs&2t#dG8SVXoSrflEg=;00ihHj12H=&Us&GE`Rmh8cF;! z4(&*33eXxJs<~0<_$5vQuM{GA#LlOppD3lU{_G^it03jhp!I1Nj%H>!PQ#b)og5={ z`9ip=F72j$U>2Z`0XU!l&5TePOBoT97 zupgDGsL>DKGj&8>nuw z{Vcr3B{YbFshEC=$Z1U6&tBkix}Jzbs6VZx^liV{=QgNK_Fu<<5WG$0>CEcC-n zP2+y50?AG4eaMtP>OV1KzB4)kP_#zIty55584eKN0 zKu#XrR+LUOt37viPliU;IqQ#t(eW%zkB*G!p*_B;@peUFZ8duBTI*0oZo$|EC z>4P7hA6?KW9FP}8@ku(UM!MdEAGZ(4`x8N2`Xj z*3Rf~aw1~fzWNB_=P|9}{Hkf#YcAuDL>v`(Er)j$LSUIfFm)@X&&8hqxT5!TeR!+u z-c0@!>ZdcCGCNrIt7xSU>bTwAz6({j&cHawyWJ}z+PleAwX1uRsc~1A-)&Uq`aE|( z*cDaOq}6-qYa(xzvd}V)vBu3_^sI88joKY52H0&g`4Jy{J@Ry zEopV?nx0Rebmr>0?c*;E)Q+terTd1}(eI!QnJu*CMnLLv&QQj43HKT^w10B_i@bGO z4{uubtsakp%~<%>%g;QRC@>A^0`-*Zff5?zA)Zu}4$AFAn9yz)kB>_2BM&!(4Lj#U z4QH*A(N!))in>n$fC&)X8Ex2%p@4?-{U)C^e@dt{t=byhxocxiQ6=GdX9och%CDU=%U^E_p~BUi0j?soOK=YRCWPoDqOA5K2_ zfZqPS8^8a+0Ee_s0Zxa{$=XTJYKTtjQ z&TdbA>#u0ly?P4q)T6ax>guG-E7Pf~&pYYm=Xz;(!z#-3_IYoilia*cp1kPlo!j2@ z>+9aM>xrG)c4mL`(9WGZckR3~`}Jpj{p;tP{nlS4e5AC0)u7SIDK~xO$@5S7Vb8_T z$)+2>|Kgz?!PeDkRqx6vH`D9%^mb2i|LEyjx!oP=rJnAU+X?4HPw&N3d;;}$U#z&F z^ma}0^#n@3blrx1w5lH+uu<3lXrno+<#V^EZ#Mm8JDyaW>**5olQXC%Gx4wFotY{f zyYf}PGMzr}yp!D3L#cFTm6PdS?p>C`bW*OLJbBTMoon9wtLt`dd-Uqxed!mk-FeZ@ z>yADBw2e<*vvcQ#&%f_G)#_6%{#%c_W(T{qYcnei2KzplJsx?VsCxf+$If^&!e8Bb z_gLbuR(9?9W4>NHy@6Ehd?jv^X-iTDno{6~)05ax2KzO|4vkLT(a&w4o9n_clYQvn z{ddv*k36z}c^3NwCO9em)}!4f&o{pD`7fJ1Ez-%Yw_*pQSU=Lbl;A#95^g@&uuibm zPl1C@io&?{?b}cEkk*eq*433ks~^gK<}pI9fxU#)CQ{5h8N`jcCxlj5SYXY>AKkUe(3JmLsG6CdIoO}9Pr2xZen{jE4( zwa~X8?=^b7BYX5(gK1tThF|mjW6M+%THiP*CH_C_kp)f#t+;8ZD&52;(lB z?=l;8$IdmHvs%7$X>f+nIDFgF&~ve0D2F53hwmG~nRNCfP312gXHs}FA7_e$aYch) z#ocorVD=&9JgEsj#xf(CSTi1l>pkjNCz|)xbg%UFtMGxP6EC6Jp)DS*2R$~XNw|n! ziFC#@MI}!JGgKdzH5t8zX}z_{<5x}P{jKq3XzH&cP8mZnms>!GQP+%)(_|q=n)7TqD)OGCN#$%$nBH^lp?VPcR9Ua&O za@uJTBQs_KQB27;*40&uLh#U;g`rmXM@J_%#(b_}Izb1qPdN6j%wm_yY_@uz-akeg zak;qfzFif^p>5ZUjcFqpMcB7A`?H_T?Pn=Hi5)SU*$2KK`Ma@$BZ@{1dC${PI)MEG z51meq_)~6CD_veE2O^yujC5j7MvG1$mi-3~Ty_wejK`;Z&9-I7PQ?u{98KH>Z9MUW zo{4nQtyoKWq|_IFi;-*B9!GQ;X1-V}xQ*Y&*F*G^{#-xVXwDHnp%~wv1%h4M`UzX( zwASTyLUBK#iF?qVG2(tQS+$>R+_-Jq#>tIz+(o3_3wSIdJx_d(;zm+%dW9 zXHU%Se?04EAI4KO(Zlx?VrBkcJjS@SYw5tj%jmK4ezKut?7H9RBHMPIEczwG8>9uqCr7`GR{zuqHs}>g#way$MVlOdVBm?u!ia zn=ZEz$e8Zsc$f@3xCJmd<9NzZ-$d7am{w7i+arqgj{J=#`#MFe8AL|2cF@qv`(H69z`vomvB4$x|(Jb_rFes9itIXUI;#3Ud zoN;cxS7ZCihMZXh!S{So?#(6r!mGm6&&8MCS(k=$!1_EZ>wc#yS^t1mp97 zG{+@jlkS^i^M|9ZZ=Odnhr*VqL=W0L8@QUSqu?rQ#jLCK$!CP`xIUl3{uX#0SNqO7 zJx5bPWS7ll7@7KR54?~}6wf*9aOh-)6DB@gKk7AwwU0UZ3ue)}rnbz^r2V{m~ zle#9fHcM@ioghLXJN{eZR-D@sHZ_?PoqPHA=6waZeX|ybZ6Y;dU5rY+d@Ej3fyEW^ znT;Oj!%zIS2FlUC=1`q3u9vwU`LxHBxGArhLT)+^L^L`@lKN+8JjtY^I6p==NV>T1 zx5McQOp{hrNQzZ}6y0r3I1O1xC!VLF9C*!F-aPaXmseZqlpfg^0g&F1Ga8PT2)VxR zmGMwfj9->e7!lthpflsYHSYM^Tf?U+7c@0KA4?$RG5~-h3rul6EVqwxiXS?2Eq#g^!(zGZPH7YYi4LRHDrrZhQlSF0O>_G+D4)L&eK$PN zluNUShqlcqSV!R|kH=tLT6-KCi&I!>!yQLl36eIj(38ueN#;W|H2Ltk7~@$z#(B?!*?P*6C8CKE85*MZlT9fp?5T;n4#WYhLEKrsU+X zI_GQFv-*T)IY{$stcSpfr>B<=3#7<_Ww$g?k30T6Ki`o@&+0#j9=) zg=1ag0Bj4fOOM**YdQnrD82CNM;bL@W)g1(o>ICK{uU;yE@aS3sB|WXk8;ZCuTvt zUY91Ph{n58eiYvVo%F3NFSNxmaV?cgQ|@~5t8t%Fvyd|NDK!MStap8-G(ONV%=cr< zpcFNPLEi-gLz>#U9;3}xTxU+Ci)iS~iSe|m#VAoqfhDhEgXh z$DwlJ!PYDA5Ue|6n6zBL)a z*OwD$)0(#Ia% zN8i39ZPq*P-W{KTHZHZ&kN-%m)Rv?fVG?~TJ#yvo8!{8scuw7kelk7Xcj==y&#e2) zPo^*Z{GBtYAAWLp$Lt&}o1S~dj+^(S>H57rJMMfmt-k-+6Zbv(XnN!yKX=m3k&B+( zwdvwy(?t)ZN>2 z=~Y*1I`f&!r}%o()rvXYi} z`J4acs%m_z#b-umyzkw&*`b3@_LyT}NelyTJfRL(!{_7K^WyWicY5IV59IV>bK0XyIto*8a&!njE|T{%!I2^o|3B%S(oi zWOLZ;tM41T>!g$Fm&fR3Gbdhk6=n*u&&vMJulG@Ze_Egqu6?WvRL+DV^_aSFxOta3 zvw0pe4%sobFRm^-=mhPW12fnjvrZmA$U1psKlK}3+@F25p_!j>c?!FG@zsZQa@SpS zfLAos>t?c7U9}&U$uERX($ER_6N$?nWK{NG_926Yy*~W=IYyG(j`7+y2U%KnHd|XK zh4}1mxSt#xefVMM1g&M4=w$3C+3dd#AEXJ0VrFLI0@le!th$R(^&Dri-@EUjhoAlI zBQbXE!`OAlU3HFKMkkte0$1yNtEX1D^*pOSeB)^Jf|~s#;jH0_bV96?EI}ueS&UtA zKjF4NGIsDFuM7sX@4oxKbXVU2EQzSw#cTA;n!R&V*sp&Cz&$V-hX`HxxK>uAyx8W!l2<%dvj3 zRyM3}9F5WoX^J?$Xx(FOy`$zyNV+%|uEo7Ptt-3vn*IqIe)BQm1Gu=4jZO0Y3FYg@ z%5t`C{Z>-a%EZ$~x@{vfpUXl5wOp2Oxa#=BAja&*#_qB!4+ZK6)+(lmhC0+dr($=0#ESEMv4VqVR7{a-YkY58lbipTWy%PY5)7v${)8qcODz!FaPSOD{%Y;Ot>#(v9=tiSd(#;!#7?HN94kQ{E$EOk+BpR$9+%aen_7=C!;qY!CYp*B@AC3X6Dy!9OzgA*#nko)98X9__ z(VT}epNT6*AhwmQ4kq9Js|Gix^W!gRcmB%abSUg4=8$dYZxbgsx$(plmy zs0=@T^^;SEMpXT7<#hdd7I_XGpZ2C14)3Ytm<_TDn^n|H%=H2C#{egB$$%=sR>XKu zuNb|MBX#adOFq}LSbiL1aq+t;^cRhuV$OTTyN}?V*BsNx8HzI=yOL1gCVE5;^b@TZ z6Z2uO;*J-E_i@-b9n*Tr>R;EJV*%lLWN2t~$hCoUL*$fpU7x1F&G)dwl1qKiG^>J# zA+3yhu;C8^EPEc+jPqie)M5~|L0U3)0oPFNdKX_mi3OoRht05(lT1Ax?R(K5kq
;g_!43QDcC&tal9e9jec}ttk}P7N(^0)z-ZuV zCrVqU|TC|Qbx_Xp{C2X}H zX`~0^6+48Lq0!o9^p(h!QqplkLgQdAJ_*a|nvcq{3k%76u~Q_sW-I1lI*WS<}q$1zh5);ga-F5Wt=XxBB+p~|;_v$~U7?-0-$;>C&DQtLS!)l9SMDX8UGKaH1B1w(he1t`9Z!>J7r z!I0}HS`TTe=!Od-SDe^Q^fRSy!sbe!-cF7tgFrt3%{%bHwANG8kfok>uy0?A-oLx|fd}rqNrxYQ_kVrs6Z+G8Z+Q0u z@0kwt-reu|*_R)^Y2*iYd}jOfUXA_zy4190n(rrOkHdg?PeYA84X3_*=RW$tyWJ-C zIQ)L2Z93HXrqXHuY#$hKsoUxQ z*;N~EqF40avEAto$Z>iVUoSwD_D`8T4qXppnAy|t(r2Tetm^Mc!U;}J`yZEM7Zwsa zQRB-R*GX|}wqcWtkPc$QtNl36(9F!igEN5a-#>X_|G}{x5mTlUHBp9?WL>b*xM$UmDv8e$2CBowWP= zL#A~L`7nx|6C<5;<*Hez6OPPgvCVXKokXgP_cR@n$z8v$sT1U77xjw+2NHi2Hc53IRz15e_!0tF*qbofqp*N_!||Sl zCnkFqGKCn16H!#))8rn9D{f49FFHDr@|&r12G5ERZhmjVTsRZQGj-g{vF9Ohs|xWb zH+L_bk9VVdP498I^6#5z*P_mA+Xt`KUVa#;a&5Qq$pOWlJ~48E0SAU>u-CAelxnPI zHd!7zounIU(4o%-oy_##VI~Y4tIUGcN&jaXYtW(3#r;0**;s0K1E zl`MK;He_htzMZx(+ux2_@j8`SgO^h?m1wp3B1SuEc6jrweVNNWa8?vjXYE`?v2-F^ zB7q9~OvL+p@;(!NFKD2UabrJJnz8mtUW#r*c%bfYlC_}{hk~V#LiwWLJ5Gi+8EXtI zrb7f`eVo_tN`x{wk?$ntAb-%6uFthl}Gq?K3pz^2=IsQMEj)zFI4FiKpSh zQNhKro>}=5iBp%uyeJCGzRJ^TT;D5!OXCLqyfo&p32U^J&+zD+M~EZhZi2pILOPC& z68MNFCiXiQh@nddsAD}~#REPf=nm7z`2ICeuI8~Tpg_AAlQ5QP zygA18BpIpl5{P1gI3#>OgnU7Vg-@GS=7q*#Sa18u!p6NO9f$Ih zouQ^Dcm#Zb<55l{%)iL_Amy5j6K$mXxi-X7O*b-!ZT6IJTF7dF%ZVFd*GFg^KXwMr z9>s?uH-v3LiX-BYuPCx0U|D%LxUK-(@j8jS0Y0Mvzdz6j&($po@A;a2}s6PXuhRt>==>l4j7N9|&PqmEtVnck!4 zh~_kT8XM?Pkt@t!X?-X)VakkqZ?(ji1Mj!PxINV1~7Z#b;k>~$We;mU{7W! zx(F!lr}(Af5Po@Q@}vJme9U@C%5=L)*hA0Ze%6FLr-{6aGOHi0OQi7uNW7=lRlaFP zw{K`~FEMr8E^nMa;WW-V;oGX*c|T?HQ+_=o^NH3sI-C*ktx!u7u4hrNL2abrif>eL z#ZcsFbQkgwfjw7TrDAT1JBvq3xtBP~^M|mm5{_jZyCgp(kM)f^lnM&hH}>qq)#oH# zfKT#CskcXpTq?LJvp#V*ZGX?rnr^tkec%D@PU}54fWqBygML6yPfuUJ`xD!{e)=AD z=J!}99(q*L{wRO6zLBuLamN=vzmMK;);CUbxM$zYqqM)KPAtzS-8w1jaG}xq#4d09 zz>uci-kzx`(@%OqG4x>UnnFKudV1II=(=*XI`e$!WT;3dCF>h^JZnP`uWy{DuCAV* zed(?4ex1`{{c?4;*Pmyx=QtQO04wH<$7aJ?*4$(*P(-s?4Fvm>c-uF<$7+5mo~XCK ztjNPABKw4CYLhzAv@6y}qLYMH6QnJj2CL;<`tc3xB<^U@dd6(l=mc@e`bL|~ZRx9z zT>7%F*1}jeP4^Rx0+?4K>t@HUcuT%&)n0<}71k&6`oez zbc)`Dl;+Pw>mhUelJv%fo|jg08| zyGV0dWD1Q$I>BApLl0#SvVeM zq2V$;)+M)rnY!Rh0W8%C`U&ggOJnuwWb{R)yCpAKd+LZ6Wx(O(-NrAhk6ybF3kHCTXVVQm0aq-E5&x=IudAw1S<5Q zK?TO6v}qB3o5-mmlGJ}yN$5n+j|3_x=BW88xl_tvDS-;(+G+(!EV$<|k#>xksW}wG z2bRpZ7VE2x&td5`m7l}-87+NO}tN$f~KEP)Ew`P~Y+7gir~&6-7VqsoB2 zho3z|nG8A+?UF!+KD1Y%u!Yr!Dm+5gC6G=Zs!LoQa9t9p(1&IeI4rC_By3XI+o~kr znqMZq4(&*w)e@-ChvIkVn{IA(SxxfuYvlKfE%i&0tN)=irW2kA3Gmx`{#A4tRWZ5~ z*{lTo8VqWsA=_cR#I%OcI!UdOK>4-ihy^%rz-{fS(coHXHL`V*IudAs1S<5Q4=V75 z?ZB^Ez@Z|Jx&84f$UscVzZC)x^MLq{&aK4dgBTSHH^(rRSuBy}XvyadYo(DL|R zZo$33wl}uwz1*5#*fVz!t(QPmg`guYlua1>liaI;qRe(k77Y9t;8;_EcA zof0U&*7WaDC~$GD+qI2ZsXuC`3_H9lNucV07K7J(x>BxATt@<}kU;skmf5Yq7~|SP zvjMd<7h_x1;cXf2p{piZ_;!*Tl|WUqcEfPZj~nIN$=A39jLk~bOU}SZ=N`Io*>-Z) zmO%NnW?-StdDd!(?K!n$Bw9;)owTMTP~L|I7w`RARY|1&68CGWYH}x`F$wsBY*lZQ z`(G@`-GS6Afs8FftvS!t8ux2f4z?0H=^Y7Fl0b!P%?%2`g=TM9sqRH_HG4?v`$gDJ zpd*16N}xg?dWQo4Mc0S8(pBA>A5=~1By=Ruqy)Rd)kvekALUbP0`struOk7W1S(vU-IVw`v?GC5OQ1p@dZ$7m3v+HbMcN1;PVof1j_r+kU1?E;<}7ffa{Ed9#WZpuQ=XQQP@*N zJttHZKqsL|2}~isyNsxqzK5outge@o#P`Kv&{Z3oEVz@sBY~3N>g@R+AfzU936|uKH(2bzIve zz}I*$U&`jZm-ZNcKG9iQkl69IK?2dq&*y(6b!E!%&?7vKWLMzj;6S7#_I6yGBmiBw ztb?kq=&p-s*&C`NI|)rmKyz*=BB2~K;gL0b{csU)z64fXe(=G|H4jdhG zHzSx+cqgoku1vD&OwRHc+99o$faXr3J-)rl)4+2cn8i!UnJ7Mp(-X>gTK?WvSEa)* zl7KSAp^=q5iQ1m1d`m$k9M=Dr!azXH%X6< z&QMp%RYU<_<%hk@4D}K}St6aQu@=vh9oG&CO!4((dfMCZzS-S$!)|x`-aVS8ce`(R z?DIF$2W}kRzE|(2-P7*&_s!nK>1tXdeHxj1{;nPM>G0&Y@7zxu@6we+yQ#OgXM4AY ze$dtPhO0K*NUQsLr@Fn})aCSSUzxdydb@fQUr!vT2b1_GcI4M)3Ctz@V~;o4KbhsY zRLQeqf?M;o#MhzimcU$GK%$ds*pI&MBCkj-9r5d9?g0p_kQv%z>l6*68ypyO?e z1d2tR&D#3Onv>d6jSf>9r;LX)et4WlOX-Fx@vOXIT;tE~h_5{oz>{JMLUuFc#xw~} zKR1d`!g-3C;94^9h4$2_!7`1=aJorUKB2+QB5cJey&2DH+5{IDoCZxQ5yVbs+W=a zc=fbSO0yEsq-`wxGC=0&EoE`V5#@P`)9sEhZmk6r7wSM=l^0p~Cv@v9v zO|XRx4KZvY9)Kbax}8E2(<6I zYCIZ_x0Kj0-zAf=;HqNUR2uHWcQxUZIZ6+{6xb%58}m(Qn~G_YtzYHdDJhRcQ#;=n2a?LHj*yL2j`I`vA<~_-s z(@7FhnHIpbK}5&OU=thV@F~t|#n)g}XHvCuzYaER_-qCyLnAN8fXBDxTze zl1uh;zQf4ldh09RI+aQjTETyAz=woVN4H!|HJ9_$D6OzsHDEslPD7hjxv9zt4iA!QPeUrN-d{t?lAS6i!C+FL6ZhRT=MzcSRs z#^Ad0)L_^0B-2CIl~=E9WG4ksVeHD^Zh2)&7#5aRlGJ3dWDsbQ+x%rm7cpyVs+Rc1 zswtg>_DI0RVwxHw7Ycn{dkXGwg$ZG0A+S!02dfg?vCk`sXhpF0Sx~J6FaJuu8e}QN zr-zEg6K$DM*s^0@T^MSKzsl)h<6U02+BpoqXW{BGox$^on;I!?MS9gLzMkYRvuQ7b zrWESwIP8ttVpsBfQdnB8lG1hdlQbzT(OS4Vp{*FBDvV!7CoWAVvWU*b!V`Jr`XsGo zv_vP;Tip6}f*LPiousq<{dCPIKUpf*3ok5=S^2WkOM0da>;1>Zic3w4hNa=*;#`Y8 zl+I30R?qD7=k-i_1SaQ@g&I+&x)sTqm`K64+wl)on@dB)41wuRG9k zmJ5{OJgjlLt@2tW#cP~CRwM1>mD4njQI5hPTH~~mpSa-P^vs_4)o?-)JuhyH^kGt5 zn_e@KB!(|wprfWt?Gid)RjvGHw3*U-MbAOal+ek0_(~uihaCkG#N%2|9nre-OZ#W*I7>LS|K4+DzwjP% znL>pZ=C=_glB|R|`bU*w3*$Nu`-ReIh2OU@S@SsyV9_McL*HF4?7K%ONNs72@yq@_ zJ`uQSi`vb@d2*!iJi*6(7TFkIYA%*3d>_lF#o$}`Sj%P&2s~QeLP8)roq%M~*+gb!+8Fv-;8bt$X`Qn}_S) zQNrurDurfZp8RIBoniZ$Jdd2qE{OA3c591TI_5J=NGI_XZg{wJjce`M-r=^CBv9c# z@o0qx;#%{o#okWM4Zw4lOUquq*xRyH>d#NXADd>K993ouxLDixp`Q}zZQpyG=nZEQ zg@R_B0h{K_Vw35CiufYKp%h(qt^2lO6Kj~^&mBoU1GBnf{(2%km7p_EPkf7=z84~1 zan$2~rs-|>%X9)8(5_q1Y+beKMMeaQZdtSYLv&Wpd*3^oiT=)VXET3&(rm_4)p=B9 zULhgUi*tL0q)t>v0xgk1h3kKyzuXV7e%@gaS?rTc+xi zOTG9y*)Ll7z;_mY)%~Q3I`J%RI=K1}w9jT0o1`bSauxl`(ThKJFlb#HN?#P(I8WFB zaeBhnkHZO#gG-Jal5hOPZ_ji!X(n&i5*p(Yd_t>bZ+(1HV(pGwTy8zs;+zAQ=E6zA zxrml}TKNL2r4{QiYo7!vJiF{uC~lNCpWnVx=bQ7|l9l9*m(O!=m7QNXy6M<<@olaA_UoD|Ze7*Q8Y!*ax z^J8$Sd`rVLbX(Og{gq)CgiJb>SVR)2Fz@m{h5C=u_(g4R1{YM1Q}uugu|0(WnP16Z zIaIy)T*gJ}X}Y~DCaGy2LfOq%Il}6QVM)CcD$dv_Fuk%Y=e(6&R`SA(NaT@FTP+iu zB3%)hq$i1`VOsIxvVKyANixSYDa(dSvek=E@+}mav@@dBr;n2QCio;=jh5na;h|?& z)kzLhjcJ|nt>#x}@g4a5OQ6C%*@yWwz&+XB^Iw~GmFVhrajweOHg#E=ZVOi}Xt5?N z`)qN|RdKNGAzOK+>9%mYDqQblzYSlSUKk#V8&Md?QKjM1w%XF0#h2z^0Aat*I@s`~ z>A7$pR%}#pIZT7;rP*x!O7RONoWBbz9YrhG(1tHfFAdWgH~%GzxQH@LNzu0S5_kcB zS({4pFMzPh)j{WcwLB`9sB^wTkIDtK@fC-cJ(DBzaU3cPYZER#s9c23`D%GoE>Y)v z9naF2K!y9{PboB;_aJUt>o-%k59at`V~@@DVr=-*^wKc7N28di%(&oOxLO?&e6{q_ zT9@HBVSMW*KPj&iPo?R$a2bQvMhp`_Oz;hlJ7@M@v9Pz{OVdlkwBmFW6O}oK@hm`j zNw``aZ0V&{F2kGVxs;SwijSIKkY0)}4VN+KL@W>ql#OdTx@In|z4aD|uv<`#SYNIJ zuX=viFQ{ZKL3w2oerDeo5U^0UG35=4k@VNdnB%1(=fs zm}dwurwB056ktXLm}dzvrwTA*0?Y;h=Gg+wa|D>*5@4Pyz&uZYdAUMj%6 zOn{jXV9pg_UM|4ALV(#Sz)T7-=Ls<93osW5Fs~F~E)-yXM}T>i0P|`A<{|-Rn*cK< zz+5cAY!_f&BfwlDz`Ry~d7S|By8_Im0?g|Lm>mMl8w8j)3NXJXz`RL-d9wg>nE-RS z0P_|B<_ZDkN&)670p@A}<{AO!S^?&*0?c&+%-aN*w+k@8FTm^+V0H;GT7a1rU;+VV zw*a$8fY~d+Tra@fAi&HBFgFS?`vjPq1ekXSFz*y#-X*}iTY#ArVBRCZyjOtv0|Dk{ z0p@)I%=-nH4+t=~2r#z_Fdr0PJ|w_=Sb+IM0p=qD%tr;7IRWN20p?=@%*O?oPY5ud z6kt9jz}zmtd|H6{BLQZ=0P`6E=8pxK&k8V~6JYKTU_LLvd_jQuq5$(H0p?Bt=F0-i zR|J?p5n#S5!2GEI^ECnHE&=Ax1eiY;V7@NEd_#Z<1(&o*|0uxx zP=NW70P{}*%#Q__e->bVBEZ}y!2F8pi znEw)B{*M6jGXduR3NSwxVE$Wxc}ReHSb#Yw!2Cjh`K18!hye4b0P~mt^FIR2;{we8 z6JUNNz&s(q{91tdjR5n%0?d;FOxBKZNPuw!7*~Ml5@0+5rdxpN5ny@+n3MpsOn^xX zFh>Y5eFDsp0?cv&reA=`2rvTz%%A|XLVy_(U{(q+s|1+U0?Zl#<|qN?XaVLJ0p?f% z<~RZ7cmZau0HXw$bpp(=0CR!>vtEFCiU4z>0P|D0P}PK=41ip83N2H z0?ac7m{9@dSpv+d0?e2Ivq6A)wgB@S0p_;^nCA*G&l6ytFTlJ&fH_Tod7%Jvx&X6L zfbj*GO#;lg0P`XNX0rfuh5&P>0P|u2W{Uvx5&`Bc0p_;_n6m|#a|D=|3NSAdU?v2Z za|M`}3ox$`V73Y{lLE|n0?hdW%mo6>D+QPf1(@FvU|uD_yjp;{NPyWUz)T4+7Yi`k z1(??eFqa50uN7ckC&2u!0CTAT^LhbhhXC^i0p^VY%ZxUeMEWlhQz+5iCyhVVy zLV&qafVoP5xmtj^Mu53ifO)F`bDaS5HUZ}C0?h9VFgpd9U9~ZqcOr0jorziJh4rM7 z-+wKlI&OhUVBXgI1%mZ{0omvK0q0omvK0q0omvK0q0omvK z0=9u03NY6TFgFM=GXl(w0?a-E z<|YB=9Rkcd1(}KD!_bAfVoS6`7;6L z&jpyT3ozdhU_t@rn*z*V2rzdGFy9hj{!)PXD*@))0?c0vFy9eizAM1|jR12%fcaYi z=I;cUdjyz!1(@#%Fn=$={DT1VeF5eN0?a=OFh3Mvek8#BlK}H$0p_0tn4btR_X#ln zBEZ})z&s$pJSf2Ys{r$F0?bbZn12^w{zHKIPXXq?1epIL!2C>r`M(0p&jpzO7GNF{ zU>+7=4hk^85MX{Oz&s+rJSxCECcylU0Q0y2^Zx{xUkNZz2r$1EV16UO{I3A>qyUqx zjVZDB3On{*3(Ul;s5+kD6sbm1@>N{z}_nq*n5Qnd#_Mn?-dH{y+VP#S17Re3I+CFp}^iN6xe%( z0(-AeVDA+Q?7c#Py;mr(_X-8}UZKF=D-_s!g#vr8P+;#B3hcc?fxTBKu=ffD_FkdD z-YXQ?dxZjfuTWs`6$+kD6sbm1@>N{z}_nq*n5Qnd#_Mn?-dH{y+VP#S17Re z3I+CFp}^iN6xe%(0(-AeVDA+Q?7c#Py;mr(_X-8}UZKF=D-_s!g#vr8P+;#B3hcc? zfxTBKu=ffD_FkdD-YXQ?dxZjfuTWs`6$+kD6sbm1@>N{z}_nq*n5Qnd#_Mn z?-dH{y+VP#S17Re3I+CFp}^iN6xe%(0(-AeVDA+Q?7c#Py;mr(_X-8}UZKF=D-_s! zg#vr8P+;#B3hcc?fxTBKu=ffD_FkdD-YXQ?dxZjfuTWs`6$+kD6sbm1@>N{ zz}_nq*n5Qnd#_Mn?-i2W-YX=#y;n$fd#{k}_Ff^`?Y%;>+k1s%xAzLkZtoS6-QFuC zyS-OPc6+ao?Dk$E+3meTvfF!wWViPU$!_lzlHJ}bB)h#=NOpU#knHweA=&M{LbBU? zg=Dw)3dwHo6_VZFD+k1s%xAzLkZtoS6-QFuCyS-OPc6+ao?Dk$E+3meTvfF!wWViPU z$!_lzlHJ}bB)h#=NOpU#knHweA=&M{LbBU?g=Dw)3dwHo6_VZFD+k1s%xAzLkZtoS6 z-QFuCyS-OPc6+ao?Dk$E+3meTvfF!wWViPU$!_lzlHJ}bB)h#=NOpU#knHweA=&M{ zLbBU?g=Dw)3dwHo6_VZFD+k1s%xAzLkZtoS6-QFuCyS-OPc6+ao?Dk$E+3meTvfF!w zWViPU$!_lzlHJ}bB)h#=NOpU#knHweA=&M{LbBU?g=Dw)3dwHo6_VZFD6GW7u(Jtb>;0=z`JHLe?gIH(XtPkF!zw7HvL+Gm88Kt?Hl5_FIvQH&C ci}M_rggbO$=(3}SjvhMaK<6A-i08on2RaCt?EnA( diff --git a/proj/GPIO.runs/impl_1/GPIO_demo.tcl b/proj/GPIO.runs/impl_1/GPIO_demo.tcl deleted file mode 100644 index 366fe82..0000000 --- a/proj/GPIO.runs/impl_1/GPIO_demo.tcl +++ /dev/null @@ -1,67 +0,0 @@ -proc start_step { step } { - set stopFile ".stop.rst" - if {[file isfile .stop.rst]} { - puts "" - puts "*** Halting run - EA reset detected ***" - puts "" - puts "" - return -code error - } - set beginFile ".$step.begin.rst" - set platform "$::tcl_platform(platform)" - set user "$::tcl_platform(user)" - set pid [pid] - set host "" - if { [string equal $platform unix] } { - if { [info exist ::env(HOSTNAME)] } { - set host $::env(HOSTNAME) - } - } else { - if { [info exist ::env(COMPUTERNAME)] } { - set host $::env(COMPUTERNAME) - } - } - set ch [open $beginFile w] - puts $ch "" - puts $ch "" - puts $ch " " - puts $ch " " - puts $ch "" - close $ch -} - -proc end_step { step } { - set endFile ".$step.end.rst" - set ch [open $endFile w] - close $ch -} - -proc step_failed { step } { - set endFile ".$step.error.rst" - set ch [open $endFile w] - close $ch -} - -set_msg_config -id {HDL 9-1061} -limit 100000 -set_msg_config -id {HDL 9-1654} -limit 100000 - -start_step write_bitstream -set ACTIVE_STEP write_bitstream -set rc [catch { - create_msg_db write_bitstream.pb - open_checkpoint GPIO_demo_routed.dcp - set_property webtalk.parent_dir C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.cache/wt [current_project] - catch { write_mem_info -force GPIO_demo.mmi } - write_bitstream -force -no_partial_bitfile GPIO_demo.bit - catch { write_sysdef -hwdef GPIO_demo.hwdef -bitfile GPIO_demo.bit -meminfo GPIO_demo.mmi -file GPIO_demo.sysdef } - catch {write_debug_probes -quiet -force debug_nets} - close_msg_db -file write_bitstream.pb -} RESULT] -if {$rc} { - step_failed write_bitstream - return -code error $RESULT -} else { - end_step write_bitstream - unset ACTIVE_STEP -} - diff --git a/proj/GPIO.runs/impl_1/GPIO_demo.vdi b/proj/GPIO.runs/impl_1/GPIO_demo.vdi deleted file mode 100644 index a7d164d..0000000 --- a/proj/GPIO.runs/impl_1/GPIO_demo.vdi +++ /dev/null @@ -1,475 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2016.4 (64-bit) -# SW Build 1756540 on Mon Jan 23 19:11:23 MST 2017 -# IP Build 1755317 on Mon Jan 23 20:30:07 MST 2017 -# Start of session at: Fri Apr 09 23:15:32 2021 -# Process ID: 960 -# Current directory: C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1 -# Command line: vivado.exe -log GPIO_demo.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source GPIO_demo.tcl -notrace -# Log file: C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/GPIO_demo.vdi -# Journal file: C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source GPIO_demo.tcl -notrace -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Netlist 29-17] Analyzing 156 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2016.4 -INFO: [Device 21-403] Loading part xc7a35tcpg236-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/constraints/Basys3_Master.xdc] -Finished Parsing XDC File [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/constraints/Basys3_Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Project 1-111] Unisim Transformation Summary: - A total of 2 instances were transformed. - IOBUF => IOBUF (IBUF, OBUFT): 2 instances - -link_design: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 528.871 ; gain = 255.074 -INFO: [Vivado_Tcl 4-424] Cannot write hardware definition file as there are no IPI block design hardware handoff files present -Command: opt_design -directive RuntimeOptimized -INFO: [Vivado_Tcl 4-136] Directive used for opt_design is: RuntimeOptimized -Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.818 . Memory (MB): peak = 539.426 ; gain = 10.555 - -Starting Logic Optimization Task -Implement Debug Cores | Checksum: 11fc7498c -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-2] Deriving generated clocks - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: 16f269fca - -Time (s): cpu = 00:00:13 ; elapsed = 00:00:13 . Memory (MB): peak = 1040.055 ; gain = 500.629 - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-10] Eliminated 6 cells. -Phase 2 Constant propagation | Checksum: 233a26f9e - -Time (s): cpu = 00:00:13 ; elapsed = 00:00:13 . Memory (MB): peak = 1040.055 ; gain = 500.629 - -Phase 3 Sweep -INFO: [Opt 31-12] Eliminated 363 unconnected nets. -INFO: [Opt 31-11] Eliminated 2 unconnected cells. -Phase 3 Sweep | Checksum: 1bb596469 - -Time (s): cpu = 00:00:13 ; elapsed = 00:00:13 . Memory (MB): peak = 1040.055 ; gain = 500.629 - -Phase 4 BUFG optimization -INFO: [Opt 31-12] Eliminated 0 unconnected nets. -INFO: [Opt 31-11] Eliminated 0 unconnected cells. -Phase 4 BUFG optimization | Checksum: 1bb596469 - -Time (s): cpu = 00:00:13 ; elapsed = 00:00:13 . Memory (MB): peak = 1040.055 ; gain = 500.629 - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1040.055 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: 1bb596469 - -Time (s): cpu = 00:00:13 ; elapsed = 00:00:13 . Memory (MB): peak = 1040.055 ; gain = 500.629 -INFO: [Common 17-83] Releasing license: Implementation -24 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -opt_design: Time (s): cpu = 00:00:14 ; elapsed = 00:00:14 . Memory (MB): peak = 1040.055 ; gain = 511.184 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.084 . Memory (MB): peak = 1040.055 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/GPIO_demo_opt.dcp' has been generated. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/GPIO_demo_drc_opted.rpt. -INFO: [Chipscope 16-241] No debug cores found in the current design. -Before running the implement_debug_core command, either use the Set Up Debug wizard (GUI mode) -or use the create_debug_core and connect_debug_core Tcl commands to insert debug cores into the design. -Command: place_design -directive RuntimeOptimized -Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t' -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 46-5] The placer was invoked with the 'RuntimeOptimized' directive. -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs - -Phase 1 Placer Initialization -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1040.055 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1040.055 ; gain = 0.000 - -Phase 1.1 IO Placement/ Clock Placement/ Build Placer Device -INFO: [Timing 38-35] Done setting XDC timing constraints. -Phase 1.1 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 6c035595 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 1.2 Build Placer Netlist Model -Phase 1.2 Build Placer Netlist Model | Checksum: f331096b - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 1.3 Constrain Clocks/Macros -Phase 1.3 Constrain Clocks/Macros | Checksum: f331096b - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1066.777 ; gain = 26.723 -Phase 1 Placer Initialization | Checksum: f331096b - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 2 Global Placement -Phase 2 Global Placement | Checksum: 7e244a0f - -Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 7e244a0f - -Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 1b64b4a8a - -Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 2008e72ab - -Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 2008e72ab - -Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 3.5 Timing Path Optimizer -Phase 3.5 Timing Path Optimizer | Checksum: 1b836a822 - -Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 3.6 Small Shape Detail Placement -Phase 3.6 Small Shape Detail Placement | Checksum: 1158460e2 - -Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 3.7 Re-assign LUT pins -Phase 3.7 Re-assign LUT pins | Checksum: 1c30709cd - -Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 3.8 Pipeline Register Optimization -Phase 3.8 Pipeline Register Optimization | Checksum: 1c30709cd - -Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 -Phase 3 Detail Placement | Checksum: 1c30709cd - -Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Phase 4.1.1 Post Placement Optimization -INFO: [Place 30-746] Post Placement Timing Summary WNS=4.240. For the most accurate timing information please run report_timing. -Phase 4.1.1 Post Placement Optimization | Checksum: 1ae2aa603 - -Time (s): cpu = 00:00:07 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 -Phase 4.1 Post Commit Optimization | Checksum: 1ae2aa603 - -Time (s): cpu = 00:00:07 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 1ae2aa603 - -Time (s): cpu = 00:00:07 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: 1ae2aa603 - -Time (s): cpu = 00:00:07 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 4.4 Final Placement Cleanup -Phase 4.4 Final Placement Cleanup | Checksum: 1591ee552 - -Time (s): cpu = 00:00:07 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1591ee552 - -Time (s): cpu = 00:00:07 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 -Ending Placer Task | Checksum: dd20239e - -Time (s): cpu = 00:00:07 ; elapsed = 00:00:05 . Memory (MB): peak = 1066.777 ; gain = 26.723 -INFO: [Common 17-83] Releasing license: Implementation -41 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -place_design: Time (s): cpu = 00:00:09 ; elapsed = 00:00:06 . Memory (MB): peak = 1066.777 ; gain = 26.723 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.216 . Memory (MB): peak = 1066.777 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/GPIO_demo_placed.dcp' has been generated. -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.075 . Memory (MB): peak = 1066.777 ; gain = 0.000 -report_utilization: Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.032 . Memory (MB): peak = 1066.777 ; gain = 0.000 -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.006 . Memory (MB): peak = 1066.777 ; gain = 0.000 -Command: route_design -directive RuntimeOptimized -Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t' -Running DRC as a precondition to command route_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-270] Using Router directive 'RuntimeOptimized'. -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs -Checksum: PlaceDB: cf46d93b ConstDB: 0 ShapeSum: dd94a63 RouteDB: 0 - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: be9a9a9a - -Time (s): cpu = 00:00:26 ; elapsed = 00:00:24 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 2 Router Initialization - -Phase 2.1 Create Timer -Phase 2.1 Create Timer | Checksum: be9a9a9a - -Time (s): cpu = 00:00:26 ; elapsed = 00:00:24 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 2.2 Fix Topology Constraints -Phase 2.2 Fix Topology Constraints | Checksum: be9a9a9a - -Time (s): cpu = 00:00:26 ; elapsed = 00:00:24 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 2.3 Pre Route Cleanup -Phase 2.3 Pre Route Cleanup | Checksum: be9a9a9a - -Time (s): cpu = 00:00:26 ; elapsed = 00:00:24 . Memory (MB): peak = 1178.578 ; gain = 111.801 - Number of Nodes with overlaps = 0 - -Phase 2.4 Update Timing -Phase 2.4 Update Timing | Checksum: 111c71c3e - -Time (s): cpu = 00:00:27 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=4.198 | TNS=0.000 | WHS=-0.144 | THS=-6.171 | - -Phase 2 Router Initialization | Checksum: 1ee683561 - -Time (s): cpu = 00:00:28 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 10e02a291 - -Time (s): cpu = 00:00:28 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 107 - Number of Nodes with overlaps = 0 - -Phase 4.1.1 Update Timing -Phase 4.1.1 Update Timing | Checksum: da308246 - -Time (s): cpu = 00:00:28 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=3.625 | TNS=0.000 | WHS=N/A | THS=N/A | - -Phase 4.1 Global Iteration 0 | Checksum: 1a9ed9d3a - -Time (s): cpu = 00:00:28 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 4.2 Global Iteration 1 - Number of Nodes with overlaps = 1 - Number of Nodes with overlaps = 0 - -Phase 4.2.1 Update Timing -Phase 4.2.1 Update Timing | Checksum: 1185cfc05 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=3.625 | TNS=0.000 | WHS=N/A | THS=N/A | - -Phase 4.2 Global Iteration 1 | Checksum: 18260d5a4 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 -Phase 4 Rip-up And Reroute | Checksum: 18260d5a4 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 5 Delay and Skew Optimization - -Phase 5.1 Delay CleanUp -Phase 5.1 Delay CleanUp | Checksum: 18260d5a4 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 5.2 Clock Skew Optimization -Phase 5.2 Clock Skew Optimization | Checksum: 18260d5a4 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 -Phase 5 Delay and Skew Optimization | Checksum: 18260d5a4 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter - -Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: 16251cbd9 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=3.717 | TNS=0.000 | WHS=0.062 | THS=0.000 | - -Phase 6.1 Hold Fix Iter | Checksum: 12245b0d3 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 -Phase 6 Post Hold Fix | Checksum: 12245b0d3 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.234075 % - Global Horizontal Routing Utilization = 0.228267 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 7 Route finalize | Checksum: 1af3f3601 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 1af3f3601 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 15d59118d - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:26 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 10 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=3.717 | TNS=0.000 | WHS=0.062 | THS=0.000 | - -INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 10 Post Router Timing | Checksum: 15d59118d - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:26 . Memory (MB): peak = 1178.578 ; gain = 111.801 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:26 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Routing Is Done. -INFO: [Common 17-83] Releasing license: Implementation -56 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:31 ; elapsed = 00:00:27 . Memory (MB): peak = 1178.578 ; gain = 111.801 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.232 . Memory (MB): peak = 1178.578 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/GPIO_demo_routed.dcp' has been generated. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/GPIO_demo_drc_routed.rpt. -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/GPIO_demo_methodology_drc_routed.rpt. -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Timing 38-35] Done setting XDC timing constraints. -Command: report_power -file GPIO_demo_power_routed.rpt -pb GPIO_demo_power_summary_routed.pb -rpx GPIO_demo_power_routed.rpx -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -66 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [Common 17-206] Exiting Vivado at Fri Apr 09 23:16:39 2021... -#----------------------------------------------------------- -# Vivado v2016.4 (64-bit) -# SW Build 1756540 on Mon Jan 23 19:11:23 MST 2017 -# IP Build 1755317 on Mon Jan 23 20:30:07 MST 2017 -# Start of session at: Fri Apr 09 23:19:20 2021 -# Process ID: 1988 -# Current directory: C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1 -# Command line: vivado.exe -log GPIO_demo.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source GPIO_demo.tcl -notrace -# Log file: C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/GPIO_demo.vdi -# Journal file: C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source GPIO_demo.tcl -notrace -Command: open_checkpoint GPIO_demo_routed.dcp - -Starting open_checkpoint Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.027 . Memory (MB): peak = 215.074 ; gain = 0.000 -INFO: [Netlist 29-17] Analyzing 156 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 1 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2016.4 -INFO: [Device 21-403] Loading part xc7a35tcpg236-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/.Xil/Vivado-1988-DESKTOP-GN6T5R2/dcp/GPIO_demo_early.xdc] -Finished Parsing XDC File [C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/.Xil/Vivado-1988-DESKTOP-GN6T5R2/dcp/GPIO_demo_early.xdc] -Parsing XDC File [C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/.Xil/Vivado-1988-DESKTOP-GN6T5R2/dcp/GPIO_demo.xdc] -Finished Parsing XDC File [C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/.Xil/Vivado-1988-DESKTOP-GN6T5R2/dcp/GPIO_demo.xdc] -Reading XDEF placement. -Reading placer database... -Reading XDEF routing. -Read XDEF File: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.133 . Memory (MB): peak = 528.973 ; gain = 0.000 -Restored from archive | CPU: 0.000000 secs | Memory: 0.000000 MB | -Finished XDEF File Restore: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.133 . Memory (MB): peak = 528.973 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: - A total of 2 instances were transformed. - IOBUF => IOBUF (IBUF, OBUFT): 2 instances - -INFO: [Project 1-604] Checkpoint was created with Vivado v2016.4 (64-bit) build 1756540 -open_checkpoint: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 528.973 ; gain = 318.734 -Command: write_bitstream -force -no_partial_bitfile GPIO_demo.bit -Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t' -Running DRC as a precondition to command write_bitstream -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado 12-3199] DRC finished with 0 Errors -INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. -Loading data files... -Loading site data... -Loading route data... -Processing options... -Creating bitmap... -Creating bitstream... -Bitstream compression saved 13383552 bits. -Writing bitstream ./GPIO_demo.bit... -INFO: [Vivado 12-1842] Bitgen Completed Successfully. -INFO: [Project 1-120] WebTalk data collection is mandatory when using a WebPACK part without a full Vivado license. To see the specific WebTalk data collected for your design, open the usage_statistics_webtalk.html or usage_statistics_webtalk.xml file in the implementation directory. -INFO: [Common 17-83] Releasing license: Implementation -14 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -write_bitstream completed successfully -write_bitstream: Time (s): cpu = 00:00:23 ; elapsed = 00:00:22 . Memory (MB): peak = 965.129 ; gain = 436.156 -INFO: [Vivado_Tcl 4-395] Unable to parse hwdef file GPIO_demo.hwdef -INFO: [Common 17-206] Exiting Vivado at Fri Apr 09 23:19:56 2021... diff --git a/proj/GPIO.runs/impl_1/GPIO_demo_960.backup.vdi b/proj/GPIO.runs/impl_1/GPIO_demo_960.backup.vdi deleted file mode 100644 index 93adc19..0000000 --- a/proj/GPIO.runs/impl_1/GPIO_demo_960.backup.vdi +++ /dev/null @@ -1,414 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2016.4 (64-bit) -# SW Build 1756540 on Mon Jan 23 19:11:23 MST 2017 -# IP Build 1755317 on Mon Jan 23 20:30:07 MST 2017 -# Start of session at: Fri Apr 09 23:15:32 2021 -# Process ID: 960 -# Current directory: C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1 -# Command line: vivado.exe -log GPIO_demo.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source GPIO_demo.tcl -notrace -# Log file: C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/GPIO_demo.vdi -# Journal file: C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source GPIO_demo.tcl -notrace -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Netlist 29-17] Analyzing 156 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2016.4 -INFO: [Device 21-403] Loading part xc7a35tcpg236-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/constraints/Basys3_Master.xdc] -Finished Parsing XDC File [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/constraints/Basys3_Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Project 1-111] Unisim Transformation Summary: - A total of 2 instances were transformed. - IOBUF => IOBUF (IBUF, OBUFT): 2 instances - -link_design: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 528.871 ; gain = 255.074 -INFO: [Vivado_Tcl 4-424] Cannot write hardware definition file as there are no IPI block design hardware handoff files present -Command: opt_design -directive RuntimeOptimized -INFO: [Vivado_Tcl 4-136] Directive used for opt_design is: RuntimeOptimized -Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.818 . Memory (MB): peak = 539.426 ; gain = 10.555 - -Starting Logic Optimization Task -Implement Debug Cores | Checksum: 11fc7498c -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-2] Deriving generated clocks - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: 16f269fca - -Time (s): cpu = 00:00:13 ; elapsed = 00:00:13 . Memory (MB): peak = 1040.055 ; gain = 500.629 - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-10] Eliminated 6 cells. -Phase 2 Constant propagation | Checksum: 233a26f9e - -Time (s): cpu = 00:00:13 ; elapsed = 00:00:13 . Memory (MB): peak = 1040.055 ; gain = 500.629 - -Phase 3 Sweep -INFO: [Opt 31-12] Eliminated 363 unconnected nets. -INFO: [Opt 31-11] Eliminated 2 unconnected cells. -Phase 3 Sweep | Checksum: 1bb596469 - -Time (s): cpu = 00:00:13 ; elapsed = 00:00:13 . Memory (MB): peak = 1040.055 ; gain = 500.629 - -Phase 4 BUFG optimization -INFO: [Opt 31-12] Eliminated 0 unconnected nets. -INFO: [Opt 31-11] Eliminated 0 unconnected cells. -Phase 4 BUFG optimization | Checksum: 1bb596469 - -Time (s): cpu = 00:00:13 ; elapsed = 00:00:13 . Memory (MB): peak = 1040.055 ; gain = 500.629 - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1040.055 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: 1bb596469 - -Time (s): cpu = 00:00:13 ; elapsed = 00:00:13 . Memory (MB): peak = 1040.055 ; gain = 500.629 -INFO: [Common 17-83] Releasing license: Implementation -24 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -opt_design: Time (s): cpu = 00:00:14 ; elapsed = 00:00:14 . Memory (MB): peak = 1040.055 ; gain = 511.184 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.084 . Memory (MB): peak = 1040.055 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/GPIO_demo_opt.dcp' has been generated. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/GPIO_demo_drc_opted.rpt. -INFO: [Chipscope 16-241] No debug cores found in the current design. -Before running the implement_debug_core command, either use the Set Up Debug wizard (GUI mode) -or use the create_debug_core and connect_debug_core Tcl commands to insert debug cores into the design. -Command: place_design -directive RuntimeOptimized -Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t' -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 46-5] The placer was invoked with the 'RuntimeOptimized' directive. -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs - -Phase 1 Placer Initialization -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1040.055 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1040.055 ; gain = 0.000 - -Phase 1.1 IO Placement/ Clock Placement/ Build Placer Device -INFO: [Timing 38-35] Done setting XDC timing constraints. -Phase 1.1 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 6c035595 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 1.2 Build Placer Netlist Model -Phase 1.2 Build Placer Netlist Model | Checksum: f331096b - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 1.3 Constrain Clocks/Macros -Phase 1.3 Constrain Clocks/Macros | Checksum: f331096b - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1066.777 ; gain = 26.723 -Phase 1 Placer Initialization | Checksum: f331096b - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 2 Global Placement -Phase 2 Global Placement | Checksum: 7e244a0f - -Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 7e244a0f - -Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 1b64b4a8a - -Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 2008e72ab - -Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 2008e72ab - -Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 3.5 Timing Path Optimizer -Phase 3.5 Timing Path Optimizer | Checksum: 1b836a822 - -Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 3.6 Small Shape Detail Placement -Phase 3.6 Small Shape Detail Placement | Checksum: 1158460e2 - -Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 3.7 Re-assign LUT pins -Phase 3.7 Re-assign LUT pins | Checksum: 1c30709cd - -Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 3.8 Pipeline Register Optimization -Phase 3.8 Pipeline Register Optimization | Checksum: 1c30709cd - -Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 -Phase 3 Detail Placement | Checksum: 1c30709cd - -Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Phase 4.1.1 Post Placement Optimization -INFO: [Place 30-746] Post Placement Timing Summary WNS=4.240. For the most accurate timing information please run report_timing. -Phase 4.1.1 Post Placement Optimization | Checksum: 1ae2aa603 - -Time (s): cpu = 00:00:07 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 -Phase 4.1 Post Commit Optimization | Checksum: 1ae2aa603 - -Time (s): cpu = 00:00:07 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 1ae2aa603 - -Time (s): cpu = 00:00:07 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: 1ae2aa603 - -Time (s): cpu = 00:00:07 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 4.4 Final Placement Cleanup -Phase 4.4 Final Placement Cleanup | Checksum: 1591ee552 - -Time (s): cpu = 00:00:07 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1591ee552 - -Time (s): cpu = 00:00:07 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 -Ending Placer Task | Checksum: dd20239e - -Time (s): cpu = 00:00:07 ; elapsed = 00:00:05 . Memory (MB): peak = 1066.777 ; gain = 26.723 -INFO: [Common 17-83] Releasing license: Implementation -41 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -place_design: Time (s): cpu = 00:00:09 ; elapsed = 00:00:06 . Memory (MB): peak = 1066.777 ; gain = 26.723 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.216 . Memory (MB): peak = 1066.777 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/GPIO_demo_placed.dcp' has been generated. -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.075 . Memory (MB): peak = 1066.777 ; gain = 0.000 -report_utilization: Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.032 . Memory (MB): peak = 1066.777 ; gain = 0.000 -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.006 . Memory (MB): peak = 1066.777 ; gain = 0.000 -Command: route_design -directive RuntimeOptimized -Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t' -Running DRC as a precondition to command route_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-270] Using Router directive 'RuntimeOptimized'. -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs -Checksum: PlaceDB: cf46d93b ConstDB: 0 ShapeSum: dd94a63 RouteDB: 0 - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: be9a9a9a - -Time (s): cpu = 00:00:26 ; elapsed = 00:00:24 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 2 Router Initialization - -Phase 2.1 Create Timer -Phase 2.1 Create Timer | Checksum: be9a9a9a - -Time (s): cpu = 00:00:26 ; elapsed = 00:00:24 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 2.2 Fix Topology Constraints -Phase 2.2 Fix Topology Constraints | Checksum: be9a9a9a - -Time (s): cpu = 00:00:26 ; elapsed = 00:00:24 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 2.3 Pre Route Cleanup -Phase 2.3 Pre Route Cleanup | Checksum: be9a9a9a - -Time (s): cpu = 00:00:26 ; elapsed = 00:00:24 . Memory (MB): peak = 1178.578 ; gain = 111.801 - Number of Nodes with overlaps = 0 - -Phase 2.4 Update Timing -Phase 2.4 Update Timing | Checksum: 111c71c3e - -Time (s): cpu = 00:00:27 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=4.198 | TNS=0.000 | WHS=-0.144 | THS=-6.171 | - -Phase 2 Router Initialization | Checksum: 1ee683561 - -Time (s): cpu = 00:00:28 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 10e02a291 - -Time (s): cpu = 00:00:28 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 107 - Number of Nodes with overlaps = 0 - -Phase 4.1.1 Update Timing -Phase 4.1.1 Update Timing | Checksum: da308246 - -Time (s): cpu = 00:00:28 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=3.625 | TNS=0.000 | WHS=N/A | THS=N/A | - -Phase 4.1 Global Iteration 0 | Checksum: 1a9ed9d3a - -Time (s): cpu = 00:00:28 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 4.2 Global Iteration 1 - Number of Nodes with overlaps = 1 - Number of Nodes with overlaps = 0 - -Phase 4.2.1 Update Timing -Phase 4.2.1 Update Timing | Checksum: 1185cfc05 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=3.625 | TNS=0.000 | WHS=N/A | THS=N/A | - -Phase 4.2 Global Iteration 1 | Checksum: 18260d5a4 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 -Phase 4 Rip-up And Reroute | Checksum: 18260d5a4 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 5 Delay and Skew Optimization - -Phase 5.1 Delay CleanUp -Phase 5.1 Delay CleanUp | Checksum: 18260d5a4 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 5.2 Clock Skew Optimization -Phase 5.2 Clock Skew Optimization | Checksum: 18260d5a4 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 -Phase 5 Delay and Skew Optimization | Checksum: 18260d5a4 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter - -Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: 16251cbd9 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=3.717 | TNS=0.000 | WHS=0.062 | THS=0.000 | - -Phase 6.1 Hold Fix Iter | Checksum: 12245b0d3 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 -Phase 6 Post Hold Fix | Checksum: 12245b0d3 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.234075 % - Global Horizontal Routing Utilization = 0.228267 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 7 Route finalize | Checksum: 1af3f3601 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 1af3f3601 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 15d59118d - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:26 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 10 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=3.717 | TNS=0.000 | WHS=0.062 | THS=0.000 | - -INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 10 Post Router Timing | Checksum: 15d59118d - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:26 . Memory (MB): peak = 1178.578 ; gain = 111.801 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:26 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Routing Is Done. -INFO: [Common 17-83] Releasing license: Implementation -56 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:31 ; elapsed = 00:00:27 . Memory (MB): peak = 1178.578 ; gain = 111.801 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.232 . Memory (MB): peak = 1178.578 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/GPIO_demo_routed.dcp' has been generated. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/GPIO_demo_drc_routed.rpt. -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/GPIO_demo_methodology_drc_routed.rpt. -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Timing 38-35] Done setting XDC timing constraints. -Command: report_power -file GPIO_demo_power_routed.rpt -pb GPIO_demo_power_summary_routed.pb -rpx GPIO_demo_power_routed.rpx -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -66 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [Common 17-206] Exiting Vivado at Fri Apr 09 23:16:39 2021... diff --git a/proj/GPIO.runs/impl_1/GPIO_demo_clock_utilization_routed.rpt b/proj/GPIO.runs/impl_1/GPIO_demo_clock_utilization_routed.rpt deleted file mode 100644 index 4045340..0000000 --- a/proj/GPIO.runs/impl_1/GPIO_demo_clock_utilization_routed.rpt +++ /dev/null @@ -1,235 +0,0 @@ -Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -| Date : Fri Apr 09 23:16:39 2021 -| Host : DESKTOP-GN6T5R2 running 64-bit major release (build 9200) -| Command : report_clock_utilization -file GPIO_demo_clock_utilization_routed.rpt -| Design : GPIO_demo -| Device : 7a35t-cpg236 -| Speed File : -1 PRODUCTION 1.16 2016-11-09 ---------------------------------------------------------------------------------------- - -Clock Utilization Report - -Table of Contents ------------------ -1. Clock Primitive Utilization -2. Global Clock Resources -3. Global Clock Source Details -4. Clock Regions: Key Resource Utilization -5. Clock Regions : Global Clock Summary -6. Cell Type Counts per Global Clock: Region X0Y0 -7. Cell Type Counts per Global Clock: Region X1Y0 -8. Cell Type Counts per Global Clock: Region X0Y1 -9. Load Cell Placement Summary for Global Clock g0 -10. Load Cell Placement Summary for Global Clock g1 -11. Load Cell Placement Summary for Global Clock g2 - -1. Clock Primitive Utilization ------------------------------- - -+----------+------+-----------+-----+--------------+--------+ -| Type | Used | Available | LOC | Clock Region | Pblock | -+----------+------+-----------+-----+--------------+--------+ -| BUFGCTRL | 3 | 32 | 0 | 0 | 0 | -| BUFH | 0 | 72 | 0 | 0 | 0 | -| BUFIO | 0 | 20 | 0 | 0 | 0 | -| BUFMR | 0 | 10 | 0 | 0 | 0 | -| BUFR | 0 | 20 | 0 | 0 | 0 | -| MMCM | 1 | 5 | 0 | 0 | 0 | -| PLL | 0 | 5 | 0 | 0 | 0 | -+----------+------+-----------+-----+--------------+--------+ - - -2. Global Clock Resources -------------------------- - -+-----------+-----------+-----------------+------------+---------------+--------------+------+-------------------+-------------------+-------------+-----------------+--------------+--------------------+-----------------------------------------------+--------------------------------------------------------+ -| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Root | Clock Delay Group | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | -+-----------+-----------+-----------------+------------+---------------+--------------+------+-------------------+-------------------+-------------+-----------------+--------------+--------------------+-----------------------------------------------+--------------------------------------------------------+ -| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y0 | n/a | | | 2 | 336 | 0 | 9.259 | clk_out1_clk_wiz_0 | Inst_vga_ctrl/clk_wiz_0_inst/U0/clkout1_buf/O | Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1 | -| g1 | src1 | BUFG/O | None | BUFGCTRL_X0Y1 | n/a | | | 2 | 243 | 0 | 10.000 | sys_clk_pin | CLK_IBUF_BUFG_inst/O | CLK_IBUF_BUFG | -| g2 | src0 | BUFG/O | None | BUFGCTRL_X0Y2 | n/a | | | 1 | 1 | 0 | 10.000 | clkfbout_clk_wiz_0 | Inst_vga_ctrl/clk_wiz_0_inst/U0/clkf_buf/O | Inst_vga_ctrl/clk_wiz_0_inst/U0/clkfbout_buf_clk_wiz_0 | -+-----------+-----------+-----------------+------------+---------------+--------------+------+-------------------+-------------------+-------------+-----------------+--------------+--------------------+-----------------------------------------------+--------------------------------------------------------+ -* Clock Loads column represents the clock pin loads (pin count) -** Non-Clock Loads column represents the non-clock pin loads (pin count) - - -3. Global Clock Source Details ------------------------------- - -+-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------------+--------------------------------------------------------+----------------------------------------------------+ -| Source Id | Global Id | Driver Type/Pin | Constraint | Site | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock | Driver Pin | Net | -+-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------------+--------------------------------------------------------+----------------------------------------------------+ -| src0 | g0 | MMCME2_ADV/CLKOUT0 | None | MMCME2_ADV_X1Y0 | X1Y0 | 1 | 0 | 9.259 | clk_out1_clk_wiz_0 | Inst_vga_ctrl/clk_wiz_0_inst/U0/mmcm_adv_inst/CLKOUT0 | Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1_clk_wiz_0 | -| src0 | g2 | MMCME2_ADV/CLKFBOUT | None | MMCME2_ADV_X1Y0 | X1Y0 | 1 | 0 | 10.000 | clkfbout_clk_wiz_0 | Inst_vga_ctrl/clk_wiz_0_inst/U0/mmcm_adv_inst/CLKFBOUT | Inst_vga_ctrl/clk_wiz_0_inst/U0/clkfbout_clk_wiz_0 | -| src1 | g1 | IBUF/O | IOB_X1Y26 | IOB_X1Y26 | X1Y0 | 1 | 0 | 10.000 | sys_clk_pin | CLK_IBUF_inst/O | CLK_IBUF | -+-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------------+--------------------------------------------------------+----------------------------------------------------+ -* Clock Loads column represents the clock pin loads (pin count) -** Non-Clock Loads column represents the non-clock pin loads (pin count) - - -4. Clock Regions: Key Resource Utilization ------------------------------------------- - -+-------------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+ -| | Global Clock | BUFRs | BUFMRs | BUFIOs | MMCM | PLL | GT | PCI | ILOGIC | OLOGIC | FF | LUTM | RAMB18 | RAMB36 | DSP48E2 | -+-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ -| Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | -+-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ -| X0Y0 | 2 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 484 | 1200 | 206 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | -| X1Y0 | 2 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 31 | 1500 | 2 | 450 | 0 | 40 | 0 | 20 | 0 | 20 | -| X0Y1 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 63 | 1200 | 21 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | -| X1Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 1500 | 0 | 450 | 0 | 40 | 0 | 20 | 0 | 20 | -| X0Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 1800 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | -| X1Y2 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 4 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 950 | 0 | 300 | 0 | 10 | 0 | 5 | 0 | 20 | -+-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ -* Global Clock column represents track count; while other columns represents cell counts - - -5. Clock Regions : Global Clock Summary ---------------------------------------- - -+----+----+----+ -| | X0 | X1 | -+----+----+----+ -| Y2 | 0 | 0 | -| Y1 | 1 | 0 | -| Y0 | 2 | 2 | -+----+----+----+ - - -6. Cell Type Counts per Global Clock: Region X0Y0 -------------------------------------------------- - -+-----------+-------+-----------------+------------+-------------+-----------------+-----+--------+------+-----+----+------+-----+---------+------------------------------------------+ -| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | -+-----------+-------+-----------------+------------+-------------+-----------------+-----+--------+------+-----+----+------+-----+---------+------------------------------------------+ -| g0 | n/a | BUFG/O | None | 273 | 0 | 273 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1 | -| g1 | n/a | BUFG/O | None | 211 | 0 | 211 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | CLK_IBUF_BUFG | -+-----------+-------+-----------------+------------+-------------+-----------------+-----+--------+------+-----+----+------+-----+---------+------------------------------------------+ -* Clock Loads column represents the clock pin loads (pin count) -** Non-Clock Loads column represents the non-clock pin loads (pin count) -*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts - - -7. Cell Type Counts per Global Clock: Region X1Y0 -------------------------------------------------- - -+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+--------------------------------------------------------+ -| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | -+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+--------------------------------------------------------+ -| g1 | n/a | BUFG/O | None | 32 | 0 | 31 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | CLK_IBUF_BUFG | -| g2 | n/a | BUFG/O | None | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | Inst_vga_ctrl/clk_wiz_0_inst/U0/clkfbout_buf_clk_wiz_0 | -+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+--------------------------------------------------------+ -* Clock Loads column represents the clock pin loads (pin count) -** Non-Clock Loads column represents the non-clock pin loads (pin count) -*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts - - -8. Cell Type Counts per Global Clock: Region X0Y1 -------------------------------------------------- - -+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+------------------------------------------+ -| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | -+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+------------------------------------------+ -| g0 | n/a | BUFG/O | None | 63 | 0 | 63 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1 | -+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+------------------------------------------+ -* Clock Loads column represents the clock pin loads (pin count) -** Non-Clock Loads column represents the non-clock pin loads (pin count) -*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts - - -9. Load Cell Placement Summary for Global Clock g0 --------------------------------------------------- - -+-----------+-----------------+-------------------+--------------------+-------------+---------------+----------+-------------+----------+----------------+----------+------------------------------------------+ -| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Root (R) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | -+-----------+-----------------+-------------------+--------------------+-------------+---------------+----------+-------------+----------+----------------+----------+------------------------------------------+ -| g0 | BUFG/O | n/a | clk_out1_clk_wiz_0 | 9.259 | {0.000 4.630} | | 336 | 0 | 0 | 0 | Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1 | -+-----------+-----------------+-------------------+--------------------+-------------+---------------+----------+-------------+----------+----------------+----------+------------------------------------------+ -* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources -** IO Loads column represents load cell count of IO types -*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) -**** GT Loads column represents load cell count of GT types - - -+----+------+----+ -| | X0 | X1 | -+----+------+----+ -| Y2 | 0 | 0 | -| Y1 | 63 | 0 | -| Y0 | 273 | 0 | -+----+------+----+ - - -10. Load Cell Placement Summary for Global Clock g1 ---------------------------------------------------- - -+-----------+-----------------+-------------------+-------------+-------------+---------------+----------+-------------+----------+----------------+----------+---------------+ -| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Root (R) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | -+-----------+-----------------+-------------------+-------------+-------------+---------------+----------+-------------+----------+----------------+----------+---------------+ -| g1 | BUFG/O | n/a | sys_clk_pin | 10.000 | {0.000 5.000} | | 242 | 0 | 1 | 0 | CLK_IBUF_BUFG | -+-----------+-----------------+-------------------+-------------+-------------+---------------+----------+-------------+----------+----------------+----------+---------------+ -* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources -** IO Loads column represents load cell count of IO types -*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) -**** GT Loads column represents load cell count of GT types - - -+----+------+-----+ -| | X0 | X1 | -+----+------+-----+ -| Y2 | 0 | 0 | -| Y1 | 0 | 0 | -| Y0 | 211 | 32 | -+----+------+-----+ - - -11. Load Cell Placement Summary for Global Clock g2 ---------------------------------------------------- - -+-----------+-----------------+-------------------+--------------------+-------------+---------------+----------+-------------+----------+----------------+----------+--------------------------------------------------------+ -| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Root (R) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | -+-----------+-----------------+-------------------+--------------------+-------------+---------------+----------+-------------+----------+----------------+----------+--------------------------------------------------------+ -| g2 | BUFG/O | n/a | clkfbout_clk_wiz_0 | 10.000 | {0.000 5.000} | | 0 | 0 | 1 | 0 | Inst_vga_ctrl/clk_wiz_0_inst/U0/clkfbout_buf_clk_wiz_0 | -+-----------+-----------------+-------------------+--------------------+-------------+---------------+----------+-------------+----------+----------------+----------+--------------------------------------------------------+ -* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources -** IO Loads column represents load cell count of IO types -*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) -**** GT Loads column represents load cell count of GT types - - -+----+----+----+ -| | X0 | X1 | -+----+----+----+ -| Y2 | 0 | 0 | -| Y1 | 0 | 0 | -| Y0 | 0 | 1 | -+----+----+----+ - - - -# Location of BUFG Primitives -set_property LOC BUFGCTRL_X0Y2 [get_cells Inst_vga_ctrl/clk_wiz_0_inst/U0/clkf_buf] -set_property LOC BUFGCTRL_X0Y0 [get_cells Inst_vga_ctrl/clk_wiz_0_inst/U0/clkout1_buf] -set_property LOC BUFGCTRL_X0Y1 [get_cells CLK_IBUF_BUFG_inst] - -# Location of IO Primitives which is load of clock spine - -# Location of clock ports -set_property LOC IOB_X1Y26 [get_ports CLK] - -# Clock net "Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1" driven by instance "Inst_vga_ctrl/clk_wiz_0_inst/U0/clkout1_buf" located at site "BUFGCTRL_X0Y0" -#startgroup -create_pblock {CLKAG_Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1} -add_cells_to_pblock [get_pblocks {CLKAG_Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1"}]]] -resize_pblock [get_pblocks {CLKAG_Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1}] -add {CLOCKREGION_X0Y0:CLOCKREGION_X0Y0 CLOCKREGION_X0Y1:CLOCKREGION_X0Y1} -#endgroup - -# Clock net "CLK_IBUF_BUFG" driven by instance "CLK_IBUF_BUFG_inst" located at site "BUFGCTRL_X0Y1" -#startgroup -create_pblock {CLKAG_CLK_IBUF_BUFG} -add_cells_to_pblock [get_pblocks {CLKAG_CLK_IBUF_BUFG}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL && NAME!=Inst_vga_ctrl/clk_wiz_0_inst/U0/mmcm_adv_inst} -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="CLK_IBUF_BUFG"}]]] -resize_pblock [get_pblocks {CLKAG_CLK_IBUF_BUFG}] -add {CLOCKREGION_X0Y0:CLOCKREGION_X0Y0 CLOCKREGION_X1Y0:CLOCKREGION_X1Y0} -#endgroup diff --git a/proj/GPIO.runs/impl_1/GPIO_demo_control_sets_placed.rpt b/proj/GPIO.runs/impl_1/GPIO_demo_control_sets_placed.rpt deleted file mode 100644 index 03050d5..0000000 --- a/proj/GPIO.runs/impl_1/GPIO_demo_control_sets_placed.rpt +++ /dev/null @@ -1,104 +0,0 @@ -Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. --------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -| Date : Fri Apr 09 23:16:08 2021 -| Host : DESKTOP-GN6T5R2 running 64-bit major release (build 9200) -| Command : report_control_sets -verbose -file GPIO_demo_control_sets_placed.rpt -| Design : GPIO_demo -| Device : xc7a35t --------------------------------------------------------------------------------------- - -Control Set Information - -Table of Contents ------------------ -1. Summary -2. Flip-Flop Distribution -3. Detailed Control Set Information - -1. Summary ----------- - -+----------------------------------------------------------+-------+ -| Status | Count | -+----------------------------------------------------------+-------+ -| Number of unique control sets | 36 | -| Unused register locations in slices containing registers | 94 | -+----------------------------------------------------------+-------+ - - -2. Flip-Flop Distribution -------------------------- - -+--------------+-----------------------+------------------------+-----------------+--------------+ -| Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices | -+--------------+-----------------------+------------------------+-----------------+--------------+ -| No | No | No | 132 | 57 | -| No | No | Yes | 0 | 0 | -| No | Yes | No | 226 | 57 | -| Yes | No | No | 105 | 40 | -| Yes | No | Yes | 0 | 0 | -| Yes | Yes | No | 115 | 32 | -+--------------+-----------------------+------------------------+-----------------+--------------+ - - -3. Detailed Control Set Information ------------------------------------ - -+-------------------------------------------+----------------------------------------------------------------------------+----------------------------------------------------------------------------+------------------+----------------+ -| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | -+-------------------------------------------+----------------------------------------------------------------------------+----------------------------------------------------------------------------+------------------+----------------+ -| Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1 | | Inst_vga_ctrl/Inst_MouseCtl/Inst_Ps2Interface/clk_inter0 | 1 | 4 | -| Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1 | | Inst_vga_ctrl/Inst_MouseCtl/Inst_Ps2Interface/data_inter0 | 1 | 4 | -| Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1 | Inst_vga_ctrl/Inst_MouseCtl/x_overflow_i_1_n_0 | | 2 | 4 | -| Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1 | Inst_vga_ctrl/Inst_MouseCtl/Inst_Ps2Interface/shift_frame | Inst_vga_ctrl/Inst_MouseCtl/Inst_Ps2Interface/reset_bit_count | 1 | 4 | -| CLK_IBUF_BUFG | eqOp2_in | tmrVal[3]_i_1_n_0 | 2 | 4 | -| CLK_IBUF_BUFG | | sendStr[3][0] | 1 | 5 | -| Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1 | Inst_vga_ctrl/Inst_MouseCtl/Inst_Ps2Interface/delay_63clk_count[6]_i_2_n_0 | Inst_vga_ctrl/Inst_MouseCtl/Inst_Ps2Interface/delay_63clk_count[6]_i_1_n_0 | 2 | 7 | -| Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1 | Inst_vga_ctrl/Inst_MouseCtl/g0_b0_n_0 | | 2 | 7 | -| CLK_IBUF_BUFG | uartSend | | 2 | 7 | -| CLK_IBUF_BUFG | uartData | | 6 | 7 | -| Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1 | Inst_vga_ctrl/Inst_MouseCtl/Inst_Ps2Interface/load_rx_data_reg_n_0 | | 2 | 8 | -| Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1 | Inst_vga_ctrl/Inst_MouseCtl/y_inc[7]_i_1_n_0 | | 3 | 8 | -| Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1 | Inst_vga_ctrl/Inst_MouseCtl/x_inc[7]_i_1_n_0 | | 4 | 8 | -| Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1 | Inst_vga_ctrl/Inst_MouseCtl/Inst_Ps2Interface/frame[9]_i_1_n_0 | | 2 | 10 | -| Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1 | Inst_vga_ctrl/Inst_MouseCtl/y_new_reg_n_0 | | 3 | 11 | -| Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1 | Inst_vga_ctrl/Inst_MouseCtl/Inst_Ps2Interface/delay_20us_count[10]_i_2_n_0 | Inst_vga_ctrl/Inst_MouseCtl/Inst_Ps2Interface/delay_20us_count[10]_i_1_n_0 | 3 | 11 | -| Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1 | Inst_vga_ctrl/eqOp4_in | Inst_vga_ctrl/v_cntr_reg0 | 3 | 12 | -| Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1 | | Inst_vga_ctrl/vga_red_reg[3]_i_1_n_0 | 2 | 12 | -| Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1 | | Inst_vga_ctrl/eqOp4_in | 3 | 12 | -| Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1 | Inst_vga_ctrl/Inst_MouseCtl/x_new_reg_n_0 | | 4 | 12 | -| Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1 | Inst_vga_ctrl/Inst_MouseCtl/Inst_Ps2Interface/delay_100us_count[0]_i_2_n_0 | Inst_vga_ctrl/Inst_MouseCtl/Inst_Ps2Interface/delay_100us_count[0]_i_1_n_0 | 4 | 14 | -| CLK_IBUF_BUFG | | Inst_UART_TX_CTRL/bitTmr[0]_i_1_n_0 | 4 | 14 | -| CLK_IBUF_BUFG | | Inst_btn_debounce/sig_cntrs_ary[4][0]_i_1_n_0 | 4 | 16 | -| CLK_IBUF_BUFG | | Inst_btn_debounce/sig_cntrs_ary[1][0]_i_1_n_0 | 4 | 16 | -| CLK_IBUF_BUFG | | Inst_btn_debounce/sig_cntrs_ary[2][0]_i_1_n_0 | 4 | 16 | -| CLK_IBUF_BUFG | | Inst_btn_debounce/sig_cntrs_ary[3][0]_i_1_n_0 | 4 | 16 | -| CLK_IBUF_BUFG | | Inst_btn_debounce/sig_cntrs_ary[0][0]_i_1_n_0 | 4 | 16 | -| CLK_IBUF_BUFG | | | 10 | 17 | -| CLK_IBUF_BUFG | | reset_cntr0 | 5 | 18 | -| Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1 | Inst_vga_ctrl/v_sync_reg | | 10 | 23 | -| Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1 | | Inst_vga_ctrl/Inst_MouseCtl/reset_timeout_cnt_reg_n_0 | 7 | 24 | -| Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1 | | Inst_vga_ctrl/Inst_MouseCtl/reset_periodic_check_cnt | 6 | 26 | -| CLK_IBUF_BUFG | | tmrCntr0 | 7 | 27 | -| CLK_IBUF_BUFG | uartData | strIndex0 | 8 | 31 | -| CLK_IBUF_BUFG | Inst_UART_TX_CTRL/txBit_i_1_n_0 | Inst_UART_TX_CTRL/READY | 9 | 32 | -| Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1 | | | 47 | 115 | -+-------------------------------------------+----------------------------------------------------------------------------+----------------------------------------------------------------------------+------------------+----------------+ - - -+--------+-----------------------+ -| Fanout | Number of ControlSets | -+--------+-----------------------+ -| 4 | 5 | -| 5 | 1 | -| 7 | 4 | -| 8 | 3 | -| 10 | 1 | -| 11 | 2 | -| 12 | 4 | -| 14 | 2 | -| 16+ | 14 | -+--------+-----------------------+ - - diff --git a/proj/GPIO.runs/impl_1/GPIO_demo_drc_opted.rpt b/proj/GPIO.runs/impl_1/GPIO_demo_drc_opted.rpt deleted file mode 100644 index 3fb7206..0000000 --- a/proj/GPIO.runs/impl_1/GPIO_demo_drc_opted.rpt +++ /dev/null @@ -1,35 +0,0 @@ -Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -| Date : Fri Apr 09 23:16:01 2021 -| Host : DESKTOP-GN6T5R2 running 64-bit major release (build 9200) -| Command : report_drc -file GPIO_demo_drc_opted.rpt -| Design : GPIO_demo -| Device : xc7a35tcpg236-1 -| Speed File : -1 -| Design State : Synthesized ------------------------------------------------------------------------------------- - -Report DRC - -Table of Contents ------------------ -1. REPORT SUMMARY -2. REPORT DETAILS - -1. REPORT SUMMARY ------------------ - Netlist: netlist - Floorplan: design_1 - Design limits: - Ruledeck: default - Max violations: - Violations found: 0 -+------+----------+-------------+------------+ -| Rule | Severity | Description | Violations | -+------+----------+-------------+------------+ -+------+----------+-------------+------------+ - -2. REPORT DETAILS ------------------ - diff --git a/proj/GPIO.runs/impl_1/GPIO_demo_drc_routed.pb b/proj/GPIO.runs/impl_1/GPIO_demo_drc_routed.pb deleted file mode 100644 index 8ebaa788697aa1bbb8ab2b30bd329d93fcb4a328..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 37 scmd;LGcqtV(KDRH% - Ruledeck: default - Max violations: - Violations found: 0 -+------+----------+-------------+------------+ -| Rule | Severity | Description | Violations | -+------+----------+-------------+------------+ -+------+----------+-------------+------------+ - -2. REPORT DETAILS ------------------ - diff --git a/proj/GPIO.runs/impl_1/GPIO_demo_drc_routed.rpx b/proj/GPIO.runs/impl_1/GPIO_demo_drc_routed.rpx deleted file mode 100644 index 0558da26fcc37ff18f582c8c7bd18761dd15af46..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 101 zcmb1UU|`@76%dtTGcqtV(=%4$4RDGN%ghf-EiTO|DRu%%b1@t083{?a2YC9&r=;fQ x$EOq}#~0<7mZYZW6%|xS{09R542A-R3Wf$oh7LxC35*Prn7LhooE4y^000M!9MAv& diff --git a/proj/GPIO.runs/impl_1/GPIO_demo_io_placed.rpt b/proj/GPIO.runs/impl_1/GPIO_demo_io_placed.rpt deleted file mode 100644 index 0eebccc..0000000 --- a/proj/GPIO.runs/impl_1/GPIO_demo_io_placed.rpt +++ /dev/null @@ -1,277 +0,0 @@ -Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -| Date : Fri Apr 09 23:16:08 2021 -| Host : DESKTOP-GN6T5R2 running 64-bit major release (build 9200) -| Command : report_io -file GPIO_demo_io_placed.rpt -| Design : GPIO_demo -| Device : xc7a35t -| Speed File : -1 -| Package : cpg236 ------------------------------------------------------------------------------------- - -IO Information - -Table of Contents ------------------ -1. Summary -2. IO Assignments by Package Pin - -1. Summary ----------- - -+---------------+ -| Total User IO | -+---------------+ -| 67 | -+---------------+ - - -2. IO Assignments by Package Pin --------------------------------- - -+------------+--------------+------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+ -| Pin Number | Signal Name | Bank Type | Pin Name | Use | IO Standard | IO Bank | Drive (mA) | Slew | On-Chip Termination | Off-Chip Termination | Voltage | Constraint | Pull Type | DQS Bias | Vref | Signal Integrity | -+------------+--------------+------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+ -| A1 | | | GND | GND | | | | | | | 0.0 | | | | | | -| A2 | | | MGTPTXN1_216 | Gigabit | | | | | | | | | | | | | -| A3 | | | GND | GND | | | | | | | 0.0 | | | | | | -| A4 | | | MGTPRXN0_216 | Gigabit | | | | | | | | | | | | | -| A5 | | | GND | GND | | | | | | | 0.0 | | | | | | -| A6 | | | MGTPRXN1_216 | Gigabit | | | | | | | | | | | | | -| A7 | | | GND | GND | | | | | | | 0.0 | | | | | | -| A8 | | | MGTREFCLK0N_216 | Gigabit | | | | | | | | | | | | | -| A9 | | | GND | GND | | | | | | | 0.0 | | | | | | -| A10 | | | MGTREFCLK1N_216 | Gigabit | | | | | | | | | | | | | -| A11 | | Dedicated | DXP_0 | Temp Sensor | | 0 | | | | | | | | | | | -| A12 | | Dedicated | VP_0 | XADC | | 0 | | | | | | | | | | | -| A13 | | Dedicated | VREFN_0 | XADC | | 0 | | | | | | | | | | | -| A14 | | High Range | IO_L6P_T0_16 | User IO | | 16 | | | | | | | | | | | -| A15 | | High Range | IO_L6N_T0_VREF_16 | User IO | | 16 | | | | | | | | | | | -| A16 | | High Range | IO_L12P_T1_MRCC_16 | User IO | | 16 | | | | | | | | | | | -| A17 | | High Range | IO_L12N_T1_MRCC_16 | User IO | | 16 | | | | | | | | | | | -| A18 | UART_TXD | High Range | IO_L19N_T3_VREF_16 | OUTPUT | LVCMOS33 | 16 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| A19 | | | GND | GND | | | | | | | 0.0 | | | | | | -| B1 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | -| B2 | | | MGTPTXP1_216 | Gigabit | | | | | | | | | | | | | -| B3 | | | GND | GND | | | | | | | 0.0 | | | | | | -| B4 | | | MGTPRXP0_216 | Gigabit | | | | | | | | | | | | | -| B5 | | | GND | GND | | | | | | | 0.0 | | | | | | -| B6 | | | MGTPRXP1_216 | Gigabit | | | | | | | | | | | | | -| B7 | | | GND | GND | | | | | | | 0.0 | | | | | | -| B8 | | | MGTREFCLK0P_216 | Gigabit | | | | | | | | | | | | | -| B9 | | | GND | GND | | | | | | | 0.0 | | | | | | -| B10 | | | MGTREFCLK1P_216 | Gigabit | | | | | | | | | | | | | -| B11 | | Dedicated | DXN_0 | Temp Sensor | | 0 | | | | | | | | | | | -| B12 | | Dedicated | VREFP_0 | XADC | | 0 | | | | | | | | | | | -| B13 | | Dedicated | VN_0 | XADC | | 0 | | | | | | | | | | | -| B14 | | | GND | GND | | | | | | | 0.0 | | | | | | -| B15 | | High Range | IO_L11N_T1_SRCC_16 | User IO | | 16 | | | | | | | | | | | -| B16 | | High Range | IO_L13N_T2_MRCC_16 | User IO | | 16 | | | | | | | | | | | -| B17 | PS2_DATA | High Range | IO_L14N_T2_SRCC_16 | BIDIR | LVCMOS33 | 16 | 12 | SLOW | | FP_VTT_50 | | FIXED | PULLUP | | | NONE | -| B18 | | High Range | IO_L19P_T3_16 | User IO | | 16 | | | | | | | | | | | -| B19 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | -| C1 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | -| C2 | | | GND | GND | | | | | | | 0.0 | | | | | | -| C3 | | | GND | GND | | | | | | | 0.0 | | | | | | -| C4 | | | GND | GND | | | | | | | 0.0 | | | | | | -| C5 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | -| C6 | | | GND | GND | | | | | | | 0.0 | | | | | | -| C7 | | | MGTRREF_216 | Gigabit | | | | | | | | | | | | | -| C8 | | Dedicated | TCK_0 | Config | | 0 | | | | | | | | | | | -| C9 | | Dedicated | VCCBATT_0 | Config | | 0 | | | | | | | | | | | -| C10 | | | GND | GND | | | | | | | 0.0 | | | | | | -| C11 | | Dedicated | CCLK_0 | Config | | 0 | | | | | | | | | | | -| C12 | | Dedicated | GNDADC_0 | XADC | | 0 | | | | | | | | | | | -| C13 | | Dedicated | VCCADC_0 | XADC | | 0 | | | | | | | | | | | -| C14 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | -| C15 | | High Range | IO_L11P_T1_SRCC_16 | User IO | | 16 | | | | | | | | | | | -| C16 | | High Range | IO_L13P_T2_MRCC_16 | User IO | | 16 | | | | | | | | | | | -| C17 | PS2_CLK | High Range | IO_L14P_T2_SRCC_16 | BIDIR | LVCMOS33 | 16 | 12 | SLOW | | FP_VTT_50 | | FIXED | PULLUP | | | NONE | -| C18 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | -| C19 | | | GND | GND | | | | | | | 0.0 | | | | | | -| D1 | | | MGTPTXN0_216 | Gigabit | | | | | | | | | | | | | -| D2 | | | MGTPTXP0_216 | Gigabit | | | | | | | | | | | | | -| D3 | | | GND | GND | | | | | | | 0.0 | | | | | | -| D17 | VGA_GREEN[3] | High Range | IO_0_14 | OUTPUT | LVCMOS33 | 14 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| D18 | | High Range | IO_L1P_T0_D00_MOSI_14 | User IO | | 14 | | | | | | | | | | | -| D19 | | High Range | IO_L1N_T0_D01_DIN_14 | User IO | | 14 | | | | | | | | | | | -| E1 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | -| E2 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | -| E3 | | | GND | GND | | | | | | | 0.0 | | | | | | -| E17 | | | GND | GND | | | | | | | 0.0 | | | | | | -| E18 | | High Range | IO_L3P_T0_DQS_PUDC_B_14 | User IO | | 14 | | | | | | | | | | | -| E19 | LED[1] | High Range | IO_L3N_T0_DQS_EMCCLK_14 | OUTPUT | LVCMOS33 | 14 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| F1 | | | GND | GND | | | | | | | 0.0 | | | | | | -| F2 | | | GND | GND | | | | | | | 0.0 | | | | | | -| F3 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | -| F17 | | High Range | VCCO_14 | VCCO | | 14 | | | | | 3.30 | | | | | | -| F18 | | High Range | IO_L2N_T0_D03_14 | User IO | | 14 | | | | | | | | | | | -| F19 | | | GND | GND | | | | | | | 0.0 | | | | | | -| G1 | | | GND | GND | | | | | | | 0.0 | | | | | | -| G2 | | High Range | IO_L1N_T0_AD4N_35 | User IO | | 35 | | | | | | | | | | | -| G3 | | High Range | IO_L1P_T0_AD4P_35 | User IO | | 35 | | | | | | | | | | | -| G7 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | -| G8 | | | GND | GND | | | | | | | 0.0 | | | | | | -| G9 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | -| G10 | | | VCCINT | VCCINT | | | | | | | | | | | | | -| G11 | | | GND | GND | | | | | | | 0.0 | | | | | | -| G12 | | Dedicated | VCCO_0 | VCCO | | 0 | | | | | 3.30 | | | | | | -| G13 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | -| G17 | VGA_GREEN[2] | High Range | IO_L5N_T0_D07_14 | OUTPUT | LVCMOS33 | 14 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| G18 | | High Range | IO_L2P_T0_D02_14 | User IO | | 14 | | | | | | | | | | | -| G19 | VGA_RED[0] | High Range | IO_L4N_T0_D05_14 | OUTPUT | LVCMOS33 | 14 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| H1 | | High Range | IO_L3P_T0_DQS_AD5P_35 | User IO | | 35 | | | | | | | | | | | -| H2 | | High Range | IO_L2P_T0_AD12P_35 | User IO | | 35 | | | | | | | | | | | -| H3 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 3.30 | | | | | | -| H7 | | | GND | GND | | | | | | | 0.0 | | | | | | -| H8 | | | GND | GND | | | | | | | 0.0 | | | | | | -| H9 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | -| H10 | | | VCCINT | VCCINT | | | | | | | | | | | | | -| H11 | | | GND | GND | | | | | | | 0.0 | | | | | | -| H12 | | | GND | GND | | | | | | | 0.0 | | | | | | -| H13 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | -| H17 | VGA_GREEN[1] | High Range | IO_L5P_T0_D06_14 | OUTPUT | LVCMOS33 | 14 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| H18 | | | GND | GND | | | | | | | 0.0 | | | | | | -| H19 | VGA_RED[1] | High Range | IO_L4P_T0_D04_14 | OUTPUT | LVCMOS33 | 14 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| J1 | | High Range | IO_L3N_T0_DQS_AD5N_35 | User IO | | 35 | | | | | | | | | | | -| J2 | | High Range | IO_L2N_T0_AD12N_35 | User IO | | 35 | | | | | | | | | | | -| J3 | | High Range | IO_L7P_T1_AD6P_35 | User IO | | 35 | | | | | | | | | | | -| J7 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 3.30 | | | | | | -| J8 | | | GND | GND | | | | | | | 0.0 | | | | | | -| J9 | | | GND | GND | | | | | | | 0.0 | | | | | | -| J10 | | | VCCINT | VCCINT | | | | | | | | | | | | | -| J11 | | | GND | GND | | | | | | | 0.0 | | | | | | -| J12 | | | GND | GND | | | | | | | 0.0 | | | | | | -| J13 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | -| J17 | VGA_GREEN[0] | High Range | IO_L7P_T1_D09_14 | OUTPUT | LVCMOS33 | 14 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| J18 | VGA_BLUE[3] | High Range | IO_L7N_T1_D10_14 | OUTPUT | LVCMOS33 | 14 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| J19 | VGA_RED[2] | High Range | IO_L6N_T0_D08_VREF_14 | OUTPUT | LVCMOS33 | 14 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| K1 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 3.30 | | | | | | -| K2 | | High Range | IO_L5P_T0_AD13P_35 | User IO | | 35 | | | | | | | | | | | -| K3 | | High Range | IO_L7N_T1_AD6N_35 | User IO | | 35 | | | | | | | | | | | -| K7 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 3.30 | | | | | | -| K8 | | | GND | GND | | | | | | | 0.0 | | | | | | -| K12 | | High Range | VCCO_14 | VCCO | | 14 | | | | | 3.30 | | | | | | -| K13 | | High Range | VCCO_14 | VCCO | | 14 | | | | | 3.30 | | | | | | -| K17 | | High Range | IO_L12N_T1_MRCC_14 | User IO | | 14 | | | | | | | | | | | -| K18 | VGA_BLUE[2] | High Range | IO_L8N_T1_D12_14 | OUTPUT | LVCMOS33 | 14 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| K19 | | High Range | IO_L6P_T0_FCS_B_14 | User IO | | 14 | | | | | | | | | | | -| L1 | LED[15] | High Range | IO_L6N_T0_VREF_35 | OUTPUT | LVCMOS33 | 35 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| L2 | | High Range | IO_L5N_T0_AD13N_35 | User IO | | 35 | | | | | | | | | | | -| L3 | | High Range | IO_L8P_T1_AD14P_35 | User IO | | 35 | | | | | | | | | | | -| L7 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 3.30 | | | | | | -| L8 | | | GND | GND | | | | | | | 0.0 | | | | | | -| L9 | | | GND | GND | | | | | | | 0.0 | | | | | | -| L10 | | | VCCINT | VCCINT | | | | | | | | | | | | | -| L11 | | | GND | GND | | | | | | | 0.0 | | | | | | -| L12 | | High Range | VCCO_14 | VCCO | | 14 | | | | | 3.30 | | | | | | -| L13 | | High Range | VCCO_14 | VCCO | | 14 | | | | | 3.30 | | | | | | -| L17 | | High Range | IO_L12P_T1_MRCC_14 | User IO | | 14 | | | | | | | | | | | -| L18 | VGA_BLUE[1] | High Range | IO_L8P_T1_D11_14 | OUTPUT | LVCMOS33 | 14 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| L19 | | | GND | GND | | | | | | | 0.0 | | | | | | -| M1 | | High Range | IO_L9N_T1_DQS_AD7N_35 | User IO | | 35 | | | | | | | | | | | -| M2 | | High Range | IO_L9P_T1_DQS_AD7P_35 | User IO | | 35 | | | | | | | | | | | -| M3 | | High Range | IO_L8N_T1_AD14N_35 | User IO | | 35 | | | | | | | | | | | -| M7 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 3.30 | | | | | | -| M8 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | -| M9 | | | GND | GND | | | | | | | 0.0 | | | | | | -| M10 | | | VCCINT | VCCINT | | | | | | | | | | | | | -| M11 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | -| M12 | | High Range | VCCO_14 | VCCO | | 14 | | | | | 3.30 | | | | | | -| M13 | | | GND | GND | | | | | | | 0.0 | | | | | | -| M17 | | High Range | VCCO_14 | VCCO | | 14 | | | | | 3.30 | | | | | | -| M18 | | High Range | IO_L11P_T1_SRCC_14 | User IO | | 14 | | | | | | | | | | | -| M19 | | High Range | IO_L11N_T1_SRCC_14 | User IO | | 14 | | | | | | | | | | | -| N1 | | High Range | IO_L10N_T1_AD15N_35 | User IO | | 35 | | | | | | | | | | | -| N2 | | High Range | IO_L10P_T1_AD15P_35 | User IO | | 35 | | | | | | | | | | | -| N3 | LED[13] | High Range | IO_L12P_T1_MRCC_35 | OUTPUT | LVCMOS33 | 35 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| N7 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | -| N8 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | -| N9 | | | GND | GND | | | | | | | 0.0 | | | | | | -| N10 | | | VCCINT | VCCINT | | | | | | | | | | | | | -| N11 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | -| N12 | | | GND | GND | | | | | | | 0.0 | | | | | | -| N13 | | | GND | GND | | | | | | | 0.0 | | | | | | -| N17 | | High Range | IO_L13P_T2_MRCC_14 | User IO | | 14 | | | | | | | | | | | -| N18 | VGA_BLUE[0] | High Range | IO_L9P_T1_DQS_14 | OUTPUT | LVCMOS33 | 14 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| N19 | VGA_RED[3] | High Range | IO_L9N_T1_DQS_D13_14 | OUTPUT | LVCMOS33 | 14 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| P1 | LED[14] | High Range | IO_L19N_T3_VREF_35 | OUTPUT | LVCMOS33 | 35 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| P2 | | | GND | GND | | | | | | | 0.0 | | | | | | -| P3 | LED[12] | High Range | IO_L12N_T1_MRCC_35 | OUTPUT | LVCMOS33 | 35 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| P17 | | High Range | IO_L13N_T2_MRCC_14 | User IO | | 14 | | | | | | | | | | | -| P18 | | High Range | IO_L14P_T2_SRCC_14 | User IO | | 14 | | | | | | | | | | | -| P19 | VGA_HS | High Range | IO_L10P_T1_D14_14 | OUTPUT | LVCMOS33 | 14 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| R1 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | -| R2 | SW[15] | High Range | IO_L1P_T0_34 | INPUT | LVCMOS33 | 34 | | | | NONE | | FIXED | | | | NONE | -| R3 | SW[11] | High Range | IO_L2P_T0_34 | INPUT | LVCMOS33 | 34 | | | | NONE | | FIXED | | | | NONE | -| R17 | | High Range | VCCO_14 | VCCO | | 14 | | | | | 3.30 | | | | | | -| R18 | | High Range | IO_L14N_T2_SRCC_14 | User IO | | 14 | | | | | | | | | | | -| R19 | VGA_VS | High Range | IO_L10N_T1_D15_14 | OUTPUT | LVCMOS33 | 14 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| T1 | SW[14] | High Range | IO_L3P_T0_DQS_34 | INPUT | LVCMOS33 | 34 | | | | NONE | | FIXED | | | | NONE | -| T2 | SW[10] | High Range | IO_L1N_T0_34 | INPUT | LVCMOS33 | 34 | | | | NONE | | FIXED | | | | NONE | -| T3 | SW[9] | High Range | IO_L2N_T0_34 | INPUT | LVCMOS33 | 34 | | | | NONE | | FIXED | | | | NONE | -| T17 | BTN[2] | High Range | IO_L17P_T2_A14_D30_14 | INPUT | LVCMOS33 | 14 | | | | NONE | | FIXED | | | | NONE | -| T18 | BTN[0] | High Range | IO_L17N_T2_A13_D29_14 | INPUT | LVCMOS33 | 14 | | | | NONE | | FIXED | | | | NONE | -| T19 | | | GND | GND | | | | | | | 0.0 | | | | | | -| U1 | SW[13] | High Range | IO_L3N_T0_DQS_34 | INPUT | LVCMOS33 | 34 | | | | NONE | | FIXED | | | | NONE | -| U2 | SSEG_AN[0] | High Range | IO_L9N_T1_DQS_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| U3 | LED[11] | High Range | IO_L9P_T1_DQS_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| U4 | SSEG_AN[1] | High Range | IO_L11P_T1_SRCC_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| U5 | SSEG_CA[4] | High Range | IO_L16P_T2_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| U6 | | | GND | GND | | | | | | | 0.0 | | | | | | -| U7 | SSEG_CA[6] | High Range | IO_L19P_T3_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| U8 | SSEG_CA[2] | High Range | IO_L14P_T2_SRCC_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| U9 | | | GND | GND | | | | | | | 0.0 | | | | | | -| U10 | | Dedicated | M2_0 | Config | | 0 | | | | | | | | | | | -| U11 | | Dedicated | INIT_B_0 | Config | | 0 | | | | | | | | | | | -| U12 | | Dedicated | DONE_0 | Config | | 0 | | | | | | | | | | | -| U13 | | High Range | VCCO_14 | VCCO | | 14 | | | | | 3.30 | | | | | | -| U14 | LED[6] | High Range | IO_25_14 | OUTPUT | LVCMOS33 | 14 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| U15 | LED[5] | High Range | IO_L23P_T3_A03_D19_14 | OUTPUT | LVCMOS33 | 14 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| U16 | LED[0] | High Range | IO_L23N_T3_A02_D18_14 | OUTPUT | LVCMOS33 | 14 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| U17 | BTN[3] | High Range | IO_L18P_T2_A12_D28_14 | INPUT | LVCMOS33 | 14 | | | | NONE | | FIXED | | | | NONE | -| U18 | BTN[4] | High Range | IO_L18N_T2_A11_D27_14 | INPUT | LVCMOS33 | 14 | | | | NONE | | FIXED | | | | NONE | -| U19 | LED[2] | High Range | IO_L15P_T2_DQS_RDWR_B_14 | OUTPUT | LVCMOS33 | 14 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| V1 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | -| V2 | SW[8] | High Range | IO_L5P_T0_34 | INPUT | LVCMOS33 | 34 | | | | NONE | | FIXED | | | | NONE | -| V3 | LED[9] | High Range | IO_L6P_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| V4 | SSEG_AN[2] | High Range | IO_L11N_T1_SRCC_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| V5 | SSEG_CA[5] | High Range | IO_L16N_T2_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| V6 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | -| V7 | SSEG_CA[7] | High Range | IO_L19N_T3_VREF_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| V8 | SSEG_CA[3] | High Range | IO_L14N_T2_SRCC_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| V9 | | Dedicated | VCCO_0 | VCCO | | 0 | | | | | 3.30 | | | | | | -| V10 | | Dedicated | PROGRAM_B_0 | Config | | 0 | | | | | | | | | | | -| V11 | | Dedicated | CFGBVS_0 | Config | | 0 | | | | | 3.30 | | | | | | -| V12 | | Dedicated | M0_0 | Config | | 0 | | | | | | | | | | | -| V13 | LED[8] | High Range | IO_L24P_T3_A01_D17_14 | OUTPUT | LVCMOS33 | 14 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| V14 | LED[7] | High Range | IO_L24N_T3_A00_D16_14 | OUTPUT | LVCMOS33 | 14 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| V15 | SW[5] | High Range | IO_L21P_T3_DQS_14 | INPUT | LVCMOS33 | 14 | | | | NONE | | FIXED | | | | NONE | -| V16 | SW[1] | High Range | IO_L19P_T3_A10_D26_14 | INPUT | LVCMOS33 | 14 | | | | NONE | | FIXED | | | | NONE | -| V17 | SW[0] | High Range | IO_L19N_T3_A09_D25_VREF_14 | INPUT | LVCMOS33 | 14 | | | | NONE | | FIXED | | | | NONE | -| V18 | | | GND | GND | | | | | | | 0.0 | | | | | | -| V19 | LED[3] | High Range | IO_L15N_T2_DQS_DOUT_CSO_B_14 | OUTPUT | LVCMOS33 | 14 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| W1 | | | GND | GND | | | | | | | 0.0 | | | | | | -| W2 | SW[12] | High Range | IO_L5N_T0_34 | INPUT | LVCMOS33 | 34 | | | | NONE | | FIXED | | | | NONE | -| W3 | LED[10] | High Range | IO_L6N_T0_VREF_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| W4 | SSEG_AN[3] | High Range | IO_L12N_T1_MRCC_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| W5 | CLK | High Range | IO_L12P_T1_MRCC_34 | INPUT | LVCMOS33 | 34 | | | | NONE | | FIXED | | | | NONE | -| W6 | SSEG_CA[1] | High Range | IO_L13N_T2_MRCC_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| W7 | SSEG_CA[0] | High Range | IO_L13P_T2_MRCC_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| W8 | | Dedicated | TDO_0 | Config | | 0 | | | | | | | | | | | -| W9 | | Dedicated | TMS_0 | Config | | 0 | | | | | | | | | | | -| W10 | | Dedicated | TDI_0 | Config | | 0 | | | | | | | | | | | -| W11 | | Dedicated | M1_0 | Config | | 0 | | | | | | | | | | | -| W12 | | | GND | GND | | | | | | | 0.0 | | | | | | -| W13 | SW[7] | High Range | IO_L22P_T3_A05_D21_14 | INPUT | LVCMOS33 | 14 | | | | NONE | | FIXED | | | | NONE | -| W14 | SW[6] | High Range | IO_L22N_T3_A04_D20_14 | INPUT | LVCMOS33 | 14 | | | | NONE | | FIXED | | | | NONE | -| W15 | SW[4] | High Range | IO_L21N_T3_DQS_A06_D22_14 | INPUT | LVCMOS33 | 14 | | | | NONE | | FIXED | | | | NONE | -| W16 | SW[2] | High Range | IO_L20P_T3_A08_D24_14 | INPUT | LVCMOS33 | 14 | | | | NONE | | FIXED | | | | NONE | -| W17 | SW[3] | High Range | IO_L20N_T3_A07_D23_14 | INPUT | LVCMOS33 | 14 | | | | NONE | | FIXED | | | | NONE | -| W18 | LED[4] | High Range | IO_L16P_T2_CSI_B_14 | OUTPUT | LVCMOS33 | 14 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | -| W19 | BTN[1] | High Range | IO_L16N_T2_A15_D31_14 | INPUT | LVCMOS33 | 14 | | | | NONE | | FIXED | | | | NONE | -+------------+--------------+------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+ -* Default value - - diff --git a/proj/GPIO.runs/impl_1/GPIO_demo_methodology_drc_routed.rpt b/proj/GPIO.runs/impl_1/GPIO_demo_methodology_drc_routed.rpt deleted file mode 100644 index e54e19f..0000000 --- a/proj/GPIO.runs/impl_1/GPIO_demo_methodology_drc_routed.rpt +++ /dev/null @@ -1,205 +0,0 @@ -Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -| Date : Fri Apr 09 23:16:38 2021 -| Host : DESKTOP-GN6T5R2 running 64-bit major release (build 9200) -| Command : report_methodology -file GPIO_demo_methodology_drc_routed.rpt -rpx GPIO_demo_methodology_drc_routed.rpx -| Design : GPIO_demo -| Device : xc7a35tcpg236-1 -| Speed File : -1 -| Design State : Routed -------------------------------------------------------------------------------------------------------------------------- - -Report Methodology - -Table of Contents ------------------ -1. REPORT SUMMARY -2. REPORT DETAILS - -1. REPORT SUMMARY ------------------ - Netlist: netlist - Floorplan: design_1 - Design limits: - Max violations: - Violations found: 34 -+-----------+----------+-------------------------------+------------+ -| Rule | Severity | Description | Violations | -+-----------+----------+-------------------------------+------------+ -| TIMING-18 | Warning | Missing input or output delay | 34 | -+-----------+----------+-------------------------------+------------+ - -2. REPORT DETAILS ------------------ -TIMING-18#1 Warning -Missing input or output delay -An input delay is missing on BTN[0] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#2 Warning -Missing input or output delay -An input delay is missing on BTN[1] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#3 Warning -Missing input or output delay -An input delay is missing on BTN[2] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#4 Warning -Missing input or output delay -An input delay is missing on BTN[3] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#5 Warning -Missing input or output delay -An input delay is missing on BTN[4] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#6 Warning -Missing input or output delay -An input delay is missing on PS2_CLK relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0 -Related violations: - -TIMING-18#7 Warning -Missing input or output delay -An input delay is missing on PS2_DATA relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0 -Related violations: - -TIMING-18#8 Warning -Missing input or output delay -An output delay is missing on SSEG_AN[0] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#9 Warning -Missing input or output delay -An output delay is missing on SSEG_AN[1] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#10 Warning -Missing input or output delay -An output delay is missing on SSEG_AN[2] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#11 Warning -Missing input or output delay -An output delay is missing on SSEG_AN[3] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#12 Warning -Missing input or output delay -An output delay is missing on SSEG_CA[0] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#13 Warning -Missing input or output delay -An output delay is missing on SSEG_CA[1] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#14 Warning -Missing input or output delay -An output delay is missing on SSEG_CA[2] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#15 Warning -Missing input or output delay -An output delay is missing on SSEG_CA[3] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#16 Warning -Missing input or output delay -An output delay is missing on SSEG_CA[4] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#17 Warning -Missing input or output delay -An output delay is missing on SSEG_CA[5] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#18 Warning -Missing input or output delay -An output delay is missing on SSEG_CA[6] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#19 Warning -Missing input or output delay -An output delay is missing on SSEG_CA[7] relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#20 Warning -Missing input or output delay -An output delay is missing on UART_TXD relative to clock(s) sys_clk_pin -Related violations: - -TIMING-18#21 Warning -Missing input or output delay -An output delay is missing on VGA_BLUE[0] relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0 -Related violations: - -TIMING-18#22 Warning -Missing input or output delay -An output delay is missing on VGA_BLUE[1] relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0 -Related violations: - -TIMING-18#23 Warning -Missing input or output delay -An output delay is missing on VGA_BLUE[2] relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0 -Related violations: - -TIMING-18#24 Warning -Missing input or output delay -An output delay is missing on VGA_BLUE[3] relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0 -Related violations: - -TIMING-18#25 Warning -Missing input or output delay -An output delay is missing on VGA_GREEN[0] relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0 -Related violations: - -TIMING-18#26 Warning -Missing input or output delay -An output delay is missing on VGA_GREEN[1] relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0 -Related violations: - -TIMING-18#27 Warning -Missing input or output delay -An output delay is missing on VGA_GREEN[2] relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0 -Related violations: - -TIMING-18#28 Warning -Missing input or output delay -An output delay is missing on VGA_GREEN[3] relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0 -Related violations: - -TIMING-18#29 Warning -Missing input or output delay -An output delay is missing on VGA_HS relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0 -Related violations: - -TIMING-18#30 Warning -Missing input or output delay -An output delay is missing on VGA_RED[0] relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0 -Related violations: - -TIMING-18#31 Warning -Missing input or output delay -An output delay is missing on VGA_RED[1] relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0 -Related violations: - -TIMING-18#32 Warning -Missing input or output delay -An output delay is missing on VGA_RED[2] relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0 -Related violations: - -TIMING-18#33 Warning -Missing input or output delay -An output delay is missing on VGA_RED[3] relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0 -Related violations: - -TIMING-18#34 Warning -Missing input or output delay -An output delay is missing on VGA_VS relative to clock(s) VIRTUAL_clk_out1_clk_wiz_0 -Related violations: - - diff --git a/proj/GPIO.runs/impl_1/GPIO_demo_methodology_drc_routed.rpx b/proj/GPIO.runs/impl_1/GPIO_demo_methodology_drc_routed.rpx deleted file mode 100644 index 3f7d9b9d59e5dd65bebadea31ca4e8e0ba9abd39..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10167 zcmcK9YfsZq7zgl9wAO;kMJ|GuI(?xg5>^oLjY)xJGC|20^Fl~twnY}kO4gy`D_@#; zjUNH;@fI)f60e`hFQDf+T}O-T@U*Ap)xx%adw!JXc~09S2!hnn+|ezneX+sb{^<6} zVLekYQh9T!STSeG+j2v!S8Y9%m>fH&=kg1NzL2lX89Ae9Tv^t0<*Z&dmMZyNZ+Y>0 z*I)9pb1u3lTo-Oegj1hVHHBFPBS&(v|48 z^w`AM*~GEf(9yjU1=A$IQwpWUrHW#d6>=namCF||FRP8UPacd73Bz%%RQ(`*TPc{z zLiPJbNf}O`o#~rZ%H*4s!qvP|F_di4$j%=&k0|D{sb`Dx`eLD^B!h`r5x3BjUJ;v| z>3_sFxkb9ahb&mEo{?}=pa`3|5mXdHEEoh#3=`pA4S_`22o2l_{U`)A7z9iV6X9+R zfkfE|o4FANPze3OAYfvc2zP1-B+5qE!i{hog)k5d0w#uuaJz;;q6k6^3Zux4Fh~%l znT2t3O4Uck&#Ye=Gh?arv^GwwBdNVH`r=yQhTf+n!--u*>R}xGkGM;2m7aBgkG%kb zHUMZ_xzSD#w9JNRqgqc1Z;E(#H|_JByP7M;+7Hro6lf_1P>OyhUlxBVun2C`nn6Vy z0Fi3vML0CzXy@mES@sf}Ba_hd;qmEs-MNji{ZEFN?I2^{f#{Muq^}^@=v<18NN-Je zzo+fkC8;p`Vi#I(HVXpLN46)#FK$F@ETmUrC;ycQvoaR3-YgdcqK|A(h@ahv))+|< zyZ8}dw#FjXoBe`7^pWie@sk_T8aqd!Chq1(gjsxMUA#pCtwkFgZP3`aBWmOG`N zz}N&;v9=ge7O7)5zaxzA=%xCoUCA)RJvshZ<7ibz>e$2Y2opbgsXodV-qA<6C&%w= z9IfI=9pN1xkDi@v8a4@NM;{do@8~1kljFBFj#hnCp+4^AcZ7WfJX2ykJ%EyOdTRXv znpGLYiACGNGymUUCsr3gs^KY6+sCce4;zn*8>^+_qrL|1@S@Rr@POtc=*gg0U5G8X zpI;F6D#&H}=_cVtdlLNM60|xAy#^J2LD;Aum+7O_C&P>OB>3JXX!Q^(cz|CJb}7hZ u`Y7^PK_Ahc1mC#?9o-|!F9=%{M48Wo(>;8|qUE4_`~o@JJ;;$r?fVDIxwYs3 diff --git a/proj/GPIO.runs/impl_1/GPIO_demo_opt.dcp b/proj/GPIO.runs/impl_1/GPIO_demo_opt.dcp deleted file mode 100644 index 89795586b17fd28059d87292691b1a7800d9e3d8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 306125 zcmV(}K+wNXO9KQH00008006p)QV$f9MZy690M!Hl00#g70AyouE_iKhm6Tm?n=llH zuUD!6!QyJWD1{`D1*NO_O2b3~B9LZR$ib$uMlb@Cl7Bxd0&Oa&Vi!n;^Slq%IhL2t z%{c=XTvmkUPl7B;!t;;&yQO);$?t-aoPm8;R0OagIW3p=BVFzFf z&zKA5imJ>c;qeBnBG-*?R9oJ?0;47w0xbt<4mSMx33D)+0(qgyvc?yiT?i(UJa0XI z;8~Fwwvs)$Kt#cX1FAR!U)9;ek+5$a3rp65O)`g5g(bz?WU4;MEnrYsqJJnv-bjXX zM)E{V=vh0$>RL^;f)kP^i(M?FMH_hW9WO@sOxub@DtOGWUNh==MxCa-VJ+G3-Xl)|Q_^meTV4p|Ik+0^zmbpAME8+j`*s5Z5oWt6E#`r=AnaMyd|mo@!wf_JB z4FCWDM^H&mUu0!%Z!TqIW}LbOP+eWKEeZrDxVyW%ySux)Yj6(^8z;C1_u%gCzR>`| zf@^SnJKulqJ?Fo5?yK`2wQ8@?qq}GKSUoA$1aus{wb;2O#YjM3R;Da0fA6fU?Cjp_ z=ef>b*7>j^w;$BKZ_Mzwe(E(aWY5gZP?&W5%#JV+_wy@Dv6R{6azGyoS>)<$+zxPj zhjfr{agR3vTq;`QktFjZxVzt`IE;_ZPd}#I{Vv?V-;#J(#7^_b3B2LVnjT$@mSo&$ zz&}-((`%_#)XXa-wbNH*fb3 z6V+_ANvpKYrgC%C6}M~v8?n{mY~y^l$8F%NhdaAqL;Q*-fok?u{;H~Z(uJ>Y5V4}! z>CvsLKeqn(wsDW*`-=~U?za_x#j(@<;f;5aC%WBk0kne--v*<=UOA(!;vnIkgxz_s zszpHr2YS{Zt~~#ic)cIS-PzPsUC%FrRrj~gtkc3lz^pCdq4~WjVZzZri}SCx7hPGi zwZ@xUKfCpoEch$N^Lw_oihny{DzHFpOK2~Sj(c#RV<@mf_432P4E9Hw+N0#bO?a|c z2E7U38I3mbi?)AOzqs2>-)X(>jch3}S&``<1k(3oyG&tsOi*&4P0z3Y!OtoN$cZ0;>Kw_+oa8?WDZh=Ssh3CGlJOmw>8f z@dgAP%M{}F21+Zhb<;ZW#vo0WPR(<&ngTw>PCnUkW8s<Hm&Iwhs`8DE z^XjsV$@L}l)wo>q>g3P2$J;$GbJupeq`~jk_l~EIB9YYR5B$}k%WKTJi(!V88P&;o zm8Iv%zS!8J`iwpg2J}8R*!qG86>e&Ud1~D%m482^1xtLtEAW<7i|rOO8)6J)dv+6r7xGehIJV^7vAi5l>fo3S<48l9}SkuTaVJ zc9+CVzowqC(3Ik=A%mGCUZ$OlmF_?548ML*_`~9lr19_(An>6Jh=gGQ9CkK+;SNU| z6f!Y6Biz@sdHA_6a_^831E~r=sh_Jm_0E+WAj)j&Y^+^T9_^qef=_k9pmLrKuWO|5 z7iD#wa<_B#I(Sv>NA!6S;nSp;urxcsd&zTEi&IM~wO?+il6lg74-_ zCV-Bhw87OT9iykqO+~AtjV=ukW}j}s>>RzcX3_kcr}oluC5sYL*8nVWz4x)o^PswO zAINi|dQFarc=er)%Hmv8F&%KrP)4s{OpP1Pd@J&PSk?`Q9uem<>pTpsApyVGLgA2!Z!C zf$+02oTKH)P@jc1hf>i}R%r!0Sw{bcE#hM+Dp?;vY*2j-kN6wd*#8PqZmc|K1>U5q zJO^RT$Z9K^sNPvy5Rxc|>;x@|5HD+gk{KQiRdYBLf(6N2Q$|t-C90(R&mx2d)wBu> zHiFbxO)T{VcR4z9AtYsY82e2mV-?2QDyr4j$V|%^R9H=U=zzlN3d&OT3>_X&7{-#m z;IO`EXML6#eBp6FTx*C^Rha{Qd5dpMONdofnFT!!S8r)X2<#UZx0-_G6N+W%hsN_4 z#^hEyFFgjYGgH*J^j7M!_1G!Xp-|Qv2J#QpOH{LX<-0CU$)6qKHh1?hJ;Jb|861Su zrlrJhSEQDTB5pMjC#wplogsOovV^3zNXg6SZhrne<$hoDaB~dAc|9D;3Cs~uzr5AN zZ!#WMc(`k%Nm?{ZFbpYp>X0r@b0zB!O6}@O7>P?T1CD?w^Rr~nAg=`aM_r*T`-aT4 zxO4I2%Q24;#{?0VjjChJKue1oyq;43HHJWc9e>L-Uv=YS?(1UdE*GUl?!+1bQ1)=h z$wM!9_;P={wnB$D6;S48BL{3y_Ebs<3t6C<<3@+N)&BLfbg@{2cW!ulqsaSK0B)n} z?JKou?de)AvS_icgr2wrHQ;CoCCL(17ZG)np-^93B2RLkQ{uKE$|wNk<(eiwkGZ#I zi{2=Ry<|K&U+Ed$o$vUxh)@}>Va_vey>`F~dOfYTOYy5$fCnc)&&H&Id#RvE7~zI+ zwBEFwLt5@(eiL=3f) z`iXEB^ze*w9rTF4bCnx~W-^=(NXgIZV9;hjHVyjFtSb+@ft3n4<1#A$Ts)A`w_^JV zqYo%2!V_iX{QYQ4?DH*_Sz#**dUtrn5#x}AGbxoX0_&W9)YmPsx$f{^eh8uuSRogT zEnm0HvX2;BqW|p%BJqzHxjI@`AGgek`~ZljjLr@1TRE-6#{&)>Q{4s~yyc!q()=)s zGdp&Nzt(vLfCL)|rt7*Ej1Bi`LVP_Bh+)A!PQ9we{$%<9h=xcTcQ$o^=J@7q-(AI-1X0+cSy&4Vi4oyV^u@ZQHI-ZCsfFkTyx_^`1w7y(>c-9|lZpY&70g_B z&80m{OWIk_V(P}$zbW_Zin7d3CWb@P?srAy{jLj2y1nNXj09|KnTeX3u#xq^#((^H zL?5m$uhB+s{Z@5qbidJ>zhB<8{GDP{Jc>7pazcnELub%%j!2e~CjEV>U zWBkqeUq;stN^7i7X}czE{wS@_H;pk|@VCRN1wY~;0(>xzPK!j0Nubu@E98fKt=m0h zchO`AX{M~%IRa!th;&9;FhLUIvn<#z9m4~Qe zsOV+jkA*i8!EEi&hDWfjp*NO92GF*445i4uQCTw<+=wten2nZ(Y)ZyDEyi_(p^#ou zA`I_1R-bh_LvmkDLZQvo_gFGt&>C|)V+H15Npgp3OJf!>Ss99|8x%AtA!H#) zk~8H~WF2Y}Q^oMSRcwHWN8w1{CB@r;sq*pQ;o>WPj+-K(ND(C)k=(DdL7Pq8Ar(V(li`RSwe*f+ z2_-;M-1T7-kcbjR3WvV(*A2doGuSAACX01WOdh9UwU33wHdDB1XA_!&AXl5t*xzFl zl86cJgpT&CPgR z+8$VTwg^B=LPYw-!#(`2Yr{bx5He7>Wr;k9uJ8LEYDxx!H;D~{L`=+sBCkPN4cg#4wK`8O9T=f9X7EfIya~meLKUQd+^QgVHOQ?4a@T;|+8}ooC|e7ZT?H|hqzS%11#;VHg7G%f z1rz9Fipq}w=0WbWN)dbZFUsHa%wvtM3pDr4Ho|n*2bBEhrp3!KtOULd__>L1sjlr zrU45Bg#3bljXy)zAPy-a5daU1bbtd3iwwDfLg|4Bv&R~c7Uh6KQM8cfc}7vBfkch< zfD~R%`u=`{BFf4G0S&YDLpGW_)wiGu`J$jN6D+5HbX3j73X4F1poED_w%7B?0r)yv zCB0wS>U-CoEh&sTy}1;Dpv{atuT!Y03-q{)+%rzqWGqIFZbKa}$%CQiAe;ZeSh#Wl zxg8QMeg(p3)zk%7Gu`Xk7Y(TM?)-(RtK<44CLyUlzi=da}i zgLnzWzk*pk2OjiZi%d1^qE6ed9Ncv>b3~yGr9sAac{jT}33vHqk9wz5Bw_7h#b& z)iH9gxaEwLAFPLH^_~^SBf)rIBFd$S)~W9Eu^`G3uA+E!{rLJ;;nV|fpuqh} z<0}Bb0bLnQf|@51OBV;CVO z9Gq3^jj0t;^hIV0%Pb8-LU2`7}D4LfMjOQ0~ zmM{% zmdbk(5O6v9W-4TRmhfk1Sn=Hi>6rWe;$uH&AGmdE^nr&M@#L{~;=6W|MU`)?z(nOE zOs`dR5JTsf7{_(R-731`FsH~B)ria`t7+N6#Kd#Smf%F^6|m#GVUf0g;vqWf_r)>naN|)?GXXG1em#fM{PPgH?>L=73U- zZ{VQAFWEr0Ij2-7+V7+SgTJhl^i!;ZbT|U*Z;|R;vi7*Yd5(V@0EN2297kZ_^O@%4SiiRK>*5P*`{f&JBtB_Hu`&UC2=NPoOYDsVj(BpkhGA%o2Rt8gZHfOmh z0^>%EK~3%jy5@!N15?AThq}{ghM#Nf((}@?m4|WGmR}Ei7Y$DrD|N)=!p0KmG2Pm0 ze-?jvUZ`sBtUkp4@jNUurO>OF7f4BXwE3E>*{f#jqQ{nubnUd@q1izt1+e=*dyq>l zz{?C{Zurx=M$VbE(@5VJffiQB*H4qrU5XnaL=d4c4g>N`+Zy;ogCgf%<(LEtT>i3F z?viZ5-pd@D7WTGoSy(4E&(}sdB`_ERk|CEUhuL-yyzziGkB#D@?Ku)gNvx&E^H+4@ z(B26#0daJaxaeEAHO1}mirA%G&Z{l7-eOv&zg=*u(PcGw8mY zAGI@8Yfn*YfX=7%Y~$6M%=_7Xi_ZhLZo(vXKM7M}6*r8Gm%b+B)Fe z!N}pye7AAN3@=gl>}U#eoN&DI`w{VjQv9>a`en9dOAGcYpJHe4QCQJRlMZ^0^PlZu5UMh$}UZOxf_Of5l4~X-p~mBW;A5 zVXL!^=tTV|(&0LEt>zLseGhP*zWdU;68ipf{mY64*MG`6d-?^zXy)l<$8;2(zKEq`Bqqe`J)4?+GqErZ?<7C7=6+Ue1SK{81^@etK%}v>Fipv*^$jvVn=R)CI z0WF^SLFJp)_7A+b7l7uM8}aiVolfTOUdA<>E5vpW!1Vyc?iWB+AyltXr)l7R#kaRV zi;Lt2T!KAQ@5&7b{x)*VPSxLn9v6>~^RvwQS~VnpJE@(W=NLxOU@O&!H`3-5Au#)# zbWjKG{aNwCGiBx0Fmo=?8sKcXN~7(<)NiZcZ*pj?H^**Sv#+fBw^^|~8h zb2wR*+-$LE6`#D&Y2g2E>BYFV`Ky5*ud1^u&cnpsb9Ja3rULGQD$!S9 zT{8STrC2!(Dz2{q5LahxDaVQ|)pJl~L{e;CEz~2(vU9}*?t+T{SE^pJndkqk^bdsw zu#>f^q}0@q2lW#z+f2a)L`$)gb*QAI0w%9&$kSD%oiY47rBF#(Uy-JxArDesU!E3L zs%NIk2&dRQRH%oRWhaXXOa>MIuT->TGsXW|=^siBU}tMnSpuR!{Zz;{b8rFGQtWIU zDodz<3!qB$6=@d?|4u1ZmVk=uX~=_=H&;}aY>qe1o689GFKj53T2N{!y16mTtxFVQwz6ncc7 zZEuf`)CWZN|?e%ILcnpIYC6HkIAw#ecMe@`K(I#FmYV z`dNAd-9L<^_r}lgoSl!guLFjqxqei4jzESf^<mC>7vSJ$~t#&Pdu&YVgiy;Q{z6 zEQC{&BCC%LW8AQW3n-W(tFI1Y+_QuWDxe~(4-I2nvq%akxYAO2uu2Ll%-gBoJKtna z9;@#z8i{AwXfEd}5FWar%jS`dt5WdBSSTl*(IgW}#+1ppV$jBu0o9B7Vt)2`x4{wJ zp3F4Yn4amFfv%sB$X`7UZ`flA5Xq$nSLa#oIbsPB&7}v2=2@;e6bKN}r3aVhS?)L# z2oX1WZpZ6y#~c6o{MYsW1+$MX%nHa0e_?o=`u|~kW_+`*+RM)sVEpJ_ynE3NV3t{6 zk;5Oc9i(u~s72a%x8vCC#8b?!l_GY$i?uf}UA1B{ErP?Fp0oKXMbFUz6(vLBtU`+dsK*T4_%)`uN8?IB9iKAfy8Nl)BHOifXnWV&L!N;7HENF&Rg@X~wS({d zVQ|o~{(9${`OS!uKx&mGEP|Bn^HA14E=guEg}9>@0>BKz4#{}1zqi9qDO$8g z({Ly4Q-%EGi03B{;oNrbIC;`;lYcW6_PeTQJzU#0ceUo$>Sez30gh~KjxS$UZQ|+Y zk*(ff^rw}FgHcq;hj(}}dRuOw=G#{G z85%Ea7><=0)Yt$Ebo?MJJjp~sX84EDH!`|!eOXz@etKL@D3o_~m$KyD3 zYgW`b9au+MrmMy>J*TVQ3~PQ-UJ-hH3e6Yl?&;|E_Wk1VwwGNsOZ5AK^dhjUXEHEg z)llGRpSySa&E;Y5ojYHk)BE|d^~aAN?@y~n%n9drd(=Jxhl||W&hM@sU#@Qk@^ew1 z-o!Z>TLQds{1{pSq8!c667nssjstoF{cB_ain>3)4c9UtS-NUtA=qOYz%xRn4cMVB z*AA>J>Qu!n$44)d%;Qn(nM-WMN4JO;Iu_bwldP9Uwa^wi#@J+&{Ra&B%Th(P!2KKS zu-Rap=aOlPLw7WnI31NZO|&t@s6i<7kZh`rTE?93Ak)*9IE}F}MEOgKHZeslL(O-P z=wVBohS(Tl*AS!Eh!=V&HO)kE#Yc0I%tz3yqe$S#*odP#(i9>}Hhquc!kCXB`Ade_ zh@&{d79xr^kw$Sr&POn<^H8k^OW^0&grc5Pdt8#wZ@+y_!1Sov6Ap4+SRryd-D39+ z^r?SQle{}bDGWgwCG}_YKmRTC;f&L(ecegan|giT8q=$BjV;)ddcEO{8C0|T!}rw? zce~f+wKM5xP$IMYv1W5Nr>{zw&~nEADQJ}|wjdoA>{D^kuT1!iP!n2I6f761PhU`~ zDIxkGmvJx?VafP?w$P){mGh|)#qoJQ4@1JLih{Yfv2o>~f{KD;GR4AK4;fcJ21E0k z_v2o#&!G>K;`lLJD?th5%NbG*YOhAaFdA(vRG{`Nc0b{r#X^B5Q}q}O5z0z}6I2D+ zmISenS09lV-8vDB&x?Xr1R8>0YJLW^SL`S2edEg4;7<=A^iR$w?vbw={y*?osqtB1 z@L3O)hK)GQkhsid{wF$e9EJ$&h6orSx*Yxkmy+tpL54x)%=ZyM+DC)2fG|7SJ47{nsp&5gj$l53dz}v2O#L%Dpusf9Ak(nmdl_Z$%-D9->kvnqIROv(huQ%QL7O!Qfi?&Tu_6`kN&};y)}o+RqrQG* z=xN011jp&H{10|jJqdn&FkU?vAeaXKKk)x;8j1fb>Qc5_4vNRGH~Am$Dv1B_t{UCz zeVaXh6PCJ-1>K)Zkc{_${?aM(4Kex9MgIPNZ1_F(2U@zxyON38Epu|6)5 zr7(m}76^f=9<@q9#)KXnCX*vlOe?WYKt_sw43`A*Xh%H~kQtJiMdQc07Uf<_rEJ87WyO>&Rv~3S6d)GN_5zzlCQ!_a?D}p6?&F2|%yp0#8DLtuH(8 zdmq+8o;T({DSN!#KKdUziQxlDZ<~pogL}3EW1)Lf-a{3$n{4^NEDCiU-@7a-uDgS< zqxa&w%OaEG`s~jpL}xxCC%$6E(ah{EM5pJQM(jTaeSoK%9l(>@(Xju-in5WrC`1t9 z%lf5t4s+zD>(d-zy7&c8iL~I%7=@H49XYYp5)qpnL=o3ixoqruMeI5YdC=Gxl$6la z7^PGo9XY-g1~P7VA58>q_z;bfWfS=YrT+tw$=ikEuX=vCSs!s3r*Fzk{0!SPO3$5) zlfSn0uTt_eq|sPcLaa?DykPRvYnond)2-CD-y8Y6g4De+)bSrbA_p{L-kYj4MHTW) z>9)xoh)Ngk$~OBD%woiS-)^63Mv{8(Jh4jE9*kc*PV!7oDzhOKa$V7*Y{yv`|6+ta zDwM@6Q$0;?xD2fk#UsM&}Q_RI9GIUz`f95llCTs$vV3e1gkEe_l8n)3RvZ< zQ*yfTtCsI1wW~T*Ng~^b3PpuGPHQP29i&=Z62^V*MCZe{NZxlhpgKIAwM2NKT%;Sq z-CbD${D=lkr8`S&t(>7K5LfdnHUlwjvjRgi1AVgsW3xlo65Bz!lR|~1ZiS^@MTr%; z9OIrH?4%syq8uY8x~g4$hQMI)H$6J4!X-1-VbX3k#fdh!?%#slYr5|0#G_5DP7urkThI>MGOlDsHDlCpH{nyZ)W>?(jG@-?LdKz#Lo?0;#h83Cd&(l=*m z*;QmjsR4%faj8m{)L2nVumH43$CPt>;=1ZHD{-{VtMWyD5fxQVXe=I}21_Xio613$ zF*aL7!9K!P6Eqe*T<-7O&1LEA0H>3^46YHyUxv#spBIh(YM*U`8?re5#h9u`M^n5M zB72b1RuQ5mgKJKcticy6U!tWxvxG?3jQ3Ym4ON;R+y9zW#Zs~=lsO%SCSQhpNm^_r zdnLpVULiHRb{#t(ExUF-yC?68g9+8mOtr~IwaI2R`Dv+ddNV+jyi~o~)c+WcPlPOO zvxb61#qi&anDFOO<@dzXdZrqn`=Q-BqXRS#b?d*gVN(G7oy`EK*CwkBEubPqX{sx_ z@0zb~Z^KckP`s`APe>!_?pc=ezZ>-auk$kyvL%CU z8g}h&rJm(WZnp}#sd`NR?~?F19!u9Niy(=&$R0T8C=o{4NoBk!KABd8a zs`vfBmxKwDgf;QRmjRO0@jsDd?CUG}za?$a{EsDtyOko9FTwvKiS++f5+5e8@dwfC z*UnkbKlg`K*&D{pJ@0$OJpqB<@6!i=gnRuTj-7rOZ3f(wczrZc`PS_-r*FTVUp;(4 zZ0}}*{kI1fOD?Owf9G&^-rzy|`!Az7KCX&e(c&lDJ1)WG`i7s@ESMFs4Ke&8QrhC2 z)%g>Fo}t454=HCnSd{9&6WJKAf0kBQCKMlzqVi^;mdyY9UAA@Xkf+qEkdo);d0*NZ zkSjz9D6>r#dJr-)2qZ9$Ws2PMc4z&GheAvs$E{UmTot5rS(=d0ZJfq3(Uaky_fv5N zuy9yrd%1b#<}Daw6sy!bTPiGPJdIt`-ivsndG69l z^70jyFZDWhV7-iE`XTg_){r&;Qc%b81R>+W9)p33hozGagfnbWUS$yE91M+bGFHd#k^BTkBNpW5YSiYx({krnGnFpr*_JQ5 z8sc&H|LnA~DJFk%ve+QpVr*cZT|Drd&U|9aooYn7**q}WOrctw?SA42$T^Vq+d?=* z(8?E@aY~WB$>|Mh%a6-XUR%-Sdl53s%f-z0>6|exD!0n5Ql3Bk*>-!*K)B7=Grd^P zPBc4G>~+(zpYq4Ahr&y8XM|bkXX10sWm=FsZ^s%D?A85OSi{#hO@H=x+Kst`S$)94 z?iaqm*EAtTE4V&5PsoxXM1lfIcG*-JNlLO3w4XW zI0ge{38vb*&q9v1=JS}3LP27v*Njg`E5?{3b~8<~{n2ehuzY13A^d1mh(`HY!(HJ*1^o z-Vjn#-83P`KidIe=VudnURIWna>(kM<2>mWru{C#HfLXcf;KwH6GSM~hDq})iqa(( zXo~Sj>Y^J}-U#=YiIRU_^l*DDnC91aYOiw&ho9UVH!6%c5_)R$>WUIqR0ROczsv&< zyqix_vXH+NYz*(Z@?}0KE$(mJp2-x#h|PzZ8>_gQ+^EP9WqGxxP7;bnuN*nAP%|Py zWRn?VcT3*-A^cMPcz)(CseN!UAEz6`Y^AO5R)s@tMBi8wokF^AL>L%D{MgcIXpUsZ z8yB1$4q9P3%T$#`CcbGIKyq5bQ;K-Uz;^2aWP8UqcdId?kK~VoTviFT^VItZuR{aQL@DA zSMb7QC=+@t>3o3H6+0Elt=R1RTr8|4dBmx}p`8cOnWSJRRDl?H`S-5Ik7O4lqmF#@ zb_jI3{@C_`ys4M>3hA1QwrpE!?Ny~((gZ|;cMpbyyD&L#N1C~d%lm}V9A=FfZV%No zHCujnT9Q&M?c;EBBk5A}Y%d%X+2z#swNcpGav2W0n-HCD+peiD2L%dzcHE?A=6;>B z;xCd5?P?3U=##!=gk4(3Mm5vtfVP0p&8C%0Ef$O@351SCMPgHT1j&Z*&6Cmu62hQx zZ1>*~%$t3k?zkB4hjisqW8uF%zl28NpZzW+bF$;+Mt5cJY&S%0wdZc7-n~EjeJ}a5 zXY=RRDE_)^ehk;h+(RZ4!m{0&dkgq=l{WAd1j4SgbH+A#h-;W|>8gi6mHrEv8@;>M ze5#{=#Kkx?$i;viYC${^b|e}#?3A#Vunnr;9SG^f=Ez}3!v;L6Kf8XuHu&znNhfhc zzXO+gHDzR++6x^~`=b&TObooQj^4p2!ih#jH3UAj;KLdQ3iM#7Bt#7HC!Q)rk5jPH z5zF_`u>BR?$SA1OQbH367^us{-0XOH(UaLH#|=r_?Rnd&DIWNgjrQ5{O(AouzE#La z4YhTLqI9?twz%Q9a5vJfSuIkq{*KDFMP#m78qE)e6a_S59@zM-SI^1Z+%=RHxCiI(rMDLu3TH{536G89d_?-j(cLX?S%E=eIRf9uZ%lh1@sM7qqQ8~`vk1~J|v?-MlhNgbPr-6~rB#-SoPG$jxi>cCD0OYqN8yx^TvR=hAL_MnYOUf~uf&4ZZ-Ixj>{(^9Z1VYWP+~?I z+u1+_WX`(+8H?Y={M2@P6tOjE=ZL3?sjFv>9k~vTzSO*Hr90~fE>gkJ zQ;hcAAlgUbvw4s_F06_!P>Ep9aQPg5_M0Tm9s^~B@o(S<5Q+D32BirO1uMmzEB7(O z@P(q*c0v!A>bJw*C+b(AP> zjMy0pT54+P9Fbf&rsxg;Gz{3EBIL;S^^yxAy9}6b}pP+{IgB8WF#(vXa^f#%pwPwC51X6 zn-*i@L2;3!lz^4fKjVkl*RzDoLH{pFL#Lfwvnxno{#Tf=aMCgi6p-;K3>3u#q|J$m zu3=Mh17a9=V(r|?&rgG+tJ`2F35I_0P#%phCr%Ad_NYqeOlD?R!a z7Ed;>#}7f(A0M-?V~?|DT=`qQv`(H$#k|rS>y=5p#@mo-JO`0$zfC{49&T{HwnT6= zV>Qh59M?v0Hl!6;F_syZ89O&Z6U6%7DrA4|=33sLpy1t6SV5knPr*dY!T?=y9JF}0 z8XOD7HpQ~@IaHEg@Gz%s)i|W}o4j7(w+xXygMM(JXdD{IXQxuVows!UKEF{sAm2LK zno+|#g~Q=V3GvS6&msRTIv?L#((!F)Qn9wAN59JvZz1>>V;yubXMxVA2u_!JXrkr5 zQ9MYUP?ebi|7>KRA`DxNOv8i*UlDx2A>80y zaKqUwUC{vU>np0Brty1swTZ$EaY;OsYH$-onq`rOw}m%0N3ntjMX9DsPBOu#MQ1gh zH>ww<-bf%zIZhA!jIw=AR5B)wu4))CPp8JA;i6$)@7q*V7H)Z_YAmi6^6@W^R?2d` ziLf7*lk1Poua?o?O%7z(D`!n|^;*eEabsuT&J9DEW02fpor3dmb4c|GXxECv79X&x zTx-I~F^sV55OY`|av4WeTSN>qdFk@9lF>;Om`NPOQhZ`A zX_KctQ;X@i5>3~eD#3EAkTkFZPZ#T=Tw%1sK^L?yv_#Zf)|k{A)v@nw_RK!U>Es*9 zi?9D-PH==1>AfdtjXCfKXP6w#9Z6>H%5KiZZtlu<&c$}_%4W{RX70**&c%A}%1Xuk z_YHfYDv~Z272imKxq?S*W_*2>`PojKs-rB^8!<-$+&O2pf=cyDSo4PNnf zr*a7NB%MAo;_f~s+{hdQpKOTc4ruQypooT* zG}*S9fA@P-ZHR%F8Z$a0&DY-~FpZzR!&E%aL;rWjP*zqhUhnl`!+tk>X{7E;?K=Mk z=T8NGRvCL#Ta1Qv8i1Iz!ud4HfKYTk0EpVKmvji@po=KzEWqCM4p(Lp=vQ^k@ zZ2f&&+q>VJbi23xyWY(UN}ulDufJpo3QxQ6b5vnBwr+DcCph&X_I)iJ3w!;O=lD4qzjxpKCnv#QGoLO5e|gmO zjjoCG9f(|=+s~RTe;@yR>XUI|8q{2 z>&T4g5iMA7yB55#QpxaaX=og{?(f^$uK(7StliPp^ukb4{LEMNtfwl*b;wM*5@?wp zEl=}$g$+|8nIyvG-cND`V<{1oEoB2Orli~48WMn zmloA8E~pU!$E5uwoDfngBdYy1q*UgszX!Z$>h9hd?=kd{GWr=^AW160j_S=ihr1=7 z-6Mo6rFFTS_!wi;nJ(h< z7OAoV{noTeP)c{+aHbs& z6fNHCs%vONUgg@tCjOz@q-Oxsc)>a3%Zud}z~;2r%mEz&9V6&`)wERInmrE_z&o_h zj?Nm&>L=qbX!x^=&W_?5ia00YXK48GKn9?ph;hQBqmcdZ_ZbeGm*mw{uXL;{+uJ?oQFDQzi_IJV4wqQqW_${T#)E()8+Sed7p@@#64(@IqRKAHL3zER$+MIJJ2 zEm2)I==+p4&2PfgO~j=%qdQ7{DBX|fJ~CA3Gk0rmMI`V@l(8_d(GMQ&3(?R9@@7Kz zseW^kl4@f3D#`ASHzva#WGVK|1rznQY}o3Xhp)!P){=0OsFJIofR_C5jx*{T;&-~q zK}%h4NwW6?r-zSX`-Y(5bvj;uf;nPb;cY7Z>2T`STgYf-rl-T*&XUM5udx~SwKaNv z@`grn&&+Wqqcx}`LxP6L%(H%aKM@OLq_sVT`=eArvIgnMvvY;}WqLor*cP9~rB#EM z(Hg(R{S2v}a7y>X#1?tO1Jc?G``XI7q4SUV{VXYzSQ8vl7P7u5SaLm_`Ti&(DT-{5 zYDShDqRx9?hFO6$!Xih(f`&%L`^d;mp{11RI^XNx=eNU#nt;tymTX(PPM3AulT^3h zy_3blcMXbyuba+m<}0D1_6t>S*MII_b}k+SC |V%3o=&{6cmI21mpT+RhpstUB0 zr6Wa?7jY^TmCZlnvN-fbfun!Uu<2`pR-n^01n<#s1Js!1a89&ag8xT{%YDBS_G6^L z`&kOZQ3+#@OeL6Z@@wDd7ABL4odW_L5Qp{UX|{I1KTfI`xRvf#`bjjnFL$8IgdF^= z-Tv{^hL#5uuJ;afeOd{6b@cYO*6kSW9fZVX@+5|TocLt%bkbV@_&o z5DWnIBF<518F9HJLX|Xc(L}5XR_vFOqq#UFq$sb13>jI7$s;bQbpnT&`;!Mnsz~S! z5nmPc?uDSKmksbZPF zoO&%@2n_B9H|2=336gY!_hL$UVOp8O|6tg zx!u?b7-9}TK93id3l+sfNL}+BY@e7I@1{{jq}#}uSN0}B+czQQJolku^O0+171qy1 z`lK_K@Zdx1ozrht{9T=EM9EApKYvAuIGqwpJNGVnm$yetXRuj2a z>(sEqug*}6tOfucUF%`kkyoZv{&f*P6I1C-sbAz3{#ocJG?oxwn6vdjc4(ZUw|U); zZk?%f-43{*n#sg8cj z%?0il1G<$wRw#?oU>)@|L+~OfrTaN$WB*Vm($U%kUPC_`UREofDGbG z(n1{md5Zu(K*7J5V&<63``0|w;MkHWVT&0G(D`Iv(Dyq77A!LsEYT`t8F$Dce*gEx zE;v+J?$6YpBD~622-b%+b7NRpVGa1;b8tc`96s0HQ0h#k2Y+KmWkjp}ridnhc&&zK z#)5dz?D#9W{CWhuR3`aS zl+kkt17GVbb!VXWDuTQz^Wy*!xv4T?1YVI}iqC%XIQ{Ag<{8n=#4Y(@r7=doU`C;7 zpST7GOz2St0sW0~*rIJ%kt67mC_2~d(CzsAhpo(-hIXLrdWAgHY*TDZ{@wB)1-L!eqY?FI>bxv63&qUD6eZZ@{GhTc{o~ri5au%?jZ&EspX6yQ?0us)Rx> zDD(Y-t*koXW_&|RHDv!ANj>_v^(U@V4qZ4j1krwJ6&^~ORXBL)kb)=AIuwim$YJ%6 z!rTHI95WQrc`=n!@^V4S@@DiUG0_fAXxncEGtw$vaw^u;<)N@Av9?5%<&kh%d0?BG zwVfSuo*uyCQyE8(n9UXKGUfq%{Cr_BT~2SQosIZLKaXTa!F{vwl&8*&Wl9{UOKF_> z0m6)Ox6LzD+t8N_dSGT%(i(7e-%i(@i^@ECyk^bMxx|bF_RK1zV-3?qY-^XJYoFtF z+5Z>qK%6DPd|_wiS`Um~FqZ3DsyHyCKk(0F^3%Lh!9YeFcNg6>8C9c9?s=t^_%8a? zxVD`TCQ}M_Qsu#|ys9=c)sJtdm`a9hfUqNL3}o+9lPAD#GFMG<#SLVoGoh!R zwAC`|pHO8vg4IXqrEbxI{{6|e>j`f{^ikC#YeuRfz?h*BAN6e%_*cb9uG;=Vg`hUs&4!q% z(Y#uSg))9x!l)4;dr;!CIbo`r=(#?qh>dzHI{JD9?d}x{em3sJq_Y=i0sHDf@LAJi)UC#+#l3pel`#5`CHB*=r3$s(I1dzZf+m@=S|f|bzDe0k*&7zuN?g@X z3DJ}zpOB;3eIor~BRo^msK}r>RZ^*hAn|PeQ+%n2AZFd{x;P@Lydx2VN$UeWDkSto z)sETvP4T8rb^38N^He_u1#ocvdIh*jakWgMs%pe1?x5zsn|SGg4kCT+?6MpDs!F6G z3xs9TUl$ib-l}jHl%VxJ1cnzxh8G+(#|Bo~X1w zr0`X)R1WPaIZ8E$`@Q3hmnnP5E)L>_gM z_aWG{rWuJ2(D$FBy1X%9hYvBH_wCKuAL>#qMxfCTz!s+DtT%VjU-}Qw?=}Nzl^SKI zR!Nu9z2=fx0^<)(v4H9^hOI;PTV(i&Yv7hJ=Bi0KTyh#pUi?;MX3Z+yMN;pgYG!sV zqtk02l$5%`yPjtYhio=$GVDL1>_0e0kWnS8;kP`Y4zazwnLnx+pX;k1R4b(*+Bv&9 zhA%uMf3-hR8yfOmjofYK2UJ(rxdsW+iqZba3yk#QyY=h|9U=@xB zo1k_CuM~}#c@5h~!PHz}?Gd~z-al!%HQBW7>a+w4HV4K{@{b8N-Y1;sG1s?Y5xHRp zowGxnU6?s$embjUApEqJhja3c2F|C+hRPWu`V*&PNlPE9HUVHhg)ufr)my2 zqK4Gx_^)UAYmB~9qLFn#A#sB#$Vb%@`m7}zvbMq|4nMJ8w1)1)mG1%8>%Osls_C4X zC9GVYhxoo9t48t~3KK4vqLbH}z>NmOql{as%FvTiF zidyg&w|GZh6)ui?E6P3tsnW*e#V;`-j@{f&Ly?m(ZOFh0JR|0sO1A}&SSg_G0=kj#~dJ6X)jFqu=D&0{c|XJdqTh?h0qoYn4)#aQ3QM)LF#$ZV}t0O3! zCO?Fxze3XP9D%fB2%|%&l4h=4l5?)OI$zRqshC;#c@&c-w+HR;PL-&FcPsu4ZUNVq zM&yz5Gm|eT29^8QCOY%h=mjbJI1MTJkyW+By_ihPfWb4OXZz>ZQPzqZ94MnZFN#KPif*LX;w_u5 z_~ADU3-g|u9ga72+Ohzs4bVaMQvO?OH$6ULeUJj;mxpW!E9Mvic9%Q4^LOl`urV+& z+s-*HUmM~HBzLhh-_Bm%D5Tkk_#J2^gD_;iDsS!g2j9|JF3*XtCT`fXu2EfOjjSJU ziyu9%f7X6pjGPd4Phs9gO?{mhr9)Xy?7v;Uk;T2zy{9cs#4mD6b=hTmzun&{b4b-+ zxEcqG?MoY7CwOmfhBHqbxzwz0!c3!vWlOz&>FW|xxz4`5QhMd;?%-nxTc%2IB$6LI z@y6XWwqC%^x`d03aDBWbQRVX#Pz=WseUyat5V>swFLE~!ZowqVzo%UR9cR#qK3ZBN zX0I@!Ym10D8R-!iMvr%O7|G!WGLNgU$$HZ>2BJk870{qp#?@;y$7fDNvCuH;q(-TZ zxluF7Ct3|N7&?y&1xqbjnC65>F_8pz80iF0RSF-kG~rPuEOpx;sXjOjSGMO!EjPVW zCQ$c&<91bk@;?iYAF{~IkIFE#$LxnPq34*cE-;~QX}LV(9GvCcNB`!OS{Swe{5(M| z{48zkWa@EqZsq00`9b&Q;=mEwn;Yea$r?#T_Xo!d(CbmXQ}}H4#fcrwmQCz5-;^9Z z+IYNUx&CBf!|`H2pLSXOVUD<=^iAod{=RgxyT3cV7++XJ0+;fEnmw4|6rP!Rdo?xv zF-RIZIWu!uVCVS$+8ED(<1u6^OX=?)pieOGcrv_%O*DFaRdU6(Azhf!`Tij<#)Z@k z9^ikX;_>Bl|6=k^$ogLH$vdz43L|OxxTsI%!Syy|=jgA)po0*|Pt& zY6$pzinHnqS@-#)sN!HxSwC_Y>vZ%`qrFutii+9}zy55WFn5FuG$ZYWJKVdE86-%p z)Tujor@gsGsiR{lPZHQH$ZU&I3ai=LxW)8t*SK`ul~)12Gtm^DDU zzV4sn9q}OkJj#03w9hkx-9BSG`ZbR|{?$BHjuq>Gi|1;1uZxr$BWgNM=RUQgs~uIa zVdTUuA=7W&H_Osbzx$vMc(bSpi z`#T~DGtPz;PT&s)gS`xJyHZKz-s3k#%&2>u0SV&tI9gY40uhvPNDB+R9SxdxB!pa8 zg91g1YIu(ijBJ6g5jfZPW7mn(Jz?~S>)DlElAl(u7xy_hB~xGo22|y-#C_Kj-B??C z6f0?=4!_7nDm~$bDnU3cv_#MsZ6Gw+-M5vewd?hi$qq|~R@6-4L*?tIsgqBlNRe2# z)3c6`lSI!6?om#8ISQZSbCm~c8K8kDb6*}NGlVF8av<0QXf>HA^n^TT(!muOIM`8N z0>`L^d<=S$VG7x(rhE)$(m~k7-TQ=l0rF80KfAtY_JbMagge*~mt>MI{O#TnEq5QrxM=!?#(9!4w>2tgdMR~Zy2 z5D0MI8GowP=e4Q1Af&&$j>y4wH~~!nP|`zxecTNV2+$_nrh1W85KT`KR*F*IFCTcM zk*IJZ!Y1SzbtmC{Swgow|3O$|o^wZHCo=znxK5~5S$5kPjUv=q$aoQoUnbPxJZZ^}*Cy=t|a&m>7SgWw#)Nn{GcAYYx|&(gEU$Sw=ae?A%ArHn-8rqt64-Nz7>nYwW(m*}#OA$S3ds{uG8qJ2roIo= z5M&RS=69*J6)XjTrN7ny7TyuzgmxXTnel_Mb-hBZZPj)vD=se`q%M+OIXYrUUCAwy zX+mU7HRbcodu8_A;l#>87f&_ z(q(yQZLb^FogSj4!x6vk(`^fSpYk1*4GIVlv+{!j!31Z}D|mh@8<=P7O+Ur2HFx&E zr)>%%Ln!&D%n)w<0quVoywp8qZNu9=A5zLjOOQ!$3ZKG(c7l|1-mj3sa{N|zrzUtlsBv#pH{ zd4z~lAnUF4(UH@?28m#h_5sG@vrE}AjF{OIB$UR9<)*X*Uh3SOOlw2ShJ=Jk#HPA+ zXlH$%JsY8u-Sg9^(;8&uo&c3``CzQ?Rg;c{DyF22P1v0G>->!$<(~E`c(Cp=#3@&# zl}z#(45@3G*)Cbd;_dmC#uSyJ4 z`6$lC4wcO3Bn9la&-w6SZo|RD=t0QVfcvI!uz0M6Ve%s-q?C*Tv?h$f?ENO7AQ{#X zrn*kAPw_|j^W2>L&gP~kSh9HO!`lU-j^>`g8bw=-lRHDjsgMk)Vq=1X>g=}bgEcF; zgV8E;MIrFsfLmKpkienoR>FCZ^3CE7fu<<%k%Ng8NX1=_V4_j4x9pAi?JofuDa*S6 z5HLrC=!embuGj+8In&+((}wckfHh9k`90KnT;#*k1)`Wa=x(@dR?8vNDC~uat2&)- zMOp$1DTt7Q>#}Ioqv(fm#K(|!BC8KQtxddKWHson(Zj zup9bRF&paog(P|CK-u{Y&gnpU*6D(xx3jk*sic%LLBHW>Zo(mhAblW#|pJ!>ur*i@KrJa6n@8{Os(%mJWSJP-*{~@ z7P}8&AV`o~{JuppfVB@2QMoh6tO=@x`xxt13Po_{B2-mK$7<|l$L+>a$w?dX7l=wu zDFSJ>3P!+zoVvBs$@B*R(D^`)NNrfRwq-pyOaKFJVINEiCBM%*!Y9wy7b7DfR~5Uv ze#K-#FQcYMMu-pqDyN}K*Z{#pfigvn_)S!&opvk92!Rvw8$?Y2hq#kwqMn!9&>Z_;rsB!Qp82me#&Nb_MQc?lg2O3%j8@8=6fd%cNeo@?OLyFl^$YiIJ@Qqj_M+84gJ=B zzEWj9aZI5enDe3rA#yEQBqw+nI7tn>29*wre@x@sHO~vP0k|wu9-6`ZmC;F_BU|(T zkn@5=G7Pql?G;yAg{= zO}!Kjld~3T2&XAP3`g8_^%I=cJ?z)EH;`p$lS-J3C8Y!2Ojiammf(b+fE zgi=qRzGLi|CC=u%dWhLcHm8bd#wn@KdIy^oJCJN|U9;!Om~oNYZacAYFvYlMNqefK zC7s(A^>r!^im&ejVo;wAY*F)+A0%JjgK=#4Y3pFuG&bcuJo&dxRkHT*SuyqGRaWoXIWGm%?An|H zap>ZvO?Dk=`9eR;oBwdGayb{DSnZviS9B^Xqb56qnm?sV+Z-q>qUv>G>Rt?3x)xe$ zGo9PeRYVFG4>oxa5?M0|bkwcqm%aa6Ih>&H9(^F2`r4i^Z|e8>Aez(t?ix{>VpfI9 z{Vj|dq+wn6GlG)v@|E2KzN1B~Cijc3a=}WukYv(`o~d{>KmkgTT&4jWtwiuTLBWfk zJZ9}4%zLfq2%af0XZ3x%+k)I^`R-=F@KNKh{fztp8On z*EjDtz2MxVQ+a=iyH25emxy}Kh3iK`20NUb_Z_UnbCdDd)j+G zxNB*DF@Jloppn1r-`Z?)z;RO{Lr}{bhz3vzcO)PzMb)E=|EM~7WO~salNYS;M90te6@bP$ac;5ba63| z+EAaVP@2zWB#S(yN+`vuWbl0B@z^xYk6*ZsqE|iMD}ofyX`~1v65jV$33L!4GmeWW{s^LH5kbU!JLR*RD^PE)RD-SfitigbqC9V9f;_2{Z zQhyMvAQU5Aq@|4vni#w6V#rVzCAb)~Q5#)LsRAD3xE>4c59F>_O#PNyv_>o*Ap~o|Lg{S^^c(Y;l zf!jq{0rAC@Y!43Z)kC|gzJy`~gg`h1v7i87P+y!JzQAyt9HGE;+#fuF!MHyJ0+Vrn z@C8=f2Rbd(e0yopH>luGg7;@q-q=x^l$4KHu<4FPup=xlnH3TIVWuiQ22cTFAc zI|s==n*jub)0Q#=;|6+)VDCZHP`@(_!PMWZo`3I)PJiwZ@; zQHu(N!eNUJ0pX)y!Al0IF$gY}LH>!V1PBy^HdKfdqc&6s6~p#a@Rx62s)Jdni!p}2 zkb}NpTdspys*5rF{{fnL6nSRNkZ_4R&lP?M@hMT_xi-W;ZcVeT2%?A*QDo^1G@CFC zCz~F!W0i%jH{Vef*!935;icjZStRXT(hZIaO?HJ7Kmq44KrT!nK44*RXb2QSWoig4 zioxe9C32GC0?w4OW`~9u`LXCiW@rc+LT2a)973mmH5l_RR7jj8)BiYABBG3qLWc0D z5DYXt1i3CGa>W0p+ULaaHwUddGZtHT4^{^$KlBhIC3cwHvjy z3SnY~d|)(H>$g?%XJUkSVl)-&w-xdqF%4Q^ATM}XoU!MMVxw^94;tiHcB@=E153ne zP9@UH0#ZhQB#0j8SJTc;rpmAQ&#OW2ce2NiLo~2|Cj3*{09=OwnX#0Ln z7Q#g2{Nblp+y&s1&~0nL239x9Q@Lr&W&^~+#&+%#gF!D}x`}}s=&~VapOOvY&i6Ig zcHvWWWm`W*;KWl2Q)@o?e8DzHK!KhsNoHzDuodr?jkjYdSarLQIi%{YN45V$Zy5zBjR0F(}_Zvp0mWa5yRjoe<{8G%gPi zevt8>+v3JF@Q(*=G=8lg%`#mxoGHX{%rT5*kM)>xkKsP%I;THje@=TS8_had{ZGPQ z+{Yc-&}c$dIe_)TL(k}NoI;m5(Di*|n-*H3mRh3?BhF$c zE6^fOXz0ihza?z-`hpb!uxy+*`SvVih{h|5+XM!ecl5e*&Y9NVey_qVwBy=+@%OZA z0jkC&5g-9bNDw4EMM}^#o8lHEz(wQ9r@XqgVC7lt@N8M z`P!I+(wOV?benW}UjiqszY|;E!=78uKTQHStF?H%{b+~iN_1}J9#~%s2ct5VSPjWfqG%aI5u2s;d zzwO>+jH99W2pvx+`I2-C$M3f=MY36Jw_*8CKQW=)yK*{xdNF% zIfS+t!(5DGEyK5!6Wq=Vsp5xL55!;qWj2De7(-f&BQL|3l^e7P;HcBvqyuVKi*tX7 zn95W&+U_EFI7UuqtsSd!7dDxuWKh(JPrr|tN>Vi{`71g^PA9D$8!Y3d(g*1f9!nQL zoR%W6H9>G^OdQKh7uud8YA_**XJ!nSN*6bpkRnhtK}csv97{|W%9$d9DTFy55Q%da z$=g^YRSYs7)vY^CZLL-1y}|a`K3cnane6Dvh`}X7TWWo3)4)y*0>t1!D9ZX|uED(q zCx9eU22k=ZfMinE8vr@zJm0tS5~*J(5Sl0EOC{?NS|El;X>p5`ab&)wh5mmiiFxI}$RhY}lZJN=Iaw)z}4w)#dF z3(HCjqRCf?!}!{zmL`R`iO!V^bV$#o3${tlwF`R07E{CDnb?UsXp4G$3O)q>7m@6f z&XW$1Hjs+g80?aaSevcR*ZNiA;GZIhDRz8ejClkTQTkQM83mJ23S>8_V}^@mN1;rp z;SWJ7SILb+8k!QirRySesOiH~QW*xvbA&yyh4~Dt#RjUyMtb+Ju0%TDs{O<`->BWh zIA81Sz_dxMF9vBnSXs1aS!sH_w>TCx1Bt7OH3a;Rr-Y>}CkL7@b8S9zQa*Ds{`XpQ z_@AihiS2>)^K(bHy8vgvx<}b+5MRreLF{RR`Z4stR|#Rom*_%1F1m;`L@$IFcE%@mZU{Y=7@}1FP(^S<5T6^jgA^VhI$P zB8nbX{XNihUV=U%$}SRRsoQh5pgtsSd7aU)h^n#?>%6!PNT(B_uxsH5;}64BGz!*i zh;*NneeOJ#F#Sh|0)PBe&tBwV4aZFKGCNotR^gdDAbshwOdgT=V+p(JA)WHSv}E~J z0R_Ea&A1(<)I2G+lq8nj?bj%}sYt^#&0;-rQt>hIs-MIu?H zXyO7x#fhTT3gIZ!Eh)+rFFCSKj(R~|G`0jDL{7%oHs9~pg+SIo;LuF3FN0N=0lekv zuLaOY5f^>DRDP%Lf{vS#Q%0;`fNEwev<>PJEEMru{8=5Z=-lrJV5}bea243$lDuM) zC7TOx`0NyEB?=Z39`^@$=j7A&Kh-1jbo6xp^#Lsw>pvgRmU%VUNW&3z&c;w2Nj8mN z8?O{+BpihoN^?0xH(}21)G{4}j}D%Z;P|CDI9PE%9azEv@UytNJiqR|?aVy?bn8&# z&TQ9QdtPvnAY0j@~>28 z9xeRvexK>L?+)*~z@L6<0lhV}X>U<=Pz!~uTEQ~~LmV^}Ks9)ZrFlSPdyLuO{R(-6 zAdrH@>J9yL@%}lnojk&gg~K=K?$YI-73Ee>?kf@NW5Tc(wbo^H!PZ{efQ6dcR)5`h0Uk`2N^WofJ;XaOU;- zDZ=%A*S~za6#qV`;^f8IJ?Z=y_IR_Wr>a=t?7u#a-6<{WMz8N41sH_VZ0UOQw)B+6>I??Oj=I$gOoYa@wN?p$S#_pqKn~E$N(@p>uYOwY51A+h*Lh2*q zGfNYlkZ9%z0i~uPvo9JFCXPvFJF<)eyMt6sYSRhFOk%^1N-_~yKOvv~+m?7`-J45f zs$?|H7bJsKH<^~R-=O5hjdJ;cU_V<6g#NxGlY{0Wu*LjQh&$1e!%@KxO zv7zx+{=tu9V}`@P788KM`m@M40&i$=*Jcb4lwa{ za??3%F(;iv*CvDc>#7&AUr>yKRgH_L6ja^yq-`9yfI{d zDI9kcn#E8MGj7(>Z)(w3V2{Ofhexfv5ZvZh?Agq@lV1=C0eV&lQ9dAr0eF~5NbeMf zM5cbTB_f{yR!q32)}}QFw&8oNk4V@Ww&ZGv?Jav>R9P2@g72TNCN`?;gPTya+F)+# z_*xy8E{+U#44#WXMBt6Tdsh8%1YY06N*{~o0;k|}Mgkw}9u?6YHXCorCD0*?!2|Ku z%rAGHF+QF=+B?3RxSSuOT2wkC18dKHeMQeKer{@K;=^6{)&2cpiDdR7;de0a0^w}~ z6-|dUb7e)mnD(QO1;@i$`xyaHlUzC2^kP>Y6R6Cx(Uicw`kX98)b9GjNC|l`?#9Dr zS-RqRHg-q)Q8Bw(`@y$Vo#91@UJ;o@Bx(U^x@DHiX@hmrHWg59ifAqqI0{BBu(48DGoot{ueuGKRGM-+toX(>;!9#KI%;rUIuZ7MZM=&C|d&l7I3oC|NtOI<2}Xd*MA28&0!6D}G9`bqcxI;nv?I^lib z3aI0Q1OG|a{yLG;Ao53n`DSc?pG|KX1EOwlKVnip8~I0o&#^h+S5RqMN-| z1YA6`=HE~W%zYHg4WjRlXGKfzlmp{LL!H1EY2=C#SPGmi8Amvq`^vo z8;6`Jwc0M<+M2&iwxBATT#o49==c9Jmi%Xmgb~d2UGtE%u}`HmjQ%KXk3k*zqYiX;~Qob$cre| zEKGTI;Fc!JzuoqKx+ed2i>m{>7cVk2JI?DoI3!o7XLPzdGhV}8x0DAJzb~$2ZGXz0 zpTm+y0_#g>%%i-+2rX4@7I~V6VX2>n4`fp8V_VK&mqWjWpSxWdLRzBsCeGlp#=S%~ zOuQ56yRxDdQ{ro~VwR8-qnh|^h22EZ4K+vHj+|bXO`_|`4&OlwD~BOv0_V41rNs!Fcs6MJ&bJ zL;uFH@ta>l$9_HOQqJKax`veAg`q|HF=By5^U)#dJ7v`+a&8hvntpwn{9SU@CETW% zJ~7A!W=?mYg;PogaqSR#D=YtJS-+FySOtNxn00Lu^{-w{C~|GMl|)lyD(z!xo%U}> z%HVVohnu6{U&K}`{Taq|J}{J6o6nVX*1fYYO=eoL-z%|XHTCrn!(Pg+ zcYh1sN{WMl!0(yX)zIrCuq^*v#L(Nj>Wc5!jeLYKZf0bNJ_Y2^H{R1=S^?e4`M`WW_8-U9lULTIhxd`&g!!&;dRxo!a}tp9tHt>Zp>yC}EoII@8F^p|O~ zFs}QW;lzN{AsR|kTi#GS0%|gw%6c$%G%M7&YKyw1w#E0!W@FasMRnDD%tijkp(|lo{WUbhx33n0?KK+e z0T$(3=|OdKaA}*MJ;?^v@6&LacfS=m?N#)*Z_Qhy_z%>B>F=L^RDK)m=Tdz-PV;77 zk`A_R1_8jbV}@wWx-oRqrF9$ktSoS6bWuxfGpt>-&yXkvj%%8DaL*z7@J9r98E33C za%Cn!rF9t(q(pep3quHuqXNJ65bPWriq^)aypp=Lb?dhYGH>=D=0a?vg*>G4vu?_j z-tcGj#NuM{&A;f0?+9l1fZM<4V7-C=_N{oUTzK)L;SC`6hIM|&89MW{JH(~_Y(Jh| z|5bZ9i}GE5Tnlp{@jX7kyYksc&K3fKn+pXJ%Z@psCF{Ns1xw~R8B}}X$s~>XH>8%8 z#BAw$7!up`^CziRX{W!eoRtNxOe>t6`jlUq6!+(!-VHi*^wI=bA_xj25Oe`0FyT6o zvK5B4`L#NNwhj1f3m4Fbp%I^Y6zgqx)=(6!=Xmepch2>q%K5U}5W!G>##~F8jKHZR_gx<_4&%5n&F> zec!SJsB9u7E92svXQSdn;=6w!*W%k~e`oOXZ!VWVt(Uwn32($r`3Ios=R*5mS(`z> z*O4ny>WnP|FRGzYY^{ZI9cirAHg+i%3>G3hDAdy_7V{69rCK~{%1bk1x`tORGz}GB z1K+`iK-ZV`B=Q#AfA>R(q>@;T(YH^~uPI5UB{99PX)!>tst!(YNL9wBgShQMXmF7XY0Io= z%ar0=|COye4(F(oPK7>JrFc-h(II+VG-ogZE}sBP@Fw+bs`%5d91Ng}45Xv1*H+PI zr>t*ah(*y$@|c-pB-R>3v-kN;oB3jc(|aAeweL;Z2qkPA2Gf!x;}N0;5)NUsuEfDM z;$%121!I%863sFdsSuPWxFPm40g+paj~2hXoB%4qcST8<6(+@kNbFV_P*fU49EUR# zds~4x7zV*X5)DRbyPET!06j~JZo9qOpP#JlLQ%} zSdQmmp56wXYD6fWhIB;=0GKK33Duzg1ZvsNos10b{c-9iTIlC_=vVp&P&OYpCj`Vz z1Z*`DoDKSy8T30EzQaCYT2Y@7z=Fh*_`1Dtf)qlQ6bc*j(4->>VbJVqFDzJ#%1av1 z4K^6tbhgwjVV1nG+k*iSFf@S3AHbZaahQW~n88Zm5k=GxR3s=>QZyY&Vz=^)TUxoq zgHa8&wOGIUR>#{pEm}24ZjR)Oc#4(8=>(Wr4Gwcv++QFk%DWA^A@Hx>4DdCG3<&`O z!E1h_UjQfX{2u$t4R1gs;#GY}0Khb4LdGfyv;q*iC~!EJVz1?OL`#E-gMgCd0R+&J z9ZXb2ZosI#A~7fWpp%0f!q*~@P!2LOa+?NhE5>d2vv$qNdtJ=gI_3Gzgbl}tcS=0) zwU5)nx6^!9xin;&X}k13eui8<<1|}#NbT);v-bf_u2m2TdjT>bi4Y?Noa77v5XK^Bq*D|bnISk zQNw<1M~v%vMJ>OMmF^Q_?i+%SiiQxX#)2lx)PD^?N-5|;HcdYq_5elUp~35e{GBs| z3{kHY*FS&(j0xZW`J6zX^`9TrPC8&tLWjJ#Lnf;}+x%Hcx4NQg3g4GtAy1AdCR`Yu zs3%S>Li}xu8^35glyhdj3yxfC6ajaak9pc_iZKZ5%kJ_0@_q6&y*2%QF=y}k_1)qov=!9L3Lbr);v5Y>w1A~ z&0C0=NfaK8+KKFp(muU^?elc>dHo6BGi}0J%i%w4CMHlhe06lAnk;KqyYqAP`MlEl z^zPX?JpJzC-P+OlS>N;hVB>7>{GxZhA?2!nZ4r1=GI*(S1;exE=08pIXQ}^D5kU@?J8YPgLf z#=eI@oJz}DW<(uk$Hcz3Xls{sHBu7GIp*3BqR)fI29`#O5NRp1 z-8MPz1Qx?l3t+SfDB5e)UWg@ib((9DWtF=WmA;88KA$Qshl`glRwQ{d!TAm3lU4ca z2f&6QF^v$|S!#V6ooOR3w%wFAYf!8rw&ptLXl1f_J>P??fMR|)K>3+HjnkS#x|i+b zFgj!`#WVyHPwq zbku7HoWg~qI?(&VQriJQfdO4U&>O^B{R4mk3(A70hiTob_^X&>=kq>Et5D#_jqB$0 zSy2J>{www=c4wJY6jwH;1NOJ?Xuo8?<*8gCOkYb8Nl%X74{TTc2qvJ8#eQ+?u{85G z$gPWX*LAhKV>IhUa^Ihziya8oB?}dX3iTSs3iWX`j>WwAjASc|6lUA1I|63P^+hVn zZKVV0cdQy63dDba*1>e&t4C&m@!*G=Oi5(QMOB2#)kuDxnKUvl#NXk-b;4It5h?6{ z$BW>FgC`ak&tzJ3iOro$|HG`g0}`n8&@AXSQ@^{O7@zXmw(;d=$<1y}pG9&JU zRpkQ_N#uzX##0%TRbxE;MFMMe&&fbbn%Ys$EXWnD#4yMPc>+%)$P;PIDr)|a$o?{_<+zP#V5Z7D3%;WBm> zUS}=WoAqtpx}C}5Lgfew8WCsrV3cL6X0SDBPCs6ioLHS`^28!eAvn8#qJIJvCFu)V zqv9)v#`+(~E({J)3>UkNqr8YkaAM~2BI9+V<}kI(w};7;43K926tYgZBi(u!p%r!_O}ftn@#vJHWJ05IKOH zq}QOZdtsn&8LbVMHNe`#`tr#!C=p8}!knjEQ2sZA#&x}skpL5xJ`f&pmHwyxLRU2c^R4_u@3AYv&R@~`~7R5OqnZ4dDUL0q4lBJ<_rJ!kjV+OzW8~tQ4a936?KXXXDzxE3Vwt9&?df(l> zHGLCii-xbsxWc8^S*jY*Nn;VDg~OHP@0UjDXETZL8S|%XBG%5*3(?%+2sn|}Wbr+@ z9pDIG1dL({Ldg&F1ZM87W-_c`$Q-i_VgMXTO;Su8h0+(09OoHD=^L0b5hJon;~H_; z!{UqAvNGdaV=a-jKG(UoomGT$o4BA{#XXmgGXm(UXP4?xM6yvs%^pKOO|t~ z#PkIm5bJ{xHQnlk;WUNng%LE3>V;`r(mFSP+KJ&S6<^Qx9t)gw*ZI6Nyg__C7$|q-FM_e~Z6ZAV*TQoDQP|4iQX>68)vf|3N}T;ii%biDW)A`zIG^u(eq( z9i3&91E)qU5pgrF6xpP!o(S!-Z9hGay?YA!!?)5rPtnOx3IGaF8b{aX37Eq=A)?`K5)5y6$=2Uw`2hWyF_dz$;9b zN%>kx{gm$daOgzUAU=Quk*09LXXuK3BWBT+4~fIc2s8>uDw6!pqAXAXWEe*7;aqej zz1srp`DnQGC_8^|Dd5aS#wlx$^&CzJ!NZs*1(i`80C<>?JWdupQ6}?(9b*IOhI{5S zzaQ?{i#Hx*t;TR-OH#@s0wMM^V`8HWrgMR+2Wx19m7cVJIqL zs3@_^KmbcqRcEMW;HVYY^W+-=lv6}kyL8AeVJIkJC@azXKXu85+%W&`ek*8kk#pT2-shRWJy3yPt{v}Zl2ke0?Z6)w%9DedPOgP3;7VLh7xx}(4``#eu;=d()Q>fp5-6uuJ(rf6+pYW_&hQ(UTW+Kt@WmIr zJ8poU(Set+cO2p#(Y_b0*Ba|LBl}6xNKGE~&HM5F6j_v3uZFgx#9``Os=uPVqr}J* z*_39l4iR0G-r>)^($1%vJU8+ha63VN%)=e(*?E|idSJhYdb^&zfcbC#Y1@<5-k$1} z9ZEytk8Rnfaw;jXaHhTX#usYD8F3P=vTQ5pXvP}-q(Ymdm-jUE3quooT*k?dH1+k- zDL!0A*(Z9&<@L zcqP8m2|4V@Io!ZSn3O=Uv`w&Kr9e@)nv0|c3l~m_75hsl|8E+@i|-M8ph2DPjAFWJ zXmU^WKh~znbVaw=HnxADA)M~ia_MAPyqXYer7ZkP`oBTtf30Q0YnR3Waz{`ZWcd<+ z@O{V~?DNjV*K2?sUFS~v#j6pqR?I-s;I>N_!?KO&cpFy9f7gHxLoL^TuK|89p3Htn zXDz2r`uVF7zSc~92?{xcfP_9JQ8@?y1o$MVWA=b~R5I>>`M~yoq^f*AbhsqIDP&>^ zvI<+iO4~T49RQ^rwO1VZox-6Ph}Rs*9mI3bgx4H^9moH*@EGC%+m#g26%^5x71^YJ z0Z&y@rK_T6tLEQw;~fB$l|xavwEta-@`~t6igf>{?sb)RaH@N{i{794p0FWz{!VxP z{SUwQzy6zVU#wc>KB7IL{*{?Fj$QuS zQJsbdP20trED@Jb8Wx)U^zrIEB}-^Yj2q4lqPih6ve(3m+yGMFL>b#};X`Q!ZD^y8 z8@BeRviWUfu8CK<0pwd_OHZN%%H`l3%`m>&$-0Ly^0~^8H#l5WC z)8$s@ORG-$UAIf}TBM0V&u|xNmJuuUDmE>u;$@RDn|{mAoZGnnu;8^FG+X)Bg{+%) z{F}s!e;T#wb)QL>X)n^E$80#Y{sQbh=zAZnP6$oX~E*B42cl zx^3@!IHO+nhClM|d6?X7y@f93K3?~|mE3Xr-C^Bxb-H9JZV=gS@NXU>U(}Dh!A`gj zy?^$-Ywvr&Pq_I(eckMR<=T1u5OE!IMJ_1MP*%_7BU8p<5u#EzhnZ7{MkU33x`O^` zy#6=Mqx%ZB$+E(_;z-irdfL1gwxY)JDF1uX^V@y64{RSJVDv9B;k?8t6A&AzT_q5X zH7k+bQ4pQKtQiv!eJMC45WuOxDEJ|IegIiOroSb4Av##T045SKiU5#fK(V+{5Ov|W zelCDt@AUpkGPAyb?up}@jd5L%ngkjR(r?XAv;G(({un_O^~7>UNM(Rh7EE%F5(Z(d z5YW1Wyp3h9denaXx|E5;=J$v5r3b_PcK76h4vA&70&1r!E56%Tl^fHRkp7+Yn3kK< zKeEn>a(3viI6Y8Zj#7-FpC0TOuw2SQob9a`(u4k+YQs4Wte9(3)WS;s=XXyBSI^v-g#9>2 zHi^i=+Q8b>K>LfT;+T}R7;s$#@-d-#{Nr>n{{jWRwJ~9v*RrG!+0b$zy!eTq!XE~D;O0Mlhj130{+xYs{?-2RI3C2)Lg3sK$=5CS|ZT{ zZ_!I5*~x&S`TslW7tPm;8E`5Z$E&on(%3zu#aoiLiA!a9Ym9c5HpTJM&+$hcci1Zt3n7+MyRVms2ng*hO3J3Q%+T5MyU+ZTLP&n8M3HTg9fR@*J~zL zi5#}TSEGi!FnV>4!+y|?CQ+x6=a42ze!(LzHb@~&nXokTD2D+RV|aiFTZkvkq5u~^ zz}v$jN)Oc7%`?M7$_gZK{PP=&DLOF3@sAOViGN_eJ)Ip)$W%X#T^$n)%8Y;3{{2HD z1-R(J?k*NqdZ6y^t~nN0Rv@Wk7z&#Z6AXNopwKZKtzDQomH}I6T8(|A0Cl(oHM{~f zdeeKjn&J`$;fhmtN@M_acmy?k0`*x2HL9>Cg0d!ok>2 zxRPynoUQnZZ9Ca+LfI|?{$4`Oo`d`lvHUQxzzDJS=uttOWI=*tP?BUv%A_!Tst|K3 z1beCzx9a~z$2Ui3(tO<}nP_59Y}>YN<4K;_wrx!8WMbQ%Xky#8tx0n8zE|JxuJ5i@ zz4qCs&hGAYs!p%2`lF&etHV93kvyx9J*zPubdeu)iSBio=C>4J>@6W;sd`WTi<{`- zuh;F1p<_Ir-OIUih5L8z6>8Z?m8xB?XVEhU=wS=>moo6hL8?U((}*EjU>e!?hH?XS z_i>m|t$4;W7}J=cN?@84O+II`=YaKf8a!MB5%3Xx96xTQpK;GsdMk0~xZHeZMBxQi za&ZLy_90UssCu&xx7M{JH_SAnW)1BBH>w&{GZc_d{R{=;V1g4!r7H;;gUSs^9Gawy z9cyp5mb-t^t;v4;@HAGPGn?+}e>w>Pt6)c%i-O}GQmDpy?0LO1|I24Jv&s#qi5qropya(~k^=nM#kQ5K3gpCH9Jhi{%E3Au!CyM}}6hzf?87mCQ9AO$&x=N-qEoW?@& z4D_oF7^w~r@)^p+X%6iPhpG8=#g(esSFsx2JEtpWOa_*|3igxuEOee567G+9`%#ina|7 zla&#uB57Za(25x%r%-1>%B}~c6WnK7ybOnuSr#-=P`g6K9yMTkX5d6=Z5ug3RM*D6 zObe5lYj`JV-HpH=)Q2HgCnjmFglAnkuV+1TdOp2L>}Jlz9wO6S#41_IKJ2;cAH;zQ z)yO@c09DH!Y+=UHkxwef)6phGKlZ|6FHmaj6XB`XRXbx~Q3L0;BSpDZ0XheOpMJC? zY}A0&(J*w;tV9T0K(2j7sTeYRK&r%l=okT3>tp|VpH+O6`Btot4gEeVc##UJNH%O3T%>_71z7yLm&Phy7OY@!uSv3qr9pp)OlY6fbQ)rro=d;Ahn!KV^kGM}=NnYa z;U@HqY(m5Xt1iJD1;hR7(DQ>*RbWbLqRcPR>_CGr%`v1LV-{7Yb5+Ao{1RYLhUXYw zypsO%jLcgvC;1Y)oq{f?z#UYhe~G&+{uOZ8{}c4CBlv(O(v~Q6k7i|O3|3x(Y1#oI zt5EkrPWYt;lK)bC=Y7q){YI5@bFpE-`@QNT%=c}dKEi9u;!WiCPJl%|u{Ra1 z2rYiN=g?oha!tT(?NieCq??ETjMVOxMW$o*@b9zS)A{=gGfms-X-neVRzl+5ob4hljmf#X9d*^*)LX2`VBe+B8%;kyo{ra(BW~XImdn$xr zVsq5*zR}Cqab18x>41Ru<9*k1`V~0YQPr{Y)GO!cawlM}Y^39>N+veNdaDzfX$_y~r&<+Z1}t4pQ@dCC@?9vSIaeuu`?YvBC!d$Q;!Cm7wGMYh5%Oqix4+ee z1&C$%L*(W2?vb`06Q&=QxYN@6KBI(wZ9T5PcEM(JxCIf{=Inxq+IAQuznQ!CklX0p z9H$UBWp+7tI_T0~qP+6w6r_DCqLbORIuQ`qGx@yxEkv z#eGregr6Rnm_A+xp(}j1LJ&0r6uRv$#%32rFG-G*^E)1#H&m!8_UvKV}F=cVoaJdyJAuM zE;6)8&SW-*UzIuPyIEr@8RST&mSw-LXe-hRaZ^{C49`;o6QlI9Kg?F=SZQo>e7$us ze3|vtb>rSD>22bde7Yo0_|@@hMOMaYE6e@XTf@OSIO&YuB&eZVQhm!0Lgq$Zq7EvE z2Yc{jk%cTO4Ao?5$#GdsU=#m46L*?1Q2L8MPhB=$uu%R)$qXbfo%{$;^$p5r8((GE z>U#5+4%N98hFreDGH9}Mf1)G4@7`g1gIvDE+4dPje#1aE-Es_GX`!`#q^n3b-vSx( zf^^@iuia<~U*=f2Hk<;Hm_oV!5wC088rU~;#$yK1ee&F}tyyw9*)w@H??2-!@G(AR zTK((Q@3)X=JW;Sn6@{lqHFHwQA99}iFqxP;hsfi@($L=X?vt?2D|<;Fx@T?$g)*l# z%@d($#C^Cx^FZxoJk>HWdcM!GCPz_JAZxZ}ea>P7Hmn&@$`0FtcqV7#h2c6!dvPy% z)Mkp;Mk9w-hG80KUXelRR(!j0-;<+2P%|r0(jh0#bE~9L_%VX7^lBbe#iZP^7SZN< zN|5|kTGg>;+*sj4x*Fm)E1_dOCE@ADKWpK5j24%3W}6tlPDG-`>uG2eUED*Hy<{-R z2NET~v&fy+^`PWEx;g4g=Lt2h{|G3)S-Cv;jJzoNNj86=a(eq2(e5d&XOsV-Vb^J8 zyQr?Ts6cgw=aH!^gj|+cGCx6nI%ULC;mWBtI5AtHlHB#+zV%?Xaw2i$zq@#*P&!(y z=+pVRzqb`$u^v~i9%}~ko_dkW&?{=h(1~i0KS;MDli$Yi(C~2RnXtek^_ix-QJmFa z@^Uk`6?x>R?^kp7c=LCndI_*t`}cCmpQw=p5y+U*`SG3VG98qk30oQav zUo!|{Pcu}Bw!l0`32urp#RL&vK6f6NfD3ket6H&1bDRI3Zd zm8*-z_-anErdo9i;T(h5c9CqGXjYw6i|#6wH^rKJa&><)dGo1RZELZ2$hG?A>O(V) z;W@)12|l*_N9B>?5yq&O$Ag%Y^mB<>Zjd;_=AUphu9K zb4tKCItp5_?)-bXi1tG4EW^qxD814`-ONQKuEjl8pLGhDxx+=F1A3VH0_tnia`tC! zRKPhg8l{eYb<)yc^3O_RI4k=Vp(GW#a^?4*($XyPv(c6!w2OAt&HRGoywanTYNspYGRxW#Sewf8}3 z22~>4gA}oUOJ7BzvxU)+H>qV=T9p;1&8s_<)1@bU8z2y5#H0GaO-_cYP3SN@D67oB zFc!qF2p^^1l!q*=i;SpbO$4{HMWGqaF18&t)yoLv1mKFVm~(yygCqs4Tw2yb>IrrZz$T&>0#YpWiv z#6_hU`UlgPJ8rVhB1cCfFXq)NUKJk>hheDc+Ol^eC8IJRE>b2_!y8MXWL;&TWJR^- zQOU;&wNg|X(6}JeS;rhLF|yGUO||4F@*50iX~)X6jMZaDG$tKf8_T56)4UIO&15pi zBg-6}k>{WZ70)YpF1K5w z*TLT)ELYkj09CY-K|wb$Guc9eJDRrMrRz;b#OvjsxLnDlEt$njb>81{3kvw(3FCq? z^)1H)-r^EZtg+V@xtrd1o-Noh^`U0sdfn~WVE%@U+^KAD!R6vh1ZnskuWj}U0Z!h- zws)x07CqSAB_*aJ228%#u&XBZIxeqS~24qmEv|pj|Qqp1r#}k zmu4|jH_K3`hL6$}>~<91hL=)a(eSEasm@l4%ShPdOhKzckL+7AM&L2ENs=qfy5~}S zzoHuIQ$`*Xh-3a}GpEjfK2rK4z>BW*_l|OpASV(!a#|TTgw-R*rq3c`!BsXWvoxIH z@AW8c?(S7>@zD=@OVUt>YE&bW=8BeWy!i>pN;l`%kZ3%l?Ui8n1hgsg-+M`mDoO5H zeV)Qf+!SRm!TH+-_ws)vUntjA1mAvU*o;BhFioq3_A!x_z|jY_hTF8)?{5}WT1ExLjL zg)P75O9f&xl8qJ1Jh78xS|I6&8+AJ)7a4}DEiVp5^};L7pBf#PZ$*sPSN$CiTWo2d z?t2HhvpJd`>JZU+#&n*Nvm9<}treI=N5fwl8c)Zh!I+dL&xcln-hS#MRY>0CUMrJp zZLUsrgc`NqR_Gy3u8zhF9tji>(nshzyr0s_6?(CNj?vXZ^%|77+r4Wq#@j0RIhJf@ z4O)vIB8^ceO|Z5SkTWtrj|ZLa4UxLQBLK1z3?-rW%% zmuY;$1$W8iZI+{wv~gs*fTJ#FpOp)fRq&l}3Ryd+;Swe#kLG$FopT+1c~Uo^qZKpw zOb8?97dn^WjA%mN`e9Cc8wf5S!0+hMxl~K0FWLX=smRni| z?K1E#c)WF+VZ}i*T4?Uj-3nFjrsN?aW$%biCCp3lfCxLBNV}5VH60HSARmE-t|sRk z&ClmiMQ;l9t(8#{u(KDGqZf?HJDZ=+vx?pv=vy1|AE7vW{T}o~=x#!@up%d2s-AW{ z-;v}!5f2{Wjvg6L+AJ>9v6>AQU6m2Co?D~8Eh*g^tmKqEt_ZF3>jxpe0!t7oJ2cQXC z8r^Avaj#m9LP7hZvdh$tewMOP(-s7GeFOdf&c}u3>X>?Z{TBMAPPYK337kj^S%{ic z6iU~+NdP-{6R_Tvgl7wqhr{^D;;)&0{Se%)fPNejE(Q@MuP~!~u)#HS?>2H^F9~>% z1T^on_GgZjE)b*bh8yigOp3p&Xto#8 z?ayFZT_D!m!EE(FTdIF+Yh*CUMNm8z@PFKfs|Qc1V0s=E%lzO>*w8D0k&r#J#wsk8PI z9l~zxKl2WWV_dZf3z4-fz~h%%Ics);->i^khbvhVhOKb3mKgi=)SxX?)v?9)*$^hJ zFoBiGqng+}G%<4fZ0wU(w0)XEKmUwK?;&}_#N1&=F)k7oIyB6-vI6>Pla^Y8^C0u0 zQ`P4c4M~3_>qR zqP1LF>|x;(dEOd`9HveU)~b30vl_JN4IWzTap5ud6PkzC>6a4F5)1DJfw#$~(A>?! zL*d7q2Ye-6rDjaST)8S}`WOPI_aL*t*>n1K6dT%mMH=HFy?h{88J>;4y4y;Z`BlA_ z)E8PirDp%8i&txv!-Q!8Of0UOl-$ch#U@#AhQaz?2}{*_GHtj#C0w?9tsGYK6jH4G z4v7<7@wZ6pI{-=_+mtj+=!*2|_A^Qks$es$t%rocGq>6}!-Gb}D3t35l}Bjs-`#VyqFlF1O@=_x z$Bw)z-nBDx!>6~S!A1?boD}I!2F17y$$JEpzq>Xc5j726NFTI@PXXUmY%_{XA$gk{ z1rW>lXI&i1;Gj$ci%-paH(Rx|6)Cd6IH>~Kxa z6A1OPIQ4P_47(I&px)4^`HYqiy0Xk+8OtdD1MPXoO>9#EieNu%1as^?u>NN^_ zXVn0N6xI*IDQMe8B7iAyJ3b2E5T1pZ+21qXl)Eq;bWPg&*Ex&7^Yo=`k15Nm#zgc7 z+sLs#5tffqM)`fc_L_BqPJX>sh)gItJ$egY1BYAE{`VSFt_Q0Gl^$V7OUit!=HTyb zrQ$5<%@gPTS-%|!Oyz41Ud9w{xkxeca51(iCA@{F_J=X%mRDaH*DR7A?z?B?T|L6Se34_zp_(LiohM# zu_0MG7iG`i;D>1es!R2aBa&t^Crn5gldJ|-*)znc6_yc04U@+hJE5+GKT~s~`(%deKFB7(i<`3Jcq^#__^oueexFo80$kcFda;%e|GRx_BVv_2BgyeRkXpXi9W7 zqF3G5%nOSX4w_7kMHuM8gRdzLIj z1_#mcP?KZFq5Ah@_u1HK;$;>f*Zo$PN!#_>xyEhvphJ+k%73vus)NT(nIBR4$pYdr z3(zx2guTDEf8R#51^hi8hAeDoc-2aLlZc5UH@J#hjZvr){}hp4512)d1)Xb8zsL^zfZtvwh_gc9yMM4;m9 zLjmBOQh1)Wq%3-Xk_0|E9@->{KCcgnT0}U6u+ina4P2g$q*QqS#imlvf<;Lx zoEML8g`Rt_V(fK>y_zyq}Oox%+Q$M!dl;LuK?!Tjgp zUFv7H--p!*^G7R5E4y6MMV=kLhB+=9_C|(}f2AOh=I1gDcr#dRl|PWC_MXN(_;85< zZKJT5&SnAg&vF%Zb)#r9M(HfBT1K92R1()9zpa66? zb%3yDS1wP$EOqflvDgImMOs|-4-Vst4mDY`B#{p(Pkk#YS z_V3?qhQ4j0sACb5w>;zikqIEH7^}d52EyQVqFwKNPr5gbnKm1IP9W`XbH{hKRu380 zOkRHaIEGB@kj(yC9T&${Zw-NqdB3MA`F7vW+fI*Ve$OXHOaVl3-@<-pchqs%PYthM z#t%9Ah)E1?-#$Ir@sbBd?pcEvvEX@{YlRZ1Olm5VbrBN?6k`7(^7RBEB>bZBSszJ$ zgql0qc3FvtpjxgwH?00f7+8}^-6R*whKSz zjw$$dM-Sl1mB6@mW7+mrG%p&`$u*sG})7WR@ktip)Rd=wM4tiptbLo61?6^aO1*GFGX6xl+qrU?_GZ7eB(3c10@bW84oi_~mrB z8deA8n~E)0?yie1Cl?<=%y-Vt=lg4`W@uw5j!COjfLW?pSa$fYRk=AXI7yEgQ31TrB5$ zkVX5eB2MDLEK zEQ@<5GP6t_;4)??J1Jm3&bmxi0QXA8|H5Yn~D=@KcYrZ#T9* z9}(JND;kNznuWE_s4K?<=q9vmcq{Q{C$9igu^&+%mz#BJ2wkhlJ_Fg)5}y}2o0EAh zZfG4x_X5POJY4hgWPvN6-_TX)1OB_6Eka`s;6Enx?Abh_&5=Ywf!Q2U^)>fvMk|>Ylj_(ZPg3q15&bKa8I#;PLH4Ypu(1Mq z)02yo?Xs^UA^^tD$UrHS4e#4?wH{{-0i8r+-tUPkB1gyf$N3Fx*QB`&y2MCO{c?wYl-A!J~hw{HEeQwR)!TlI+Cd|H&nduLjV?U{0~ zN_~G<;UU080?GhF5ViA)nxJRmsu~0f6Pi^+1WQw&hkllb=j>CqpOohaQ%a%#T$2gmnd&K$7j*r=j<%!NFvfbYpT)Qj=GGaY8_`MYzlXp*S*7L|* z_6_~8E#Sn2>XB&BN;?NUNz9e(eh{QTwCz@eJ(rcAFt+E=b)gKtK*?VftQc_0b19)9 z{JC*)zEjP5={=ydnj)B~ZgRp4)ku98D#qU;3$lN*|6D@s?NIn(#zQa_Cm%~6%TI8g zlXaebKH%2U`)rG0oZBel_)1AGWLj_T-6T`#r+r7PN#z+#uoWg{&(XOCsT zitmkB^PQF7&K`*x$}hqmu3 znO|W~|B>q$Y_Kw$I}8;r#Je^nYr|)wd-yp)?vO4AJA)W_?J7ARMEE>jJQ_U(n=^kok|!8NO|zi*Gi%DqhFJnH`ZsnrQOT5Pq)u`Q9gG*z@?x z>V+34Dt+#7Q52O$h;|#TsJ1_a3M~RhMjsBu;>RBj$`iBnedq6>(C}Kq4I58kX zv@}HJq)Q`4=4Ig~WKACj$GaoOr`jAU#Na36`on5c&Edh;4^0tMeF0$F-iV<%NJkFM<^$M(lF5akl+m;rt2QZ2!4Vi{gI2QmWb*KIT zOWe`ACPa{Rf_Su0Y+P7u76Z#Jzkhmmjx(7Lv`#oPKVY#K-M|?FtGXc@M|U$>9`jPt zw<^LyFbBX62aJC~)-8X5{Wy@x{QF);P~UhuWlZ{ArWcG+o%QxxByF5V9gh3>P*Xy4 zezGf#_6ggIfm;q3PpeGvUa;3VU?obfyF-kQgz;d`c&aglhnDl0HbmfMv$b$M466Hj75Uc|VTVX~`v!|8bR@pb)zxu<&yL;*H;*<7jMy2E^ zGckJ3p{W>(<%qF+F{FuD@q?LIf~RIi26}`evn5Kj4N9~TO0?DV^V3%jA?uzGYa4kP zn5zUB>Wh6rgEUKpXq$y#{SwK2m$LYxh32}MSWKK07@(W*wv>!5EZKppk=A{U=qtgx zG+G4;qIR#n2gmffa-}-dNwQ@HuX{hc@IFRa@x_k`cjL!+5iMwmnK3M)>efADAc&2G zbfOOytZ1p3F>IphG`s$Ij*!!!v2*2)yI3n6yz0cKhPy|!brSAEIC+$Xr(zF!B?be> z>9_&XYYnHR6zg>3V!?;R0wv0IZGz_X&uy}(nNctkVt%%u1BcoG@5&^0uLodTHv$tf z3TjYs0b}-Ere9cn4MbzJ( zkbU%55hXwI2#|BO1mu zHh_}stHk)-g_7rCnbhuUr|z)i#P2=jQtH~Wy@IE_TzZjqmXX%fxjjT>sIf&THg^2W z9NdITv#?o;RbZ3IYzKr4sSgJa%3#>qKWZRi*n?u0EG5(PRmm)^Q`S6lt2dtwv2#LG zEY0~mY|729Rj0Pga(Y$ZgG#U=Q)4%YtAxR~+TraO8pK9Fl)`NH`r?hzt6kBpf)b6< zn_bag*%)=>y1IVki;dATc`@9@=yJq_S*qW&krRG_6Vrpt=nHug_cvRFBV*%Cg5 zR)&(y=H0rT>=!PBmWH5ACS4i@NU8}Tq2$wpxoRBlOWuba0i;%_9CP{({?kN`4%R(DpIb7MUa^9vgTyY91_xvs&`iX$Jk9Jhgs1M{#iHxA z!UCLD$f%h%6FtG#apDP`+B5$ip_Dh>Ge(d<+%?9R|6|YWQIoW$Uo#_Rm83uziC%~e zO0ocrNi{1;)h8KfRzn;DP6J-~lNvf|%DtcZyY_eUBABC76&!o+zqD)k2lr)`j zALFY@sVVpJfCgh4epjO5&7Nw!L@Mx=q3Y&6$~QjF5sJL7mC^y$yK;2w`p?VVjp7vD z2Qwd4mD^FWwcD5Xj_np(`{ru8&)$jmDK(nL{RV9r<&YHb6uS{W#L1=RKn3!3R&N6G zJu0`_j^pw77k0@9SvRiGNz~pdLWIi6%%UGTIZKbveXo0)4YwfgsxQTfR_#@|drV1< z;0dQJ)C7|<>v;Y`jWS3!KB77gmXkC@++^Xg{P_*vkxzx3WsvlIL|YQ-O5=``2|ZuK z0c1u>0_(LXqQ!B;)L2ok;lAJVYvPVIm@%4=el*#q$xJ=3M^ir-m&3ybbTuqFtquB? zwqm+_h?%kdR*Qoc!fCOlRMv)+rr92#{m#C564uu^#&`k4$TSwX)ayLTnEhqS1bvxf zj2keF8d7Sqqjvb5SHZi z?(*`mcl&XE@sjR=s&?=DV)9mDjp=YdyT4q-bYD~#FJmN@`e!%Q6mDiVoIdbaG~AY3 zRD#45dB7U?28?C(i01Cnn!KA48i-Fc?$PHRJ^dG{k62jqI(QH>@0}>vB)E3}`xuk( zlL&MLG>}c66N4CLyhW8&1rJz`ns!bq%Qj|g1}wgCh%F0Fqs`u5mP{~$pHcCqO@b`(&BA>aFGxj$-gP{N&PhXmPZ>`2DPsUKB#+V=0u{&wg^vuppBK95I zJoym-7~^dFUyRh>`$NOL@Vb#D&e+fUR>N}tiWNZmP+{IFP2y2t_7dhdPpIK4nSbA|8`3Pq9h@$TAZm4$WR z!ow{@U~$K>W`OmwRq1vJ_vR%BmKw5CKWA@OkkK}1QB4q>99HLuHWq7`P7t6LTnB?T z7HzolWro&Cqgk;>vK8$yvY&HBALxZqnQzspC_peqf%IMW??-=5i;j_)*TOPk?TN6? zL_%XxZ8BL!yXTOy-LdUnH{ZutF^YqsOM{*@k@vNWu=V8zN@DI)f z5$dAT24;q36u5GY%z=r-EbQfIIZ0)qNVHyZ}gEhB5@VaPQBd)rru%r>R5jQ zeIy2-5wNZ9P+Xfiy<8rA?e8rg0gLt-*UC@K4>kxOz&TYkdskKz-J^1~NY1{Mb#aqAQ&CBb~b5-`a5DI@iay4qBQoXkl$@Zbyz$2c-@kT@hH08>^od? ztmark5+JjbW`Q0sGDSsj$>Dt=x#o?E%&vdvWu3RPP`)djr-J3A zGZAF^`i)k`NO1hA3MqB)Qx#My`KKy`)WQgZNq41>`{=fd)8CXy27L9FT!62|Ekb2Z z7U9;q`KfsR#CIFNZ%yy;vyWUm1nuD)-NElW7lLY|FyU~H{3P^ab%I)$l)$-O4}J*6 zKVWJ=!WYh`nTR5VOo;$6EKr0TVkIFOBG*id3^N5gPFpERIPW+qOtJ*u zP7AZdvJ&ejGn(Y}#+hTKw9E#zOW>S7)99364p#o|R}_q?6oIsbvd9jWdj7yh4-+Z7 z$Wa;TKMiQSr`uI>7zA44NZXM3^(`u`#g=X8=yT%C5q+~oi8{Fe_spcahpcfE-yq)| zJR~U{u{=sz-L*N;w)~N_3UMJL*d!x;k?=_NYc;u;feJLUlCjH5PCxKiPsDRAUC@pv zVDkj_H{xKlpdClZrlzSOol(l-YcUWNRjEWfc4vMIW$2e}}y-P+~^3@u&*v|mCyCMtNw;9{IvH;wna5}*GDh+KxR17Ps6JMRGBsD&OgEVhzfyTFQm`Q zp2$$|UDROaoNpH{rafJw=Pi%=c3(uzw1I{=_Jno`y(!jEVP%71 zl}hMIqG?Z91-_VGHQn6dDzi^D-OTYSO7<6=uA+2(!TBo6#ur?!qP%~>^(qQp4c*-B zDhgi>-OT+eiryDIuA=yS!Sk2y3tm@uyK3y}mh4hTYPJ%yQd5xl{2;KcIwp7@pbBU7SydvkKqAV(P_1+Gd%tGlMz@l=v_{-SAoo9 z!rT~FJ3G<}bSu~OWH_e8O*GOMk^huFTal~Wo2{(POk|7_9(9{san15|Jvt7BUs;|_ zecya@(rQYxl%kD9*@bx~_`)P*6U!<&Q!8?^6tHbRJRP=iRd@w-^~@x5p zF#Fgr5*2B(R$7qM8+&8VGVoNWZAbiAS+?ZuND$rL{&`F_RDKA~E^XpN=AEmUe zx+Y2-CVNh+LMC;4)KTpxnJC%t(^@&PvIj}qx*(HZ8PYBRPlecK{>soz()KNg?qq-b zNe+rGvOkSGC-Z|!Ak8;>vabvUNZYG{RPXK#CnJvc`mZ9U(`<=@ba(D-umv*K| z6?|Ef)Y2-7_L@i)U0IXd(kha6he#D(S(D6?XQ=u6U8l{bt2tqZh%)R-L|5AIC5s`6 z#T?GN%yslmxYe~G`BVmux)aItI&;Qaz+uY5It(jE^r7vqHX}ASunD55^cFkB3lk?n zOFEpqA37`E!n9;2kfjRUYMZ_54D9s_%l6or#xZo&b$rvyj@WC)G4R!OJk!e#*d4|( zgNwCqfC)35WuGL?zB>-5>I;LXhI=uKQ;wg1e%#~v@ln=?U%${6hZ%CBy&Rh8p{G_#1+}GhY3@(b{xs^u;GWbvC53QgtNK>4Y#n^;`L!J zh3`SbcIIB2OXH6va`^STIMV2iGi4wkFq1m=Y>yKK3dX*iGmPl+0Mj_Ei}1-2B+~J1 zNLDM2$6}vkX|`n9FJCdJ3WaYBAFCQm-LAF}3YXXzF$ z!qL$1=>xjfO9-4so(^xzwy>h%KjihCB*Bw{GSo_G5keDISR#bE3VI7O z8(Zzjx4Ss&G`ae{`uSwYj(CN9T|!k3cv z&aUP$3kE367RTvf4F#p`R;YF3Y-{y!lKDTTB6Aue zQVyi2XGA4fP7ccSWdCtAFhUN`So0n2IXKyTS5qI;AjsBmcP|<*5pq;>*nH=K%~x={ z2B6+8GoWcQtS3KuQzNsU0+YHAGP)016%J4`tXZ3krIiqc7@or&4ki?`v;*L*Vf{Vo z1tSXt%jOq+7UYlM7laoO&?2|9*v zz|=iLP;YO+$FhnvIP;8WJ;G#4{#{o!QE(h3^UGIUkqzBubS$dT@ODGH?xkC|Kq7te zdHUZB)2wO}JB*C9E`%xhtzWR+oE=MVF>}ggMa`}MtpXz&l1QQ-l!xL=qr{1 z2PNpMF2BAx_+XNJNF(HuzpcLgH_3khB?wd|91zf|5CJ1JURBR|XOzn739tP$kIhVL@#` zrX<37^qOeC)#9iUTMPkT7~q>_xtn`kz}aCDYuoty8Fg**@2K>@m?~IjZAR&V3hI}+ z$0K_{?1jt6JwFQX`IGP5nf8#sPG)@)0hVNgQTx(t>l=6_29vsS>iI2w+Vq`|Ji2Lg zCNUMQDchEQ%r5Z!Xd?rx<{GXl=>N$XY+Ng*TD$HvSpV~#)>^Jqc^>|0_5e`o4@NZF znH*21Ia~?0P{fe&bPl3GZH(}Y$)To7SgL$bZCk!@#}tW2(2mWu-&=h@a6Ain8So$? zbXqg!`>CnUuPddF4iG7935*wHRsxZ%6^Q{N6&k|HYN%^M_SH+F#oLkQJS@T1`ly!k zJFEFjsR6&x`*GzXUA$E8it~sJc_W>fdk*d-cH=aE_;9B!!`;|eDo#P-6(nmo801Mu z$dS}qL%!Hd(r{UxlNDADjnd(7}Rp_=T$svcxQIx=u zlj)6d6a%t-iq-jALLcm1BCf1@b zWW&c-^ek}0y4Q$U-fvIqK`6-ZIaNE;aXw5U`9)g&vaSp`$G^L#JSIQR+X<&WIQRsi{| zUVyj=8?2$ZXZ3JqEilcB1&Ok_!;M!<1N-1f#@!IRzQD9^AyX`P0I!m13-T4&;m;7F0h?gd$KH+mjU*M{B>@60Eo*-yH0Vs_Sr|DtPY*;0)yrtIZGJGOkHM{w2bG4l7 zxrsF|e6cpm%IV~?mZ(?cBB!JY;pELVNw0$}DC7>&TaRdFkMPOYuiC~~UDOP|hy`Bd zfZkd9&qXTDv-^MjqoE1BQ4*5#&AMCo#reS?)n=xNV|lEk=4iji-A_;d`8ra60aFMt zta`yQq9#vJ?KIRqLavGX8gFMMQRl@W=$$d&+jwPW_3DZUMNM@{Aa3q0W;>}5{(EaE z>$d4l6OG=n9nU{mF8TA;*`(U#vYpA=Ef|YXcs)<+XNcl1_7=TDM+A#}xR*PVtIQ9^ z0TNTAQtl<+UM7je*Uo0`nIxKbnn-Sr8)pa(?(FwNY7kcXDSXicNQWJ_4#p;w;-Anz zcB8LaKa1W+zM6b%y{u18Zfi>pcsx0>wz=-rpc=YY@Y7O!lHZpeEj_aj*S|O$s1lNu z@;X>l9c*XvxV5@^ZwT-qj;E&k@$e*A^$|pRv(q>%a*N1#|eeeW~YHUb~;MG2UGCCpI53 zPgGM{9eY$UI}C67hJp#<<0i_MSFDTew^=UxCo9f}Cz%`_)`E=$)CsP8advv}Y|FbF z-oDhkR(hvlex86dcWOW#+5ud@p$lIujD7J~Wsvw{x1Dv`eia2I%j|?u9j3nxm3l5B zz~g;7=*0V=`;YmpZr7w4w+Uc`a%AIHAK1(0^w2Y4yUpX%)dvW@8zd!}NwQDKyh%~# zO4_)>M=4W>^;x0HyUx^=&`jFe6EW&%=K4%6@qX+_-r*ax81vQyPsYZ2Zi-oVG}d7? zO@}uB4c!y~-pdE_QKoJhpTBrIT`MQlNoZr~XijZw?$b^BN*L>1y+_@4`MTVk)Ai8V zyv94RyylXpK)_(}yd^&R*m%8+bGNI%zZ6nkjEw+g(inHEwhsv&q<@=vEVb?YSlz*f zD0NQ)@zG>s!|>EWV7ECdSbJZinNq2BhM2H8#`q}u@Gnd}(InWgmSiWqWo2^1AAp-v z!Lt{xIf=;?@N#Jk6`Ec>uJMFd(y&B4?$zBqIiY=h+rfUQR@u(#J`^_0pclcjvE7G7 zGyb>llIL66$|KP&+P#((NPsaI6hd4C)QHirJ_ChIFpis_Ll!H>h;Xz4voI}ER^O00 zv+`Q32u_cJD;$KpPN7|T3@_xF*&;j(UWln}3=dwcE$dslNU}syBuel{kA=~PRndo3 zarY;24^0s|P7>^PTaVKQ8Er5AXQ-2o5o_;P5l0CrM^v6D65iMB7W@yuFWrk9k~3b~ z(c6zXPTG+%X4EWhDbXs%C@#5AZ{^_Ym%%LA;a9=tQ;*|K8|J()}502jk5L3cw#=a?F3rkb(ZK%A7lV>(QD4Yuoh2jZwyn-4Q?}eVs8d zYJ2|Sh?=##a^-60CUErTz?X959K4xUx~93#g2>{+O9bSi9QB;G0AI4few=psT0yNH zOm6Q7YCj?C1l#;0F}f*q()8_^2-r4&I=A_2?}0h%nb^U0LM;i%my;+%x)Q@tKs|G++F-se|iO&TY*U(|)UI^XBPtaaWpF^QPq1?q)XAeA^Z7a3J?7>#^$D zEO?jtbNbCD6m0=@fEx8H`3htG1h)Q=wm;YiB-u@?6c(+^Sy`a+15On0;D(S2D#jox zvH-6x*2m;M?oZ>;MRHKD9Kdsd8ud#u9Yh#x5E24EQ|y(3T@0&Qqm`9g@a}$K?&X24 z{~9Aux3f0gb1!9RI~$d@s4knXfzv4fqmxr5XdftP%+CmOnG$&cx1NJtC1{=*y<3#M z_zfiKF9Ucd;C_uhD7CD`g77g%ISE`fxUii(^Ih)n?qnxdoLH5pSe`19oBoA;!oFap zb&x4QPJ!lB`ih=P5LA}1+NZ~B>76~ZEf+2-1Fpbd!cL7%%OEpI zIq?pBt*N+wb2FoqO3#VUteNZ=M!k9SS?~xE$>Li+t~^mVOAxa=i<|@;u)c-8n%yNV z00m4SJ;BH|iSKktj&yX-j&3Z^6Yj>ZxD6|{8Z7+_QA_tmgof?PvKaVvfv0^iEyXpC z*pfVJYbzllSniz-k~xx%;t>0*3{IwnzRNj#9whA;dA!^9V`SLp<9u%K*Le5WL+fB- zQ!7rkLYOZ2<{s1L9=Kf21-gw7`4azl!iN18`P-yMAqlc!Gq(r$m_YwWF;Emmmr+Te zjDdnODlvgD{=0G3j6f|jmxXFnCU(CZ%u9>*y~I-3!8U~@$1ryIp|qm3nfD%&HlDmv#HpSmy^K_4$jf0sH9v2 zQ7r8MlzzOzVMLWO6m#^rn>~3EinjB>~IoM^|Rfu|?Y zxIBHLEJJW>J#exTLnPc>;tcLlYmU+C#yE@7WY;g>jz;BKi<{Ozfs|jkwOvy= zo+9A*KZA`V6k9iT%X#ie=;Pe*T)B2CwQp@E8c$T9@Dw+Tk3}i{ug4SD5p^JP&6Uk@ z&((BRDx@KFTZH&by%@2hTk{jizgf}*t3CrJgDy1D-R{uV&>YZvPGaFwsIaIT^f2cK zzylHj#x)+Kyg>_)X|S{QH6&T-C!?Zp#r7hiCQu<1Y7wnz)!C+Q-L})a$a54(|Gx2Y$hUA2?cGW$$an8i6 zQgABr+@E;k_o{nT<67n{53C11T8 zR4$pfj`GUCknlcEJ~@|w&ha6RkhC*|B-bA+ z1pC}O%u|SZE{=2HD*92w`ttPp^0-9E8$_yZ>HlcpsuXd8ia56*ip}$XNYb+qJ%h!} z9PC@WtyB3gX9Nr6DDhI_0?D}@$Vu`>=CPah!Dv*h2#9kSJWotpm` z3K^Z7}9+Sfp`STSbW;EW?HK-@1 zrF7`C>s%pX-emlat(pL2o1OfO_Ti2nUguG((7*Qv@nGje31bg0*;@3Re83Ct-%R9d zOS3H#cQq#@6$VCH&&~CwJWjMIw3~T^BmN`wm3F{R6B72ZJRAmBS5;TTk)JS^h{W*} zgS#u@ju_g(z^8)F{8jyU8ZT*p$vTu>6oQt3lJg{u=79V-zlJ=7!BvO3)c1gfIQZx zk4&@K%+^*U7MYu-rjonOp{9zVKY9_yYyF@onZ%FuZqg$7Eo=wSl|SUp^9Gdgc34VF zA6p~%hY)o�-_GXvg>r1E4h^;b>)iMS6#`W^jUXA7=p2 zVK8p#LJNLKhc*6zNpbarK%;3Q5z=`)KDql`YEev>T`GO;}AVWTp9)I}~fFl({)I%4l_g7qRF zayAMUd1y8RShaaH&Si6lyR`T_7W3$eua3PcY1;9)i7`Z8{=_t$wVXcO*pOTYViIU0 zrg!y^`}1{keUSPXn62-@9&TJ(%*AVEfZ?{0xXJa| zx!6}(Eb=?vi>2Zxdzz(i0^Jz+R(kO)u^G1a?9n=J`FIawvwVAhv|4`h*=cV%tI?3| zojc)~_PjH9TB+g?>e92T3$R@>b2Do)LGZFhm&0?O!#J6|Xfd%X;IVe^`P_5y-k?Zb z!neq2^5h7LT`#JsEZib?AHEUiXHHj-EBA2kU3D_P!b4;&$lh*Qu`$_ceLcB%@8c{a z?GqXa%m~!J~?$Mh-aTT z!l&X}PlwtI14+Sn=|WjdOw>QBeUNKA%f@3FcaWKvS9K)uaX*$C#A})wX}T0RY2p6` z@Al=a;u`Ay!KiN8e&z?(Q6Eew3@7ZP-DCO@dbJ%)C=NHYpR#*o*<@VNCm&@^Z0+j@ zc6&rS=E2+c!A>#v9NU6;jvCBUJgb752KNX%{7SPB7nlq2?I*2zPxs~}MY8 zf5=_>(kfgO9mIjNN__V-%SRCpJ}~*yHe3R%hGp$Yt0zz+ufuU(vAS58|!8- zN(7koGb$~xrJZy+Q0gt=2sK73b^0xkbh?g}l_{a9HX_6-auX02xDaA!9iI}s4`P3K zUm^17Zd?ovA(0OR=(s|VB`bI-fL<6#!iUwSlFO z5mF++p3!j#7F)s&_7;?GOc$So*=X>GZ3wA;6&B|+nSY4rk6wQEt>V-0%aC*g1T8v; z`EXJa_f1H3DrMBytQEp1PfVe@^v-o`M#@o=BBKuiJ|vJHDEwHzuB@)>-=iiD!ftY0 z-4X)loMox3wT@EQhFEl+XZoTY_cLkMM z1%10;v&Vzh)dZ$uCIMh%E`cb%o`o#3h%U@ozd908HP@PGWDapHv1Jx4wrJemO|SeO zUoq2WZFqT~C?3Gh6P7n^XQyA@U{fI*=oD~2055bFnf8ve{fHy=dx#X1jJO1%0+9wI zCde5vVhlBm4EI!kR|MwL7pGsk>uvXs%;|T;!#W`5`WW|9kTGp4iIY?D z^a^w2VvPc1IJHq7`WVwvab7(vfRT!Z4F_U6P7e~&czR0#(rYvZGhb_JipMv7J_&Q3 z6Ft@q^n77niVSttNC#qAlRx$3_MH4kF>`j_xsJmfNJt1%cNvt`6j*4}Mtzu3BhMkP z*75wt5*!FrAhkf{=T?3xURs2p38B=zBkH9NgQM~aoC2FPV38`epU{Vz?MT739Q!54 z8r)Er2P6}T)_4*+gaXhx4kzH+)l`dL38gsQ7MNhASjkSh-tv(Pk!;a$sbo*we}@=N zB_@tT4`J4IxPs5++o%$3g=4m_CJD$vfDN@9>~-?yr{h^5IT=^|*V5)|P>tzPo8bq= zxIPNptCs0>WWzr;p;uzzt>KS|&>34=t*Y0OmYepi9si+B$2Bo+MO78$sZ?ICJgO8F z_D{a8raEBJ4l!36KkMYDsXqZO)k#J0Gyf(~dd;NvS?Jwqy7QgwK%*8af@?A8XL0q3 z#c{nU6>WUvSy^V3(`05T_cWqUQTKAF%<-?AI$`)G3Bk4>9 z_ba*h0#o%Z#zD>gBlo&gp`|Nv+S!z^XfiGC zY;}(P90dC_?(y zY@7X)sC3#VFrne*nfdDpx)$t8Ar0aY$7C^W06tt#We=O%Qt{S|H@Spf)(P#Fz?z=w zsyx&ot&QwF&`LQ0Q3%1qw}Q*PPyzTPpV!^~%kR;-hY*r4?Oy_@ko{W&vP|ZpZv8z$ z`;?ChY#tU&PBo*mrSDGD1AzthIdo{4FK?U zpVUfX5C}gX`br}*Hlo-y?Y4jUbpXg zJtlOWoUwlQ&ihcTa=lP{-Xf2EII7ybitEjGS-1bM{+Si+Dt zcx+N}rd%L??IYqH*A*{v<+l;|43noOPf6%A@*3K_g zGQdCMB__6GD3%Vm;fRKWmnE*riVXIgO!K4A72%_)IiaQ~u0F}>*?1EA>4Tzv!39 z{KqX+JMMgwZdx%;MQUAfb`~e-7ZN6=f(n>8-Oym3N0eY1Q!*k3S{iS&MAFsBafQ=O20Mce1wn;q{YYhtq*l#BWlak-+8n<(%^Zr#!~V(t0R zvi@PJ$1Rw{^O^#4`=xquxPJR8@lkVZ#!Z91w6Jz7lmlnvu{G(oNIbhSTYn`r*odcD z$NU2+*CBstDSaiW>EKCGSuPIC6z>L#zS7s*0tgPK6fvj|o+<$Ns~H9(|LldrlcE2G z2`^?LhZ}rnz%)Fg3>Pgz<`tOK;+_}yO~7U!;X*v{#UK0^r8E9J1mk2oB3$O;Y@JyP#f2g<-t`WXej&=%6{=p$wk`^@Ux8sbM1hg+(41Hp*ivmuM&BX!OVtAK|XG=#Tm+4MS|BU5)q# zUI#%pJZ88y^gFTchA~ThGRU{=8z=d@(Ho-vDBp+V2Z`1F1l`1_>lTb9x(L^2m5KEC zQw6f65fW_iJMvKllcf;~EE(}Nw01Sxz6jmi&M0dPJs(Ky#l!QVaHM7Y?PXrC)Mu~y zk70@YnL2qrB;DMYVcoz%k}n{B^g4JnBj}PQfX$8&#=$Fm;fZ ze2kpKp9rbfB0p$B;&F@Pe4&d=<7#WCO?^NG%BYcYheyb8rWA~{y_1j<5fDwK`O0t= zhz6*x!V36q2`an~S9FPpaTWz&4Ld!`ejou%xKT&#UZX-1eQhK?9pAG@NCg;v&0G(T z6#pA)qVksqaz*fR#MIE0oZlW+^bR*f5`rCw z5#F-)ci^BMq-YEX*a?+49>p5YAcA^Ck|MROxF^Zy7URg6@7ODW#b@X@>ky;d_U(4| z0B+IN7gvkv!>@+=Q36Ef7d$Lz7}pYdl|xxj%H<(01q_Dw9QfP6&>mDgG(xB>kJbBcCRY+*{A$&CkTr2!MAc(J&AO_j24E%NeG4zYB<$Fj=L&3*^; zTj7lyt8;`>&nFoy{^)5uM`O1IerHJ>{mnPfriJsBaSPs&J;&YAuIVRUC6yV{Cxw4& z*LGRhgwYZ%*xzdnH(^0p4hUVSzGz7D_Nes1rPPLOQ}qld1N%9?%N!FTo&tgc2d)4K z9Jq58lW(G!{?JezM)`z!e1RMTTg?UL1s&GKaUusvO5Vst90))&+aB*l^=E`_D?@}N zRPeu5Gd{8Xh7S$2B1aFTFNl$M#VI`26|kxxFMzk5c<%faeuyBhcI8AUu2$0vFos8m zJ<9zF_(%OPi(qaernXCrs_JIG8F=8@yc!(zXDVID|M7mqM!~6DQ(lMtVKj$(iOMcD zgI^K9XwuX;mIt#7qS+*R_2!R)Ufu+A)oGUix9i!2Cb{N9xeugSp&DdB-WNKo&=_i9 z|DA&j685;6T*fV_#vL;b%ZwNj0mmIRE!ma+dV}H~WoW>kH`w$mh=2E8(mC3y0yDme zW<=o#A0y2EVPuz{CqoZqP|U_P%ablPP2- zPdWHzWcJo9RA%Ghn)gzvmpJBgb^@is^k)d(bdaQ8Sa`aniGLEzN76ZQe+OprNT zPsW&y)Uo-YLuDaPJQC0OLE>n0w;IIl7mE`1muD`A{_n@DL zC>V$6B)U0GR=nl*Om8keW&b9JS{}2}=)8?HL+cdn&Sf=rZ6bYL!X+{HZq5ZXe$yDs zIKWpKYswQ*a zVLYY2s4~DYWjRw(7g~d0*qTy+xdMyiFpiA95yCHg*y7|XCLluxxR302T&z|SmmYMw zI!MSdaQbveY-DhD=sCk)&}7_I%yduY5fCKH!jCfx9;A~v!U)vVeC>T=;yyy%X@AWZ zP4{R~?~D-yUDJgaF@C^k@ZbF#Kw$1omW z?QlR}M@%VF&n{X+#gh6K65Ba@ znR7OewKA8HcAjA(s^(+KB7$@yPC!(LqJe3rt_G*?G!V@#uPlm&5Rz&%nF{iYWgngJ zSxQ|Inx2G9O%Z4~KDu}~RJ~s>l(ct@&+HquejI6jBKP-6Xx@HXelQyHb*?N>L{nPB@a43(q0L^?KW|m(?$Ixy~opM&g z#Od>BR!AFAZkSI?X8Rh{GA(guLHk4f zLHono9B*)~zw>CiKU07U!j48aobr%BfHr9xeJT&nqm58>y$^4S5Q2wxj91pEO|~km zvd44`C)s%8S9>9A%rQ4zggPPC5i06NeagHKC$A>1XRA zQds#i84lSx(wCE3KPzz9f!1N1`WpmwyzS) z-)y<#G7h{}pdy;J38GkkVoX<~BlHF&rJ+E>^tqA{+v(H{}Q_1lfvIY;VF1<5iPt=#5{Tgp`c#gBZ|A<|V%k%ZFKMStXM6(dfM z=cE@B(jemXYaN)Oa2gz4elX=;ujy%?cf&cy7_p=vffIh2HvAf#AB^kPYg3;K)Sgpb zSt3bUGI5}R!l`hy35^n=5ta_3oeBo0fe>PXpyAT=W=UjVGdwMw0=lvUnre>?>By~Y zxE{Wb((@ZQl3|FGjR0mizNmVZNipP2n11&wt!R{;m2HhHEyu5|4xP@EsRd@}w9Yk@ z`_)e~t4%Os>9aC(nniJlcQ5a2DJu@*gn{2HY?%_;!H8XKNn~bLy{_aecJu|UA zo2;!D4(?30H8b%&o6MsZ9x~zEstx7S+__$g5z$4p!s(C$vB9F^W!CIGQY+}R_(Ib{v`Mjf>|`vyAR zXrq?x-^iqfLxYti~5wTEDos*n3hLz3ZTde)I-_t!mtc=XsVcnuqLMdu5OkHh|C zBX|Yp3kr|J-vs=8tY9qM)Jg@T!qxwfh9P)pQjSFww!uQfi=_hVQ(+;s`M|-|Rch*j=F0N(= zuU7X4YV1R%v)Dh=xBFJ;0?+(ySeQ#QN?i z;bRBKoJf};yK}ps=9b_UH-)|@M`6L~=R+Bsp820TV;hh4!1s-UeK+eU>x}PBa-};3 z8VAC5nshIZ7KyfVc^C&HYpDbazVS|Hd7fn{i(|KULAuN@5CN+0&qR6A2qy5{Gt>cF z;DkVU6^2=NB`q;LpQTB+u6+5X-Rm-@dbFqXa7?n>Wb(a!+tI%l(UKp*%6RFURK=j% z>Z!;Ah~Eo5Ajl0J9iC<|z(i)untN0}u6L{Ci-_UblqB2_b$sgE(AUEd!1$`RYoIsw zc@$C&DT1%RQTrz+t^-e?!F;1hdAPUG zH!OkBT~JUZ8ETm5-;Ufa`Xr{N%`M_FSt2GT za2UhhS^TL}l^akbGnEZPRTh+-3_7(_e671<-NGSrZ#v@ z!H_oR6iF`0=F#)NPo>p}yq6eDRhRKyMyY3565Cgi@F&e?2)B>;xRQCi@iOn-x&FZQ z^l=a;Y8p@y^x*lU(50uS%4vzf|clcUA=6cI2 zEa+UwH4(s-Acv%LbWR)!SVcUD#~)3qxzOcd1Y8zay!eM}CwNf3w+Sj2=?byq0TiL;ubl7Zggs za{J)Cy7qBWg#_oTnmYJ4MA*~}y8o11RcXp<)T! z8n0TYWCf$VQ>31&piM}kMI`C${mQp1lef#FH)2RfD`+}fyP5X}rBqCLHjK)9^wao2 z3~!#GY=xoIbP8wPj`)I|&A6&7DTDGb%n>vsGRG-tQ(iK|V0ZUYmaue68dN9F-sxW& zaA&FPA_G(|g8ADb2QwD8*t2sEm>dC=Xa_h6bvd0+mCxHEw&h$7N>hi@oW#d2xvi6p7kn4X>ky6S7ZwiRNk}bz_UwA&v1=&XU z&m3|a%!+C24e{ZTp$KN3^{U&8)|pW2Xze$aa8~#PbT>5_4M4{u*Uwk}BNRE=i_}?A z<3p!A&d2P)txkP@h-_r{Q}7sSg;B=z(Gj z8WD0bfn32y4;alRfupMeJ_qH3*Q2gSE|(J_;i^JEb5+k}4k!WlnqTJM>&6jKfl*qC zmkGXA_U#TlJ{ivdXV)k5qbw2YMy--rN83p!sln_Y%O{EH!gbuaCBt$@ zDgPg^ma}98mycRi_(EGC`I$5Z;xQ(y{!CFL>aa5Qx_!C4o*8?4=@qoGU$ls};w%@5 z>`(ab2m;CMW=)97@774W&#oxFhbl)v)46${W~@vB%)VdsaKD6O`GubRH}?7tZz-=n zlw$esdRgIZ813blD+eB;99MKY2i0irJSeFt?j8L?SH#{1>< zs{=T{TgI4y;;4?Zi0{KN(hOk{2_y%L^~M=BNGEXd+CH>=c@{fLtA}jCq{}mTXO-!T z*kavWvAcvJuK0$!h#i_BccXi7xBU9aJ_ED0v4aWXQL^DIHb!vSV7xP-kGAMBKF}>y znU?nuEvk&&6;It{-@vWHRu{@IKchN(vOP#Qx%XXi)t!~aKY%`}l;DvDS#7@{SJv_) z)V8e^g(Eqj$zFAoWf`+ACgZOQHdk0o#INUH5S4WmEP(fg16KbP8YrJY$EWEE2~rSv z%=fsJ@jpA5ma+9DIOd`X%L(hI1&Y!l8x&xzq@#-$BGi*8g0ZxX^5{6%DDciK0}Mqv zfAp*s)x=VE2;F;Qx`3-s2pD)LDsO}PSXMZoGn5~?w>Wp$$8yOb*RAq;3hXYfytzo| zH=iDJICTQwU)^@RJziQ|#ozajQNNg0Sqi^h}|boO_O2tOa?N)zs0 zJ$k(s=V@Pm`vS>Jfq7$q?}}B}FeeEi+9cF=anF&cGl=$F#`I#-vCoOE)1sHC`o2J3jTd zu|bkna%PNHN|}*Gfl;0j+<3)< z_D=tU2Kax`fCNdvgb1NVQlQO;+waQJ$V9@&37etc%*~;pLrkDWirvZ5+sog;_?a51 zS;5LMg9x#S!2OcI1d`qkA$&vq)Err5WKfVaGvr1AG7E!6LJ5}M78le>gPR&S zBze_KMJ>>Je|qZYhUXf~;cITk9@FQUDc;NN&dCpko0Ba$;uEjjbjM@q?yIba7ai@6 zuHLHGMsIk|$GE{5Y)1R{UT|qnw{@fih^TZn$Mx@pn5>=$zqwEX`^P9DcrLeNzY724 zK!~W{kF&s2_?&tpk0hmkUr!!ET(>G_i=|Sp9)CJ74az1~_?Vnh=}CAuISmXB?;!*( zk&?+Sb~HPBMqLwZuXL3znN1EC>wdw%gtmS=I-*n|zRN9iC7b zQp93$7t1NCTmqn)YM3f?6?HBb1`c+7Bor@6oFbg%CdYff5}>u=Ev(xO<_lMGD*lv} zhFH}@CAtvsb=b~Vu1=;H6zR@$CzIVrO5_^Y5}c<}mbSlEr#&tWTP=W6TZDmaD0(OQ z4D0*?UL#&BYCAiP|05cU%j^>6q&g}0m7Qy~@RsW{Ueb~88NJ9Vdc|Wim9$lQ{jUNv zYBU&Fz5c^0G%Wn1$s++mz1Fq*$7k(e#S=#_(m*c1U3kYxY71@NgUdCVihOlvNgC{Y zi|nGw{Us)k^L>y^V*l_y=2s@di$sVW!9%L?0a?Ryop?&#wR4uGDLdtB$dNv-|UGX<8NOHP?xpMDhX{ z@;C1H)o{ZO3Qx37nN`p8Hv6qaU1nB$xnybjXQK*_iN)~)F}bTmX{u*T9*27)6)qox z7bA=M4cApG&3;rlt1XEtVj zvR=cz<5f&n{rR!-qw$+aFmJO*>2G;y77b3P+zBe4#4n9B08b*HMv3H)qIG(I##^Fs zh@}ZOb6|y9-#1+px}BeKlphG4A*gig)GZa-cy6Te)a4p8r??$mr>O%Yvk*k%u8;;M z-77;%`TWw)#8N2ycB7bsSv~IItr_=0c1`7rB)k-7cQo#d))JzjQ|)0`(n9Z7 zb~{mWooUE23)GVbxfs(R*asvk85 zdi-alT8R1_J12E^vS->+v%5pnc8C$Q%rdj5)zc!+V{hk8z52avcgj@^m;U^zZhE8n zeA)N7FY1S2V4zL%r>VW8wp-WoT8C`Hr)vF$(RAfu@6-9bipu2UY0jry{RX9Xm&|4A zqVVuGN$DlG{o`SM=Rrb&r-QW8Wyi|touMbisOTn7$3>{z+tu;7y@GwBgr`h3KA%Em zX2sGer;0j{jn?18*D|%9mmyu5tjCRTfJpFRNE>as<8ZF$;Wr9&i#2I-V;5J5VI7Lbq*0cixuQM!BR zZtz>r`=0My=UmtNx%P^^;=ccTuQlWBO(gsHFvWoto@Z@XYo3Y)237FTV-TbVBYzIy z@`gevg2I=<_ir}X9OnOp7ffj8tYgGldXGRw$TvwD=k(wTlj^?&Bo4-0D7u-{4+uHN z`>Ec$5=h>^U(C-#yE^dYRttw5m4>sqsp+EsRF-`WsN~oDr$ec*6Rzk`_K+tY<5m2F zs#GqKhcdu6_Mzj0)c-y`8OrSgy#Sx42bAwkF>tO!B=d z_4Z#RTY7lokk?YC_@&g4v3~5^KiE#EVm9oniO&ZwW-WEuvd#rUs7A8g@Rq@7^Q3L1 zyPj*DgQnC9d|Kk3jM;GaU!5^)=$k?d9cO~?&B52}2bGSj(pVcEHjKS}A* zuEWh2#e02YNGW2Wv-H)Z#awy8I}~MyfyC!-={e-&FeW}k%?bY6eGRHSwa%A6euLoz z8kwbdjOQkW^5r7ln-$T2{wB^!Ih5u^zcDWy7JuWvo6+FlfpAs*HL3HD-Vfiw^t*%b zQ{cN^gH11LSq~V)n;lMbu0^Pw<0kCPpC=?Be=+tC>dvfUoTdan^+wQUO+);@lFO>+ zR*piaipAj){_lT(b0iPrFlKzH%Bzp!2xh-Anfwu#@pWob{qLS4fwrf@=28-qJ0~)b}a>@jv9{GP6-3{7Nzp=S5Pk$OXMO~l%Ts3j==B7-2Ugr!?_{G%2 z7dxlH`orXT?Hk=Q9!#u2s`?K@*Q1z2;Tov~dBEGE=i%jz*J)$sH>8(q96Nrs(`TJ~DckBUGjMHps~fv^`olC{)3BV<+w#!7()xU3d1NPBae=;6 z$%kjj$l-mCv9akYwi9w9rE*MmXvO%X!{D$|X*lVdr)Pbm_yE7Q{~t%&79IFurBC_6 zW_)?V_*GWAcKpN%e==2P3aSP93dcTwWiRzOa_YSNw=d^O4 z*C!s-75sLud06T=*8+ci*K>B3z`;RmoGE7Di`bmD$#~p3GSGXQcJY={*M#VUFLOB& z)48Z=vjjzH-X?5yR`K3|J^svf&+)+Md^Icoz{S_OoS@z|WQcQWVzA!+!E`p(J^k>l zeQ#THp2WTH5E|Pt{i&~B@9pm1VbiSPaDnKsC@r0Xqi8?Ua8%+eMxsev%jWQqwJ~3Cm_vmP+iO<|x9VE1BK%^zO#iak@N6=Pf$};yxgL5;xJvN7Zq*qBa?Y-38Q7(sp?d^;6Tt6)t z8<{|%==VEqJ=%xTwOso}9&a)HkDZ$q1<%eyCoT!AGQB;`Ep%1|t#=&EZ#Ms_i7}k0 zw(Y-cz){HW$>n%!JMrk>FE-ZD*LRkzy{T{l&ZEL{-?PKt?!W2JM|c;1llogCXiCi) z>vMfN_tu%t-WY5->JOfE{Y%|c{Vp9wVGG^cnJD6x)O&At^%D^>OLF6SRydILyF&;4 zFZA5-L);O|+ak`QOD-pTWEZb!q4dxH@f_w8r?d|0LXa&5azk5Dg&dOc67MVTw z9NAASdm|usGl9fby<1SB_h&g(Kj%Cp-Z@qr){t{g7fEsztD$^0IA(T?NjF2SJm>YA zUc6?i5tdtPHXGgchpm?J?22>a`@cS;DB5C=SCsFu(zb%o|HQ{zuGJW(*j?8(xQ8S$ z&5;fX21(Yn;S(h8E;7zhaH7@D2U>_=%DyZ0g^;A3ekBenGNFn2c@a;h?40iUe;2B@ zm@KiEeMLM?Jb?xpLnC8mU3aZqh7f7m+c}$H=SDB;Lt>(gxtzi`leEZ&+L~BQ4rYKCK zv?I3nRb0x+x^Z`|l*4<+d6rW89m7cpB*I?E6o>E?QR-;I@9tmM2fQ~+ew0g#_;&7C zbc?+TMX&47m$j^fJbxuw9g9QK4a)*<2m@+_uFlDSyC<3~NNBfaCTI}q_zKZZu=+;G zHmD4R3>Z-gXl37)smpPWJ{qQ8bKra|e%Gc-XY7pU8I(g%<&2f(Wbgm6qvc6)gVw*& zL!1Yj&EKAX8EjM8)J+zKD>nRja=yuH9zD{zg7mKy&owKlCSIehFDRPYni7{Xori7U zt2)9C%qCBiw@G%G5Naiw5;nD(i%sjZxP}SJ5iGR~5C6OwbX=Jp%zB9?aQ=?ua?I7d zpL=#DA+!-bhWYO#xi@j?XOgCRw?rD>_oQp1easIcuVmf-ZXE~M>ee^=^klUyHSrv8 z6-PWAszBZ|jmnZ-1+KDp4n*fhAYN_drfArzZan3GO)U-GGTnNw zuf5)+dhNF^>+9alCrGSB;gF?Xaq7|o+Sbh|`*bzB;{%1rib~z}j1tRF2Bzb6MB5xs zvUu6nIIbfT#$LXCHRacK#+T4uB{=U#Q_dM~68n3&Z|%-?%h*#Fj~;V#Cz-zm-tDUw zh2^zr21lrFN)#zuesm5ygDwU5la_}3p}zY*H@;bas6I?P<)1ifNDCi)YkL0S)Mex{ zYh;JsO{dadyx=~3pjpCt-dCV}oBjgxA8Z~ub0*=COvLaoM;yOZrS6g%NLEU>$-W0Y zeSDx%pUzFRae>&{=Lr`a{1I`NjaWGmuPfR$XesJlPchH8^c9dTPt9>4Zt>=lv;5U^ ztvq!JeMY3Pp)`yYSK@bys96urbYt~-=6>!ujM!CHzoSz+B~Vcachu`~v)?ut!-!s` zWs2J#GWBSQd0Q9Og%ufkg8oor&ijgL@tpFQ&bY3urM|K(+%q?4v}~15X&6RNEu1f% z-hLBb%KB{AkpqcU%;!*cBq%dFHZvaoeR4vs&gcLJ^Qhy^&ajO-wH5k1r zx5L@6FgYQ%H}JLhT-TZQ)zIK>dUHT#m1@!727GD52}boHRcc*yn$s_>YvHM_t7v7Z zSj^pbFRd%~tf{MrVA+>fH&~-)L%qMyp@4~r!D2*V?uSAXi^Gf+sVw`<9S*6*Dg2zj zC!E^EV2xL-L+Nd*C6dOjd1U`fMEO%h|j}(Jh?DDz9hGv$1jzD(5y;vlU`YM~9_AEtC9p=)+ znjTuAe|GN#yBKWfzM;Zp_CksRwsc&$us>>2;y_T{LJKqWp3u2U5l?+>XOJy7>nR3f z6@wAP(7=ZE3;jovJQ5^)6FlWtrrFGeeIbXqYBrL-_#?+Nka!oTykZ(`~;Z_|Lis>EQqH|2DsuVf}I68XUsR*R_@KSae| zIiLe=zR0N?y$kSV_@aYImYaQ}KD+g>=gY|DHzVK((NEUhJt}PGwjxDqWyy1IPG=07<){ezZh)kigmZmT1$D!0yw$jw9tQ7 z$QX)w(Bj3X4Bhda z*a{01z`fmeG7;mYs0*9h@Vk#>_v6j;Q|G1TiKo0K8}-}LOZlv#?DZuj1&HNXHSfjo z^tA-AS)b&NKn{#QmN5@%NqHXSU7sVxCC)n$J$g3W+L^nWTbQl4r4qB~EpqHZ=g z7|8i|VwtT_dQnYu_^`+DF0Su;5eVugh8#x@&3{c5O@$h=5^eK-7*rnB&u`A13{hyJ#wkUuo}ZN|pbzKrp%H`=q) zM3(VE$ zn<=P$WpHp>FW73CU3<}ysykHQo^pHdzlUet=w^@1)g7{IPr0puAo;#^8=zVfOd^+n|HWIGb2=$V(8DVel5~bP}DbGqin+7cx{k#F*Wo87?;O;3RJ(W7hQvude;3B zGVlPyBQzbVeeiLq`y*(8x%t<%q-sMbuRmvBTyifNF=eZfl?oBwgZSJ2s371GRX5T6?2>7fE{!sEz=7UP5yZqAl z`<(Zi6RuTN8|;D1A_hA&>=Iz6;-*7$4F)0}8k%B?^9;nl8Xym`!N|huFYZq=H zf(So$`m5aywvzs=aeAQm?)DV(tq~80X0^IBN}fiZVNNUX#)-SnRxc130regN2;(QF zoo)gg9iNfhG6JZa*6BaVWCTJE9JgD1(<+xKCCbwdI!}TwEv|q1x)|4$2u!twAjOR? z)r!fdFcwpH(KuawB`?=JIIMigQgB)%8p+Oz*#dD8ncr2$dRoSaGHbIeRh&QzPQP3~ z21aazEJj-{_MUm_tDz&7%&&i9IcEk1=2`|XX1KFk`%tIg_!v%N_=uz=xXk2i6#Vn7 z=A7_(+k`Cka(!x_lS4CwWJFIo%PtcTqB2RQmPTNYi3(*L^% zzX9(%fs|Aosa-&(Dy{L3Uf*jMAGZ+mvR0xFM`w1t%doawV^KN%_6>cww&vQrn2S~F z^qc+NXIRb`zFZ!VcMKkV(U}a|)Yp$VX`AK#yvA$GR3#u!VGt|Y%TsgE{%4@CzBJJt zJ~I&Bug$cZ;}QF4SbkC>iAonut9c?wJ%gQ8RXDtyZ3buPoNX;EX`4k; z_@FA2vxyI%Iyb0F2MT9P{>y&^^3g&5Fuc*x?xWCIF5NPQ)yE-2%qx7SkGs1QL`}8{ zE-C79wSJQ3*EH6t3H&I6M9yoCY!O&ZguFz~rvfUcC{u6_%*QQWA2X%QDd>lIF(11b z);@N5U6*(oo9GggSpR@p!@a;nb)X*i4P#iIU;Go5{^>hg3o#p-r{(E=sAx3GCh4Pc4$y77gG+5ZqG*4}a2#M=T)T#TJ)N=VBUI*fg9>+ShaPYNn7XP{GlPf*0 z7bxiy_-M5TIu3PGimO5&?wgv=pfV-Oh;u?4?h}|#p)$n-$Cx0$z`@>+Y+I#o>bfr% zN&QdH)=$iaza5iT{$c#jmGGFoAA9?d`C2BuTAULKs4jN!_+KbrKuDZCCiTL0`5n?H zzJpm;kiH#Bdc`C&FK`$B`(MrYFR^{fm5yg9;F z>H`s9cGiUkB3+H>Z?V`LybRy3Nc=gOS;a9?u^mlH5z)c5$C=%{>Iw27!&akx7}=S# zJ9}k!mm@wZ5Ma`B`!^{3cSv9MeN5C{2vh zEs>w(5ML6s>K-W90!lQeXZqBgzdRH@c{pQ zv|_SbGN+<^dB`32VNbi5D=sq~WKHob6cCtyf+|pd$my^%-aIrAgnqZnPOJPyH8x$Z zrZ{D>_RURo61Bh5{YNdcl(|8$mHCbar6K=%cUsZ}-wX;Bg48XO$%<&h?w+J|zQft{ zv)T~mH!_u>)@&F~ONB9^l4D&>%spM(;NiGjX^qd=w&vLOI5mQAtWMMzz5Wy&xH+_7 z?ntc>KZ*;B4~t>_$ePwi{_~5<8ZL_9r42ei=ANsba@!nt+S;&f2J4N9z zD#^9aSiB>j>XDE#thim_F~M_c#EUmrRJ*7Vv>e`(McQ9cd5ei{_?s{{d>bquW9cEn zjrY|vy&oJK*!aE?@)i$I{Hewqn;w=l7cl%xr9(v3`ot!7eWBFs+_NzJ@5gX6f>JY8 zPyKE;-xQvO&DrYKnT_^DynAG{0||m$8{jsdf;K{*Pj0lMp0In5ba}n=VvcvoXVBIX z&Lvi9PSxfmhM12bfV-Ufpd*^RF-F>^v1#Tk#SqgRQU#Pw7F2E6G`pyRRS~loDyOAO zC>e*lI~3f*m+r$quiGn01ed1%!teb|b%}hE_=O4c4Bh&N`Dv%>i`E>^N|xM_LI`IW zpAp{Qs-x*Q-XJ9?A!cn$Is3t?J8te{sh4G zIK0&Jp%+JP6>YJwoXTOicMJc=O{9TtKd<@}xO|N5IIJ`1Wq5-&`-#ut*Icsusa9xd+|hCqa^fcT_BBHjjUV!i03w`=U^&dtRO3SK0O{7)s8uJ^?*jQ?8+Z8m`n)vcIph4-JV-hsQ( za1k3e<+GvmJ`5bHxHi~xMA{3uOOZPfqN(mtoU-y*lb&fWFTeTNyvs1Wd5!AOlB!V` z9M~S1Q>CWZP&>j8tujO^ad=v{e)F*Y0jqut$LP0dWM2#!|%%`$Ohi8pd`I^q0O5lqZVI+HP zB2s0PX5`}X-|ZcGRX{0{+~_^K1=UnCd?s!7T4jy!+Mw8FIjE0dO|;m>6oUqf&Y8w* z>Q$UIA#2KGD$fD9iz*IPEj$QD<7GoM8r+AWvPO#Mu%@qpMdRf2k#cVPY2nwuv~J-N zv*342XD9+_bANAPSbQOygtmUH`|w%AY{HgaBs?>l5G@}4@uy-&}K}J8SWz4KE!Jh*4E9-nS?p!M__=LQ6;sjky9m=xoGv@ zq0|~XuT49qLqHO&9kW8_-!eO|GdRE)o`S17y^3obgI`nC(Sf$db^Qot@2C)l;KG@! z&eBa^OxZwRir+w4${B+H^RzK{t@{yH$(O|Bk9Q9bRPdndNa&{Xd4WiyNqse(RPcaX z{M|=#t>Nf(v9~L4NFSNVn4Xv}7bqd&&3SD!%zH2(X@353^Oq)Gg3Vr@4fVy?P+A`y zE>#jb@5PvK@%M;ekjh|DSlduBcm1y(I<0?jT7oL!TQ(b`jP@>G4poVFEfosl z>`t?zmzPU?4}ZrL{9dlSx{ig>Fz=@KnVn!D1jD4(AP=8mSzT}!+>pK(cjW(Nnfs!~ zX^7)PMj=~MbL_;#9tY-tau5J&zO|badb{`#kFJTsN z74-0?Oz)}`ge+Q62|%5A;;557(>UzPrLypJ1-4ZX@H6pq&42NwFB~+6W2j@}*Ni&a zk*%Q;o2IIwxzXjOsdDoo?M?3Of_2yn_53kSQ+_T9w{3y?EtqzajZ6m)rt@S0yL3v1 zx|ez>lWjXOzOzmXQD!RDYMztJRU$y?j}qnV9w_YcUtT`0sq_@)yrd=RZ8C zaj`fUFI?*zTn2LMoEFyEfqt&kkQ*!le4Xb z?h!^`Zspyai{7?%>fLWMRAc**zC)CkH7u2cyAc0NS&IL9H96kOu6=0>zWwtYy;(Im zzidrKO!8Uow3hg}R9*Lpub&w+yQqVDmvQd$4aZ2fRl3XGwv|y4>qI__omThuCV_jo z%}DTl&ViEYyx{|{I5S^^cQ->QtxLkq>f(iGGFeulT=IfPkJxIAZ$JIaTGk|Orrf-N z>3r*hhUBB-<3{~h|KF6CITCSpG%o1|Q`<4VOO#tGYsD&=zDb;wGn9>Kx%stC!ebx-@T^iG+%4`0H{y{es?X{4$e1aDk6oiBN~b9oQ>%ci)7Q2fCduZ}Qw@yl>| zY3-M>wtCj;ey^~Rj;3q@k*3N! zxM;m*N{MSEBbAs&y!I$`bs_?q!meR5_Gx)QRdesLq&KG-#8)AbF&vaTCImp^TtmV6 zt#q?li%Ob3xmbgV$hbX?5D#?+7TrF8s&7G__MLL$u(jq!60eO%T%v zs4{@QaRvS>X6;u5^nDNd#`ZCEw~j%M1eFfjAFkjl#P1pTo)crRm2Lf`au(O!3P&L- z!hwdooqTZoPmn9V7hJ8X1iIRUzJYx>)~AV(foRSKx!!r`tt6{z_PoOy9G@8{Qk#Lu zg}TFOd}-NPqZk8(HR8V!Hq$7H$&%30Li<%C97gmCq3?6iH@ZachpiTrl(v z=-f6|ycZZ+GHB8UG&uvBoR$=l=AYgD4Q7&T2dDX$q1n?4MqG_KI9@T%9d89jpaH7u z%Aoa^f&5&|!NgKC4266`P1#h?e2HetnhI!M0T^Tgx;vec--LlWD8M3leZU~=a*3XN z81ppsFhm+6U?O>)f=_xy!6xgngqD10^HJDAQFERiZ9_ysZx3i?J_3D1#0?JY-)qdJ z(QX>f-!4I@Ie&t-k!dFHa!Q@=rG=WDYbMDdQH!l98jdnZ1bv!X-> z`3z-jM&EdRxJ_%l^;)wB2Bb3oM6Tz8SPtR=r^%&dU&G#Eh<>~)DRd(|Ye?GL?F_$5 zqxs4cs112|Bsp`6>U#Y=PN}nRe?4*!+WmcYTy4uuO|!=kTtU+eokIIskpD!UGHYC#frgTi2h3$R9pmae3R5B>y8+uA5BJENC#abe)VUs2inh|Ut@MO zHW*MWAE~P2e)~Ktzg3F-t7&%G;~B%qRrIFneF>DGTr=+k6%^41x$?&HXy$OC3T22Sj~03p zM?SjZ^Xjd*IlQD)qh`Rpj3W+XQi$v-Vv;49!htII1slab!%a4i?Xwz?M_<-pf%Y*N z1i3aDJ{}5S$Yb=14~6Jsq;{@KGYDf_bf-R+oQO z=ZINI(;nsFXnG++p?Bc&qT^?Xc2W*H(Jh((GmPr|<89>nbfTn?$8*X#aulqs5Z(v0 zKdCw#OQqq^c0B@3zgDXJt7tx1k~B(a#GYK0tDYg8=H@Y};G0((gb@TdH2ga2#WqR~ zSMT{{kN52(S6OF?doSz6saV=jo!9*^Z%~$xPVu2AS#Xp-!SE0GFgM!36zZ3~{Vl-V z9x@R6qj0GB27#t*8P*`zUS6E}37V=kQNBBx`w0FPvBya4=h>qfXW+6`;@*-ThUkQ7 z8HgIWIH^SD!+mKa`{)c|HbDes+3G zLsq<`A*g_dmwEhNmB3kgz*)cn9Vx_e6qN9+-RJzYCYT>XYZN?H45@x z?1zGM(Ge5SU^1|tvNXhmnIT#uR&qaEIm?ey(nlm=pjlF=9X!{Pqo}OPR*D_~tecRc zWAkezC{;O<@fDwj2y)Fr1O;ghY3dFAvhtKH-}wvhR2zzA!eck60wu(h<*Id*Fmm|+I6Yj>u4MATI?q|yWStI z;E;D_vdFGXk>wv9fp%{~p{qUUs`P)Jma%BW-{at@&A6q?KYO?I&Gneswy5G6E>Qt- zSe0gE*X~#HojL)3m5+~yB!x&n*bDnTL%9`vrWTH>m=Ng26DGVo1<5~h#?`zM4uk&4 zk%qYZ3@Ro%Bkrb#DqMzV)1W`Gxj`Wq*9@91aoSkbCASTUcpKR@1zg|`T+necE_f}3 z(rPsH{HdtM7HWpMy-(L0RK>%>>t10^%bMSke*~inpSo3RF zZ7g)fh)10TL9;xApNb%z!)&rE&J_91VtAT@dEgeihxXJzB?3AoxnE?Og^#f;>S*p+ z4?a82N<%6g7;sq{i7U$0mx}zyrn$e4P)59%t^NuzFr>1SV21ClJi-}CBHr>?ltRpT zKbuF%SRCnQd6|rN_!VdyNXQ(FUxYkgu^;P?_=^{*-{K?@{m&IiF_RGyQXDJ>s2Sq2 zL7qBa2?7j&pDT#N4s{~CVulpf3cK1LWG7Va>~qo=W4#%6&KVU(EWkjm@{uO`6o(g#; zDI|n5=(@uM&w}7UTW7*%KONygOVDJfv$*QiW!5ZsP%flwvWP#rNqoFD`HGHw7UY_* zR5?#3c~gi#BMF?Dy+O)C{gtO^Mqo_0PzdG1OsBc<;nARyRFLqf*&bPiAQJPZc-jlf z-0rc*vQC&juCsA_Nsm+HVo$2~BX#KVBMkxX0A?7;1%{{_+w*4ng8b;JTkP|RGLYlH zhDys&{XG62!ONk(Uyo6c8*CGdsH&X)D@wFw3fPqzB*FC&ang^$#zYce1Nc;>LEY6E zVVSs!ZsT(tOnhvnFY65i(=={E$V6c)uAe5}~_tsddPE{lUOn-t<+9ieR zIKOd5F@AN5Wc&eul4}s^hUL7BV$^sGP6v1aVgYbK9bf=34>$rm(urhz3}6FD0*u%+ z2%p8&Jl72UM4-3y9M|oB`r&5a)t8AH;=VO^HL<*-NJ}XOvCUL;qTQT5Hesq-;oz@|hWBWlYmrnv8DMIZZJRRy&(nUeC3R$#Z+AcAFQFgr~XxCbGJN%lI zk3B%iPk7)#?QeIkHzel}~23NSpA3j6TEW@GFR^iZK>u_k7 zO*j9&in?G=i&YO)ga6pznd?-wmH=&-IojGx)L+(-- z#GzuiIsc}}2|rPR_N%`$G0PmfV(yctZ(od^rK1MFiHQCr_~fhqXJQsZbVZ2)8F^bc z63aW%oigc=9r6(bYLmjMTDh`BO%m;|?)qszAYSgry-*tfV2$0DWt4y!cU=vWO-UET7U~ zBO06%20fV#o&B`JEStCsI0yU#pDe&b%luE*4S>^(K+h)AL<7}iwlbAqMV|yb0GM;Y zmM;K)Iw1}|s=f-0WRwNy0qg-E0m*^j3cHDbcHM%D^F9J9{SW~S{ucqAKnaC1`l4*4 z(L)VMmp8J`u~Y0p0-o0EK|xfM9lbmJgn*vUrEA+Iu*13Q~YFo$9smxr7xG z6>H28Jr7_5TW~+XpSS?+HH$|d&k|{+`Os8#pPh`OshV;!>g#>QhILCraC)R6r2wT~ zurZ?VPGLARk7UHQh-9R(U`<9OfxG2THrd2Mz#qUOpb`(tauN(ay=ZxbK|gzkK_`5| zprn3bP%VFu4gl#ukPZria@U|_XAZJQF)D*~AM9=!KL8>CnSd%lH(&~|3-|{hQvrGC z8YA~8&ABCC{Vyq5?okUpxl~7{E|H!WiV%`$*}+I+xf1|Y6tSE%U{)PI^4#xNRTTAW zlLMw8a1~j~eI@wCDh+|LfyuFh$pN@5f`lmb*5|>Sxo=!1C?#)%>7R&7%MEOhjmNOkGD&gK=hB?18j2)*6=R=g zKN)b#CRzX-0bT$ZN~rZz7eM)E-ziVQ^GQwDMkNcWO~2zkpuW&qwk zGLR>}GLU9~zMl-_1!0iuiHzQF9Bdf~j7SE8Lu{CrHS$U}5yB;#NC$udxB;R7d4LAM z0AK;wq1pcS#!(4^9F>7Yje!$M#boWWh;eESJSyc`vZSN={WNeZ*rWJ6GV)W4NMGll z4;PJP0Lgtpug?|h`IUewNWUt4Ff_vQFd|Sj;#rWjF;A(_(F~f?9|L0tq>szg{c=gH zrXz7V@khC6w#ibAI+WI2D;~W&bn@6~ALZoS72JWWjAhx<37o0(pyy?_WS{u&{ zc>A3i<#t|BtpVdxfK|A~VV+i@Kau9Mk3^b~2I-d@(Ru6ZKN+u&wgVPj1-!>|n#D z-%z~n`S$$zIP;d}>zlv)uJq^*-g!3i70UW2vpYq*=S6o-(kI@{*OF6yo{vw9%yl1P zt7H8I$=V+(mdhVQXPmr|7<|x8*<6P)d+fbR{HUFr7aN%@`%;&zt>}Pw4g=Nb0npb!G zd8N@G+K$eJn3q30HDi4wnQ3~yvIK-AP@R(!Ec=9nR8fQX1|_g)<a>ca+cYxuLp*z#-n}s5Othkgkcnv(qrLoyh^U zk$k6fH?p180rgVM`RXheGg90mBmYoY$%6u3QS_|I;VIbxMjd-Vhzz;|3p;vaTU{(I zlMNQ^NfT{nXJ>E#O1kqmc(yEk6aDLzZ13O#%_`&lcSFi$yWly zGyznB6kq-?a0D60axB|kdnH@fxQ%{Ettj=1@up%My)gk$wY^3RJrfznI=BQi>1F97 zm1o5a~mV>j1>up7AFjqK>!~~#E26L%V2>yV}Y`W zNO8g>>A)aJXi;8AG)PB_$0MWy$^4fI$^20ON@Iv|-SY3Er*YgqS7T>L z)_yaoX2FY@rge+{i|5hs7w_Utd1`_67)*zA8c|^q|5nOcukD>3cuMfYHxt4FN$Y5+ zY#sc+v#br<_I|2wfzr`>pP8tfky@Y59H;Z(Hp!i?#vG2ii{Q3_9Sq;^e}|5#w@J-N zMuIr%eT2f%jIGXtD?nWn$8*=FM=f2TP7&z#WqE1{_1Is~Z8IaT6yY|NVQNSOLbRL0 zM>*7`WwP4XS6R;~!)e;F?fHcO`zw2WCmbeqyfC>RzAb8cp-CmXVRFxWS~?#FQP)dN z^m^(_sO3n4M-YsLX=2yg%&=!h``cDrs1IIlF^{n+Ia}TaggH?zFtgp&Y$O#wL= z?H5Me90#|A`be2g#LMoCk(Dfbw<@zCUu9g>meJ~B7NH&S1t&eRcI+;7qQ^R(BHV0a zAzF`Nk8SG7d&f^;aS-`v44;A5o1*w<)$G4f_ewPl!l0LRI%kY<2{Fd}PZ+^;<-3lY&G_n`Y3J;^x-V4 zS`kHZ6fZ^;{Vcdzu~7`Mk~@ep}Be4nXFODrRw(U)kq z?Pf`ft98o5U0vv@;;+7?FTRuDwZB{>mC6;b`7Goo;cvgcF@QLWs>2QXiqxJ{j2~46 z-fD8ZQPGoKFCKL;t~Q-+p}9uTdBJU+SM)$R7V0?#9*0`I~CNJj$kqJcxfzj&sB zV_F;ZP%_g(^DJA9lEUPRTe#UU#~|USH>_;)5LkTm5wwseEDZ59`#Ia48q(e{wM_;| zbIV$y2>&3*S;If(9nMFVhAxG;ZWw;Y`Rbc~M^-}+M{?J|sw5>&%+EQu?|h$XnZ}-< zum+nViY84)tRz!$V&D&Nto3T&0hQx@@Ih&j4v^EqZJEgU8AY@G@|rxIZQFM4W%UOK z9zn`S#!PHl_+z51Pxk8Hb4p(#{4_)Nu<9gnnL;JlK{vOOQ~EK2fo{VCSR&dq2-k0qNA4mUoAw6jFz!os%1acXjiV7`~0bj$!v-zz>XG zcW1P(Hf_2kIFL`j?P7c_vcSp){>*|ucq6pvg41rW|If({o1-)M-yz4sy4h+grJ7up z3KEL=w6jmuN4bR&`&E1T%5hy?=U*nl!@#R&k!HgXjN7k#pUAAo%uiTd6UKzi5_Ll8 zQoADM)zlwP(v-f-1ylYlrLJUFSOG8Tm6SCqu@05f^YGEp>v1gE3YGil>0_cN8&pCG za=mRyDH7L^a^;cQv17_QB)41RL*aasEbmN7C~^YM%Br2Q3a1J=e()pR%dz*Ubx3@5 zCNgzMrW^OF_D&U@s3(nhKKd)@dC5++c6B*?UL>Pv|8mw|?fkRQ%4}uhH9a<-uHMbq zq$QEg%>WSO_llwR#Iq=%Fm1CHOQl_h%+3I-IsA(cb56VgS?w%6l<@7XGpM4EUlj@x%tGxvp-_u+E3Q8BE36F-lYwTlv;B}q(io;@iy@5+Dfhe^I7FUL zT!YI3FEq@T$=C)=fN{>MnsSc6_8-*vJzgyj)Yw+9HelxT69>WPz6fX6AJ1c9ziZnGl)E?}XTTi)npJG|X z>GP96#JGD~%4|hKzC0`}=?M^T0O#2!2cA~@iSCA1)AELC#@04qF2qF&p<(6(Vz!38 zivnk9e4p+wZL-aV3B*O_C)T>USX066xU%)Eu-f@WK?%NH3g&bPXpc~giR}j^Qr~Rl zsr)Do9w!uN_ey^pud7QtJ|i?tgr&{qJlOvixNq0|9SW#818eZb+wxSg{%yW;%Dr8% zya;?V@o>!-0PC-le%rgHhR;HlxNYWoh|w(autjo;QtfRqvqajk#m0`4ROLTFDs9)N z%r}yHe&}-axG_XU+e~K1apeANY~nrn>Y=?;24|(!S9?*D)RUqw&~DSKug0RpDJe_^ z$0@s3WnSKB?e*#!oqegkI*Mv<@VRbfyy*jjtEj$`j#u^Hv9(FH@f<2Il+p0 zl1B3G?bGa+KF7$X*;dnqjee$zC3rCj#7O+^4CVLL^*WF(?>wPN#)rv-k>r-Er=Lbh zDNG3_X1Z1p(!%{07{tR6n6RyO!_j*wHB{+r-q z#HGKQ5Cz7s2gbkdTAlMUcy}IbY;%5+TIZIn*tgZ-)&`t}X~!A3@)}5ani{w!=3Coc z6zhTo*!;}wY^WO}DS-M6K|!N_+nt%{f+Hx9fvnVew;*PRO#2Y;LHnfTsU2!1MW6*s zM%<-Jgs~2!gfkzrJA>&9Ef=iWY&g9u=d)Ymm*15hb<(n(^a(l!;F2(Be^0MuV9Ac= zTUC7oFTAzqnNCkUXru`8mxOedCergKd6u8^>(LP(d9Rk44gFuzJ%C^R^C5^+|cE zKOs^d4EX~X>{f+|hf5(P*b%AfaJK#)j{#=q1j;mR@R>=9H~Aj@v)xvY=Xypv!Gw$= z-uJAf`pCLa0Nif_6r7^loIe*d;@kCM@6BmFe$YFMzr$5v^U>97ni%)(>WYY8q@)=6 z@P~~pYi1kWY~@&oxI=r*?I1MYWSs#A}|_S0Cl%JAv7f`?Ip$Re$aCYq8kGoHhsZrKDwL%lZvA z#w$_s{djnue8N(CS9L(TUB_{1q!c;YyhA`~HDLCT^3?1xn})YN4{zPd{_93eRnN

N~aU^a8Lh)p7o6Rh7GH#>+Y);H~UAcvXr@)e$Q!x~^ zzwHO^zZeZ1EKLPq<7y?+qNwMGP@$xrJbN~+4D>wi2CmJ}3=T31Q*rHVv$*R$q*N+( zLw=*I0h~2dj#sipOYd0&ygW3l0Lz%2ZM6Ym#2Ov4JH~gRO9)du#h)u^EPQ~2RltyF zn35uh02Wk@t@;{trJ@D)$d8zcb{&k>Hlzjq4_9v)7Dp5Oiv|)PcyNaVcXv;4cemi~ z78aI-5Zs;Mx{JF6PYCX?xCVD$-0r;pbI&=?y&ra~rMkN7H`O&Yy|dl(t)CBp%UE3q z*e=$Nd=zlwDl5zcW{bd5YgS%}ORcvM5BiG3p$EQV>nJezw#s2M&nb!~_TAnB5oeR< zPnm>EK;$srnw1a%#1L5{5vdEUkC=Qb)`#DnYrKW(=-8UQh0e)WoDVM;h8wzPk=mwe zKm+ksp(i+mTrKt~z$1#v4d9(Z_r=W|y}; zKLFSJFA$0AY83*Zcje4*1n(XWP*!NcA>Llx|3l`twIKs5bvSIZn_7un>;o$zD=ev- z`andCj+L4M2J5Ew5*4$&x_}PAD*$mqK+{WIj(%9d|7*d}Uxh@KjIkyP93;9_QExXC zIsWrdM@TBw#IsrXpUqOt)-hG8QKMdA0+t-UA?Ye_@+Ln|U|UZ*H?R4J%seV+>A)IA z0VJpYV>ZuM27lx8q(3lAZh*NDgu@is;x(W@fq;*HlBcDyVE{V;sh-8**j#uipw54e zgvXJiEd{m@9S;}zg>5$8dG$F9ixUmh3rNa>@10p9P`|N8Acu)aZV z)zSY#GwBTIxdc3&f$~J8xwGEysRCmqx2py2__%;dn!t2cwfhO=OaMM)pf1O*1<0-F za`=CkL<2Y!6ELXgTKf;I9EJpXOJ{44G$OKbVU#MxJuD) zL=0_Zg22Yo9|}3eK8#96T7WbIW$3LyLxh0Ot#QjOw8e0|CpoGQJzh1+y51AIBgg^6 z+aDtbkl^3VRb0P0;}W*O_GHX)9>1C565h@3S)1c<2ASdzCYqRKmo@WZX-yAn!Buiv@1pk= z$;ewxGX#>Jw;uSfO0C``lm%(qODinqSqQ{ngb_mrB-s&nKd^IuN1!D&|IGNK=r<>F zJX#t8%$ROpn3%ItCvhei0j5v)VTo9BI9?d5&A*n%{wpBf*eFH=M#?ghbRIqNc2hv2rloU^%Yeiy8vSHM7L)e znbCBW=C#P=usCOiy9Ft+xBME>pH=|Le(D|Nl~FNzP!b)*=R01}_V|bI|7dfQFjGi> zB&Pd@#;6IOGQw}#OcY-W{~*4DUnU<*JebL0`YH*drPt~-AqlFhwqlDhhoWnq!D-Oi z)kXV3QG+W6Hc(WOPMF7uNm4)#iw;+z>uGyUMv|!rqCw&R#E;kCYoYe~RNU`K->cUq z5o@A120ltFR2xNho~f|KM3g2p7Q_!A@G^>@qlH!1k8jE*IdqeusWTc?oBLyrjUJhl z$Kyc0-W2Cm`YPX2am&kAco#g!-2XZ?D-quL7&!u&X6@=mPZW;_x10#sNLcYx5~t9y z*s__d6|(;9I+;}i)w*tNTxBrRo~AjQop+pvQ3%x=hBkoU(}Q?EOE2+1wO;rOL4ELG z_>hjtem<}#iSr^We?ZrPR2hqofFXG^gZph@S@dj!k<)x&S(a|Uh;BfaRV^S#@QG0j z&G7hL%EYqIHs*?&Hxy-wjo(T_2RMts@$~cjQt*kB-p0QtZMD{}6^r0&t&Y7;pD3MU zC=459^ge`s<}EC1dBwpV!I_Qs29XnOK!jd?1mee!sH zqm?=F_ZSOxJcM~B_r$K*x1mR6Kc^}3@_q*a+wglGwX@SHCK9xR!D}&Lq34giv&RI} zuX(>!4ZYVKZ#;!nU{IICiJevS`r5Re)=U*hik$7N30pwPO~ovA$|>gmt{>Va zWDF`cu8d24ireZ3W-eU?S~;bf50Ej6lDNYwrZ1}#*#|T=$4i)nC6pAz3Xv!MNJgs3 z(JoP77pxvvRwSQxt(Z!~zA|QK7Z>Hg{_ZWa(p^cDsM=cBDykOaOvlSq<>*Qj@YE+y z7v5WDBmqo}68Vc5B4r~Immj}A)GI1Ikz^B}>Yl%5|MfERw+uV0^JV=-@aWrq8-?G% zM_~U(e$T#y8JG=urP5;3!ES?A=tT>? ze4M-5;j#O9T=1oj1Z`IA<8#QC_VC}4+1#^SmLjo`?UFp`=t;xZSTvCA$ww57s(C(t zq#T(|dt)p%kl0fq)`-SOjsvqvI5`14kSpjp3w-M(cdYPW#ZmoWPko%((cbh0_le!# z&-u(0{%{0Sh#fG4r5_1*A0H&K^rUtX?i+k2|#jhi#rn%hyTHZdH+huzKy!>rg z;GL(`=j2*ZR}}{;VMfc$BVFC%>*5t2)mcT>!?npZPwk2Ns~cV#IV3TY7fCrA8ivV)OUwsKAE&txKC5zJDhy+BRBO@$zZ2{%~UW zzS>K=?;-#xAFKGQwNp~ZMv3OvLNgtk%nBX-*|142jaX7pE2V1xPH8^F5ssH}vb%L5 zvhV4dy#*E@C}%ux^O9G298RDJgc$)RgRr9|m*8iX|Dj3GBL739o*94<{(8y0CQmmAGhKd> z;sY@QM+(n90OxEa!OI4wS^dM*i(@0~Ga}dQW9;gHxzXgPspxYny7^-ZVKTOzAl~TyFW;mS<8Mn(Va;nl|5Sw;SZ5h71yPEemdZsah*g`&O02?6XEp zJ^BaiC@p}`pDGIev_h!yB%1^suU?{Qt>rA<&?8fzRO=Lh`!!D9tLLWs$~M?pj*_l~ zL|s|`>BEKkyD3P|IkCDg&KKj1F6nO|pF&e*ypH<}sXffy(>k{n-ufd(O{Y;{;*758 zBOf{@ZsV}c>umOW74+tAE2>mlCA!JlR0=`;6U*?*)B|c ztgP}UqY;J{I{qeLfH^r!+qz=7bH9s|_8RJY$AEV{87@9g3})att_{x+2o-#OWmjWJ zGaKm)lb6j0(6w=u~hzvR^t+!)eOP{3^+X!Hee0SwuAV1@ZnlhC(QwMy8Q_ zJyrhNkJMcl%VABtJKNz77aSQRFz>YnuMrlUj+C`L5;+|zF6X`vJaIcd?WB`KkADNU zM(la4pRhHnWxRZ$(ipnca&W)r?bC4Lvej_l*W}256jn7Lo`P{Tmcg0kZDVd}?|yl;W;B7^)_byt^sax^KO}qFowC(^^oGtrSE9 z85i6-dyOrWcmFLIN_D1pxjdhYS5nXr-CiPCTs- zr#u7-?j+*&&SSOH@}EAbT>%vDWjNe)U*7xf%_9pK%d4o>m^Gksd=98V4{@aiL4dY> zTT9`l$G@{u47BiIsM~ibMr%Qq7NFYK&egcb{e5t0xw7FbF?L9ph^EQT(K%No(9h3$ zh90=x|5R{6_$Y`4xSopA#ZM)jYXfwZ`_p!-*+O&4VYU<#Y(BZTuzrdXHYIN#8dArZ!7MY z`4}Kt!pxu8mBym&edJ`$cu9-KBKM~+5(sF7-+v-?_fcl>QAbn@XZBWAW=MG{u=rwx zYojdTaX)f^>k<9U)3UY6Nm|DG0nvu?qIo~;#nMJ;^wOfWZlrT$RZ?a5qSGT!SOQXg zIbEVn)YHJM7Q^gquAH`0$|Y}t51a9Gz|bM;hX?u-d8@|Y93-Y!jYANjn#HZW5Vgx` z;v)8_eTXPuXi01O$&i+1NvkO2p`L{OMpLh+fmbfX{9q`ZeOxz4pve57ES+$xgwxoB zLdzr;jb?f|y=qAJW2e$DddQs+GluS^g<)!8x4O5pa+)=nP*&ROnaA{#QLREnw($K} zQ9k6-5U!OpXy0dV6J-G_o-9WU-MN0aX%kwzoL(uJg<(!%_h%g{SS27zL!hsL5$M}N zLm3mu>Iw9Ec`m5mJvzT8$QoT(MPDU{Mb?=UFsA2cbfC7=L3TZ7l34bQEJ5$}#9Ct8 z*&uz!S6gTo*XgE1I%-uEr{VjfKtb90SbEMVP(TF0AzKCVDE>tYnFE?S_7ro8rIqIx zQvg_Oi^7ycpQ_TuM+(PQoB4X+pQ=Cwu71jD+hq)}qmy*X5gj$iLS*{vPPKq`W%T}u z=nB(lI|GE{QaeeZgBikcp`9cIc2%a@E~VGH?@Z4b(NeL2KXLKxmeS+h2c?@1YpLv9 z26KVcuQwwp-k$1YkJkN zq0H>cCKuZnK$r3EV_C}Y>Q-~6#Zq;mDjJ~{t@Rz{(S>E$LPxJenAQ5d)SA$^>BoD# zjQt>J+|=WJUQR#(<#?}`GC)bk`?ZrQzeo3?bAdBQ?MNaZ>77HmLH+rP9mFtTOJWR7 zj$SV#f4xb-VY%NXc>Lj)@2EH(8uFYbt}vbSeGM3*M)iT?17K`ra~2?IN6bfu&z~^0 ztNYwX)k5e>_M>G+A2Zq&TLW>?gFd_7B5mo}V*7Y85j=5-Nz}PzN`md2HmU z=Q$=S6KwT})k=ES>%^N1E9ec((i+6qqj^~_u;a2g1QTFoK64O$^$416_7$fZb?g;a z7b=$2V-Rw{(5**@OZqRR8d-)5H%ejy(_cGVH*aRaVczl>jwtpMDqAdED5=&UVQvI4 zHzKw20Qfnm1`+O-aB6281?DrJ(ENib z#FeSlg&u--&$BwjmF3l)+}XtX(kjU)4_(qCc8&?m1(v}DFPR{hAp`sPR!yomKz^=R zZ$G;^Kre#v*B?bWN{{%ve|4HBmHurX{ z*l5qZ^R^6{^^)yYZ|oP*5^}qUeJLq*nElv zHRYfQvGOqb2eY?-5an_7kBmWe@tO0VeT830t94}Y%+7z`wpXs-ug9Dh$;wBepH$;0mfCyo2G4(u{2p|GW z3!AV6@*f5>NWuV>E#X5FZt^Fi8f>I7PY21bxq27SgkkDn6Yc#_CFXKUcVL}32L@$a z$u{8*&`)BTd9I1EzFTfrC7l8%Kfd7{aDl0oA`*zX^ebUEUjP z1|b-l;{<#4s{GaypRWRfI*%%nKOBT0f+r1_q;#}`#E-3-F?2ZyOIngtNQ_HOnI{;s zQ#6ZB*(dt5Q@G_jH1lqRS*(A78YNGCbcfzv83wKHZ@t6Rs3zL`3&o8<;JLQ4OC8@kMht2@cgxIk%`}zyZc3#lTOL@a#WWuIkL26Z7?-DvQ%HVkF z7S&RXIT@#`uj|c85;fCLPHO~F%~W&pw+f>3Z}x&EC%?n{vpmOycK`R6*VXcfyDdnt zBl!jSYSl|ePfy2p{6zVyfff;NYeq17wWnNU)%L%s!{r3Xq0ldVnvL9>RSH#Tr^~&G z49_Xu9GTEBZeBH#1Rj*I1PeKuzm@yN>A`TX$+`-Ft)=hj!g>XH(;7#+CpaHG9uw-mwQd(Lj2 z8vh7w$G?>T{t*iYrU@}Jn@B@cuffotkXb~Edh=9uvY_h)PNmXH$t61ok=pSapRL88 zfb+n+@;()z|7TqEe^tNK;dpaZsQSC_D)aM#^KJ!N8X1P@l zS8LiRPiX=`@vGf~{v>D5LBd)AIG)MY}NY z^qud=``w+$*g3_nGv^XAtsl0vJ#3Rm?u$TW{0{XwiPIpdXSDIy+e{h}CjJ`%_%H^gbavPC{ zW@*Do|3gi$)8X1Z+qdXTlca{k%keCP;C5U?x(SIgu{5P}q^b;_?|(eY9!Y}|Y$}j{ zwO&*a{Bo@t3zk-G@{ipCDbAPNAv5fwy%%2oG5&-&kt z<^4jRn%^$h9qcf!FuN@cZOX6EyY&xk+O4p=%`FlMSopq;Zn_RB^nw2FSYGQBe|yn+ z*LlGbHmB|S)dw|tyuK#VuKBkh8H=j_o5fz%iZ{pGl|N!qiooPZ{JLDnwl9nNOv3Lu zC;Aj&AA>&d>!MQN?%7(VOqs`GqqCSM#h$%g(3kN_zMSZbgmH}_ld*j47wUAbpv`_q zY;H*aQwUn$(cT6UOhH71nvtsL4I@$XDH9|?yQ!&)`xTNbo>D0vJu0D|*)@ zenGVc?~=TNv;aHKU`LDQy1ZHX&6)sFLVR8Dg*}b^(gjvQfjqu{O%Q|%NkFK|p{qh` zXu@l`H^Z~DY)tf3LAZY(QHvyge&GNzb5Ie%(mZGl$_mMJ=U>HUO^1#9ywK zDVmNE{e*<$xX!ec09@0$7FE4BqDl=(>6VssB^^CZWGo64w8H5~3;oQHSX>jfB7E<} zFAg7yOY3|1&`XnBTNRWCzo!?S;A#OrO&vR8kZaQ%(&?6C zC2&*bjdPVOXldSh`U{>YXlvf8_7S9HT*J9ys|==P|2aN!B{Kt?#E^PWG;Yu^QF>6w zYSu8(dQi%0)G#qZkssN*1J4@j51_b*IkQGZfO_u;QKc$6|12Mz=@| zRfG!=aUs{HhbmwIo~B?O_jTQSi2aYAJkd?LRE!NJkMFXI#<3q{srVm_HbGSU_XFo# zYx0G(p#(OFi%?QxHm+$DJ1U3I(LL{|@^tJzSM+?Q%7Z#!Mq4WJsbBl*g+K$^rEj`@ zEsz=yUuHg*72(l_I)a{By-B}j*dIzq?1yxPKm8&taj{G6(U;ipwyf(Rme{zmjO?)k za#m$Mj6lvRqeot%U=0^G;1z)*UMTk3#_j3?A?Nw0@sEIS&_Kd8E~074m?KH)|6ap2rC(pt^*y|L+6hJ`l#gZ!khrd|t81kasJaq( z8DLG;clwrtLa4yX{oi|-bcCdNyAgD_=w*Kv8y|(!6h{Ok)poff{E+wdjmIvl5kSIv zXAO?uR^L`^EzG{^(9oyj8h+K0pwGqyd(|-MV3U7=-^FDr}hCwo!8m@G0*E&#a&+DRrDquPxPyZTQLwJSpQ5P)3jMg#)noIj!y zAg4YN;y*pcgGFdTwnJjO$H{vsOgGeiY0~4$EkpxtbRhg^?&~DkMp9m<<+IB&&g$>i z@~ljc@2|&fspcFW&7WkwpAee$EMg)+E(;8`i_&7dM``-j1vybz@)fL)I!7ikfy;R= zE0R7G$@A|J{WGu?DzJmZX2R%ZLw@I+(BL{=-8$ZKSd)wiGS@1|RUnhTQ99>}AVA(D z@iMk(K>+P!#p~OA%i&_6O9k}>q}q=#MZ~n8>4FzDT%+47Z|vEh?!n{=iVZ0$K(!_bw%rKLmTSafAqk8#&CZQSo} z{jL{BcmQ!Z|3UEGt;^+-oQRwMWak;n74uVHvIsbhVKknwW&Gw#4(7*SYBzoh@4lbW zKOxMmykl8*zLSdB{h)g$`-ns2dHmaznK?7rozY|BOVn&X?y;A5X{hE5bVfYE?lf2t zJ_sE%QKJ*K`E>rpJ9wOS@nGO44;TEqELe0`CEHXC3@h+k8KTdDhe(r|TKqr96nFo6#AtyLVO{H@`ChC>J@LNxWp$~XDEkJR4BN-BFFa!%el@(u!F()%m5 zGBCdI&sPt1)t_wfKAT-@?OBligIIiR8sq&&Atsq$zCbPl%KIb$)M07vxgZ`5|3MQ| ze!a{}-c7-W`B@HIXU|?RgMw3eJ!gIIjR@%1Cyq^IaC}YoQ+r<46SwAiPy$Jnk*s?< z!JE@b+ZSe0-o+_~M`ls(t$T8_kp58P+}ZN8o{3|Sm8e`@z7bVN`5Eu&ioSW&3vac_ zzDhh(MhPeFOYyvUy#W3B?LO%ak%+y-Pq7k;6x98S+=2-+mg_+wLMSr^=awig3?G@$ zjRDaEiU(WJ2*sj|CP531Zu-J$!;Hbdb#!L1EFv|Am0QUtl88APBV%j(jyg6tPeFK;h$WhP(PEBWgV=ds z0jxyON9^IV#vM`{>LQSu=2=0~y3ok-rH>O}snRBg&G%Iwv26L6uckgC)uNH_s$Q9?n<=;w?BEwKzyfFa zXOP;P3!WUwOlfxG8~@7Wy}Mc|nr0)vqP_-k6OlR)PgtmOQ}Tc6GUIjCfZh)Wxi99s zjU_YKLA%{^m+i$qw&A;^U*I3>{&E?DHOQ^ksh!N@K|9cRl>NS6Gi99(W@|*k0z+6J zq6#SZF85m}zFt_&#+QRD)oPTQzpRGvy!6){Aj>4!W8pN*u~pFsErpvU-CUcLv41R` z%H88&UDU!=oF>&5yt>yHJc}|iUZ2d(iE*JxXz1PXZ?ZMhsX}S@8@k&$i_*s!M=+%{ zy>!i0i4!57X!U>NafQbhN^KWjB^IEgDSi6JYoe`Bj+i__7b0`lE?R}WtUVX$FlB9O zhksT<@tuATt(wUcfe@x+8iC(_&6H%;7FIA*zDn?HaC*3((f!;#C%(v8#0Q>{kB9kxD}3UCTJRcF7qUd8N~=a_)eg0LMyLpLaU$yEPER1 z5HXegkqwyIyNsJk9;QB)7_!tzp}BlP`Tw5NxM7X@hZo`1D?gn7|4E6yRyMtFSBNG`)uIh8=D)-uRZ$x7LTd zY*sE}E?!ZG@s%5T*&1Daek$lC?Z;ZNt`8CWR8IB6(=e5eg~FK{GA_}ELY7ioh>8D- z4@t@!Yxvvm3D`SM91q>!c0=zfn8qGjFokZ47RJX>KB4`#vA_)Jf0u#(122E`oj3Hc-Ug2WC8WHzjQTkAQCa!&Gwmy4 zhU)MZl6e%zE)Oaeb35=BSZV4M9Z5APQ%%Z_Yk*Tn(KUbD57Ymw;W2MfJL+WDOi(u*uXDsNHglA#qR4%Y5} zoLf{rAl5CF9r&)*2Juq*JBoL2x(o z>L{Se(52~cpE_XlWcWFA--fJWTzuTtf&#p;uyA*x_DlxsU!qhYAyJhEQ~R^s_3#ul zM?!uz>$-8X00Xi0-d}#M|I+oi*-ypK@m2p0_1WUig|5@3OB6Y+%daYY+F--H7UKm? zT%u}rX;`&df-+(hKK}V-+?zAo49gIcqcS21kL$i>UYd$-YfbB19QYk>KR7%v$G5z3 zKJaaiYSm+jgpAgsE1$_Ymp6a43tbMs=AtfZs%Ey+*~=d%{|LU*iP79h=(f`pQ+s$p z>{|&J8XK0oq0vnTAv4ysC}&!Cc1ogQOi1}7n#qOI1!<3;sZSH5)P%lD;@M#W-*xtCsT z6Sp!86*$?6bK%OxLw3%u>hQH(j&$|p`wen2@7Ew<-@h7#yL`wwd#cL)hiJ?{tM$~VK-fA&$p0H8a0Cbp#cJAW}0li z!mhG1W^_FnL#{=$AKX*5jZfaWM;v5&*4?#ndmNvBqMpXy=vjyg*Dz0@Z2fupUV~r#Z|#eC z9Q}`0TjdA355I&oc>GtilP%F1MLg7v?pMsX+h44sBJ!fYActYSzT`bqkd+&6vv|kA zBo^vAuM_1F_$kA0S8b^K=BP|YNaDk2!=+kc*_lb3gGQg4Jdg9^je<^3lSw1vCPTwa zw8+;;)&L6=mZ3WmXGmpNE;V|t!CYirplqi7>1pvmH*~hKpgnieX7TaiJf>HkP`tT{ zVEZ|mA9lT_vdHb1N#p(OaB*Mv^#pNyM6JnDRsq0Sd z+I-6zGUGtQ(ke?>Luh5wDStRcUlcXQ4Z(%{_$ciit`*+2Ww(>%`xIEjLr(XuBoTeZ zwSN2$(J7BO|wl>~V8B9RkEUaF#Pac%_e(I=^mSLav9My8= z$03zcV!WK;%Q>yl|ElO8(tv^W)-UHNmjsV34gCr9wJ=|bV2FL(`J zqbLIsDvaaxY#^B`i0iZ=?Z9P>PziA0$f)&r$M$1t4`*0C3e$6g2(vT}ZubomqR72I z%9Ww`&SuT%)^M7cp8XyT{L+vs0ODsJ{FM)d^$-=MCJgM=@{)jy@@=Q0|9Gj(6x}$Q zuR{O!%oXW!4NmIq(V!zNV(jnQ@f6AB^5!-z5!~PsNaDrR+AI=lAFeT)RGf-aef*?Xi08Plp-ZuPPpFT zfhBZAZ^5lAZ#aiTAR-yR(uA|MJvIhHTy~cA_Iv=Zq-@20SQKFH37oEiFhImLngbJmY*P;&ms%rlz?_gQU z8|-L3-dMFBc>B!zt_AlJlfMns)4HLS@6&iATv-dr zP^!09<`a5DHfu%CEL!IJk09>F`Hei3#l~%A`>k8d@*Ht>4)qwPgb5Gtq}M5eGk^Mo z{*&G$XyuM++xXNyz9(u>_ zc&OObXCsB09wrR_ebivy5UzlNUZ$)Kl$EPx(PYR1=p;r zJnon?c9KV^c$Ei@svn?O7?~vU|J)WOD|mxK4LzR0B3o;U`6oO%wLSXV8+>>CqnCfO z1DRj56lF<|vh#yToc?&dyCN}bVT{*2Ys~|b2CsvX&RYGk*c!2kSAUR-{=vR##g{(K z>-V)~wlt}nCZEX2Y6BpLt5;RR$8Xl3s)9w1e&n>1wQ>F0YkHkIHS#@^xV2|0XUrSe zfy>#cV}i)I?ZRU112X=K&u7m(P+s#8&6yPxN6DWb3GFq2vNyGBgKohj>HYb?z%x+` z%Yeya6n@IGT@m>Uy3|`ILHwOzJ4+TB-AXpO;c3~yiw-w*>fWeHinsinWpW~sRB8zw za;z5%4UEdElYOZX7?+u8#ivn-+;zaRIJ3~WEi;E4i?unXU?<#F7Iu>_4@OKk~wO9fbtJm zrS{y!RSL+AwY1obgIybbRWT=JH&-Mr`ZpFl3gNkuHyY|7PSamra$>}j9kQcg1J==; zZ85amy8hS%XM-RD5rKcx;QV9`33t7vsV|>wZ5FABfR9# zd>c{=SlCc}$V`My^S%_pvQy2za!KlbC3m5B#8vy~&L?vSU|zgdoS4ld-SxrieSru1 zNCG5I!W3oOi<;NO#ugN=^{5)@EQK*_*d)fzB9;~3+tB&5ab~zX6h;Ei3ED@IWy~Qz z`1zSKdZ){5l~X3fkTORj5AvJvUK5x#EjcyehXNWzy$1Zsr5#U&R{z|67oVtGi);P) zWOUp66*njS2Q8GkR61;mAHr;19I7ugFHA2%4mK)=BF3Z#KS$I*)KA%L7XORS->&7) z{`f#$zy@V2ww-2neE7W>Y5-e+VayI?kCyO6&z1PEmkQv_}3ZAqX&&7JP7=h&bz8-!iRy}Qq>nJFRZi?R?<;j`SBvP&UTW;jw!(X{Y| zmG{iFFz(9W4I}7#$Y{Sqkg4N0+%{w<_Ebd0y~4}dx9admG_vR!#E!{Vbl1r9lB`I{ zll}Lii1=ddnskTX2<_BfC+ok|f7C}~ER17CeLF#KD78TAggkM(%%?d+(VR}*yJKr! z&>&gIXhp5UDKpGSHa)|Ae2|Xo9T{`!p-d8FWint@MlFNRl({}b-7)ilj+uaLV>_N3 zad0R;g7*)8WwBF$-Y{IYKbcY2DKO1)=q;_I6ulObJy|8h$wuI{eZUfjCZAnndOyLE zlLL{>5DRUT6rpwslcCB&Nmc58V=9wI<$MkMV6uk1ZWt>BwZu-$db8EA#FhimuJn8f$z(yoGRtgiE$Nj$KJ_XYP zTV>oD_#SqNLj&LAWi-qMgGdtTB!}JNtpxx04@qMRe*cgk4gU(b)*j%dWF{^|f}IHs zHvjUux_%k?&=+R#tx2WzGX@f;Z2D+JniVV_SCttzHGPsljN z{+zdM-vc%=KJ&T!72i5hNfw3){si+erPzlWI2C$!OnM@;YYwIviVTLO%cOTS|5G6k z8_ROwDpwoA7MZflNjsV-)h6aGjcZsoo}R3ZyXc1E_<#(j{#8=+D>L$`3I}G{uO;0& zDut7H*M_r?C(<`MP)eO;bxa;E#;l0Ntz_riI( z?ytC4*t!v?iL9am)@NNZ1I6Dq^S>DZ$F>6mOkDk>_CB(I69Tp~>wU`SNO@arPgXLY z^Z3XkCqFL_`TJMNPG#|t`dZ$ylBbQHpLK4=RLKaP9=DJx=*9BYY0*RPOj(T00|Psl zr{VytjYg3h9vml#8>RQK7f#1gFW@`Z=|T^ z&IQY+W;O8g96f;>%XKU7%N%r$O6E>e8Et{u%f z{qGOgZk0|0>z%O!H-~}xmFmtLqtn344zrgulg}#@ z?N_VKt4}j#61Tc-cDlKz*y8mE5;w!A;7e$ZaTnMPuDAMd0G!lwxb3jOffe}Vh(ff# zW(K-(L~(BQdkBHteF6^Py5D)f(iZ43LGGl>v>Ckp=YGZIZZvr2Pj$f4++u7^ujKZN zD^d9jWUVSKD){QpdhgZB`k?#w%;0gpC7n5!44;&rNm3Sz!Pax^i&xw$wW5Np;^OUF z%w8%mfny8Li3()+Ntz2*?uv=ANlp{f$FFBCO)D+}E1(5PRN)eI9E%Z>P zzsCIb*2XudWaf`ey^C(9ON+ubp1W_Lmt+&`!?6d<_er=|;g+l)s$H54D?4WL8xLI( z7i&|zZBiUtFg_9lCp{amWxjD;I{rKn`?>6vKBaYUu3UV@J>d3n$EV-70VQ{-3EZ|? zkwl{vMZu6XR&|0E7pGQT&Ga$Nu<)>Hv~`U(EQ>rBXC6w{rsBc9kY2O0f3=T^qkRK0 zVAYFKk@J>l5pDMmY_xPqSu8$H(wbcgbrN0>>nbO;&2}-VUWBX_2~R&xNUM0rQWFi2 z+O!laW*JMI3P3S6jF`P=nuf)7{QceT;n(-@lk1FwRr*~Qt?gEqdWCCIF@MkuhHvBO zpVl@0a-B9hrHFmq*GXAZY;!C8ch)Hv{;eYIf*m4aHpN~#c=WrXBH~{!Pj=w_fM~?@4XOH*MKPDj#jiUu?^avg|Uwkh6?EQTo`_{L&nFb3@iiKU8 z4`*5zoJyVh-sll2xc5{i5eeVU)Xux_d02YG?#DfeLzvw@Q`1CV9ixF4{z~} ztt(N7dFdtU*15LWg+2TnzwxCl)~R3iIR>f3z>jv+=$;R5cj8Y~bCy_VY3o(g;06~% zgI6o{WG5VhG`UJEOpRvuVQ)aG$?}5UMYKOjG-;FTE5HK63e!g)NxphH4N>;9sPh&zS5 z(bOM@1qi8Y(#9D3sXSeTLI>BK-vVoikbRzDTY<~6K+)u}MXhsER@x8xDBK*`uqHFQ zZFW11&$r*tw&GM^m8pj+t=_aHb64Plb^D4%_9SqYnjG?#)QutAed_(IwF&Pm7&G6f zO$u^>%Sg$gAkBeJIlEAYqgM4{#5z1P*`V3fDX||VSxZaqpjD^KCDpMnmnw%gU~uMG zVNT&*Va9e2+LkoEI~Y7X{j<$Fjd^rB6MDK#k(*1Yxm&2=Uax4ez}_@n0lY&>RBDZ- zwo1*d6WC%}rB`Y@_0PxM6P|o|SgJrYNC(dBOB($%Grg?j@!KK6q22&8YfrDqd&7-s zeVjNwRjI(Kr{&Kv7f*ev8K(MoxXy@;lys@OZ%8X`0q$5PtaDaY_7GXrxKQ+yemj%9 ztz!_)fUcTVcNA1>QeTlnm7BfV=*!z)QF2(d#CxVrAzRi~7~v=*ZvSxX&}agazkZDQ ztG&2F;@!dP@zn)(Jv>N2ePdCdfd`LD7W$!tr(U-`0w2=fm*nb6=W-zuV)X*-QEV+)yr5(B|)h|5gWHefy7# z%uF?Zqq+03JohCCyQl)o6ttaUIR*zrp3PJ}tgO9^bzM%bU%;wqbJxJ7eo&LATN?H& z4d&bJ{+Bb~=fkhh2Pl+Z)2pdE9&f+ik563zi_f5^Nhl=+?Q`xU<>eYz(aY~m>>>$q z`0XSVmP?iO{HT6^Nzl3viyOl-B~6T*)AM>lV2`?FH^35le=9}&jD^t^y^d{}&is6_ z`ErPTd!N!DN7eOw0qxxUH@VqgeMZG07Whc}a-Q(mFK7007Qa&nEM>>*@mx5_nv&sm zJ>L30cf5qwJ#YO}e+~=idr=369bh(+L{m9E{od?@arB z*C&z6VYWMby7dy6_40uFe9EwZ^D_Ch*GBAqAPF1t```*&<1iNYZrltH*PtE7`yjt1 zazc}Es*byUh!U|ZN6ldy5umTQwM~>VP_EXwd4DX?aa;e1_GQnTEzyT6p*;ZjR7iKv zVNuD}(WoWM-rj$txtSa|G@w~y;=8zi zC`>4x9$0%8FHYDNC%qd0^IqT-<8%g2{FgefNKliH33z;(98h%FqI&!`HDF3cOVtr4 zD_-`$I}`uOcVVJQlN<1rw=NYu^JP6uHfGDDA+S1RwEPBVuw0Qg;OTwhL-h#nv?!np@t(vH25+z&W%y-WfiB$0bxly2V zXuBHD)nMMgUQ~P-dnnlwNl^rlpu5o+#I{L!jMpB-?o?wQe5fo`d|p*MtSwrSqoeAI zQxvb#20&$QiBrU?Qw-EE+xiFAR9JPV(77-R)GaSslcUT11#sp6Z>~Vv@-5(G_rR_H z*gOF?iLa*RR@EwVYO4UNHZx#BDa&$n5~(^Do0@bI*W#tp$F0vCQ5tl9a%|~t@p`49 zG9qhN_b5Mn#09kv2&iSjqJ!)MjOAN#G32Hf+rOFf`fN?!3Y}5qlOwCe@L`6;JL%TbGFYJrNuB+-}Vuk`N`F5Sg`@lZ+3NN7G0WX!~S_Ww}z4&app&Hiv~ zC%duDjcwc7*tTsuo84q%=ft*cpV-*gcJ@2_-v52?^F7aWSNBYv?y73cncwtOZ|1;s zry=1`{af9OjHUkNzATXIf?gd zT^1~~Yr6xuDh6+Qex{}`4yMvBAk#uX_8SNG0`g#R{AY#hSZ#M2R3UTkIIdTHt%VsG zKTjlWN#O93>BC{Vmw~-cqR7mzdBG;;OT9xNqM zl@ac;xtR$tkRjo=3gV}wxcL!*2=?V|h70QP;xcFP}rvb*OqiP(+vrwQ4h*9!C zjF34{0bu`S)KrDAHH4`6S043EAaOhfO=6V+1<|{R5~L6uNAGbcOw6zEkoaZ6RW-p= zUF>-Vq0fPejW8k0BuOAm14e6uSr}V0gI~yITO+?|dxMJ5bslBj7mwYhSE9 z3~UY@N*)}JKblA#YwV6?FeE1aa386@U>;VU|2b5AVT+R!b z*Xu>}&c0HPo?)(F2cO`ABf8(A&e@K{7TGhr608uoJBS?x#188yO_=q<>$Shdt85!K zRJGI>%YYR)5A0JCB?NVnP*5l7`zAx!d2+Usc3B14B)`&G<$g_uzGul#=m&EO5{(cf z8p?Dr3X>mU*0wIJP4RW?6%AqMEIzc*!O0FF4+YdEL3TacQ9a!mU+z2Ta?ksU==g43 z6^i|x;k^+Mnj67ubT0xsG+r5cqzY?z?|%Ib66P%YC{Pn5@Ls6U?#Ygl@PDOIJl$Da z?z`OL9lgSi<9(jb28lcfoBQB){k;@YlGqK@G`y|JysZ!JPOgrGrMb4xu2*z~Zr=(* zXS{iz2^K&W=LK1uu#?jLy16E_e7l(wr}xnP?^hI|t>fGHjgS zF{l@~gS-Nvd{)+k3jGu6BlPdHKz`^DpF(X7KZloVu4!dF@9_9C1EdSORk;x7sn?&1 zPzezoqjWfT{tS(gYt)yxzv+vka$-HNz59WbwtuSUM}yRS?}TbtKI{D2a#am{Z*S+O zinsKiBLh_`C~z35el{8F=Zh8Xd#(_r!3X4&EeIhH}$ieV+Cg z=#D0PS@^?n8sw_4?1y1Mu6hC4>~c@F21e%&dsz`^_Vp>o=nhaG1I_6b-zg1K>0TB= zGdZ20zQ0%nh1Zp_>e#Iy-FLeGEl4O=q3R zhp_1H=nm;!zTD;X#Jr5Rbj5g|t?q74e+~}=9jysFN7WLW0HGZJZLD|bve2I6vz>1n zy0CQ3t?vrOq1e1~4L5GJ!T;?%HL`^7l!mZ|T`ef;_t#7RDW6t2Q;OcVUa*qI^-`<#%G_2H73xHSndE9VW6fPBW zG*=W(XdiypG7k+jVTjhTBJSd=!u9Ne$P;!*4BF@I_JSzfV@I-3I9k9GX8(*RDf25y zn2Gt)M2@yqM%U#H#E)w+Ul0{y`mef z+dYu96bJM|UKf#cB~knnOZ3@W2~G$#95i&%KtmUs}rEDH;q(&~5Y3c^tTc}@J8K!j=V zrv)!?PObl&C?#mbgCy1LH%yUzUiPPaZ^Bj%LBRY3_Ee07VXO4#1H#1quc$Ls_`m_J ze#1a;%2MuK;&(nDd9UQv-BGoEbajYAR9PBL6xrvU{hpW7)P7jvzxav#j7Zi!ge;wp zf6Ork@Rvnl{tj(xv053L33ET1IU$*Y^g;sZ1rsPYwzG|7CTx908rmX>`#wt&`uB31 z(EOT0C^sM<@+)-?isJvZSIaMyPmerE*|&@1od@bfM^sNPPcB1VblKkMJa}H#+VccQdwHc1BNs|Qd->=3&?#wSBJuA{;NNWw_t$7@VjjL89}f@t7+pgC z*U7>mIa-5mI_+iDJn2?i?O$jc8+ORjmRgA12P^XCmPvx5NaNPRsTVF_J!fMT8vPy& z(!T|DDC!Aflg$&|f9@P3!6%f&nn;F-oW z3P-UA#ArUP|GYPXP;YD!ND6=)47#Vo0^>XLeH{Z+L+kR47S5#pP$mWAThCb_Avy3V zw=h3i7}emQPARuZp#62W3kQ)otr<-O4OT0)Bo^s_7NrnEi>~dSRDM5Kgvu`i`AGb3 zN>qEY*Q6DA^#pnb>p2sn<$PX{V;>Qu+kYwiaXn{S^VvtNUWU>hN@f20^=9t!dhhc5 zHsVuYQ$T=Uu!~Q~>T6~wg#mN}rB(~qvgc756N`}NU(Ul6W0vj7Yx_wqS3jWvPuQ{p zzwXR7|KWG`%kWi4Qy)y=*>8iPd)AU8f|jgZEtc(R?(X;#suFD2GBxRpw~>pfi_6=~ z^SwtoYsqwl`DtqF!xRP-RqAA!1V<0w-=H@EZ4|UF=?e8(O7((PZn}hBcYe?+Nt{*Q zU(pn{zC%^Hc`i(@=Ta4~Qk=a|RJ>5!C7eDodm3nMc2>80>$~4U*c?GGO#8NEKRF;c z9pdr2du}3{#XRVREb4_E=!FC@^;ITc=!IA?^_ejBfntxP<=9oMJHm#c!lh28H*ZD; zOSQ?Px*UbNbOn~PF^{E`i%M!WDAR~xKxEp-xj$j2W1<1-Yv0_<;!S31@!1jn}QreHi{;~wJ5 z^OpVKyv{eH%p`mAR84R2^+-Fsid3fc@RkTF;M(+z7k+YPl%CWdIzEP}=7&kU&Dm5l~EZk-mo>x+-Pl{S{dzO*@<)ErspcIMjN zc+n?my0Q>oi2!Ap4lVt-8ekP{TYo=kI^F1BzS=MCHiiIo%2KtYqd1Vw^niJo3vR5n zi;bL4x$IL!)@uUuZoWk)Ptpw=}LY=c8}5xGo=b=ZL8vq1t4i>1|=%mU&P;# za2xA06~t{jKxuis#%|ChrBY$rPEhtadg-4Q)*bBK)e*&8FCqmg^Ck9edHs{v) zUUPMc3fVIvJj3=H`3-QfQaz@Pi$r+h*pFcfbTbl>)|`UucVPzj%f7b`I3*&r9ndW2 ztvGYTf_C7|`r3Z?|2sPFzW(o#s=2w35*B58X*PuFNqsMLH2)(`HJPODK0wl0=j0>K zguLj9jjk(K1(cS(vQ+o!S?DigTS`sQ=I!aN4Jb0t)NZj2_C*qlRE-plCe-#QM{*=Z z&@Ug%RasFlS2M@zfLpE(*D=^Mj(_q*jHc3Y=RIJ`c1crg z>r!*L`nk?`@Tl%!dgHN+)#>4^7u=+Fy~g5pp>DVTv%`6Oll#CS%Y{p^O&73Hwcl!S zhSkg64SuxHtf&|t`@~#Wawn_JJ#9Pc{S2cQExuE~9`POtKSIMqfOmj<_H*_%OwH{K zYty^QR-oW+++)4q&TorH(9z03YRRRGG=cDVaSceUg}0+;8MW`yHjL&;cg|roP)I~o ztA_8mVe3UE5(Wq!=2!*_@0XSAruK%JGNCF(UAH^!KP(KNts^I5{O(1bmJlXDwAqF0 z!_R5eGeet+iQ1VX#7xNaBI*y@r^-2D-hECyQWbal843?@O3+2?1Bar@;^STrff`}@ z?KD|TLjzmb30p^~HK+D0=d_)8jl~eVpe9al^vj2>Uk!=T=(}04#ul!oca1YBZknv6 zV;!g;bpVfLsAZ_{tsjM$AVWO&nzhcRmACY&N6iC{mq`NLjpKQ@25>77G@CV?63_IF zzF$F1VDM>Q#3@#!;QjhCS?VS!X+H3kJPU!h)<%1S1EI1ptV1$bBe``1OZ&ym=C(Q0 z$YA`yy|KOUmMf%~16q?&uZ^H@{75?(+Jj&RH4n*b?NzkVp!ljAtif29^slz;A`s^W zI6glYJ8*WL3HJG96<9&>wm)#TKac{%LL;W~)w_*~t1^KlC{s5^7S@gOK+|aIXR!+Y zMeaPSVRRr(z{T#BM^l@k^Cenp2ckk}Z>|eeB)=;bs8;ILc`dVK#>;)i<|0td)-u7x zlvSqimy`e0cdq%zcll+dtoYxLN4mZy`u^W}iAJvtdXNP`=Tf^Cleti45zmV>#|P!Y zAu6VW(n$YthMO(!gVT+$Z*0eAIt`Z_U*~BWEi!v4)7Q>J5J~Csmx44uQO9WU2?k;T zQLml!1=tJ8GpvX$d?TY%J-5*MW^kB;pL}$-h@msx^&X>ydc0!)KE2+*-#=TdZKSlO z1+4M^^!k$|(aZB@_#QUeMY~5PmI~g2(CXf650PN#;n}*&;hmUOfF<0F&hruhrRxYj zOK#`r8`WCO8*O7t^P4twsET;hryAu*7JFoebQA zNU{nVBN8q&LRaW*5`={<@gv5AW5ZsJhUuS_IDoE_CR}jEdr}51+(Cbu6NRZ7&0a`) zDaN)^K6xE=e^Fc*q+AXXQ^?|3aAu9n;C4;UE{$gUmjIELhe*qXh#^MOXuQ^>(qP1B zy%b+$o07?Vi*bVgA$n$rpoD^>d$L>w;}3+YuNq*#I?xw?Amp)T)qGV4`&FzlK^rta zf4v7T6kgt(1Qv4^;hI#eu`czWOZ-9O?HaM22vtj7|MK*p{CrlVv)5fU|K_iWEC{|b zjV&)@L!-8OI#3Vzc8++iF7+iE`w<#u&W@-zJ5IgMORb@|T)VRjG*;9Y#%*$8@>Hob zOd-_A&1_`%f7l?+t8GI1dnrb1Sv`A9EYD3beJ?A}5I_qgQOZ4`!d z1i>$El$Kc&c+v)TiK)~{1#8mYVO#y`2T_9mAz3|Gd)i}VqF?B&mFj6;e)I6bzitKPm4D0+|@UPvG9p{Zha zXbZ|#D`lmgh5u|^d`5cg5!V$rw0vJ|Zwu_yF#tWl$2Xw50jF!ntZr^>1j8TjzyxfQ zKVwx`rDZq}5|aNrk3fLAMaXVq46*6Vo(k@Nu(g9;Ye(g09Rwq@G|$6`42Y{N6FWT} ziKB4f9f*RoWXvzC zgSj7hVb#%K4J={7=*zTB>`6w@kO;(>osjwzlY3d9AV+FR%^)3mLyBV(@` zop=*kKDSxfrjfClkj-ehJpJ=x^TYu_9oOO+`qxZo@Wl(#u4 z1ZgKZ3eI^q1D0un%8a9k(&lciguf<7OFhx?0Ec<7ib5J)CMLZBwJec|<5_~o=G{MM z-!BsTL)5*>1(mf44_>VTovR7Y8AJ?uTBE;6Zm8Q1Dxh)fQALe^adlGLp0gLemD1TL zCLcZN=~cahPR;nnjB^GDLr&hOeP>iF6oxrKssPTjub=Z6(QXzQ=j3cRT|{xzhmj9l zQ7uftuw58LDGpXSwVo`S8^h(`b*{&xiptzAbBl;%`a~3Jw;tJsDIVYCac8 z_)+AacoXlMzf_X3y`hWpka2hYzIXa+5q0}V0g_FUXS81C2m*$Q45x92MDpj#Fu!K^lRCb)28rjd)$Rp`hF;Wb5#y|iH~s>m^j&iAlO-2xJs2QNStL9YrO%@ovbOS zA*&BtNq3u>O_zZr=N*Ujj2PxirmAS?!a|IS9ocjvr`|r*ETdX1Y8-|kc$gdXJ|kMi z+GruzmBCy{ySBK`zs)8lo3lwlTk+`C@XiirqJHs~|rzv&t1w2#t;LcZQ{?fw8`+x*~iI(~8^N zzK;>vrei34Yv?v3dZO_*IN1iT1aq4Y3a@8Q&&2vKnmMS6-Q`EN=Ka*_Ar`v=u^MRuDhdKxx`~_RO=|d{D8qS+v z8xPo3RXkfDotMwn>E{$g{${m8sv=)djer~87%X6Sugg7(3%Ko#nxid5Cv5kuH!e*m z1E}hiDV0;Dw$35^a`GuU(vd`c>0!n{E@zck&0%SV{aFL#@aeLDe>^b;e30vcw=JmS zbQo8D5xqzV%g}|kYvI6Y&LlxvSCCnCb62Q!Cv-}=5hvP|m+kQ8LBfD*@)ZdS`HXqv zOoZ&VF%o8v-(#{o9q(q{M=J#CIo<_3C#I(}V6WPHE|n3MTu=Lu;vMb0bGsxzSQJ?p zf*lYp75c=lWwVKz52mSHTZQgA?bUVo?vH|9@?`bnS|Ovs1>3{Z*Mcf7pV~p>3W5GwE3|EAy1^H zL|iA5D8&scwQht*xpFC;(iX3466>1QHuQZ}0XO2}5N5!GKFoMTzGJHY8e_$4 z6g|tdaP!W1<k%SZ;cC z4*-X(p~ekqLB@qiM>{uz$Vf1nb%P2mA;(dV{FjRSm}9|w5+{l#LBUIMo(+l%g)t*w zC)sym$9q$JK!+#uHj$WX(^*`gaZ{NlO6X3{>L(QL&*w>TvYIaX{(i9O^!F<_l_hH> z2|)@QPnRmH^t@Pae==xuA~UA*Mw)L#(sMt2;4seX{wSoETafeG!z|8!E9!!EAVS30 zGS$Kk;YX+tx?q=IbOc+1m^`O0)z8A?c*EMK!V}Fm83`_WZ9@|7H~1m5BFBoG3jZcX zwVRYIv+|u4o==X-*m8{G9AyZe1(8qA*w~WR4r_R@j}lhPA^bSpPA-A0YP#n8{213Z z-XY@R51GBAU48G zMXkjZfLW<5#c7_1CT)ra!g_(znPOv#O(V<3b_S~z6qGx5DJTkdgvqFsJaTW*c&-?x z3tvn@oz{rweag0epGG$5m%a9jX~#9cWM|Th$~J^qiG$vca;eZ~cK8*F89k8G>h4O_ z$=5qJkjxC(&z+MFSwJ3O>s&p^LlZ=AEE?J{VaO}cJ$8jJ!b+@D>gkU8oe{NU3VR|w zd%*a@DZyAR-&v0(0hbF?K9b0ZycC>MYyu2l1EqEj2!=0!T#J4HmYKlZOC^bJOAXvG zwHNsI`^9{4%8z}o#5DeSJ{kEZHzt(<#>2G^uyHLYQ>zhXrPV1YegJlb9dv^qCNL_D z0_S|iH6s7{?_P2|H4X(d*U7i(iHp_TuW<&qyJu!95#T={N5-f)cjXl3(9H(RNSWMs6HKrVG8^RUtBi6im?Vq3x1pxa{9+{+cwx&ip$P^sd+xw;Ww15H&)GbP z1;z~%3}x@xqhYK()!C6<^#gT;SB4A-P)w=~K5Kc6MWUOLsi0?Tu+&xPI7usv*O#k@ z86=ZmF;0A-79^Xm8!f~~19su^d7KT_I1xEhE0c%aw9Ggo)K>wf5#@mSX_N!zwebVQ z87cT#U~ZpwNpmjbT%{8)*hT|ZHKND|0DhhyBPK!2emT+3>-SfM&n8N9(>iMm;@i~c z6PR|>*~@kaR!qbo{M&ig%3C;+VrlLCsdr&J^llMhNdI{(`w=la`QiHRLG@Pyp6vRg zktz<;3egSztPN=`9jOtKqbHl5M8+@9HgU^)S||hgZUVn~0@r}6?XZh#s#7a>^6b@W zi#=Lqc9PQS2;?S-)58__zG>#=X;duz)S=|=%3m4NgvCsQgCkVOoZt=c z)7(a1^Hb$#&qRIfC2K;j4Rp88N;^S_5J`Dw;ncBP<%A&sJE&U6mUS^X06>14N~pbB zOt|Lq#}ND-+&Q^l{FPVJUZJQ4Qt9)!u~h1=NY9MO_1OMlf&Gb4B^T&GareCIY9OInv0t< zWT&APq>oVbzDy6yyMQ?o@BuwKR?XWErGf9i26F9(V^ zW{(+xyaoi-#U1x3<=uvFB|z8oEw_^g?zJ=hPy^fc<5_J3nxdcooLO1$r z&n~Q4g1Gq=LiUM=dscfwPDPzEWuIA8<*epVD^wLLv4^q@yzGRtg=058fF!`uheMW> zB}5m=6DNWr|AAgO8^YP-nA^t(Nf{JN|BH3%z_56$19ljVv;_=e$Z=j90vH&CSZV16 zVXW^xkc7>@u+eE`s+6t8$sSo^t&?QusvP@`7@WL=@@YEKN7(4YZK{=QNXh3}F%f{QOWD05^AWf*4Y>(h(O7k27nEM9|X0tO6Oqii$^V!d>yxUZq?b4d_t6bREYklV-jKPgZRr);gGq0`6Yv35?UFmesObeJ152n-iY zAgr{nO3g@v!XX<1guYZ)bv4^Flh7B zskTK5=vkNoM)8BrM9ZVEu=L0|2d9qs6KvY|VSexBLG^WXV0qkCm8d9L*96<#4}A^N z!5X0&lw=@ibRgzmb=oRxeKJ)sgT@lU8dP3_^tI)bIav7oQ~w}aKpTkThF$Bm1B3$) z{KGrTdWKl+Vn;wI?}8T+LoIu4Z~4Iujy6d69M^w>nHqsmaj#nX+HrhT4`>g5nhuX* z)1dLbd{q|VgPkA_J(IC;AwR-$n^a#j4n&3u5PHT;ME38OV&Wi`yrQg}Q5p8*AS*Nn z7OkB@b_ffp0GXypjgWuupF~ofAc^X9VqihMgY+uR|G|7azb1M?Yc>s%8-R-7_OWqp z)By>Up!pA7p0mM4R8LlG%$Tu8U0#H2IE@VP@WV_2id_a}1zf@=0cSqITwZapg%zcW zz`uXQA)0{RrHM5ot_nKslI>)amaCNL_ewl5LOQ%EXiq5gh}gHCJee?ZeHbJjls5Uf zxFC~Ie}`~ENUc&UBWotS+c&OE>K6^i`bt++>uY3wsSbERNiv?P4je_StKCFET(7EC@h{(=0yA51SX8nB$8f(C;9fzezsiuT$UaLc zC10w37?TMM$|69NW|!Ac^yFdxrNQ*X&E0wMHtUqpHmb;;Wx+)E%}OHUgCDRc^c`t5 z?~Aw5-B{k2Gh=(QiQkb(bdb<4`rViu&SM-q%9xfY?pc%hRMUE9)HZwn5(FU9XY53r zw#UgtVY4q<03x@Fm)xbrtdTl&uK$lDGlCLaUGGwYRn1^@jR<|(V)XB(hSZjL~-PMzy^Cf}-JJCDrG#aL2AN1RMR2r;XEg*Cr z_iFu%w#L`9dYu(Wb-H33r6Ad2`Kpt|`Dp}-2Il(-GcW2m2{w4KNBRCCFREP={uE}j z88db*9#UUp<57c^S(%%v|xl5E6>Guu)IYD&eXLtS&*l&G3Z5-^n&kmKcDRFXo?^9XZRiau%C~rBkX~%Hu ztrqpbtp{4gugE+^WgiL+?jcyF(h$ws?T>&{7F@<^B8wj4%CnE;F$Zqu`Vn1~nUt@jEBRAs}aBWP-&Zz-aXi z?jtJG1J@bIM84G)z{!|Wakztclb#rMx4gNCYJWgzGL-qUT;|R2pAXp&Y8SRm z_C$0R9q?vFP=MVk@roUS9K083@KVAH&#m%YwLZPAeI z15&S?bL%p z%-B>AbFYOkju9IPQY|&L8r5=+2jmF1Vum)fSIlpOq%d5eyGY`^bRf<**YtkDXeIKD zK>&~Vp1~)OfXun3j4EH&FCVgV6F%@y!L2`pLa|xqD7=0D0TlPHoc=L0c9}H4u7io^ zdSeVTrn|LHoTHnh_c1G5y|qMirgU#(!Jq<#k&epZWZ%IW8_(y+ZLL|Afeau>=p*LD?4+4h9SnXcqPYO&ls!Xs=+nw-M#In!JRb^sv|StQzn%7*^}g*l%j2}fi=CEkZ_Y-z zAI*?Q_fN6wHFwI?~sm$LU#)#4dpMj$h551}Jzrav7lu!R7U%B#(q9GFmW z`44u0FpE*K$mQtx?sRN469RGgQ|Sjqg9@*6!pxJ2;Cvx{$4a#+@V~#q4G;WngezBJ z9wVF!`@KzuH)lh?eJGYY-kgG%FZpy2U()h|Kbp$DF}HlG@ayR`XY2D7UHA z2TTa%dXyd6e0y5C&9d$IHH75=se?t?&6_8Uz^=lqDiGfzHCD&Vr*Ou_V@`hYr@l}J z6ayh{GonI-^OA>BkaEW@)k5_=e3PxIsI#@oqO+jKNU(C7(PZgb9z*RWgwaYe)-_!p zCpUSG$%1@V%B$VO2(>kO%dCjR!!I%W-fIrA&A!2rn|mDQfR3pRwZQbL4K}|ZkufgK9Y|LT^1u@&S&Rfl@^(bBPA80pl7#W@(Lk8l6%EpG z_S@+)+fa;`uJRhY`K+TYY?uiRBf)X3vLUc5eAWhcB7jMH9Re>)#qHRla=9a*f3>i% zkPPqz!$;2ANB-e#N@rn2VsK_>pj6fnUXd;oH>2u?mR}~TELTBOi-}n(^$(DsiK0R^ zW|#Q|K1b2#Bu)>kMPGA53=`oZtOPIBmquxVLTR~UBXL%f@Kl@&tNRmG`PhZwjWkV9 zrCd*t1t*E32t^J{9g-Z1**Dr3ZS-f74aEWf8bjoBrBPNeVB&kV2(@1Icj^uPBAI94 z-GHTK+H1rd(Veu};1qc>I#;5&6cL{&2@=s979bO-!G6PumdZk^u(VvYd zmQcHf;n=t!l^sxFb01}F{1!3^#c(7Zxu-03eC;%N^r_y@Z_^YL9kbGKRzyZIp&)zE z?R1Uq9hSs=bUtsJ!>ue$0l)ViASu7c&BRkI{S?sT0PkHBlnIv{zD78zVHEP_BgCIwNA+84^We)rf`+>7@vmD@z}RMx3-RM=St_S*^{_&hSPh*{cPtnpq1s|#q7Xy z>w|4%PKLWYvmma~ejm7`f3y^Zc1ojqFoz6xrOfJcH{-Up#5s@EZ)ZDB$P*)vnh|0h zkvKk*MRXG3HSZFO1BahvfWnNRpRv*sJy%|Rq z-h4FewB^zt6~a;W5Y3;IG=q`{OG4?Yb^A6=uaq>xqE{C4_u^Z?hQ2MZOf<`&sU4x- z{4rpmg>ZzYXtV_ebf4oDO@g}YsFL5pW%3$Ul}ZC-DW|Tjn@^mEvQM0O?Md)rm;!1g z)#Q0&{CZ;(wQTWi1F!P_!0Ag)&;whaeECrngy7^1QL!~aIpGNxRRuGXjZniFz$3?Y z!48uZFW$ek@aGnOvP+L=`y6$$XrN&Ga&F?~!$_j8 zhsZ5oPEm-{uci^Snt|`_0K+AfpmvEsE*ME}{QV z`9JwRINR2Fi@z@1HlLS6u<{mW`pfTTOFVTD4%c^H_fHrDBf4RZTcX8t?$>3>!e5rU zJ~4a%giG^Wm>PBEboUQD8s8TY?z=>sV?U?8VQng8cTw9HJ*-x5Bjuolb5k%|e#^TD zF2g@#{{$5QFP0qsh#Cl^3EqyD9wanQe+hb!^>o@sUoJ1X=MhR5UNsW0@(1E_obW%} zW~%1P{^4(zeWG)>Zo84v(LgXQMK6MAHHG`jX+Dw|GKwW)uu4pYm-a&}B(vfui^Q?V z&hj~)PWTS1cM!fYno5MvwBLs*)PXAW*MKFpeWNt?_{8m697KufZ!8_60mr^@N&6$4 z%^9=WoZX|&`mEif@h6?)m^#rdHHSlhBv1Eh_8qm1#OuZv{$kqzwgKBf8-q8syS3bm z<BN)*7e*LVhe>T<$ z3*Ipq9NFKQ=<8KxdSx)Ol|3!IzH&CC4>(&r{Uu$oOxH#9q;oN8V7XS8uO@NdU$lSa zH&9zVs%<++F-yk=zhI&xwN_<-_~^4Zhrhkx`bEpEn}`m!DmbU165L)BU_N`|)w4|h zD<|+TXR{p70Gz}`p6OK-5xIYU;rjHsJF;*}I4* zxE0$o{%JpwKrclvgY^{Su!{!Q>!ua>Z~HG>b}8PaShm@)S0jr-&h1-O$L@K+lLsFF z{qot6tEsFpb>iFVOJq{by?*K|zS;?~Y ziql)C6RwGPi&YD!3MxdxLrsSV-63Tu)$SEvCVJAVz*ZS257Rvm|F$=S$#*pt;R6}n zz8&8$g>lASd|jUB@WEoGtQQrBSX?VnI}x~7gsgHPX8U%`uiF)0B6X~Z*tY1=tk*z? zNSNf-{^ZsU?aQWewp*~ADc7Z`DMgo;{%4Bo5{_T`Ay10P&D+zpU9ODD5koqg+<4?j z>R~8zaR{2ywzQ+DBIWGJ^XSxvi!#9DjrX9qO1$A2Aru5hnVRN~25 zDWffa^xXh0Jx2g(@SSqDBC58p0Eop%3cM|>>*pz?o{Zg&-vDpcKbDsOIL<$rxB(@8 zF&gAKqTx{hc(y&-A5mU0tIe?b6?hMqk3OEBUVeT){{EgWUar4&x@;}x?G97UljXQ_ ziv*6FdExbQ^bgb>1$;gH+;8|boCRAB{C+I@{dgb}+$gkpV;?d}{I{%GXEE*Dv?7XT zMJ*e39VdIDH-Gpc>6EVwih}+xt_6skrz22!0tHyY92>3?sTWM*RVn^R6(XTaY9F_D zfAo#%4qU-(2g8wjx{@@O%@H?jV7{RhDc-X=$G#>)g&6Mw1LKn)uQi>EZUH%f8nkGC zceX2I?#xEAhJL9+mtV*<+A-HQ?Kt2x!PRetwPLmQObLY&2*j8pO;+0zJtk!VH9$eji zdKZXE%-`iDCD1L+9=b?J#^?>96-oeWXX`|BU(_HlXP55&92^jwP;Tek47AiJ}4DJDTu*vV_f05ujzOatt%~c zv2=T+{L#PcOfrfpEy}xqC2#_FQfmR){-U68QrG7Zf8MyT%Ch9`K^{p8b#Q_ z&8Onqd=k?_spSjMtN2itwSH9dFC111@314T7pKNaUm(v%p5h#ALIDkeH)b($5espR$R>aIv9`R-#d#wN2)}=s3vu}$E zehhyC-Ai#>5=sZ0)Gu^Gk7UkEvK!4$r`HjHH6YLsmgz|mci3eB#(Ojpd}Q*Deea2= ze!VSg&)OzXty*`_E8;Kz!*IAVh=y4&xUR?e4j%_U+ zm~(=f;i&#x{@j8xGo!c=l=tJlb+>6h=Mv?c;+0}z;#Ku_8$WM{jOlIvfs%(7Hek~( z#)DIWEmM?FdYwlLm62r17ThLaOO)_kkv50uQaT76f4f4flzPOn19%3^WVa#e@%F*k zst{8d<0S2f(r!4eC(7>?vr?q+ezWnc&yq_bTdr0V4$Mf-R%(}Ob905aK(;@`C<-9k zDy-kad9&J4`MAuQ3O(EdeP1Hr!Ix>mmT4l8X~K~y3M=e0TbO$rj7woztix;e`sCKq zv8JD^FUTk4zRpPPib-(g0^w+;NCT~7OzHMsW<^ML>P_y_S4rjWRKc3-+>;>mrD|VE z;!IysVrDYZB2n#5;SWyNHg#7s%J(iy>QyH!u65!ci-oOb1I`)c__eOn2pWyiqweC> zWG=YL{^Ajv-OwuXuAWW#YKC8_dT_G}Hh+cI5E2|HJ%8QDn#RpK7vU~;bZW{v*ZnNx z%OqfA#+d9$vNfj0#G>Z(+MJzj2k%$(|5|RHSe1+vZ&?MnlHtt z^7UsAb9MA*0=XV7zFuvQix`7xr?L73>>B@+CKqD;(?e z5{PqJ^kE14g zvZ2XlSm*md<~c&9DO=m@+ME}Lou3kWC^bp2`5cl%ZFga4VCa>6O}k|0 zbDp48Q9$jqPjx*1vhS~pF2UJ1ri(V*KX@^p?6CqF9TE&~{;sVZZT^by(2@HEcwZ)p zZdj-6t&k}7zMbZYycNsl_Y0PB`WEZZYy6STG0?wq|Lant{$Wto-lf{)TWs?v8*U+r zAaP%>x#jQdE-Dn9SfYnT!sx`^l&7s$&2P@~kl)ao0onZw!?MNd zTk;&!xSu8sJ0+#Z+eYUW^_;<*;evvvY;hAQotGd z?(dUAo6oLTF7u5TudSd1YCKkO5(>8IYv69N?*D)s;1K-te*^j}B6e^>fAlC0xDjiMScBcKzJ zgDDLGEW9T>JV2>G8=*xpe3xIQRn2$}vEfUhJ4HDTa!;vIKmJd2`*APad_2qqektzL zkFY|0!G0Arj0BGtywfS}w$K3>5&{mKT}AhN5;)HdBdYnhLgW1W1g4{$+ajO$p!{}I z79=$bSpz6F)@{g&@krg+>kVwc>w8%DK>^hoc8}J)2e*-?!e#+9o?(vSA#vl$)0eqAHW$jVVu-4%RBqS0mNRk|BtJ846mf=!i8f^Y}>YNV`3W< z+n6L1+qP}nwr$%^&VJtSJAcl;3U{wsRlRoazIJt0SKTWlX#UqCqIl4=ckjB1e2$*5 zG}&h41ZZ?{6u#T|-iWKsv3vJn?$4y{i9POesm=%uBH^HqoxF; zC*9^kO*E@f(V&1ptLQF_zZFF0*8z(UZZt}R@QsCuT zizgv$)LsD;xzjt6VIfVwVT_Ym`5r38U>t8qj%+hy7L@his=L$EXKo&*9_yd4@aNkD zR(d|CRPS%fcHbAtx%VkDQ!P=BJK%4O54afiZ>bzJ6t63UAM0WT09jl_=W^vi$B#vf za7c2E(F9j%^y%z9#@AEX=>493m%_s>&YaTFag4hJ8jde5alSdN9SJu-9{Z<>jA+PH zbd2Ei&#J739sfp|#5y-jlr0<$UXF*=0gK%ah;R3FiT>wH;o3Y+9}2#Yo_U)im4c{?aARF8Fz z8U3A@2=S*2Q0!9SiDn6t9Z}mRsWQwAXr3)b^kd#M3j+;+&MdufB;HBtxJ$;aZ<6fK z;;DKxO}0+j3R&+}1J&aMB6|@3kg@XQOSs;R!*U2c-udC>e8tE0~{gG%e24HeV( zTq4noM|cT==t7Z4u=G@?zBKm8vuqhc@(0z^A9H~s{`a$z#gLz`#P`nH9OUZv%%eK~ zn#<$$v%osv@vetL{x*H-2N7&rX>7V@**3R{7JF0Kh(;flRc%B%Er4@$?;Y6ZW#}nX zuj0)Pv4krr;}eM!z|cv`7U#Wt%3ae4Uu!Kz6dbSF1eMczo6>%@L}?d2xlvFmh4D{d z{4>4k}+hlKQTQ9P=2uFd6URvc0{DH***l6~+!O_e0zjC?4h@gGr6XlA9{M z7~le{s(1Om6fSM;(&Z3`QQRcu!m6`z^rxltry3^CIvB>bg$>ItLD-s=<+e1xbjK^X zJ*ZF!#xoI?x@bJgEGcgIew&Rt#=MvJpWwPeX(c<8%5bYarI6uG#77t1M4Ki>n+lDT z%vZ9^A&t&0sghJ{IV^=$=;_c6ElKNSsU5=(si%xfJ<4U5k3cy|f-NP&K*k!| zk;!7kAC>@<7#InTl+w9t#OiU?G=8(DM+ogY6BALLo8^@*N>S~$OD;=9oPU)bf9uvP zK56L!=oFb_%t#%oCJQtvKce#W_QcK>#&^!jEq}c)*0q-3%c?WaG*K#Ot8;}2h|w`r zWM<>*sVx`LELkjNU7~wvMkzV3NzrgGL3G`F)T|e-oT+9!Q~&5m<*VDw)6_VZ%keB7 zXVupzKf8=)%de=fEl_!JZ%Jzzk7ui`iPWHkSsm3hf{oVgUK23~d-S(5b0Ot@iI{kQ zBVt9w6A&Mi6MEc;nDFX`y`B>>`-VmWM0@W<%=ip0g&zQw3xUSb*MR3pjtty2-A&!n z(QA-l1rQs;b)iO4D>iO1SCB2iM$t$Ry161j8B*$5{QTK%h~-p>%*&dG{hIyR_-c_| zbblPMWHCv&YQ$DigP2})e>e~a88qGBQz4R$?bQQfzmXqA21okG3m|tshR)87V1&ZB z%NOtzWTn-LxXZrLxfJqP^y{KfWQ1M@;p5CyLF3+q4_%lJ*)){{?5i^+%lPshs;XKs zD^o35mhOe$@*{^cWp(6~(Dakq0&2!}`drYzQ}@}A$DwA_iD#(LcWKf}CdJmN#xwSj zyOGIc?_l-Akc&A(tBcWqyi!00Wc5O&3oBS0$kOv%PlN1-g6!9W>;d3e46XlusjQV7 zC0PEB6^EoDZXBgpZjV+UdZE}pxkfZwnR7zDA5Ga_MqL?JTHP)}nzASfGpnW;GmGJ( zm=nXLJkYTl`AT~wAAbBz+M>9*BRZ>%S7}F!1w&W?L)Z#KI0Qqu;7r=RO6?&?@jd7i z93wwOCv;m`VgiGgV1YLwYxJR~^YX|vtSDq6sgu@l&Au%zg)A2H*K)85UIZw(SPG|E zC%wb8yY7DEUHc%>flHY3@I_R++Obk+Y*#Yz^mu^_*ra;W%>`6yP2o*Nb2W)AeI9j9 zbY_}zcW!HmQ3dn7s;W31$AfCp1!9c4`uG=FG5!__U2ZAYf^n^$etB`0(fOTL(-f+5 z%u2aUNvdb3+IQ-Iq9lQ##e*ix52|APnj{}(Sw1})uVO&)^7ij%S*9oVs>`(h)Ye%3 zQ>%|5HgMQVPW5_0bYg+IvN4GIW8fLuMqqT!eIzoet1HDL=7GTEW*RvuPOXsojOe5e z_}xNMKZuTxE5=_Cm|jFCzebpkk4x{SI{qWgypFE6{e8K`fG%>&mOo;*Jr~mnKQhhI z+TrE-7`e}IuE&0dX(nAOLD=atG{ViyIpq0=vm zUXdCOM7zab?Xin1A~j>2uhh`Nt8yiL3ykbx z!U}u~ytO9e(H)m_%7e{W0Ph(TvU8CgaZa`?*N*7-Wz)c23cT(#14B-TIBw1_SFRs^ ze(h^}AH|UDbHymiM}lxr_D7l_Abqaa<-Qg8Z2&J%pBLl}ZYNPD2u_p}aOf^FVxJgc zz{OvvmfIj>x`QR_dYZ}S>&vyHrRZ)@^(KQUf&~TL0k4#UuHQu{J^0r}9NVxf+qw+6 zmRP^_3@cPp)uH!0r7OuH|8=UzCD~n#wWO&T1H`!gSx45Ec$Yh>u zOhq=Oy;N?!F59kZdD1N@mWk9!&2BD=ed1)~W%IAy-dKv%FS5$-t}of^>P|PcMOl;2 zH??Ioa8cOX;B(haw6QCLdZdqn##^~^n7hjFrgs@M+)YKa?K^?2oJ91U@SjO}Di%w4 ze3!$*d(`BKI~mq#@8r{IgB|Ch{p2%NF`>no4L3k+_xF&UBfds2cN9EbpLX(a-$ZYB z6uew(c)G!|xp@cJX?g@zcJVB4Ufhufz+nNO`ENg0Q&6ufgVG)HaxdbK{OASR0+Au)RrTuHJtDIrk$IaLgwo0&wzTjNG6;ThbxA(d4lhD&VzB@oySzd&jgJoUc$bbpTP% zFkb7gKH#{?#^0Kwn0S>q9gJd=z=_~r6Eh)*GfZ0#V{3!sY!jeubLoZCw-~~`%5CR> z?@5m2+Tj?uIcnz^hr=_K>pgqM0Du416QyJOM6Fe5Ho9?f7tUjU3>m?ND!dW_3gG=S zgZ`ILVVkXqa5UlB8W`&SkRY!afL%veSEnTVFnE4M3nt!-P6*7Mx!x$n9q;Rc5521{ zzNE(99G5qy<3ZtsK8CR`O9y}uiyJ*$O?ZKXvQ6lLY_jRTT>r}+WgelxJmwiDKoAex zggk5&vm007dlOHj12%o&mVqX>ClFpyhqE>fviep96Q+|VnpiNU%_mGps3Wy|4cG;2 zl>;?P*m=v3)E^O%$KFr9uFbQbT*r_?>A8w=ea&gQ$ zs)yXf97tFm#Zi?uBr}b1=IfHhRj@K>SRSGLu*V5jER7EO91D?G{&X-juWqft7C2pE z1qu=31mAbI07K?Z7xL#q{DiUpx;_V@-<4V;uV<{`2d+^CS23kd#YhMTu6?@WvH~E9 z_kVE8fN=u|F1!!BvDIRhxzOK(_>Rp2X)*T*`G>e0cn-Nz2+3tYDHB{Mo3h;6;1k;1 z6Lo=|^4&%;b2B$Iy+=E51MW{sM}41l#z1sre>Qp$-)G?C6OKp6!lMAB9M30lt6&II zxW1m&Y)y_hRXS17DpEX^8Ooy*v|59Bqm!n!Sp#Ne=idC$-7<{In`d7F6USjq5n0 z>cl5}Dl_Rn<`?tR+}7PnX1eRsYDIYE$f-;hbFPf6lSb3wYp7G!=2qr#S7tmLl`O|! zT&{`Vf2-$No!uPAGA!IW8f1KDn4Bs)*ml(>=jyk5oSa&~H{B_0)^@h|S1#>Oo^3%} z@8sXNF-P56oqSpxZwjHXwzz5=PtLUyg%x_E+=>N z+KUMhnl=oS{aU~_-OXXRyaaYoSs-7?Io^Ep;ox(M*pTjjMvHStUmReTH+iVwAAMxw zN^Xq9U3>_Xov%LJJx;vhjK2QLS)m;sL~AanpM79}nih&L&OhjjX|1Ri+AM@OI+jXS z6oxl~R7qA`g*R^H6Whd;OY#88lAaEs{ecV_yE3y*rCgXJA3rtDpN!>EGV{)$WZ9HY z#8RLbcg`xC&plBoyy8Ura}pHZm{m?}lLM&zN2M?egL>YzHN5ewiufuwY1F_>jyo-F zN7;jA!Hp-yfIXxyl(5fid0dl3%}KNIA!zc1DaXiOJT^bAsQ`}}r_jPIqY3Z#BFzh` zQIz@F`P9+7wWzZSId=q7&R#6e{YN%i*mUWjx%TJ1#mD@?zOw3^Pj}Xffbii81GgS3 zUR?Xl8N>E=#kSD+`@PJ5l&qJ;ilDRqtm|hLN{}rf_xEy`usWiyaHHErKTq~9d}Kd% zb8Yb3iViA_)oL#``*)nmwqA9}c!%RQ5<#&w-sYH@{~r1P9$=4W!ZeZ0iDa>HuG8iM z598$v?3Y>S;iq2t4s6_Je_er-y?&0j0rK_%!EKcM^qq_b{mDw0K0@hb?$2z6*LE4l zjSgl`Hs}pH$2Od|HNsY}#C$K@wFBpj3jb+{?XCQN+sS!9iO(s-jdiXYdE5o8{yXlp zmAeWFXa!Zz%NcFR1YM?g1&i&Hv7(`d{ip>kTXnpb8%`(K2CT0$*UGlQHe{Ot&SaC1 zOEUbq<#Q$cWD~ay+Pz-X@IfB^i6#&3&I9GldfP+5fTpa)q`U0g5wA#yavc5;;jyez(;3ofPUpGT zbtGJjV$$BIzkrvgf&?4T$)MuFVZ|g0CIlx? z;vZ>hXW2mmPB(YTC(#?*Cw)$$dR~8;LZFS!~mBmuDg^&>3J)>~ZF3hwB6dJTLz<|F`>x_+~S1 z3MI!>c!a9+L5Wb|^_zs3JVX!YXyI?R*Asm1p*JuQLtla0HW8m9$)jh5n<(O8*!KPq zBKjgXVo$rSW~Mw%`F%W zNU()Zt02GvHs*kqbMvD0f&~djQL=?a9Q*9H3hr)xyh<={H4#wJd~ixI6(zomJ;M6} z2o)A7er}`X%CzEPE|fIk>mAW!Gc& z5-Q4mhtR!2r#B|m#UwfF$CSb-&P&i4Zf)56JBDgQ#2zPdOE+4vk>O|;`#^1yLImL4 z!T{_K9^R%TqBD|_j2OKib|9ajx4U-V0P6b%_Am?{;8TFwb_?i_Pq zp~|BTiUvALqtoGT%IpyHqU|oVy4@B9dc6rEkl}h#?#+s*Fq{$*Z|1#hMy>?ifxBG8 zoNuBnw$YS2p>UxMi)sfsoCGO^>lSt;7pZ`ySRG1nJD4B7GlVM!Y2uK^kBWY+=HbwtM z)}UdMHUu(7i|%$OF}g3Gw1%bN=2;R+QZNX6ffRD^sw8f--~+t$ni!@^0Rz{=Q(TJu zm$zoL^xj8NsOP>D`@#CkXWV*3HgRn*jk4j1MagWyB7bsvU8Nx{8!N`#hS#F;E_d%A zUQo20Q-8GZ$9}%x4uIapTWURMRP+rdmn=pCkPanD09KL3uCSFfW)m(j5n+h1&AGQY z;~RE*I((2d|FSlfT@+>*foWROjsAGU)N~hr#IJh{O_HeO>vw6;p;_71;cS`Z41s=u zN0C>k7wBN!AKeC%`P{c?h-HY>9K{%>J^W>iejjwEJ8XT6UhINJwUzD=1l0@FpneHgb4vh%>Uv&)>u4b!vu{XwTcBLK7@;M_xjPhF zgXg(APkV3-aB5wjq~TqF zutqxZMoOd1Nl?wSieFT9k^opSVlB5vcZ=Bdmp?@ndCfZBj9-c;<6p7Z!u$ig)k|qV ze%mC6BX$X3KAb~OTV69!ON|1D+8QCFr9rCwUfg%Ajhjou@S%vTyNsk~k>#w~5=z)@ zZCg95gHprAvAn-hkK>=J5b%rR^*j1yW1I8mf*?5>0yaxhyaQ6oNSd}ie@)v<_8)DD zjy%ZfJYyjzIY|c18M3sS@u|&=?MC=i8K#$KCOTVZv(C=+vTRH)2YIeuSqxfLRil~eUM%!ui7y+oPO*4h5Nn#v1M%{@XGUiq?$~Uqw zT=2Q54Owx-VQK$m%gP2RCY#W}Ixbp5wl>P{lelzAyyLub>68z!<%)eD`;DCsln<2F z`IDfHVaz;dC`Zi4dGpROn$Z~Jyf~@0_hrK`HjnAu9`=fq54^7JV|En+i7k(O<8BaD zby=2gRTkMHsKdMnRFNL|zcC+p3mLl!xO?jHwo~|Uo@wvYP9>{J9C6~@QCvtjLI-hL zNWxmYC6EQ2Moss>KH^;T>c?n(m*X@)7bzR^RHu~-Cif|b(uNd-nIm%knV<_m1B%)F z@oVa6re2*yH{MRBkBM2H`wR?aPpBf#?1Pv{WDTuXvMG0L8>6@wzxndQzcyrV=p(U^ zU=Fxv`1|Q2FL*s~1Np$VpVCUn%d0nuc^0i>KG=w%@Aw*6?Xh);asczo2Y6P5oc#yj7bln(V% ztUhU{jNJ1luLnibT<%5Fp7sE_qbYGF=R>ZMo5*+;&rSj=hgYKA_;d}>&=md=kJU+s z`$@~wWXG|*veV1`Qk^G^K1+E(gw!~G?Fq2=N z@x;a|9jctT^W_7(YeWMqjq@eCIkd*9;E>Zz8TSW&8l02svkx=QBZ6-UvB6jdFis@o zr=#c~!~tT@24Y=ajbtV;j@Q7T6UGwHgQM^0Q3O6m5ebZ zFs9BIy&ig@h}c&=mmf~G6QnMQR?|_n^2*;CoooloCKpIJF|k(L;Tl|EY}BNsCx-nG z=ubSd0G+s!=J5_OI%b;wiuQAB7b06dZM>K8JC69Ghgq_QyRL}R)!AY>(Q1-;>(|A? zwdJxge&UYMNFUVgaJ|To6Xac*$5&IYS1o?uExhjKnC*#>6NJnHm{T-g?{A>){+=Ht zX#Qnj#Z2_I_CN{H*dMo9HI)jy@*j9Emk{hB9-4r3OUMh8RzI1#}-w-b(1pl zcDoOcqfy>RyQI5HSzqf`aI?(rU@;}x1kvsM!zL%z{#?u$GJfF05xIX%yPMx?=X-x` zr85XtUp88wq~J}a2Ugn$JJ(>F3oI&61nu#?Yq&FIi7i;~;dlk$5dNlO=lU)Pi(#tE zTQY9ZI$^2{Lo#kyC%laV@=1G?a%dAL64i97DAg+=-iY@>z7wgGUpw$V@7o-e8Ued^ z<52sYaST6b24iuZ<6>?P%DFKVUe*G(E4w=-<8qJ4x5DR`-Sf_sK8&|LFn+e8#YyVW zfh1K_Tt&wTzu)ZI>d*r#)uj7TJUCFN-HXzMzQGkCXUeNPYsJ1Jgzkab!gQ6}vs(c3 zxHuxCpoH$qJCbJd1jBACOP`O2rx-55>V16-vr8&Kpfm{Uo0V`pk{=Be`kftaI>OE$ zRy&yapaur+!$7B{gP~ahM#Ca^u2&0`29B0()+(20oMa#9QocsP4n94P9n{&A>V}I; zS@504T(}8$c!b%^th9pvS~*@dM{5kz&(uCvIDnEk0RIpnQ?TTLLSvTBJK2&kGqfV1 zp~Vxfv0(r8Jr~Oivz^m=P?e^d+hHl9qNr7CQT~#`d>MU0am$Dl%p{k3tDA( z8uPL$iwKp!QL*7vN^Y_|l+aI`<#PvwU#P`uZDD1@4BBs=2C|kEVTdQyl*8Be`nVKv zxxjO?p)(kVOX$pxCv6Ud?lK=`Se_1UVg(_{Sw%=_-xVmNC3D1(&IT*kWwomTjiszJ zPwaN_zuv^a2f*~YFVdiKcOofmTwA)c5`W`a=xwjFv-!H(c?2}*&^wj%5tX`vUk(vh zZaIYT>b<)bi3*5AFt8b0pj%CQpMY1ubuQHM$8u!ENEME^qc(rM=U;IAelrt>d?|T(=`<;yvw!0lGeiBXoGLwYM?tjE-mIty=w2{w~?W_llsYR zQizN|$n_KaJdX)Nyglr|V@Wdj;bXQ1jMV;z|U$Mza~j&WJOGzc=VUDf&tyzkl+6ey=#iZl+rO|=DTpiS8#bkZ2I-9%G)GN=Bz0)~R1FODE_~Jjm=DIvMyj)d> zZ&8(_W#wXZv8;vA*!-nsg~4aD7~53Q;OeqmJ-xo9+0kx@@)R&bABp*d8l|+up#jQh z&*0)02p1Df)(nPOZ|AN@#AHAK2ZCK-C}+Ir0tazlFm1)CsPEX&C+NFq0Rj3JmkA4T zzrot+rDwb{5|X0sz5NSi0G^L1cEy~xpgrZpp>IpdtI^$J;t<>+$GW*HF=v-Ra`U0uQCaI_eXL3vZex|ljLZ2TfU zjF$Gm@^Wnxo3#yG0r_&Q3np7_aHXy`pTM97LxON-e-LRvT>CLkX0UK6H;{ z+EqpuTT;s7=>WC5eav)f%T62j>?AxkI66dv?Zrj_mt-zcrC_rfp*Be@3eJ7zYVYY_ z`QxCt3I#g^XC2c`SX8OJHL9~wK`sgoc$Tb^;-4UtaA`IOvuhL>7uewICXrR>)G_(q zNH-9(VHC!|Y{f`xMiYW|j8(||cofEgoWGL0V4|=Tg!P=jNTXvv6Wbl89Q6n5`MR2N zioBoiiDae#8s~NTwKm72ud(l!eF#B_un{of4#$=1hLO3D5k@8<29^Y=Z@$@)+4#{tukMXoPEJ3izR01iob zuuBPU3!{4vxZ};uCQbP6O}PAP9KrafkY4#Ec1iI1WSvNzjxBPBOmJt7v&>Iwb&=X)JbppxMw?oV*xoQZA`VtQkELHr(|KIchp z^<%c71B_#MsU<*{NPZ^g|9bh5{w&S)y7{a7krFJ-34Y;kxbCmB7U49n`PTK~nM$GP zJ0ljVy5La|UfCZv&&Q+Do!dm@9;K6GWi5Z;s}@CAaMxvHCW3!lv2%tINd)Skeyv%m z%6e=knI$!n*2Hb^9Xl9EP0tt?SV{>Nw7YHM0EvnkFE%!;dhe8&pa7@UNE6qmz+$uY z@;z42WIND~rNo#iua`Tq3P60SA^ZUl%IsCqXx8C2jI^f2%h>4isRh_vV#674&z(4m?y!qdmaLXQ6VimV8x9iY_%T^X8=oeE+^@Yk z(lrMp*=i2h5CWA|4?V@_NUP1*?juBJ!6M*=rfO zbK%Bn^Szak6ajquj)|q7Q!E7Ix92|P4^RCPz7C3!O2sv1qi?A+S8$GoHg%_U7Ge0pBHrpUy5YXT0l zVA}v?j95#zt|>H@0`sjKy7VGq@!f!Ps*rMc8|3_dH{m~or3WlhN%7`@8E%hz=Z*=o z4ZxKN8kHmmw2?pjUqjv<6GvmCo}1T>hRoJ{*^_`~VX$C`+9p)3mp)p0zE|fKe2;$g z86J(){ZHAXxhI~C|ExR^Jk&E+i8LXN4SsnF=yo^m-Q*Dk$Ae@jPMfAFAq5EGA;(~0%IU(F*bwQ!J`5~?jkFUS)-RLWHW}opjz=*l1 zC!nJ0|0ddNDW{!E`>nFcGZmz=*i1uKMnWtf%1L50Z|F^`e_8`^BxC~BGJ%DdrQE2C z5@^8#_G>N#)kk(t6xzcd&rB{z$<=*7AOVStUWJemOKE>U2lCCp73^N>3{%$e9{13N zc1&n{-)N`DhsWDE@af3${iNpPM8AfBq~|x4bbi>RB0lcXoKm*MMAo=Ie;I#W1Q7q` zKOlbiARzuDpmoG18~ditUz|&V{OTSM4?w1V;dvvNo}qaknC%gHT*2!~)(CM-td3Z* z{6{3XT6Yxcjv3|^9d!(cGc6Ar9(4LbDzah`>@?14%G4IpMe@I-bw3*NiGDDLSxe zvUl0Z7>cPTm==_WH7Pl?Sw`C}4#I@vctOdMr`_wgvsS>H;%rQiq{+TPKfub7FCg<1 z3T4>AD|T0+_+iOU_xt&Jz=fpa`&O#=x7#p(RgbU_+-{EcI>xvM0nHBH7u>5O)AsyoWle z(1x5agT(q5bls%T&X7+6t#o?O{Op^H);E9L7lKn{CJ9go2zpwl4(a=mN8F^luTAN= z){3^A2;sUjcD@a-pz|MvwIJjsBZ-`8XF9fmKYZpI(vihNO?N8r zc3Qz=h$kySCtqLAIueY#R850brMFJme5k@f9QAfh_IGjYnAgpi*DaX%&6xNtnAyZc zm0w`uPzMZlA%2RGMME*#!q_b;9-Hy|tz<)WcG8%^2ihPm2S>TK2z-q)wY2&z1^5}r zm0J#w8as{-8b9E@LdvjDQP_}MVxB6H6Hb{;Hxyi@GuQB*FgU(k-PYzJ4_-jfB8`I)M6x4-cNS z-1srLC;F%+MZGI%fAQLt&3BUJd&rDBolaK5;(*I4Xv1nJ8?Mg}{gGKYo>H)GHjd)lTy%*-!AWOB|CGFfqh6WJdu;KE4OB!q5Xa;+nQ-|=K}gyGIH?k-q{FFE4s0d zk#DmHJJ($(Y;hXSyq=;071hKQ7Q8}c@;6)-HnrAZr&x9pefq!>^0oHXsz zbd|gM#yv89$MsA*Qy1eN%{SphUe*B?v9;s0&z^(g_91J_tpJX*Rt#%fw)Rx{UEk6tZ#68LZ4; zi=?&F&;0rUnPFU6c|%~*W#4y0aI!LR=Nt;V_z^R4H)6G@o)Ra?!E*>c5z35SpV&yF5_k$e2 zz@~--lat~x%cBvJP?MRXl){b&(F)s(c|aW#N)-&fUhM)PR<)xc|qIpq<8xI z?CEY+$=m&D?0+fccTvfko#LgO!o$w)!D=;LH}9+}%jrCp^Vehxob!lcsl3R32V?r6 zc_Lfcw|j_}vjiV@zDRhqAP%`A1*7Y0bHdmdzAJBpHKJi?>W~1F+{4L9CEw-I;8^jsYGy=+X_xp*eK8Y*DLU z^VE2UUHxRqa6!I_36`Rdhg>NY@O2HTSS5f>9YO&a+SLYsBr{vMa?k7k7{MSExEYF2SR zy9FG(*n)!PYcd>~6FrDvX+u`mKilFxj;~KhP$08f-7Fi%R*Jn5C4P>=Z52+=^4cxu zR~>L`di@!T&C~P>(uANSV2X!`&mDTcHyt4Z-I^7Kl=-HSKm=yuuDA?4-)-ky{%vNU ze6nEm`zXW(L^W+Pf7a0Dns%W=M2PRX9AB^b->9&Z5aE@feQv_i1;y4B;obR9EfstUxqqm)rRv+)Aj+4^zT*yi3f0>rO zm4kTQT`8lQopr~3Q8c0B@Rh2P5jf0@N@)B?n1KjbsjU82Hn8M!dr_IyAd9d4)BLPO zqjn3~hOqaq;eyGUVAncypHcLF7ZW?MGDr4PS!go_!%)hLn3_-E+q6L|`-?h_$S4C% z4#FN6$HqpC9CkY7><$mb=KHC$Wb>$UxCv1mnc5V64O(}1Phd6^TPH0FdPj5>zQ2zF zw6N@_3xQV|DL}{>gxmc0gdb5%sf#HqTu7r6QJ5wVe}(QCkwH)%k}dzV`6HRj6%y)Y z-RHWK{qi5<;JCgf_aV<_6)~u=dCCh{Sg|9d-ihXL=X4*fS#qIKlQ`&qO2~lN=K#5C zabTx*Y5CqI^*?8PtzV9!R1G>gTHqy8A+gAm0hiF+z;IZ;S5=)OZc;T9t3nk$#@sqv z6sXWB<84rZ*!eYs(3l3&hcgFF*6pHW)AeE#<0LtJ~YV8^-zo&EKYwq*hZJ{CStc zmdLeo-NSS3hg7q4Grlh>n0ORhiGUWE>frw8?y*k*oknP>Ge;^aus@4hXc#~+I zxm5;W&3$3xHfDD)fA|BK#$`Pt+qn^ExHATKfvg8XsB!Wn+*3RZ2%SqTUis7z-ctGvy&2r_O4)$X->-**)|RgmDMzD~)z>wEt2tbB2zN zv>mE`yA4!NF356dmXY#Wz79xMxcw|sZ3BwP7jD=9%y5Rx~K@VVX-8yqG0)ARwZm_O?1@4i5l_fLnnCg=8aP; zVE>ij={Z$-J+bjDtK>1CmVaN!B6cKY9zLEhjhbYh(v;~QkSyS*U-<0n$(OC)L!Tca zu5~YXr;czymZh$Biw^c|z=qf~oi1KgP@;4}YPrariVZ$pWlp+Y`EqQZOWgzwu376s4HC3ZRcRb2qz3`? zR(>+1>LRd@x{9&3G&&(pWd~c#RVT6up&iOczA6&1MX{kytC= zVryC=aF1aZV#{)rahpW7^Y3QigB@jk)k)SOVwNdyN_^yBGaX(+7Kw}BwY_`!Afp z+-@-O?~4GkZ>t*B!=7_bDWS~^UQsc;av2^#YaS^NcO#tcBSrp2J z#RD6k6=am*fSsI&18r~~=%w9a{1g#whvKh>fbeifE2MwaQwCQ@KzNMY{sbN%c6gu; z-|U1D;;1p2ogz^pwbk?IAms!i@lHIz8DrcwJtf2^AVa!j#$Cckvao5M5VUWcP^_~^ z*^@j-erMe@wE)~XmMKC~h4Sn+x25RnwK%6>P2t`76=C8A8Olb8Z=e!ZXR$2@ssA_5 z(mPZzu1_Z|6GP1D)zs>$tI&QmyQHCU<`S&wzAb+&iGjT<&GFVewX=ouN~m)k-f)p$ z+??HP0xMiv6!W|y@%-sGO4Nt4Nw=rnq88)`(xGbc+lDkw+e%>WPx_aTnl;cg$UG~* zfcCHZ&%kQYllJ<*HaZ&$;r;Um9NI#8`_g5b;0VF$W|Z^1Yt`IqN-9=e6$qw`+|Rpf zd(+qlxN_G|CrR+94qvnq(4@W@-hJPjdtIJGHcLF&sPA=wo-dbroL`S;;DrU5#;}?% z7)iW?YaG&EhhrxdFOCff8<ZUJSVI&)z=?pO<%B^}pW1k^7rt~+|rG=lYCU0qxQa^9lT3f^u4^4K3pEQ5!EZE&Xm z`_mb@)9oMy)~h(Rc_s+jM=tw+x3!-q529&gQdMnS~16%p$ z$K+9(dNa)3-&u7>&!j+B0`25JdWQy&JMA1X5@#Qq^uBP@5g-Wg@%AcW_Fb|CXxf4) z6^b)XL>VCC3x%>8K)EAo#^XF0oI3u){E%aGhGd*TFi3^(RmXZovKJ}%NXG*=6gi&rE~h9|Q6<0$W%fWhmjn9>35M04ZR; ze>AhOurJ8oP1(hpTJjKtfR;VMO&M9x(dC4QDQUBd{L4;A0t7oB+6@f52PNJIwXT+} z=Eh3#0bI!pu^Teo+Y8sbMbKNwQ`<+G0VB#|UkF%HUI~_bI1GefBI6hslGGosb7yTr zJBBuMETx(ql8_e}ZIm%dzNLu&HDb-)Tp7IYVLkWwH*@PLO132)inX2@smcMtPYL5cXMPsBTF;o>*au{?5*hX1szz z;5J7=RrEc=8~CbZ2gwZsgT2#XRE=pNr`;wg z=S?@M`^L1{-8m(Qw$So=Hn!*nJGbbDEuP>*iP{6BrAKQ))vVo>Idn|?M69WefR-TZ zOa`09HljEW3D{g^8}0{{P{Z{U0sc{{e;r}d7)4d*wdu0oQ`&lK{@X>QHUqL**89yb z-xjoO+m`Dc&_{kh%zOSNHu%NFT+M|0S6AE%rpm`o)iYTou5uZT$K!{wG~VWHR8@YE z3Zw5TS>Z<7=~-E_(S%9GyMf^u{g9TGnTd^w8s)7HyB-AB(dm1B7B47?yN2MPeVq`_ z6{2aeZAq}9w$Ym~LWe>Gc7;p^l=If?V{ zFVy#Kzl6T>Kis=;KPtq*$}cEK#GbS?!@sGMMHnQ-pPhMCWT^@xyM_g2exbCNI)@)} zs=#Xsb9&~XDdC3wIXS}xn^vyZ4HNntbxj_@P@uU3lf})v2XaYF+Hs8TBgG~CkYh@1 zy}4u?GGGaY`o}CEZp=Xqk?{Og(yvQyus$tnjkEU{?|NUY$-z!)U%oFpvDzHGB(sW$ zgA$o?1YE2qwu^mACQbAPm1P;$pXkSCR#HUDH>w>ItSiS|)Er%(pe)^hXPN&;g%e^? zv;oBs{`v#9kk9Q~aSQ3=`F5*WV`+UuS z2t38s025aMg2JC=s*F8OOu%UA0Tps3s?Vgi#8AHlG+X>PrZQDz8tSl$m@-DFFR^s5 zC~Oaw6kGZA=vP**l=8@r1+AG2Wt`7L`y%6P-ef3csfE8qf0+eRp0HP^rZRO+qJ7y# zdP%;cl3bNXNsFgmw~x1;{LZ$3-}#JdFi3f6fduZUGuh3j3$9jOsY@K(sLQUqPC*Zb za{Auch;++6gLAR~YodstT@L#rdrem@%%XnCXhFehxlMrosmW2pFiD^ZgB&W9D;a{` zXrsMskhcku0+2=lJk1ozSqkW-9X~`0$l6?xn4?7EnTYXXBKDZ{QgC56M7FBW#D?IJ z(-c@!f9!Q|9ERc#1=gk@s{QJt3=U`n<=SxnFgHf&f_qs=;I7U&cTs)U!!lFPA0Z7v2EMt#J0^zI>y9KCbn%)tch*g_Q~`7-uKpf&%J+~ zs#CQWcK`FO?ylZlYp>5*OH_Q*VyI7a$C+B=78X+H!oGZx?1mY%#Rmxc*;rqK0dXzIyEc`+cUHuKAlnV}G>r5;vlmiOn7w%Kq zLCViMHYO3ORJLkZ)(G3tULdDmTd6jBeRX{gC+bh1q^V^loN>*~zG|y!QRrVRZ}L1_ zhwo3&14ai9a%J5~yY1Jy-=qu5V09|$K~E#qjy%23zD?DPILmPf6A>e>-T4Th$td}? zF6|(tTe@zIJ*HrO6)jxocXj&uFe{XZ_3W3;T;z+praYlTn0+%!#Z<&~+$>`kTdH2T z7Kh@VpcteQ?5&`Nb_5GZ>k1qhf0;rQmeOTAX&Lx#lqyQQ%l_?>z$^K_Uo{M-c+`j) zDyT2s=MKC$^AO=eOnLfo^ixLBWFSc>mo!fV7oxRUb4|c!Ta2=%e zT(A#<=y5$Pbu0waY-KoX*Huq)b>vDJBZzR+^tDGN=UYEj>91U>287FsKB)@EDS#$0 znG96beZQjIVd9A`!(S-b7d03Q|3l4b*$}0x;`2l=gsKKo#iOR-gA3W=AnWN-%}>yr zrpSpn)Gxqr!jBR75CK+Y)e3+4BQ3tsZ(mWyn^7!aAa_Ce6vqNhEvr~T<=M6fl(VVv z12+)MwS|VDln%bhVVL!*Y$cz_J{smY!B{x`IJL@(8HX-<1gCkXQz#V=5@DnEc#8)* zLE5n;{>_ogFL_}71aojSMKs8~5QZ^2Jr`oOmJ(nRGi$i6Dy)V@b4s=FQe{}<&kI9O z+yEBa28-c?yj`Z)SpIv)(t@Y1FlpB?Dh-T1U-a*sgH3-w#Huw|<7+v3<+f%;vy;nq zi9e~S3-am)Y-nx`J->W=lS*X3Z-m-%jQuE*O-BR1PH_WU-o6 zxCBPv600gBD?C17PqkFVd6v8i*0k-=+z8XjENmY?ne1I@(v}e9pW1WIR8DSnN!3lo z0DK6PGos|2KLe#kK>J^T-^a|9{Kth?wU{ilX+mtQgTYp%Ev&S|w^^Dh(al!VX}i60 zSQSBA6(GLF!Clg)C%+=WZXK7!SLt~})XHVK+^-0m+1`%l+IU(?r^|8%e}duroiQN; z*|!ya(7$yiAnbr9dQrd<<`8IYA%nf%JX**n2;l1c zka_1=rR1Xk%9`xXkP%#QBZztBNWwN+WvoLzrmyLV&oXDfuWtqiKuiEMp`i)fdm{anqoJ%QgAP`^ zHz+HeDFZ`$kQXt$WSx7#9<@6F3(1`Qy42(@33X9KEG-#SRW+tAV?v(~6D3<0foQVD z+}8sj@sDcO9w~_j=t5Wzqw|eY;@PO4vhVlj+%uzFysJP$8QElL0Bl$k;UXN5h4#)_ zkvw_eMK|Oz!EW5RBRVppzuOn*53e3uY51p$>A(}*a017+Uuv6yMHIAcw*ZE=Kye|0%v6-sMfqivHUWY$nwM_ zwu4K2BvZyrH2r!m4i^2sD4=n2?KdmZQ{vPr8-4GNu3ElipdNdOUu>*P1YYI9ev8z> zcZ;OKddrA0&MQ&77cInk%ZfC?3opu|03Ii0OH?N-(VoFs_z;x(-8;S$%)No9wdA|o zHe%$n{ZCd1bx|2of!}fCqS2P33T#%*QA(|CKSQ~%0b+8?eXECDSw z!?&z;*fuK3Z%G;&QxkTSE?c-b1jKaZBf1FR`hvC;F=6ote$Zb1?sJEb*v4A!GGXMP z4LP@0**uP?e3xjay)TVz0BJ`qgw-SzQexL|GFft)SB`#>jfjf-wsVC*DakeTNw@y} zMp^dT1gBDsSkKW{f*{zUPf{t?m9t3NrFD9Yh_cHO33_<|_Mj&zE5u~?HXwC~F^gqA z;qk@~jCGOy&Sn54s{^a290xD^?yK<8ug%7G2ydbE~x zfSWAqw3BDS(`Kl+SOugojm=Vb6LPlPS9u4d#QNwl)<_uqF0ja)pzHx+V*nW@8KFDvVV~hUc|L?!+>evODu%6yl7HD+bzovAb>k>foYG zHFr4|Mr`g~H1UVV?2zAGg-V3s`>vrksx%8bFjJ4lb6<1Kf~FsRVv<$Ugnn*e4ajZ) zk8zsl=?Dr$&4LXM`m?`{bXPq>Aqe2 zYBPVwT8u_k)R^B&t$-HvS8Z7>W|xPXT>~dHwgF*~q~_Q_Iom%o+aK{!-y3HB7oSNO zh1Y98ou4#%D*+x2o?|=_ zS0}V{0c2AUQa&z5%fkp9JhAr4Ms+W0l^QE8e8O5HvkcNiW2!cY@b3kp-dNtB zU3EVAo0miWEYO54b@8&Ks3s1oAwpOr*eX?aVcdfm6(%CuPQ?Y@{2i=bMEp<>yJ;3q z#IHnJpRUAqSTTe>G8QBRYfG1GDYW8b64OSeq0tY((UMil_%Ep^QJGU6M&skbh)>7= zHljgwZ5BxvIj;0#Aa^pB{DXLOG(i}`4thVP*9{C07#{dmJ+!B==M9DJ&X&6;)DH{@*9% z%Uhk%Le6y3uI_4Rw$i#gm4M$d$0kJgHas_JOnkb1bMmQXU#SYK!8toU6WMbFiNU$Q zTV}F!e?$gd#Dx0TjI?;$X;N3PSA#4&B96-@8&gqWX4x{C8@EPckF{Y`I^Qdczfu!0 z#{JG)nlE&;&_<;LIwbwC7%AXPmEunA{O2BwMqFLCJ?HTfAeZb{m2bOH{I*-SP=6_6 zjdkQE3A)7Jp&BWhWrsK#IUlaXA+>9;AQo|SjHRn;r9^n1vYB+%X4H~TagI0i?^~_S zfXC@l=3PZQkBf{Gi+7`JsIzy8*28Q~CT)X63W(34a}008eU&K)+ zFQxMhrspEZcg*o%BP@P6f!*1DH4Fd2HEV*qc-taCz(A+vHe9Pa*fKI*B^``+OD^#Z z7tLv{c!u*%rWfhb{gue)FWudz#A7 z+i91LC$|ftZ0F|Px74UQW+cmUg9LMD-KMJshj18$HrjIDQqvM%ca19>ug`CjedxT$ zb&XehkrrmHdHW3*n|s(z0qwG+ywkN`V4`UZkG7@GwG{rP2R?h1|Qy~MO4lkM|Oj<%C7JEZ3FF~H%b$7&bL zj^;!s2$k@j*PlGya;O?>B7GJ|R$s~B^a1aWVZPdNzS*?2W=?pnv@cSd0y>$+s3bsuC;)ZdaaMK=nz>N8TGK&o74UCp_`FI zfrEDSd-1(zyVB_ts*re{N>G9T=+qf~^8{!7V=k8_N<;NFd6a;MML+O5YvNVKX!Txa z)0)n!p5(eL8m4zkoGj5mQIA-70?)uGkAUJ?x7ad7+!CDI+?0}bc0}hrXrY_<1aO#) zP>q{FhLcCoP%|7!%vco0W6v%IDA8;4!dK^O4?OiUAj=?&Gw=8-Di16uk8uH1%O8iR4)m?PKcOP<_wLC zN?vtkg+kE+J)_G$K|&4f25aW;aA88D+F z{ALp}EKCS@zg-bwE=)Pam~N1L#HnkWL5iBLl=+_a%Y$Ebs^8(NkSo`Vm+9D*pJsPY z%3tP3tFW>}ZQ9+Yhi;#ukIYT-E6UtXBGqU`)r*)Jx?>pbyi9hf8gZ2 zQg<26EEY&Nx*Pb-)RQVBPs6!w^-?Fj%ue%uF0gbkp+3kir@^q?F31KTxEKR@8#&yI zN9C+pbc=VALQM7|4PyDB?uc{BE9Y)T+Dp7tAcEknoII4eEZTFn?~eW^BuXesp0fs0 zCa;PMWOiQX?xWdVx`d0Seekc{C3&+Ay(xv%)^{oQOJe*M@u4hC|ebi_wNt zICWZKPRzeI1s>Px!Zn(fhQ@=fqAZEnZlC2gtvx7e7kD2LhY%Q3Cd|?IvWHAB>5Tgmc%9?^uoBTmO2c z`NW}{Y~+-^_U{ zu<~maB!@OgC`Zt}<6Thr0*n;m<#Qwy&!lEXZUGT|Qf(4Q)aoJdFzn=-w8(AONM@1v zcK)T-Uci^iLXeszFvl4DL!g9n7+5hCntv~&Yd83iFJwmJ3Etf~@h+Op<95j^ zcNY;nomEuh{`?I+ukpz_Cc(Q7wBygRmU_^H8|7RufKSXbG}tMbEe92XX@iPl)1qJ+LA8I)Hg&`uI=3t&J?Uj`Lvp z&%`H7aw(RMg4znFO%WwT6mpd`e&7JC1*R1?#C_J_WSoS%E;?zh;4rge=@PmbM_zv( zbr|&jRW~`L6fu|?+wL~tx1a#eUoODo)?tV;Y}oMpbF0QP8M_e51uYI!^v=|xl_O(6CXm$gr$zotN0I)R;aAx1$q1Qu-iypn%{(#bsw1? z0`xXY*iGXXX3h;_k!U!q8R+@S&-CC@V1uvDsEHn=m`8))B0w<`TK(ZqCFBGwX~cG#p3Nvcb<9U1@ixo@Hig3l z?n>Y%Qp@;g(cj|5f>FfN{vzfyvE05BJl!J4&;YM9HNFlT7|gnRR}|Fl@`M{)oy@XH zL&Q`wuVz;qu34Vw-i=PCKs(BP`oE*VZDR)~_;Fbh{V3MA&l4$q86^#cG%$rnFEyWE zt3wQ7_jqdPcm6-ZV^!c&lUn*7KTx=4PYsD%92=FEfsL@1juX%l26@!S!DUh9no_7s z8-oTjXdA=F)PhkAXJnd)c}(;u^g=f2f8=1?|#$6`r^|2Id|F1zPo%e zI3%)4#wPQa9+{|mZ$TQO|KMnA9;fQ2|KMoO|KMmCmR~rU@5H}2ns=V|P+Ps$*Su)^ zv8n&iXs4e4O{1xtWI(^5X7n&e3qIf)GnU%FSfMd+7}dO=Z3`{TV#$tcmlf`_i~VMc z13UczF@u@}lj`HVI{+-0v>2lS)wV51RP$;3sigYs)^U8hEBs*Px*jn<-Qw`RR|I^D z5`4A&9VNY9+081I!wuI};p!}{w(a@MssNY=;2bLVDlI&S{ciM8Q)`g4P5SW_e8+K# z*KXfWQma!>(kAsj{<#%rK%)2RolDAO)>)kADQ_++Jlx<|BWi8ci0ZiD|6aGN$FV`g z#S%Z!vB2Ijy)l*L2kVU*I^AxA5sP{%wNL8Ka)va~Z3Der^b_x)+TJDPFWrALY27IQJCn8*Q~Ue#_8yci zsnKy6;Z(h$j<~Uh;c#(wRlj&FC8e40G)`o~(PLGgpF8H#ZQfIU^!`+C)UAR3>Blha zFjoT-df$x&pLt=os(aE;XEdUf4#A}b3@3HJhpUJ8`-F$E$D!^A2D&cW!MQvHb6Gl% z|8`Cs23^!nwdS^em3n5CZK`8)NFe0>!=n<JvTH8FG3tx0j>v}Nf%Q%?0(6~*6 z5_Z(#o&T!Wm0qcL!))*gI8>+{h17hjCVO2?8T+^|#~+H?9lLqX$2Ny-$gH23t-2-S zrPHzcGbknS-7SYUHpX!`ig#0Bm?f03DCq4Xo~Ipop(2&EJkuG{3Uyte#xH zy)r?;+b(a?f51c{dKL?xSRjdIrnbh=eLruD=lzBZ9yzGNmm?h{HQwI50L=RGpE|3R zW*-rLJicT7$^~}j3$RA7F0_4AwDCQ&*6lYZLTw=dWztK{&AZ2*zLkqT#h6VMr(i5k zJ(G(fh;>%APCoy)klMHZ6G+WUXa{-d|DRGT=7T`JhM@EN=#o5tzw_el{;4a97}c-` z+^HrI_k(E48%5(Y#MLP-?-ACR`LVvOQ(GGH%a$f zT=FYNC z?3HI>YRtcfsTk;+Xpk`A7IrYD9`qwP;@S{Dq#7W?xMdr-!?05ysMXp(|Cbvadc zdm-_=b~|EM>4MQuyODe1@3d#hjpF+P)ByOXD>g2&7OOK%%UsHjhY0TK%c|t|$QQ7f z9(L>(gabs4b`5ievlsMN8JB91G#8yX|crSuH@ z>DKi7oi|3jY3SOYb#oJJ{clneaRRmv2}#{|Qgo{aA9LAQCAna)Oq3eQ4M@xl6vV~> zm>q`zf3z7IB->%77UG8Zg-K%e4$b!vAyx;>1#eiFZJlfbv!*iy3^c#EG_kl04dSB% zHMllU(un>EnyU~9XoH{_Cm#~*!FD+O{@r}i0Ns%M6%w$@2{&v&v>P@x#yK;d<(hJK zNIP5x@=E(aI@$(`iIR;bs$kJs9*INjuG^S9e>Opa6CHVWVENc--L;b?6)4kjXpWd*(w< z6}_;W`xS}XOwa&jH_{60wq~1}za4C=vfXC~;vjQ7g=r8C3OFD#nbBc8X>)S=U#+K{DSg zS-L&`3dY%Z*QmDVjR`=hx|00BJODi`XPAwFflUk#MezqKr*6cq;-Dcb*Jc*&clF&}Jv z<&pk62(SQW2J0b;I>PNiiZ(#|m19eS<7;q1*h6g$^79A|PRCPiV|vXUnjOnj1!w^s zJ!p}XnA8)$hP4H>k+Qq0QPzy7$%^_#*1EIITRNJ5xFsP`D`%EqM|pBxe#4XzMOU8d zL7>gr`B3Cu>w*6B?QrPNG{(RxE%_XFb^fH&q}^A%n|c~JF4#?S=1z=qkSmu2f-NYv z(PUMIIs+y#^hYE>iHy8#uEv$%8Zz zAhNd|-6Y)Im`%njO)rw%_-+9@{Z#{!<0*o5{tHR8{=n(17-E8((T_aQ7-}$Jjy${J zX7iQYMrZnsYM@dBs$Ty65wd#~*1lQ$@e!ix7+FRdSlrz?*hjP*axqU)2EK5x7QYUZ#1E8efgi!|J}()5HDq zLfOwyT|k^Ul8__)jnH>VJbTPo7qWg}mA~=Y9uh|}ID+Qu>b z0|F0;5b2r#c|JZ2*D-X7Toeyk>G_$a7JJ+%sq9uELN?bqT`GtY@7f9!tTJS0i5^@F zz8wKp{2Ey+3XDtzQelTkCo6tS8ZJ+~36;0YL(7lCU}qsQB`Lq+joKNGT!?5{JEFJW z(p;YkD&t;^DA7?Fk4J$iwc%0#eUBM*){Wd~6_A(GknlL#CPfTXK{waxXi6&A!wSX9 zen()``vslqweN48gGnB|x9e0E45RWfFPWJfe@A4`iQ~rBn7G0{Dld;W;Z|~7ptOyp zI6ET!cCMK1|5Y=a*VI6ViBoL7WBpK#?IO7d^X+#9s`v`-_*BJ=cJ9~g(!SKerMLH* zY)1yt(;Z%@lbtitfDUq6ivV(?gX|(^R%Dh>Wv$7`>J014J=@#dGE$B2TR`wri51Ci z7(}k$E{GC4zxa6ze-zt<>>@QVrH-rMDRV$2fM!E^uOm3)NEyl&GMar+Xy|gfL@$Pv zpr}l5W^Z#PZ6uHG0pxP{pZUnCy1Y~f6!R5^kO;Q&rM04wiD)a}BW1f$p>=ygOp@P7 zrITdT%C30$T5oU8cW<3u9zLFDlg1NVCQj`%9JW9BCvpe;UeuDi>!{xI?*kuJAouAB z>C!5n5NP?mha1%n!va<@n2sDuh6qXlD`UhtF`&M61J$4dM4mM!SR<}vk%eh?D2)pT zn!8Xdc3dlVweb`oiZ^qTSbIq)bsL?B!}9d2KzFaLfvg2%(~l`EwqmH7I%&oF0P%DW z2QRFowi%{Z4nitfpc{=WaH5B}CQTgjAbj-v!hsHpgmA%nc+e|e<#`6GMP1fhTB9x7j zMrY_o{jD45rq^CqC1RLW1pL%xwpqSw0(7bxGm7oFO^Sn@TtDLxulL9L9$({&^Vj|C zlrJ0~77fGCUZ5@p^wSqg4%LfRHr{uF81~bwI8OE4oVv+`09_o*1kD#=s)6m#$}+6q z^T!PeNAXjSpbBh<-}A%6^@vzQpO%vaPVlM`vZMO|UtR}V-H()ZJib_T`1FpP_iQu$VyP$@2c!+?QZI6o^Gwtl+drhWx%Z>I6 zD54gDY=W)-N=a>C9BSde^*~TMiEwiZH_JT#Z8NuW!{y;ilO*W4bsgRy($uK<_^4G0 zFHfCXw;xKBfIms^mQwc<)G7)U!bsHjP_^M6|odyiz_HF4F=?aSmo}zN< zU%w)ah0R8phyxE(p)A_Q+LrCi@T>gd$){_*yNLu$su_;g*ikgB=jId8mkN+5m$r~B zy0YpXYVx}AokqC%?i0u)6DKs`Yhn)aPo!&gY#!C`POg-N8YOFI6tvC7^Z(dll>AZy zZpp+1#wF6dt=kFu>HN($owpUpi8`Bkngw>0!iC6mAsout3%m=mHm-dti^?MV_FE~T za}6PYF5w^yROUo~CP}L0NLvR7x6@9=3tr2^%4_qI)oH-NPWA;};?>d(IQ~R0g#?IA zyrpma$uAtZ@Nuh~{oa0FbVA?jVH`vza**?VcFC)9f%^ndrm=*K4-s%ibO8tk7s3qR zI)DWSBc0!Qg}_=hEFVP|akQgRJ?~;G45C(nrKXi*Li*lo3I-5l@3ZqEm}~0|j-$qN zN`a_aA?s_8bT8yr$ydu&hLCWKX7`+VkH9Ff$)H%Tw&`lCKU1_))un6 zuLdWvqC!NVI{K^N38b+D$7XI~WrZgWKchP>$kN$qK#tZD(;8OXy7?@s>vqk^`>+fRg~1Md7-FeGnlqKVs8zRr*$s z74GGCOJI7NcuKuKS|1800T?7fmtgOSV2*()@zk0o$fsOR&m-!QF;N5xAvebMmg(jl z?QAdYW~#wXC|77Wp)kH%ioqky!&YrRAh+7Z``vS3X#0ybll)@MUT1_1(!N-;^)J@U zTeha+UeF4@{To@rKA7(+V-9NPu`aD|Zz6-Nv^e7j=2-*<%);RPB;Dt&(cq_%Xc)Kp z!Ks$!&%1WA`+Qg9yr#O$IZ+GP4fC9NHApCJeYx`ZlY^*Q4AuOF0INAI#i+k&L6zXj zy3lN5r(~d|7eIP?;H=K20R0eQ^(m+?=+$quU;esba9;y~hS9#)x}tPPeuL@km|FOO zS&6v;@ME3NgUJFaGq7X?in>BdWfqyy2ZNY^i~us zvA!7hE>oCK64ZD7Lg28*v9Ms5v_xF>jM84Y3)(X^K750%Zkt~1gyXy(;01k>DR&bb zAN9p_nkko%`OA@-W7;3WpiY3lDok5=2WRCRfD)dOa+~gSLy5Mlng-2=P|UF83S5sW zhjU`UDOFr;3QZg6;)@>>mwb*dgOj|YRnnl*5~v*P4L_sgFhxOwX~+i(qJyIxUHe_A z8K}1E zZTdnYqCPiDN;`8n=M9nf)U5$`vcpIsa2s~gOa#7>R zZyoa!5$sAa1cksbuz>q(Mq9WVO z1-U*3oUr}F2c&_mBTP1vL_dphv+oGc2j?V&8-f$@+?u8LtL(FF91Bb<6k>zewvcf- z6eN&e9x{zBPyK~N_qBCfG0`T*R z21x)Vya7F9jA2Y389OhE2MSqxe_)*P>}8yk^o1IXV7a!*&I*ITVs^IE4QuJwRNh!FW)WZ|FJjx3?xQv%|M8^DQSf93Fr;wli z!eAL6F{Q-?WNG0XiDCW5g`f-tjw>yBAq?Aj{pzz^8b=%Hoz(#fiMnkX;BBvSAbd@O zj>7fN#r6ooNjmId_dk+>&|(ECB&4hh`6{*-tZ>EJ#7mVxoAk{wKyM<(`wM~OYwPa_ zpEpI)>NVnjs5JEr0|S9(pWi9f$#Run=}^Em(pNSdwxd z(RCWbk5(SFW3+(go@P04SGhD73MxXlua0CdIAHT|StCwm{DEJgXz~6F0{^#=Y{c7r zP&&9Qy4!P*bT06T0_g1DW+>B^2XL&Y{7q0_%4{&b6rMo>Lq*$ltW2^SU|7w;8Iu2w zWml%n6$+3#{%zG4Hl^uT`5JZlUeJJb$I6+Lkm)K|(Ni+9&ow3JW(P3SOOZglGOC(!2=a5}T z5I)mert|;1^?~wLy(}SJ zWF6AXN#g7L&dogO<*Z)uY#ziBwZ1?9(%CFtXBGFDnS1^lPOu(B zDR!%X5MU>vMf98XNdOmv%Vs888&8JjGUOp2OE6pc$4rq#5$~t=F8SE;g<@V2bxcTp z#Rg9VbGfWiQ3_Q;K4suUVy}|hm0A?CGPI-`DrCyDfBN(5q`k+^uQ0`7lJ8cR`6#O~ zrJ}5SsRH~DJPH`Le5uKrWGkB!;>y^6441p#A9U^vUtF0#9muQhDx21*mphHsea`8a zq`YNhZ`#fziv5lSCnzQch#wE%gR2?_w(R(lReOS;_B|~JJ$@#+?#3Kppv7AW5tm{x zN;UdZbwMIV&qPP&S<&?UIUB`e5=$c~deZsV$;W9LO3fEnJ19|_zi}$$uY|60u}&`f zJ$Uo_dRu)Ncv!DCx3zn&v5hMhEL7H;+lPzKJ zKAo&4;qXhaHDD()FeUTa&;nsE#hEDb0oX~U-%H{j`% z%V7$eb3`7laxuA#pujg>_c0}>ZPq-ZJat?9#FEuH^W!@cU=aUvTqGN4sPefu_@FRV zaO;tDIYdQD9coSX|CVxWbJ4Y6M7N~m>h_Nb5tSIsoMejiI0l;0E@4%Nm(+pobG~X5 z-m5Bq?pMvA0P_+M#6SK&uOLAR10F+9tD&^4KS~E*uU}^t? z&%*IKb^pGz;k*0EMO{=YeU<&s-CW=}ZkFOJkGc4Gk8ZnB;`JRf^3DZI>IG@JdbMPF z4%V#T-PCCP@Y19U<9mxe{tG1hri445y#KTi&`Q+uFtzJt4S7xbeZzL^d{oxI*?1{Tjbk`&`jf@Wsn|J3|S=QQN`r%PM2=-$0h1LqY5WhBgqAQmJQ0GO&n~NEn&nZ z$~B{^*3N~-&w9Ke@{wsw?Y`Aa8mGE8ZdZ*=ch-KF?Y*f*bvdjPZ#9W1Xc4JQ!^dnR z`4*jak#gB5D)F)^ZesoS=s^@-NhOXxn`-rRO}jPg$3^<{+eJFJ+@hpom$=5EwTo+4 zk-$ND+b0e#i$2NqGfw!VHp@mbg6@3L+U@gnmWPl7JYPN2{cnAVMs!2Zepe<4!buhN z(0`lZ;7Srpv;)zcer4dT-luYKX{ENt?((0{i*&DlyZ*_7_~)>reX=#%onW>tGjt7+ z?@;f|lf?aEAWH?GC+qgU_t`SvwAI~f<4S(AK`nk~7OLx*iay_FmS*1o@www>|Ji52 zpM17%#Muw>AM`9EGBWtkGQ-?ksOzf0PItStC{=FL@5@M3BeAp^W$&-GR{E}Kb7h#I!8nn`(Y3+Ar!%QK{%n`hBzCDJc^lWFh9ujjQ@ z-%q#e5XQGqa7-{S&^>p5kKFufMgtxzAxpsMIkeXmI021xoM>0awJ4M5Os@8MtLh z+5Uj5Uhe7#f-86~mk)4)E}b4=a9Qfsv36!%R5()Z3991oSGm|{4|s*zamM-8knRl^ zmdA&LA*9%JN~7xThbOS%*ZIaIizqVzCErPC@oMs7j15TiDcX|<)*obEx;QP2NKr^+ z;<+gMS$NHiNM!mwgYss~#lV6rtvz=&t4R_41nlCYBv-sR(@X_TaOnC>|io0o;13T>F{B`Vb=-Jv+1+MPKkV5dVPu~A;Xc1oKq>3On zedvX(0XKa%VzpqKQsn{zZMM*9U(Tzkg|F7sLO7Xa1oOBYUqn%(9rcKMdAyCTPP`nb z^bDnKxrx+?mg@k`h&l~HFSt6O?jY>%p%pQ{H6$TIuuD^bsK6ORN_OZw^gQ|2ejr8i zU-vg;&p&&}3DB||rAo69IwlV}Jqy^7tQ}Cc_&?$+Gi2(hgru6J9qQmsIx3T>%Bs&bG~VM`cSTpLH%{W`9?F~BNVUtkmbE5I7p&wP)t$>4 z(^V?xO%p{Xc4U?UWSadHsdx#F;z{#vqiBei)iJDP6QN^>yB2xu+bU_>%RcR@1k8HBhKze_Opx-7}y z!9&jG-#Gdb1Y9a}!pRP8?k9xAMt93xgE8W}sqfWH7gEX&W&6U8<|=+mjn0q(#bd;` zBCi%f#%52x9R1EbH$J?f>AnqPdH}+mHLh`ojJ@FB7fHlylbO2NqG$WCHwQlY2ibJg zMu!~zyl6(}oaOpP$jS0DsmL#pit<}iED5EDQ^76pH(2JjKT#N*_b(DrV&)3sp*ukn zP4>_ZArnmi^vsuLhXxh?dLsxVtjwO2>mHv&#&TVVP;D&7%LNn(O=7Dz>HC^pjh}D4 zx_a3$#gnt!&Xtz=8cyZQYoD1f-D8hM_n&1s@T=3&s?Xh>J{_Fx!QVCd`qITJHMIuH zQ%Yv@49i1HXM`E&73M98m*$7);Fsrb$VGC_;pI~4dQB~7e!v8hot>Fy({4|r5YYCj ztuG|1H@?)dgjB-5{faTxY!Stfh!cD63V4N zw#r;wMha`7&zUy3RYI)Z^d5Vh9wu#3FRV18XpLzICrn7!xHkXEKSz_RnJKUp`bSrO z>38|nz;uJx%q9R^@5Aez;;W72$V`P9Vt=aYP z>i;m65*&fn11k=iFt(f(KWK#>%!rrLM>l98v{jZff(@*tLu}EhP(@RmUTWPxNr!@- z{7`m{QBIh{ubKYGBCZ5aLr|jtPVB7KKRwWP@kQEC{+GLMd$hu#;EHxJTu$Y~W2kZT z*{JiPe^YUv^SSsk{dwxJ8JA}LSv6=c(bxIjjsw)?fah^)^s)>vcC8Fs`?S?t`0M$D z=iIbXh1mOtJueiUTIx&3*)3QZdP5EjNl0N5+7D)Zq|k>y8Dt0^`Atu;Z%=*hXwUFjk*FgV zXs82>+~^@P#Jo&{iOdd#9kS7XiV_0^@{ocEl>mQYD8D|NW3i-k_DFr03A}k17D%>r zv($7u7FoGw?4qJoIF;E4Z`+U`))q6?G4HONvC7p;+!>bl$lqxohKNPg0m3H1ME@~U zv>s%DI7h9pU$ep{znc)g`wB4u(j2vhzxHN@;^elC3RQZry;j*eooE5`{HvD8GYyE4mT<{Wvj5N+B?R`1^nY*uY@3iYZ4eR^0^vj9ai`ERBN4PPemdeV~V zBN9zk>&U4zPU@TP!JXYYsAZ)Nhqz0hO{i3MEmFk|7i4nQ*N=}rX~ULSbN^JtcAk`J zpY=2J19MjMHtxueDT*yS;^VRlqceE<1Jjz&tFrlX^PS1-v+g309@f<>ISdQO{3nPk z+O(P2OLx2f-PeD!{%{4_mNXil3LwvXW8SS?;*mHP zEO^R>JxvYzy|}=jpZ!Qs8ZWUqYF>OR`jU3TE%e#;4zNXb#~k{o1yw7TuJ6r=D+` zS0Xi$)yCs{bim4D!%$ZdUzPmDjlKROVK9HVs{kL<>L;caOPJ}->-L-Upij z)bCSY2lKA;CWkB4{mo2+g_;++pLu31cDCBdRAZuYfg1|H8(-&CtG&hwN0OJlOiE`Q z0{r#OuDIOkUP(fN{9kU%h_=NM=uDqEDH4~~*n@`S{$7_nwUwVH`31I)MTq+w=*3ad z$8ri?Ao;UJUaSJ84f9MaP!>+gmMQ}2>8foEmIy{0Tw#q%LKV{pM(1uw)@^~Br}+Ii zS40aT(6wf~VU2sa6F=^{pQ=)K5sWT)!Wy@Q#$%vy1WspKE6rYm6vIUob$q^=goc5C zk3RL#3@i4{VCE{UWpCgtgr<(m660BsKfUqWWaYe@2njSmavdAFh%5U8l&?bcNU9e`9o)KcoKlZqS(6X}-%2i1PS z?12AAmzNs>jD!}}N{pt4UCjc?6DDj@OjuUQmdD+ss($uI>qIPwtUqoCJ@}^1`4URA z6NaLU4iW$1xc)pLJ71Eq+T5V(ZoI5wGq+vr%qFwmEVtg6tnwCUzj!}ud#pd*Ky=NV zB4avfdldQnri+pvt+V~ny@IhlVm0t!M0+-%x%9X@M;3D=Krc)o_NkC)H%xOxo`9;QO~!&B|FzE^+{`c)%!T)`)4?vhfG$;aWOIq?~;D1SQvD zvP-tJ^~#)rX3+c8z1b$Z_19v+dU05CA6WT+*0*UXNTi6y=I^K>Rt$;O|18-Ty|dJd zVBp#}@K#JHCkD7Zy$F$?8d!I}?mQOuQdBqfB||K(^JQ%P6p1PI#|4Ud(y;r5|HGtT ze(g}uD^z-I)(dGGC0FnjQ^W~EeZ2mfX zPTLR#cNpsxI6`L5a^EVw@xMw?64>~Kiyy7cs_Ca6m-Sodbm#detubYX^k1`>%d$+f zrESmB-+5goeqtQFq`f%kx;8H)284d>=PsHbHR5@#L!YEST&}bLwd^A?e-Pj;nXFP8 zP1iicN|zHJm>@1TXrfubmmYWh=-BaCDI@* zNJzu82L31B_nh;b^L}`~yw`JG4jX3gz4lu7TDSKv_UyUzSKOV!YYE@DZspoq9#jg@o8EO>s?;J6{7R_GY!`2-bZ|t}EwS7If-7-CkI(7|y zT8v%GOE+nh9g&tRsYUCsl-m0hnz-l;+7_BLU{@09>v6smwJ#G++x(jGLaD~{F_Z1e zj81tq)yDN4lW(%pwer;*jqiKq>*K5Sa`Us#$4`tGiHt6IwDYT-*Zuz(tS>nj1)?V+=MT?wP%e3j*uS73 zK0-P(ek+shFsU(n;bpdU{c7xo)wjSB1EUyqlSj&v^{g{8GM=JbZ&Jq^dSpD=z%MYc zVe4Xs8XJRA$-L`MeA}v5dL3GD$8aX~^6)dCZ!POr%HWejS_v+do&3xn4fcGaR`Mi| zjl{7eLnl)@;uq`pDn#s0LybFa7bl&5_*6R{Pt>2`Dm#G9-i3($=47L{ooCAY_nRG4 zR*5q$Osfsv9MjwM{W~wz-tWx8h-O+;2)s2NKBX$oyD{~D8x$Re-p6drfQ3zCrU@Ds z$F`v}m~0rh{$LGos}!|I& zpCNmmJ|pFN$@F<{rLFPg)GSEhz%r=7Y&FGyt6^ZSskp?jaolZdB4gt86f0Qa;5|xc z`ToGCDE7+Fz0V@G4gBNhv$lu%_PU;V%4Jm7y{-SXcFxRRS!SGrt7B_)Us9l(A^t`B_R@O^#Jsw?YG-W!+MD&x()ikJd+K27c6Y=jO|7rXmu%}@80Hovd>)+Ao|e3isGd196P;qw=G&uooy z$g@_{ZIdUC1bR$_dJ38e%fUhSD~wt`h(5Bd5Eqtb)_FnNqQaipAC#)7LmX|ytfQg3 z4wbej*3qw^=eJGMdEX`13)7Z^*IR0mSXvH$+uZ0sytMdr(m(WvxMR5JJ)MB-MHhFd z($@YEmBh5-kBP|-oJr({#7)CR?w(dq)rr4paJfHYDh8?6EO-waV%}v{R`NDj2sKy< zHP{F>yabP*5;v;&)*#U&zGbdIW2tftEeV@ep{`3euv$*uQ%_Ya9 zuHEM8+QayC*jcSV3DUz9;%L1h!HL$IB0T}QGT(TuTH*=mKacwRmk1w>r49Ag60-I8 z!wA{*?Fvny>K2-Q#^?&Cr(b@sXu`Aw}7ZR02h*TcstN z%uBE<7P0FAVwVsXTY~{tw~$Uzy+gkbR8>wXr6WbV$btp~<%Mdx82Z1wlg<`t zp0-iXJ))w>)Q}FQz(Xl@w%k;p&~*hjdm>lhKIW5)(cH0Ba{LgKruF)IW?he->jhp` zu}WouD~xdCMB8P5Cy{%g?MUak?`kn)OvRP&$lhncPP^V3X_|d^QA(kg=PI>e1HT%% zDTmlwYNs%Sfj30Wrd*UJ*qMDfK=1N}DCkobs{a*0A6SYl)S!7Fgu{c-aZlkCUQ?&L@hd_SIe^DF2 zKGOY&eiUZmu@MHBzKDGaJ!G7b3{ifgTd9GpJkW%k(3);YU3%y`k$O`EF z##<@1z%M_ME$R0^{N}|ergRMC=g|1CIImGWMhf7(A3zHs?GxHz7VLnU82qpas$}DG z6wIHO_=q+jh{`d1U(=dN&-O2YEJyoaZ~UD)BIxINWXp-`?nco3uBt)WGd1jkL0i)t zKpS7bdtMDq?+sBHc)W%wBOgj@q5bbRCR<;J7YyJ+RJt;hcy^@92`&1V4JEb0*x>Rv z)DdQCC^vxmwK%I4ZQ#NW`;DzaAq#F!{a+lkfo3qQs_SU{hDb{mW~#GmQdU8In;l@$`;N#N})OCTel9z#UywB z;iZ_ZPiZSP82mf$xehapL0hescJ26yL(QOJ26jaTW5RoTq^t*^{RXAhpTEIU*Zz;y`xDwg zk-z2F+qj6?Ht9z1gFYQsu1)&B2E7>zzG|+lP~BRxuMvv|%eHJ6{9vE`4BCvvM= zork@XBbH0ouGeQ?UW>K6za)DS--gzE5dg3TSZ^5153=7=IzZn+jknc0l!u)@Z z9Gg1Mg(`X68`$TrK*G=t#>AM$N)UNk^nmImhZKF~BCQj_$9RK*8CL@ReQ~riH=5Td zPyS*hynRPC%OO=zB9TCWuJC;pe-YvtLD`kxl>qx)up47u6opL`@umSOnBonAH>RmF z4V`oj5rmNL)2PDs+X*sE^gV9PMxqBKbj{^7bjrpfB>HRFBcJcN>HBKRa=juXt9rqk znBZpC9;z9UNzB$kOg?B-G|6D791-$Ty}$rnf!6-j6I%Y-`?N(}U*r@by~$~%apiN& zkQpgl#rtV3_*MgdhSfY`%CHJ}yt5dQfkedGNAM$oZUQB)i=5UuM{3q%;xS}K2?w=K zbjAn=^*)N>YaG-UisIo;m`?#qMYaP z8m<&}u-tZF9`N|DCDsmj@+;1wo6z1*%qPa_>WMfWk->p_sM7k-Qv!l$X2Hm2Rw=2s z{jxS5sbBBKz|E3Jtv&S2Xh*O3%ABWq)cHJJ0;xrNGswRvG<$~={s@aqP`K_OPkAlP zz*r^CJNj{ipbc9Qr|Xi&hp}psYQu#yO_btS@tC@4K8n~A1ED7dJpwq$W|VjRLU8X4 z5Iy{b@(hPwoEMq#EhL%Y} ziEp{M_>d)`mfPDnd3V6aOO*OcZ>p9sjQaebUNT2vh)}R=Gy+wf_O}b_>(gx)aN7oJ zacAy`V|A}EU#)xUqr8S@;~YuSuk@=%y366<2HxZ)Di&5M((p#dwaJ6EKZkeh&i?Mq z_-;#*g>+v{T`zaBIuzApQxN1tqlb7`1*#`>l-WoPAyY(P=$*KmZVM9N`P$m! z5@qB{t8}0fCS^;9Y?&mCKF7&N4IAae&1a*OA&<$&A+_ah&|ugK`<{Avu<9d)T+J{| zdg?r-MW(O^kAeg~L&a>Sms%h}Lay%1reVtUm-N5h|MT(s;tC19N(w{rLc%u0S6}{j zZ|>ZLpMl|4_vumnXYtRMzWNmlPn&Wr{yeh?lJINQ9OBZ>{Pt)!9AA6tQ)43bpONC; z*47lPv!2h_{Z?#mug)8N`ICLFe5d_$uzr&rjg4}T9R-i3bzfZho$FcAa<*b|H@WK<9rmtZXm#^Aj>ttN zRemtj$CjbdEF}G2`!05j;H5;)`oKeU4L#>A745PY<=kG zvv(PKt9&^6XWV;jQ&gvg(R`D>_s#B;iTFkyno@rmR1H7rZf%}A>wFWka_*^gSWH=Sul z8LmY0o+A0;tjkJaptqi`GT59hF7N-Dgx8CsCrVL@c$@DZX>`&1dr_enWdr0+nWJ zmG_vqgXnW8HL>E_`RI6lN+OrygbHy-wO&4-+Wt7{XGw|N|C@TyD;Y2@F+pC!u7QrS zXsp@$EYEZ9t9L8**};L^l}T^lb0WjKj+zfGT??lA&gu`4q91wE)hw^B?(D@kKj*DL zy+|v-XY<;X9LhdXva(M%PHbM6q~qD;q0+nK!2mgQW6SFo7;c?u>&5li%T0Ab6VhxG z^$H))Ek#+_73Q2IG$)g6p;L+2|%bAB{ zz9e4fTQ1@)$g;XBwDTVEQF5mSmZYQPZD`_E52JnT<`n~Kt96i#FJ1Ljw9G5|IJ&BM zpzQgBM3FMTk@{26s;X7*B2S6EyF_A+Koj*pm1$Izy63lD!A`mWzl(R6+M$l;2Xdb=rHsyC=0~lzb5L@{a-jtu0h7PreWc zEn)9n9Qa=UK{_{m$D(hVP}uW{_s>yN}D=n)(>w87GTpp zEA_$mq6eES?qPC%TQuo9o-)SfZ?pJT&BF!)C6_>*5USL+*U5WEc%A*lolY(ryjjo1 z#81-URb>)9Yt_b2JyhMrqm%HWxZ`ncOdg$I6seF6Ql6>3Ta5y-Wx8b z3uo8sB_PI5+e{f3v0PxAobYN-p@Tfuh0xX_LlQ|K5fuo63JjI$T=0TcuKU6W z(;~r>#ym(MX`hfGD5oEER( zLhSCBV6VS0#$2-ti_fKrq?{gwiU<9z+@c*7uzct0r;62;V%q=S-!nhPkJ0Bs9&F&U=erUfrb{&B6=v+opZH z`OVOA#Q828gX8(hixk7j7y2_fjg`34TWa2iayGk$@}GyA_Z(0dQ5aqm8Vb^4U#r(o zj8e;e8r2XqG%FYSp5OV02=iqB_|M?RZtf^E_teJe`Q-5~56xb}@E?y7Xe-kB@>TXk zyM+@C_+F`thH@*t2?;|gldTD-fF0vbBy_B66+^T&j0^hjXcyLR{jpyRB~5-tGr-X2 z&cYBZtZ4a&W2U{fUw;4F4oPA1iwILRb~36KlFYmqGH=mK5;E05*{7zDnp7(lUE}#$ zV`85Em_rV-xLCHlz9+t~6QBA`U(mQwY=OI;CANok`-kI83l#m?M3t2>mLmv zZVQpT*+qLXt=(Qa2G}^!9pSiCX?USeUsQ9b%|bF!qKTIRb%;*ZSFih7sMaxG<<({& zv9?%EU7*&iWiM7ga)*x(KlRcM_)8U_?(r3U10RVRYRpf4L9Zb}HDQDJpP_+*CI$1= zG&Tgh0vblhAfL9;AidW5TLseheyHgg%BvTXNhO;>pqG>J+cJz*WIr`bQMcW7EaJ-0 z{k-4mo1t!(Jwttdw@iUGh>y6PEXpLLRd@cXLlyU-An_Msq($L`-vSU_6`#)V-=q0f zDW6_TGy7D%#p!Tq;Oq)mp+Ik*32ToGsS#Umj6pte5PfUxms%rZmuW`^p&o09;)RHn za9I+zRbeB0`2YAjQ0zGPqv|qATVw>Ig?FK0}H*W1(G*|U2~9YYbcqhUfBO|34`W(Wh^Lo zH#q*_S?fX{3{KtZ(Ggux(R=BfuEErikL$Lhl+Nmv!?rf(`?8+%b=>NgTJe|2-DQGQVN%ZAxb2O7KEJ-|zAF0g zG-cnWtIhP#4Tbe(I-94^s=7Lz9Gjzx0#NJ!D@YCrlM4b>E^+ZpIm84so$m~gD?S0ooGxTP#%#O4zRzm>E!lrz0j zp;Wma$5$!Q^{4**L|UsQUUIKaXt0i5(0goRwNcHAjEBm)FNXQWOJc=+aH5k%Fk&Xs zvpj`J?q?^id8*XDnS4mxjXS$nLmu^RZ@cJ$7OHV^+~Gv{*Z2EA5&c%>eXd3M zWOS+4DmdM`Kl*)NVTnF06n)rfkfW`E%aNkO_fbRQ6QTHlo*=QIobcg5G;5ey_hOi} z=e=(A?Y7s2Au@dALH5C!nATC<7HqnAMOc%$`m~_Q*|BU-70IJW;xnVIgrAK#ROQS* zH_GYeCq8#y3w+ZHugBTxW%GGcJQXBL)RM3F$LX;b)7rPhZBw`|#S{=s~{)j(4aw$`v z)VyO>A^oR}xf#Y+^&y)A;Q}AD9k-s?(Mo=;7+3EWDc@K&y^P|iIOiYFbIQKw@;gNC z-m4x=8e8qBWc`b#Bi$CfA}o&6jg?@eAPe6yOZ}=|fs@@R{O4>~`v)uc=fr|LUn-H*XmQko!;;^r&DFwsn7ND5gXRK zGM>(2T=IR6S;JuB>>lX!WTuLk)~qPn!d4HJnX&n+?DuY%Gs8p|-=VlKZcS;S8pj4@ zf36eeTUw6;W0oUput3rqQV8!{&!)!%6qgAKN6Irj2b1|<6*VohDjYmz+ zS$7U~_A!;iS351u9@_3YFU9Qq&Y2eWyKbDqrey*jDkxSfwLZ&@#rA(8qd{~hXyJ}o zXk^%Z&n>38A@`**J(VnKTvN>lA(4{=%+{fp?-D~K)NL4=c@CIxv|Bf06P2b?n7nGB2vDHZsfWB*!kgPHY~d7Da86 z`)25|a!xxLzT_J3ZGAE)Dg%WgNBjkrI23)}bJf3Q{!|%{r|fU4FQ=f|%qKXjYs=R9 zEuo9jY$kcby!ip@q_N&$CV$uq9i4HkEXSA6y*yogA_V5F_-fvI-+}2FZEsv|Enl9G zb*?osnwMj8sKemt3%R7c?UGz=ha+2}{Zp`*O8SbXq!`s)`QM8C4F%LyB4ubV7_Bhh zU;K`+YEgEWhjOM|@?oDv8saE6{!b~8-dvg$_#sG`q>YqwG(n5a6Xs*mJ z6vIePDW`xAiy5ZxnSa>DIziV%Of7Td)-QMxAaZlLJjEU>q`9{BnbB|H~K7vgnTsBdqlBkqCl}n{u2xg<%zTD zkq&SF)Z9W~B6@ZctH}D?IL`JmEGD+y2`AM8O8S9mpOXIb@733vfwQonKU)3A=_|`o zefnqVeJu1ekv_C83k#FZrCf)^mz z%KQyG>54t*@sD&8yob*j%~5D`X;P9zL@`t2b01dVDfXmTKnX;8&sv}I3n2vzU_o?0 zVL?*vV4D<(8bF|=q!2gvW5u3diRttvvUL=gBa~!4I;cFQW#|Fo{ZnGJ>jz-w<}iOg zhQa?JCP^O?uM-x7OHH0-0p&pdnMf?yKP8R{g`zwqW~|^qdwej5bu*dy<{yL!ERLAK zUeJM?UIej_XLe`6pZi$D;9QN&h@EUvkE4I;_rw%`6w)vgIVA)PBS^8Qfj!xMj`NYnDYzu)XYx;x2LULDoqh?JpCn=U|dWyZH?BVp(q3ueJg*nHM#i&Aq1v#@YseWD&>}R!b zCQ3t3iZMziG$kP+53N#4Wg(%H3=8vM2-~8RV)eQp{qz(pHdbHKGC@hG!NR;#p%$(Y zVnI7S#c6iYA{%2yZKm>-PWx%9N-$5v&E>E%pit5U+?du`kx!&~s`Flk;p)c%X=+n+ zC3UV5dLVT_C6OnwIjDqpdY$@vtO5<`Khy1Rz3?l&KgGpHCYkJ$t0++wkyV@<=|jJt z*oQ%nf#*k^8lShaN;RtKf=3@(wLq1vSPPfiLl5ZhX=o6ClTU*BWQ&QCQXv5f#RV6) zhFBQYakBZTkPu}5)KoMoDcI@sTB#3a22!kkfv z)@EgjB=zidE);q{oefz?usm?DIneaE+@4F>OGsZ*Y$ZyN1kd!;W^9`(BPC@nn54|m z1zyhSsnPUy(0Q{qYDMX!*x}%|9-+O*(lVbkr37~?L65;`#KOH%q;-v9vBT#5Jt>G@ zFniR(^()8+yI(U?&bZjs!aaFG81bGzxtaXNy~9Y86R5S1bLLgDD+e<-x zl+D{1m8ZfMz+Vi}JFDgq)d;c1sd~$bJki9?Usn;>67Gflq^-~IBY2y(5C8F*C-cRzN4*U9|7M?8Qy_*b$#&+rF<~-eI zQVU+~`XZ!ZBj8n1p8CP6s@%4=Jb%tG8SXxcm{x8s0ZWh_wAq#E zw2lHIp3FEsrGn)c4*K<|NBA>HC{S3zkVr)4567maqDF47eDYce2(^!vlvu+dgW5t&53H z!GbGS0^YEIgQVOlloM(+l*3io>&9Z4FerUgU23EQ-ZRl z%Dz1(S7F&E7@HRD!l9;;nMFJMP)q-vD?grtL&iNoqN<`VKw`>U4X=R|ockl05yS9N zAa;3mP=EVh^pQxx_3v`+r+=%gOlVT6pg3XXA6882+PD(u2~OR4K5OA`rx3^FDz%O< z(mZ?o<=e<(3Bpr_6_i92jL&g{Pt^Ba&aBx)F%o5-ImLbHa;iZRem~1@H7Pv;M`Ogu z4C+Rg(p??>sd})OZs#zH-KhDut%@Yy>SNF|$aBN{1EW|$C`YhhTt)@F26WIt0io*^ zld(IeRQA5vpQXVJkk)4f1PNwRL2+?>qC4AS?O<|K$6Y{@i>xSV1@<9j(`armT@8j6R=*i zuwr7!w-8s9*Wdn2+QgjFqkxvqkc~FuSQqpdj3&FaC6Kn92keEfm?$H9aaUY)igO}n zb?ISJu>HpeqEq5&Ak-LD3^xnK(BteeB54t?dY=-Ao+S?iGgqhFJT~r3EzM9)y@XgQ zT@%82IhmTp+981cOq&?V&WI#kf1+(pwk4FoM5l#*K_EX$og_vZjX|d!v)7=%{Z(U6 ziUy7ix9AiEtR@l_yat_j6$e%u?Su2MU@pL0E68pb=?{k_o!Vl5rEB1P$dO(DO_yHj)9@+E=7}^D1ft+ z=pA)jYEBs)ARt8Y3nUb-R1repeZ^+HP8%N4DW&|DV`K5}dBP@T3O50t`tTS2b z$sdi4D?b;Q)Us%?0Q1ikJL!|v!;v47>fsxb7Io9V?E7)vr}b4gX?Fc8F42}T8F z4sGmQF`2o)oN4S*lg!xq1Ed>(yJxmkP)qP>CV1!hWbgTLY50hvVh_k!fK&wlz%>c- zHwf6sopilH6moj*0Om-<{!Buk9_9yK8)K}V`YrV<&a39+;LqbZ&H;#04nVM1Okg7?n7fA4XiGWyR4+hI!HZe$qi9Z=FJx2_$ZSmi+6RHY)(MH0q&X+NQ%L|?_EZFoB<#!x|D<@CyTQS0XL20 zYdz{4en9IKcjODuGcqKZ1XA&e1j2cjwC%BLJ!A@K)$5zTL8KT2oU$`;O965G z7HN(IVMXmd2;#++%Z32FkPhqdO})ax*1NxS3E!}xfz*U3Umr2CMD4}2laR=@89bA- zN_kLotnRo7Wb|sx6P360H%Y0W!`lJZg~4Yl;g-ZG8Gsl;!1_T;im}&W-)h$P+S|Y7 z2<#u7UqUd}!%-AF^)CT28ar{3-;ZE4cZ~#}4h3*rF{rA8@H`L?VCIzO?w?))_&H!S zF)IxZdKt~JiLTE^55c4*pO~SU0a{cCQ!oLLSR#@#fQdYl&?B^m3Zo|$v_@3j$@>GK zQB9lO7I1u`$ky0FWJ{NAP1e*jGnT#lh}#j- z7<}H5lWs7$5oj~f<1U^rSdJfIVBsq+?tm54(0lR=FfOLZY7oV8%Xi3gQ475rQWFM8?LFx4K}XW;2!y@(YWw&GLe=>V&;q#7-xHQ- zVP1&YF9q;Z*NJyHS+`hMT7m4_9PV^}`^otgmsDo!Q3GE7Z)@J{ z8d(+VH39)QCrtNy>jEGf8$%@ODlo^9&W38~QO?|UMW?3>z_~WhU{L50CwE0F7650I z;(XuowCZ6|kYas<*1{j~?s1XcKj(GAC6&<72@1Qu0g(-l1g57J zK9*v+RC@y03RyYmbRlNJSls{P8a_dX;0U6i4vgnD@2`r&i?Quu~2qOlnF92!dl!2KFLP8SepqJR~rh2vT ze1Txl`R;&+upnm2OpL%-`-RA$kXOY4Hgkrc$KW_OykqpBidF#idSM8v1B+GNvoNpI z6G(~PRS{}fodBrN1cZ_5LmcAx28YFsyY1}WNNwOXx}T1W0KK$6x|MMc#5NzAI*>?% zLTRalRC8!->{5U^LiSuk1GNGu-&Xo4-uOqPaRE9@qsMnm6T=%8rS0W|qQG zo-gmCg*ggXDLMdL>lW93$t=d;x#bDvFv?PNryxI(L_w+v5Idn*9&3wuFx1pOJ}pMd z85rX_dlsDLqy_-re#4qynsfkkdHMd4fo^aKLIw&($Uvv_%O=55 z+!lCtPxQRusjKy}W`z%_pkxal(Y2C~ij-4=Z*b1OV2Q92+8Zk9UI|D{uzAqmev_D* zMj$a=Y5?{HiAf2Hd4GFY;))3(FphBm;k<^-XtalNKBTS#9W)XNlcfTo5T$M|B{#+x&NA&cRSnBslL7{Pcy=J z)JqER6&5KaL_b;9Rd_huy6U4e&rhXkluz+;!!BsmkPo*^6=M^OUjZD83#bHwskZ+c zQ+25UOce<*Rnh;1sUiR*V5%UV^|wqF@0O_s{KHg#fi^*)Z%ns=@!tR2y!Y>dkD5`Q9?sV8B!n+@!yq*#k`IEmOq+ zOx2~vlUMYHsUnUy{6E1|ga3u8y3`=iiUOue_3xM}VjbNwRbk02S&F!I0QV70)kPkV z6Xlf?R#@;2Q&pS5=hOp({a2>ie#=xb{$Z+AH%xUe5uBC$c&=>4m^#OW)f0AK(hw+;2W9x^6by>_EIM%8Sp@eBD1_^FYRd?t)Sz((WL zZG42|1rQg_v@V`&NO}O^6bc}!kAE1FfQv1XfVd-4nZmM;LE9lR*MFD62%y^-fi>CZ<@*kt0eg?4QzV@)NXnTUr@!ODmY<|6OSX0QNsEt>iO0pv~LTN)AdZa83}V zHRh(YD&Ce>{+rUemI!|bbfnOjoz*{sJdXW09Mr;G;49#u1^;l+8|e0Mca$voJS@yfZaQVQO^h=3NGG35TE%APRtKN6Z`nk_ZCY;vkTK z^9=#=kc}m;UoTz_^Xyixg-b)D<~cU+RRcZL3CL&oqr4{a+*~GMYg|$!w#`b|X)p57 zJWvW9g}+px*^D*LP0)S6#3{M^Dq>@s$}9{IU|?jR>Jo0Ij9RCVA7W?kK@xD|5O-$Dg%Zn0p7a$Wyp&7AzEbKNF~l2i-*PkVuA+z21W{lLD!VB_9Z23Ai|jQTfO!3y~tB2TOVo+fNY0-&#w z3*XWPt^KPP!1qLql!hn(-H>Pc1A-9Z6W7rCWuEp86pC%|MMgS9Rj2_Sy|uf;oCdwB zy@RDpX>@P?22VCvgWifCYfJxru$vT%8{p%o7q_%R`&`2B_ox_X6GUo(YU><8TT}=; z2Sjg&9iqp~84&r)2B}704>CGF3nu7^Ra5}(@Jm{8M-#GVgw0uH6p(!j^R0lq5ing| z3{H3ZdwQ$^+pwY&!9ieJD-iNZGN&h+qX50q*1&PaH4;XvhzW+hIY5sAEBUfGCzUXs z3ibj7c%!G@$pMbj1S0x<6(ON8l*vTI@e^F)zVrxH2=OwI(7IuyAtPnu zaQP>`EJKy_z;6L6FC^t^OIeM0kvy1#Ju2XRRn!otoyl(gTnAxI*$cwGbalD62z?j0 zE+OWX7UrJ}%?DZ01%V6;Q4nfCu$MCJ3D3I2g;lU(0cFr}S^f@D8^vdVg>{|*<+S9_ zYRonv0Io!$R#AX%z)+1s1^%MY=mMSHGy3C^)qQb&fIa)|?c(}-NExq=@;AWHIR12F z4Kae)FeSDF9oC0P7y?4Xu!j{ZiuZ;Rw8r=%+_WBaqIh)98ywHzJW;xK*E@2|L=;}9 zO8g@xXJeD8Dt(Ks_60wf|CAm(3AN>9^$z7`iH^R<_-22JsyDz`0Gnj^13s|PW?}Bi z1TrJ3W5%==ZXf?=7$9#YB4c}yglJ!cF9n4f=z$9gKq`WQ;tAb702K7sKNR!^E&vMZ zQiGtN*PoY67@mHL)rv@6rFy)?dQJtsvfc7bd5%3)b|aw;jHS)7k^t!3o+&n~2R4(8 z7?h|AcQBOPl)&cm%Sr2Ym zTQRZw3edEXqD#&A-48Wq2n^)7m!%M_W<;Fjxcd_QuQSk?O+>j3|2w`TK`_2R55+Y@Z1}O)3I}V1R@qVS^ zf(T(_LzG-#aU(#O4ZUqk;Ldnep`57U zrht-wCx_xSkf8ilz{&t%8C9qukOhmVHW|6V(=qgB!bdk|C^2i#0I#@zBkO`*v?m1* zn+@x6w+e_(r5J)gfRi_F7EnnL~ z$-4u70ihWJhS3i6+2jE+$CUfc7hotr2vu^(qG9M~{&9q=^R-ynH>T~+u zC%|q{hUJwz)%uyV138w-k*{%XD3}EdgyEz8FrVmDP}gS(!4@!SOs#r>@c@BVFz)LD zO7L_E-2|*%)ED`Dy@57Bx6bO?;*I$WE=T;syBZwN_~q=-$Bf6Bf|KP{L!?aRR{^7z zt_QA<N z=sF!^^#*VO;kJk(+!mo5x5bPRy7!OU0zjW}67<$>*+9502(Sj+797nRbV3HsK)5ZG z|IuwhbG`vp2!H**b6XISE^u2A`Yv_e2*Pc73fz`h;I_m9w*_E^ksG(A7Pu{UZ{3z} zw{8pNjoSjGTNAlw!p z%_7_uaFc=nkf3kfmfC-HTWtPuTM!IIcJ0<}0egX^f71(8aG$`f+XB#|gQ^g4TPSbb z7R2%YC)}3We|1~H%^hIp08$Zd%ijoqAtR{-gZ`>B310&oP=#ATAaqG5FP|oCK8txJ~o?Ps7x=plf zJh{W?<;%kseq3#Ap|zv`rNeEi-%Pnuu-DubuYo4NShD~-;^KI^Km?zfWk>VASWwMz z-y0YmCx)a9RcSLRCL5>p)`urtPqw8p3NIhmK?Ygz1~KaX7_6kKUFl1n!!_vf3aT5C zaB!t|Yh=68Yh*v6o1ASDmJ+C@$X?#*co}n7 zaBLh~`pG+H4qhpa)!xRCJU zAh)R%)`N64ZUuBRT-?^MhU#D?&sFokzlNSNq+lwr=0=EnEz#5L**U^b(4(v2Cs@%V zdgA7PERaLXPRbwoI8fv2Fz7!W$Vx!U&Uw!gsE#@CBhO`Fks_%cDOb|S!PCpnS72V{ zgoQNi*H~4M2Xx(J2>I8SM~}9Dwr^MbG_Tp8=@h!;5^|fdx`ejcvF#VM4{{kRXZJeD zc5EmeISIg7646KRLkZek@w2=arcmq;4Iw+nP(5sxA{Wsv=MVcWZG}EQE0(!Z9oFye z@_h2Yu*b2**c(N9!%X7so@s zsvxE1L)5x8`m%MvQck&6GzH8_{sCK@G>sM<9xOd?$R{4=m3+XFXUMI-#%;noc&{@1 z(}G~3loifbnZk{q)Mn@scznT_;c0Y*vNm`b_-F=Qe$Pkg|BhzLOIuEDQV-1bvf%E% zN;w%h_j-2fkl67+W`gZ_aOR#^i{VRb?Q3NB%?}4syvCdfDI>DVN1b1)$~e9-+ikr! zZV?Q)^e9DIig|}@)jEpoEb<~yB&Z6qPJ|ucedjb_viP&{Q+S7zk2{)~6$e4|v%t3r zSdU3`A$UA`!gR*m%e@4aF?!;reD@0^mXLNB?q5lCAooW)t5f;5m-4KBl8seA&UQ-Q z#Ff5!2eHP7Y9-*`TU%-;Lfd_Uzemkq7O-1$_v>EV*nXUz)2Orm+5Y<&{~}xbtwtg2 z6C(*U_sX-ed(Q6r={DQQ!kn)HDM>%I;YJ%RgbnA@%=4~z71Qv{)%moMEQ)2b<~AQL zR4iWA;kKf4N4%_L57{F!}(^*zf2CF;%~yxrM>ojf_b=K}u<5>1k5?R$M< zCdp^Wca+=AP?Fsk^8`}{;|_l_UEg$;qILq0BR-As{7c8PtFylxc1&p zHW+L}8j>$vS^hl5%M}Xf+sr$qiGkx62LujY_2(1QGK$$;VHCDM$Qck4(UIqHQr$Nx zsvrr8AMoQ167^ZtSYifEk#`%1;hbTFn}thJQc3-=5w54(Lz2Z*N|#k1rz&6_c*0s< zU6r{o=UFYTewd=yUI8-(vj!G1gpk$w~NfLC(Jj>Jlo#b*NDn^kteCG`sQhUus`X zM{%FV))Mxi(~jxA(JcwotXyY~5?m=EF_I%>Z6}s9C&tw%I-nP3TTY}=)$Xf*q|~Ew z?UC9(XEfWEpk zp^3M6^F;H=>@=;zV~93>bxFfdoL|M9*4e05<=V-K(QlYMeVT%bP?**}59I@#%*IKT z(#^trjH?IJKKVZ4~4Gr4vrT5%I8`nXfUEb@Ag zPoU-}g;Lk<2I&&9ndVh1Az$7)*Xqa0{q(-&w9kD{=;K`j=_LtI`72+!cq_an^fv4~ zujX`d>M?6BWWU(_TCR9L=Ib|){iej$tA{kg5Ucp!*esJzbb9^!fQ?l{6D!ED<4>Au zh}#=OMwD);d*326r(zq{du(E;GDHN!@AvoS@_vx7_DHIb=IILyQ5o1czLF$?L%OHl zT~~OZ!CYbYNfktY`;2o9<9%Y89Se8a4!b(|l=dKk*29MCV6QR0+$nD8ahw|ihq~oF z`m=puyvnu-YHL)I2w!Qm0`uyCbSYgEK3}r@V}E+^^<1In9WjdEW(pGxyY9#Sq_@i1 zOdwm;PE1VkFIuIsJ$+(ur97@~jegdQhU`0s94O%!STgGB>lo~B_FM+DaGgnC=HAb9 zdvUbj0?qgkgOguVAqH+=k=`xY1cV-FA@9ghwI5FhFz%`2nuAnA&K*UuN2323Vs>Pb zW=M(t>s3zc)mb$Mt?Wie)wmq#t48kH8thkz!&0wO?j-p4hJ-K>;VDGy`(j%NOZ|$w z8-T>+m-#Tn^G#lA@upmXGiqgOrc1VeW(g)Z5 z3K@LckCb1#hBp>-tjtl-Yh5iVWaus^#iH0|l!o=?einoEj5jn!jFKwX&x!fHMWV6G zH87X=VX>1n_&N6c{(uC*r&-IV!4TUeKGcHIBEDW`(zowwnb)lz1sAF=ZbQOX>w|=< ziBM$)h@+hui)^C;C5GSku910vXB{(c+!#l9yK1#~T<{8Un~P@WE19feR11a#T-M)A z5mIG*Q6;Pyh3iyuvPpBquxEr`W#bLsnK%%E2+4%}wh;DR^Yn0fl zYS(@$PI#9YS{mJhbLrkL#^BAib){o^BUP8VbNm1HWydaThb(Z$ zVYnvy7x(cB&WtnBaTd-D9C;Fk7q&wss#@Tw61D>+x(0884jlbhKFGzJA$My#xH{QU zy}JKG!oT^Gij11rs$GbctTOrqwcC1uSdP_O6&0~{)q5?!3of6lKGJ43rat^B_bG$w zYDn~^RZx?^~ zq00BWWNM_hId#b_{~;T9r8!UNv``zHd|jlRCeA0eS4Vt1TW-f(W|xEC2}?iBDm&Gs zE_m_$FSfn{D2}FUH^JRqg1ZF|?iM`g;_i#P26qT7`{lXD^@Su6x{AG3TU3Hdn1w@?v>% zd*WYjnv)OUWC^DKw*9&V+UYK`aG#5TpGAS6{eC+aaj>cA;zB+F4&>5;pCy^jyNPVK zE{3*7I|Mvtb#l!@pBJ^>d_KlvmUn5-*|iWE0sALbyio5yJExj$D07_BvF8ldQ(FXP z(eN38IGUH`T}oleye0z|$%U&y>LZ55&_$W$#f);bhuIDh5YI&8Sz{f%;D~r% zpn6}PdI?pTS?iW)d6q<3HF{B7veSA+L79>a7OD&usrR}o^^7m|%!6!x1yy8qJarpi z;-NMvSwoscGhMV0{1;Li?D}_ZT4>h&KRW8^~1`e{=94Ze(`fJHenzJ8E%=TBBh zm58Hm=Sw|#)ULnIGvkOAPCQBXHs|o8{@v3-I+u0g!wd)6{YRnQ1Spe8$`sfbOf?c` zt@V7IU^W!9Fe^s5mK z7)`=n1+92L?LHMq>udG|n&w#y+;=pKcHz&p1hsiUP zUPG{blzwc=6j~d@*Ov@G6}h^!ca4EOf&MAe@_>(hQ6ql@)Apq&D5?CMg0G#D1@HVu|wmf_G>>z%Tos<$eEUOaK+Y{ zWDYjMr4CMpYG*>r#n@|O6%a#y@|I}hWlRWr&yC?L_{jZt80O>gb5qSt?8eU!li|V3Nm?u0% zMdseWD8S!vl1|6#R~ACRGsOC1mJ9x5nspx{T&?hPtuvwd8B%0956>@3<7-fV}a-kY#K^0ol10sV6EUuj+ntS4KU6v6l>UlV&Hh5NIX6`b5p3+&Bxw%c+U_T>_XG+*uo_ajU6Q^G zFUjirmiX^Q7qWJ#yT7C@S*tkOe8&H;g z@&{RN($8)uvEi<4@`z6MQ6A6+_GFYIJ8pCE_`<;A#&ABq!4d)VhKzyB7UydCf4P|{T&|NIr@6V{A2#JTyd?8#P#^Cf=5~0U zI#D0N$LmYrI-EISD3iTBwB$`?bTX0dw@0B3>tEXAxE=84Tz?qwuG5y%?#|Qj{Iujy z>5kZ9KI3vE!eq&W~yM;HNm89%322Y)eBHt0L6*`}b*t~f(Cxz~#JzUw`=Ca!A@7mnGO@y3T9 zN3mjAd8dW)vzT#b7*}c%CxfAv30OEBNm)A3xn{gc?mV9_73Uj2th-sD-;-U>%!8-u zdr|Ol1F#tUuF2xJC{?&l`?O$(zH4qqL=!WWgOv|dBWc7t{?Dz?p7bzAFjQ1z1Kv}G zgn`Yhq5^Qwg+kwMwq(7XM2nq&j9RVuRL9O);6}h4DSl zaXhWHID74-xWf3*ZsbU?M%plT{K!!0^svQkAvT&Km(|2GfLTiV>QlUpH`+Z;`>4^xc%s zJiAh)&3YRgQqRd!DE0h7{bnqol^uUVV?^q4oN)(x9UaLA#|&nPH4}T(EUnfFFPnqY z;cVd9QDFq0`I`sdkqFGc=VHJhrB}=44Weah%^RK~6~Ff0K_Z|RUx*<(QvM*;5O`|H z{spq#>n7kdCO5C`XqpJszw0#v)Ac;Vb2qxEb|6`d`+cz+jNjX1XXRFwEuh^HE+vQT z!`Y_4*8@2J9XW}Vw&CT&<#mH_`ToV&YWL{IR|D=RB;EWW%Z8)3d*o1~ zo@LLMFRvzWFeKi8%HWk?^_ZTZ3O5etmBFu>85jSUIKI z0JUhNnXl57SWz2v%8y}n)f`JpbjhR79Mx7a)DxDhTcCq&f8C|QB|XD~g`vt)FcQ@^ z9_LBC%(V?9n2%6q0-i7~Zpq=q$$lc=;@JR%iebsOf+aL!^8#%4bl>JjSA7ja@NNAU zr&P7J3c_wiEZ)qUA6}M9mf%5rvQbYCwo%2gb_}fFGK`@w%E1_LeywjFrb1udwH7s% zNv-gtOSM(iYFq1uYoMwi;7X5O=dX>#p2f0JTs2q6EKy#SsW#z(AnO7gq=nx-4Q=Y+ zAO6aNFx1Dx{XJJu5b=7HiYBjt_$($3snP6v8lj#-?9cqxUo4bs9P+YlsnuNZXnr9^ z7c{7_+eA5!?3PB3?(y8&?G2BN?eU=4?X9jgrx?~`&Q}^TwxHDIJ6;<~|KvuXTI0`D zyuYb$Qe#?QDNC_Go&OB@-TVov$^=9>s)rc0dZa(FTBRkgat z!mYaszwrv#nVT{;jtkuvew6n^SoX$Ev9N$a#DCCev zbyVf>Z+&;M2lXor`JEx_JLcAR2SBf<{5gmEAD$Up(iy+U^~?`92#0CO1>XODXJ+xB z&!np(f8D`o`$NlI*T)_O7U5<6YR)dIx}NwVl{P$0(9og+SY1&$W0S(IQ&lfrp|NPy zG)lyx4k+khTphiocE5i;Uaeuq= zGN`}l-BC2G?4Y`kx&xiXdxt7G{0&6WAPV{>M=@u6^~HSHF2T!?E$&f@)XnX!iqK9! zfN8TWL9+{$Pba4x6;X#z9zDm<;y|SfRbS^}F}CHw%sG9E7jTMzcMrw<2CIF8Y=z2p z=#?8fEJ`~pYWq!WoVH?cb+=|TvqK$_l*ah?9vi>Mly3|+@pkEI=bAe-!e#?`=2BZm zMIrlw=$YrTr1N__RcE9_r*d8!@54>Bu68Ia3Rnb>BWEyiD4O;DVvyjxsaEx;-P7#{2^t!U zUg3c5ajUe1Yz3_Z=!~cEt$Dh8O#dul;gdfl!ooL*5g|jx@plmMQW$MWd#>+0Jyp;D z(|>WH=YZ7r{6*j~jW6TvhkCk~;<&y?sSn{`A7Qkdr;D}l5@EqxNc^uzaxeyH zBq$3c=v9)8?5S`Jj?78Ndw#!rUC;ciU(XciZsZ?GnWi?-ixNIOo$p z6yuHT>Dtm;g5FM@T91`=sr=-o-d}rU`lxIKrAjEeAF+GOC5t`iIgHnlNe$ zi)G5KJ@nDdP+tB4))aqGV$}DDz_$5-?eMg30_1dOn$mtMN^7k#v$@}0@i`cSol^t! zkK>(h3G%ttH{-U6nQ5h~+A&ot*+;AHi%`yMzI)n!;XnfAO5h8DRmzspRwh!^o#9Up zY>x6wX#aMv9I&ngIA~4Hirkm4POpA|bg$I-{Lw%cy!+J(uO#Gn5-5Rg=AXlLzTvn& zg|sGybl6N%xpY(5@zxg%-dNKOJJR>H1X7G-KiN`+4`&;9p6*q2ThtG&Zy0j^E^t4u z$vBxAy<1YiAZ!f{e6qcn4F^#a6C$QtQxDtd%=${S+Tb|N85vI^MkuA! zFRFTkc-iN&Ty@p$zN|0JqD)qNd>x*Jo|ftVc7NmU_rP%RG)L{UtYPCn+DZdH`1$g^ zIp_LzPVmmgr&=)Yx#Q;Pcq;4kAT`{n9heMRXYnfPQO!Uvr(MWy!#=Aed}0-Q{}l21 zHUxmA9$=sGz32iA)D5y8J2!K+h-&Qwu59pRJjan$A1ljwTVF+cA1iwSUKl(e^kSHEr( zegKR8$me(|QeHIN8@Z|wta;J+(XpoLpHk9zzI@g4GFwu~N8f$p)nwNKUV7s7n&f3U zxRu{*N$q@|J@D1t(DMg!_+20Cw1K_$(u9@gfL5&@58%fq9oiR-*(yk>-r-LgS1lWP zeDn{x;EMXww60S(zpPirJNrGWO?Lf8@MHdp_d+|kWuvP5#{Q+qqr#wNWv0FcyqN^s}02>KIci4r!G`W155yUvrw8PZ`?^>(pscmz7VA zL{azC>Dk%K-B4Qi*c995*_g7M1p4OLLXjYIcT{ED$m8*9*b$r5aZPmCc(QccJSCj5we{~AX3=@Zx_{19Dn)h3CVA%|{pu|bt*cAS7159?Ly4S!;4ZxnT^giAvP z$5R89IMy@+XMaQpR{`!5bQ<$`ts`@K=focs+2=Z7Go{IRi&*{5u4%(k}&VRZ_YPb_(uy7P`C#3Mu zb$_lfFe{SGB3nMA*UuLOxRiHCk!ep~$(z@V)yNO6Jg+!w9GOl;zcQZdn?G)BW2ZVN z(^a@I!`-}|-Wb$3s{_4Molt1n@sU?Lww{h}s{N+-+1N~@Jh&${s`j`Cwkm5Dx62d) z%4`<%ad6A+6tx(MJ5Cra}6W#}ZioW6?1Pn)r6%!ZXH5xLAj8!?8 zf$v}W=jA6xo?67Z@;=xI(3dBTCEi13lkaOt0S%fe7(=O6NOlxgWKt+ATbWPYCuFRr z&udJj=~M{Sz}9a+=K1vZ69WRiKhu9qcvg* zQ~Rh%6nb^9pNf;455>z%D?K|7qlQu@DP;(QN8;teEE!w%{nwa9sBiTGhK&>gDqF_B zDh3Q=DCL+g%J@%~%e}Okndn^cvSp;F*-gl2dW|f0nAsYP@{Jv;1yn-Td7At3$J%e{ zYS-FpsZr2N2U##mr+buN?V-lzm66GRuNyFk5jvkEVwznb7*PIp@MwtN+%@W~{lI1# z@X&n-)J76!hF#(df7M3fW*#6(4MLA*43pyP%da+WBB)!oc-_^cwBcYAl@c=(3Ub??`lgRn_V!e@67wDopmLgf@-f7e|~^vR*q z5T2L22q2|xd9!NM*UVT~6_XBl&D3pgt{Z=D0b_JafB8{wRoSgsTc!7lJIc)*s%nYZ zmNa_2W|*0YgyY?}sy4uDv=)=@r?IHMW++v6UkgCyx4m$I-^@0e6uXG{9!{bQR*Q6&-OGyW^pD;-w|As4a{gAC<5^lkn*bkHFR+e-PpX^tGHkgqUHnd~ z9oCx0aw^HVmRJMo;b!!-@O5qN0DRg<4epHc=0MJEx5@U2lNPTxp6GBUSf%FQ2`e$W zGRw?>0H8y`_3LTczJp>*72&-b%*2BC5SbzFvc>l5stJ;Cls}MRE7(}2n9XOSem-2>Gh<@V zB2kJ^j>jXkJBpCA{-bq%r)S(rtnhs^ZF-q{a?eR1!)(KOz@)fOtWx|&h8ZUF-0{ic zE#f(%b~Lhm!fL-JKroXq_QLQ=K-|N@U*_l62U6pd1CJ1~A3I>ibLFXPf!^0ES$~;` zKd<$2kncYStlY1rF?3UnC$vNa%nm;t_08>Em&k8=f)=Su@0~AS!4IoDtJh;scjOPW zc|Me$c(#P86)m1ctI*EimmREU|%#` zUtTX14<|dVQ~jS(*PB#X+xNsE6X+_84%_*4b#f_d8Ttj(!K?ZzkJ+I|l0#Z6oFJ%lOC1VXFX;!e z#m?d3pX_*`fugSNwd^(UpK5N`K0Rf2=-NV+0O)yeuJsFa^%k@dE?PM=q$taD_b}@^ zo2iedxC%aCR-e^b*ZzzJ!}$6DJ%3c^HQ)o^QJgd13lH#UU>+LA$MJ4q67!OR1-LgLB50pMdAxo$(*W@MUS#VpGMVl#;f zHkF;gk!3zcq539vs1A7=1IxFhKsqAM`f(OYTsHe6WwsT`eC;d=uUaaH$X@G(>z8$@ zZ22@Cvt#TkHfZsku}Vk!mxBR?ir8ltoFK83QYxa2^RCX9+e?s^aT$@kazmkTr-%oZ zvN$#MvWfrb=G7kP^7Jfi66oTyTGm?S_2TH&Ipr7Wr#5rEXUvV~&>pwPGUH;4l;Od( zbQzbI^u$s3u{Gf7=A4)2{z^F`$P6vf<*7KyZ>}u3m4qj~ViPWlUweFg!6t67$JJF0 zKAVrra{h! z?x(agl%}bKXU_x}AD@|{Orqh6rt=_bpuV@or_m*B>C@3zFyxR2 zWWD0)qZ_GJ^PHb3I~)WYz8pTC^6;Pnrmen`5N;r^A=qk=FsbjM~)IT zBde3RuwG2MMrKxkmDc&x$veWX@&kHO8Ur!94>Ce&E%#v zXKm;fTv>q?imjQ}o))z*n_uK}=2Lka)j2w$_#p!PiT#kalBlS)eM`=cnu`g%3srCoM|34 zcKJ~8r$-mo{ljYMr%&j}dvoo*DUA#K4g}(^&UR5_>5iD+^r_r>#3=a z!k?us@p#R5&MEFVw_D8wY3{W#wrj?*I%P6NkMvT^I!*-oNxi&UGPJRNW(n3`FRIr% z707hHe_TQVPI1FBrN#D+m0P*2Z`(vpxJ6gs@-DGdGs#c5>29%#Brz@(E=5^YXU#I$ zu^q#Wn1W5)eC_X-PAkUkL*dpY`o(JX$T}q>50v zm(Rg1ApI(ZYE4?;xCRhNQFB8z$DOD4w!2!P1BBeO`cDDV{UMTYTTpzpQ32;IAa-3( zU|?$U*`g%kH-nA)c~{6D%@^4F}P=A<756Pp;6#hq1oDMqj7>- z+;&wDr`jCnFgfeI_U9CWha=aMv8m~*+Z;)ae*SN)%}ve%$SlaG$1Ht!Qzjgho1L_pR1Kr9 zm}(2anHVw74*wVEyVv_!p&=!Iyw@qW*Y?lT+pTHI!^M`oG`RZr$@Wxvm9_#S5oxt| zT5WDk^FnqAyrYkPmPsS$H20nG?T9o!M&Qt~hGyIln#f8!_3rk<-?WWJKadU@jJ9JAiQy13@xL)%* zrP6|CGA}24I|+h(ytTz{k2C2Si-{Ngiu4=6!fx!Sjg|Dww9Uh0zg8@CBioi2qQ=XI zDWQD$R^7M1z_qLIsD*>Aej`(kX*e0%mU&y!*lI9G$Jk5F)>r_8-E;PSiZyoZ3IJB~ zQwsFM+9{X?t>-R(6Z@eprttr~*tIj#Ew%xQYMz{^7ga;I#Ov{BYv(P#+#$Fv7A--I zESCemiEY1+9+9ABHmEActszZ_IwitRtQU8DCVj65%o&UeObKVFz;^s44SZj)AQ;hz=)je(ih^d!F|QI$81ZuSlF4~{lN zOuZ)xr;)@yf2y%~U!+*n`4&rM;&jhhsaX$maZsRl>HHpTJN5bM;cAlt3QubPHBCa# zuPD0L8nRybJgq0^uu?QO;A!Cs3!j82^!Sh=Clr)~^ecx7(#jHbV_;ma>m()C37;1?q!JGPHYxSc)o682IzOiK8+ zy2Y5>0&gb_v+F{y+{YS_^}HN=DV=PkseKpa*V;4?3EUHZuHb4_R!=7;D!aN@sBzmn zh={89Syi>JsQe~~B3ddyV~!f&ZZWO`{JfxX-^TCFwC%R*gSIhUCX4$K%H&I*%B=}_ z2y;qd#^l}XB#<7Kr5?hNI;Nf!bh!+&Xr-)tcSwnhB*fxhH<8B{$xPbpa0)YXPXK7& z|7k=KQ7pZs8E#{4riudl1C9M#qnTZkS_@;@d+P-harIJV5Z<@uPu$O6C+jWLeyots zn2_sn$5h0-zm&twZ}B#eJfzmbwmst2vj#TTaJ{#a&)A0gfu5wN+3cIXOKExBroCFi zqwF?NQ6&bza;PGJ*$EvO)=tGbTneM-P2>00VH>a{ji|P7Ku?wP!StvqZ|Sm4=?72O zik=tXqYn^jz3r4g8hv-tW~}CEM9`yNjg+kaP4f#oyt%r^Odn->sd}msZr59muR>R< z3iesnvz&QbcdEs{<)>LigU-Tm6vkSbgTF0E|5|sq`T-~e9gnAf#hQ&%73wDCV%_y= z%_$n-W`WJi8&vm{dzw@p%R>$9Y8U&xI>h1{8=kt&p@wm^GN`@GaIcd9R7A+ z4^Svo7X_-Um;$a>j@?BYq;42T-x){-2)8W&kZ<3us#AHsLq;We+|piLv`R3s39(gG zU~_gm?aOrYXVZcI>VEf2#1Dv_J-o0W^-NP&>GmRu*Ws!Jge;-iO=PFx_Rg$c|HoUn zDPV>w%~SmwYB#E?@E_i>Nnsnv`=Du_P%~Y4W;4^RE|^dr2b&uGcY4b*fUzpA*h#mM z0)1o|FW0=hMD^5LmDH9`njz(gU$YZCBLG?66PH9Vwroq=RZbh7O%dmc5Z>KDw>aTCmW5*w$KZ%){A<8N>s;d3eO2OKgiy}F~yq+ zkG3W}iuSAX_A-Ye#Bq9*%(1L%-~ig!h7o05Ue=G;QeQLY?OUos-s`r^=(W*L)mk56 zwZz*)Ypr&+@$k$&ZSx$>5f{X}-V#S;%3mA!Ev|Iv?6p~@A$)N;<>mEbF5N@{*A>`| zf?={brjpzq(C5G_h%M{+230yK6{fm@CG^k44zQ2m+xj9u>)+s8p!%|2(N7<@I=Quj z1G4nHfxN)g-yBXgMr_QZ3jB>N7U&-e1l-%(<5wpQBll-={JSy6G^i>>e!Ej8H1eGf zY1MiziFEgA{df%UlxITYvRUnR73{K*u>An@V2fRUN`MC}18REwe zM;@s;SkF(d&K(yzCO78WGA6+0A{w*NDwIn zz&!;7IFn6v_nvkg85m~)8-fqM81PNZ3sKij9oVCY-FzvtV!IgPxj71ITm5{&3vPan z8DBv>UnZhm)6~5(R8jWH_m5I$@g0C|1 zE#~ys?LbjgTe!V$;863u?=|(4V}Sp0yxrvj_jz;mOY?GoQS=nB{`AzlZ@mME@0bwM z$>W*BX1@%Jlau=7E4JM{xr`UL>Z2VC#B{xda?xT--DvtLb*8hnC_}Qkperfga6>G7 z`6)@HqZ=RP9{qHEhNV(X_Vj6bAaGgO6)gLH+Bp3??Ip|pjqm!(-st`b zh=5nb)$Uz{(plBLcJOrlG+4wQ69_ z2yZ>&HRN`me9R54kbUW;KuU$FZ`2-ZRKmT4AaxrI53$DLjvJX$M;5nUS z&Do@RPHOk}kH2(iI>zq`x(2;5wfj%F6?}M$%oR`{_d`3df89CgP3LWrK3TU8u$($r zA=Xb79JnEknE{(@dU6k4=DcfA_^P-&YHEgK%)#=+9Bf8K_N zQU}W3@Bqbn-+@00QIZ&^Sst}9_IP{E_-7cctWzlH(hVJQvJp&-!4{ZLFHY40ZaBnF zH0MXi%N4%gMw48Td{1I3ir&3yn`|64OWLw z>Jo<-Tu0dK#Z6^obz{^)!q-7F9m!>Q1K#H6#y1)GG5dQ# zGaU54(fZ~OerTSwgr~LKZ3;uis-LHMaiku%5r4}uE2AGxX=aUb+hdF9M*YOQDQj;= zgEi)4-?gT2S4{VWPN9x2zm9I7#aJh5`x9^M zXrVB=DR(QMw@TMxFLT)+@cKH%QUo2EZLmcBdU54LZrH`WbDw(rM9L z=$W)#n%3!_n~xkrM%gJ{+LCxo(y35g-%?}sPD+Wg;vSWL1*SD8rO9zmSs(AFf`V`|mENa@>2^7gk z(2|zWl|#b%%hly-OL$3fYXTP?07cs@t+l&#XJ>AS$z%P#>oH{TM@1$32BR&}-5wye z;U{FGjuTqiaWPlg@gSdGb+BsZhF@}ajU3)_=X3rhJW_7lfhwG=3S=VK(Y&i+TE~rK z{br-wM|&>0V0^DVa}vVo~(1%5Gcx9p20 z{m0%jh!(7_DsAskunfdNgr6t>p}GDtj>#3qw`}=3RoQs=ifzzK+}j{rPm2&Rj+qd_+bO zg6VrTo97~3pdRdKb<4UQ(nv9Z5i6QIj%LeNbcvRhCz(W?SUt%NPkui@l2i&8KwWNp z8;4q<>GENMeCt&S^15nW?o(LRsG1L7W7;W>^!NPEexVBjZ~O!PDEb@L6&W8)PtC)? zqT^t~j86995hrqEU0_iOGM3<1f~>Z=5XJSfkVC|W2rMtSevW(Ywl^UjIKc}-2Ozrl z-ScTnG%xd(Ulqn?tVJe1`;jf130F^71R%Jb;_74Zw;z*oT(z|cA?%?s2;Zq=6#FL} zggeicxsjn5lW=e7Z6AXfZNoM-+>tl{l5zEf!`nXQDT1ThK5iv-DT?*?*5$*@pTwL5 zus%k|YOir6D6XZy(GKz@*hp>9lflsrgxam%(+-9v zU$SBCi2DzPCbJ8q!eaIZ&+cAu^{3NU|&S&XE291DGc(9YdVoqeebf#oG`V zl}<>SsAoDn*XV8lchCqkS-_5&+)gunJyin1oZC@Nhh$r!DiBsLvvNwYoJf`PNr&V znST_$+DR>B7QMQz02_zIf9T>jljE&p> zP-cG3!#2AXA=_JsEBBJJfU@wZJyz47_h%Wl`aEj!5xm2U&t@hv2;evXeuRYwL+FsSk35MXh5h+#qoHA}(zaMWUy*f5dl1L@Rk65u9 z?x0RwNn)Z-VRrPlN^DURvT%-;AJ)1facLz%Aky? zxCP1$u_WugRK0W0YrLeL4Nhkg5~5rSw5#v;rnrn4=$SR+yswEAP?eiromyM8k5!`7 z(o1=!3;OsS0K?r=8@0S6i}J3#0ZTWie^|oi&wCuIbKM{!@j~*?;d-5LI)4(m8VIRm z&~8+rK07*d^<(o9VMURkQb@&xeW#R>P){hJs2Y|K`8l`@rQb<|FS#0T{~6}L{T?gFZ1kw_yF(lUe~A& zwEA|93F)E}XiB=E#{q{R_aYHwZsYZ$?QurwRQ2ont7GZvqSbY8oW8mJh5AW36mJiGFxLBWPw|&G+D;6doI|!v8>enB( zM&#(A5z&sG97KfR9(!^Q=+m1-(ya>voLX_HBM2GBkE6i14E!1d{MKfmr%lk9pzjkT ziN0*3e!0Q343(z4=}T6D3dF>Alg^kAABDyL>-i5_rr_GCcYnpWEodx}f8~pa1p^b*~BeM6Cyh8lgB>}bSLEU$Xnva@j z{Zr@gr!IkqMj1%!U)2|K$g9%55h5ste+X* z%(N1?zd7Fp(Yq_{%;r}T>NY1hvO9fC_|=)Kh(*9XELQ$!&xHXOZmM=TfPNf_5fJ1u zjob}(z6Op=6!$}>{MHx2`Pi)0%%z_p($T%&v1Fz_x7+8lhzQ&q8D#s!#QeS6t3=hL z0mV2)es@A|oQH?f;l@@WvO|jpl=qzrPnD=EFJl(K*S@u=q`{V|-gga`Z~3J~dpsLAb6Ew@w@m^SYOBUL_-&tU% zK=(v5^2Hx>?{U@Glt1XgZok}9O_Rm>8NCRS8*X^DL1$T{*Ctm`Go;?%&H(A8*$3xM zQf_YR&@}KkCrk|hA>cLIUSVaT5WR?Bx`^)+7zFAAed##&h)3V+AD#o4>Z(t}gejb} zotund_kH4Ww%VQ^r$F`ZRiO)CB5B*22Fj2Up-I&KAmX+QHzJ~x{D?Y1p)Et#X=mV3 zn}z1iL}G>M6Oh<~X_h-In-EFK2^lBdnm^1fWl!%Mt-(qoWNgLQDxpIvAYDMfPBaiP zLoXd8U1wlW%}-DVIbEQa=AyL$S;e&Bag)HQF69>olY40#=+8HmfaYePQs|{CCD~1AqA;0MW3(epmOrP;1P#(-xKjif;Zq{~kGSYC#;24m zDg7x%9}=rhu||&5iz@z)c!?6%!_7w7zRkrrQ?o&r##k#s=tU8SCxtPF%acG0`p9zl zHJE&y-1X=Ch3j6_{2SswUfa%*bKAxF7(BS4wPo}nic)z>JQ3%+&G{J3xD4#gxTpT~ zK|m=CJEkBbUCfYs`3a~g(q=rd+9rgcDswN)V8>(ih~JWgrXT;N#{Z;-|D^iwgd%Mz zIzeSg5-C~QY1-NdW@Mo(QFc||LSH6`BG=r2{CdwRHj%1!|9Uj9JG83xAAo* z+W);WI~!)AheD}IiBX3Y7?FY?St&_wLIZ`#gc+e7Dcb)ThH~XwW)B}p`O8NHrq2|W z^r5x+I7epC|J8e=zLXZwSH_yGlk~2rL~zkG^-Ye|^5gU#sUTEHP*kFYT2-(B3JJih z<^&S#`B($q8=*IUqEyen407O5Z4~i3e~FRk$Ajr*k)T-PfD|2^9wge214CI%j=>Jg z!odzZ5Wzq%tsus3f)wSZK0Ag~2Qv^sL%-Mnv;7e=GIpgF&F_Rw0cK!n z!t_jp=)COVy`~`ZV}x|W6Niv5lf(4DQ6TUjh}EJ&v^<&txI7FVgwR?D7{QMESMc_2 z|1X-f3oM!`5t?zuYO4@>-9r8gCKy|>ipXvR;%Gcv;%M<@ZJgf0e2nW4G{Gpnw2(3p zLu)y3{%V|v&7aFRA}E)s?Ll+))&s~vOa>Sy)G$3t;uw18LEpT!5SH4-e{SIRf~zsA zJwhEjnL>{BDfG^fw*L7_^yi)|>9#k1$dZ6NY|zEs$7rJ+1hI6fTBi{5aY}=qQXP)b zo?CdAy)5~zXyn1XT){`1wN>5AyRfx#|5qhc4)mA(==&A6L$mskc_Z=dvDn6``~8fPRB|LR?XZH36vw$e{?G>2 zk|GA3%3|2b1Q`in!K@}gR^R+7XB${c$Ph9iOJOICaIg&0Cm3i&@q5wnu3gO~zB2$f;2X6S2$73|CEIKBUM@c9wA+fR^6C7AqM z13kIFb@PAi=X zjBhV@fZ|0Au{FTZyACp9qd>UD3c4Wq6SZWw6QxzErmc60v=ya=pw%J%a~ro8w+3TL ziVpwhhIw+>vHp+)PEWNc#+o>+F`3T;4cEd%hUc+JnE|z zq7OM0qp(9qGM33zp(9=TS5`1d^=TV$c;Pe93 zC@e5t8*?!l5cqXeA^Z2>E9$qKx(FL)`K(7DL6g@sZr>omNL) z%7RfzD@zi}f+t8J3*A)nYs)ZoR{nwGoHr7M+kz{=u>XkkSFl59=|Aq7kM^$?tq=oo zXX+@-(D_<)S6$2SMx%adet|;R_A{f-4h*U?Co&X>`>M#${p-j7mT{A}us8x=hsDDA zZ&1*e8$lX~$e@=Vhm=Pfh1v9vW<$%oCQe~P%MT~UcC3(!L#087!A=nvfru!nAe#%J z@Eiy?e#48={$|o2gmcUg{U3!2uTWctp|cVL`b!LfO7<8>1ObMjn_2`BB557MUxLzx z$(I(FVTMwr@&XZzAQMlZfqjTvv-kx~;G-}FRAM|Kk%~^WG7aAZ;R}ojLL2`eg$V)_ zrUXU=0fZ4TU?{`LF=mFaSO@H>Qgh8|Q*#NN@S_rV%Kr*g&aEA+fzpf%r%01|h5Mh9 zzKHDDZk!l8T?X%xavp(*Viz#Y{s3`|j(pW_CgY4gDV;7xV~pnKy(}y^<1sA+-PbEI z#Nb+FJz+YE(O<0u)Cwd_5cWp-hbcx?N|R9kt4G|xLt(PzO3@mKvTwuUP(eh;q?!v9 zN7?EFpFoa|!ivKGh0ijln z+=klql>&nsi~nezQjLls81pZP642X#m*hB9?zQ(AQD9$2_K+iK0!frSek?dliNm;n zQVIk~6fyF@#&A1~N#p`T0Q8?2GA48QV59TVg=1G*b|8;C&*2xp)jd1BmNCgE2V}T4ktY2LI8+w-1T+8D*s=fP z7KIkr3jQ_+(inm%<@&^87dJW>KcEFW@nDo|V0-3l#q8;4RnhAV0%e)7A{r?JBSu_P zHEwveZDA>^WXWfvVEzih_Udb@ppQvL4afX^ln9WJBd%*H4P#6zCPM@6BhgbX+Gmhn z3pHsxlNTub8=m#)|DOI8Co1#eE5Vs;;j%s$5jTubm?>66OC#{s=uio_gI{$(@D%@xwPD6=2%UQp~1N98iZxJ2Tf|0eb?hp0yU-&Vmt zY+{uV$$wH5G0w!#uV0J$)xC||C9d9Kvn;ji&Q!DY#Fk#-y=atKpYAa8!ICHn`pKjh9RU? z0%@KhWBsL|))Gb&u_#RBFMqj+H07pV97UGhL^KNX9|{tx4HSc*zEtur>eVU#qMi}+ zFC9Tzd5x+fEn=V5bEHp1+5ggyaz4Q&brkqY90k(OLWpvUQbNh|hZ}%k9xOo*@q*GE zarTIL_m|yBv3?q6G9ifXh3qxZMX9R&F-0FJWw@vdH<`z|UzVuTrEpX|dD^)PZwXLD zS4Q`Uzf(p({y&Vp19YXqw=Wo+SSPk^8y!38*tTtTjE?PeY}>YNbewdoPSQco>3je4 z=H0i}%$l`!)&A|O`cNNhSMAzG&^Oul^x&9h;yoeRUYS1wJ;uFn z$A?pg7he>`h1yTQKD|owiJiliymV0SAKj^x^xhBRQ*=uX(o+bK@5h9qqtSULU?WSn z*U+l(?>wyCe2pgtO(=coG~kRo{*)=IQ4N04A=LD>Y4WKZajZ036@9(`k)ZMzK=YWT zQm~c+@BcchrfOMOA#weKLsh{(a&5WW8lGlk>szA3g^#+8Y~bQsnsRZkIYxyeF5MHO zSXi%l`FtB9=AX0z(LFY`Gu?1_fB&laHO~n_G@sZXk@mtctk| z*`9*RdHhOve~GGj&E|jXR;7~fr2X&Xaa%+e{}0(Wscq{&jo1~;zKQ?Ex@7$~)X%1B zMGZYL|1?$s(FTTw;0ctUheB|3=Q{$dIjx9yxPBYl^_1=USFGPzmY%E6c121 z;vQVo+ta!Hq?4W%VS|~#pfF896fwcL;{ZAH`SY>A_3`i}pNwnE3c=`?w2EVkUyBU! zb>25$uh+JOD7=lKLy4E$dlMHV7BbhTJu>dV`b?&^C^O_vR^EmylWmHqo(CGt;3Qc-dj z(Kg6lnc7pZ>!QhjXX>Lb3YYzXD9X>}`pU*V)!yDvB%(-Vud96inThVPCmP=Wrcm^M zh$=4ep}lhLg}GCOxO=UWP5v-0rTSChFSW<=ooQ^wclvUPe`-sl{nu2AcQr98n7=0e z$+7I1s6 zkjkIW^c)ev^>i4jy7p0-{(FSoB#;8cx(5ssJDC(F*W45TbTX+Ju1R#BA731qSeLnmd!{%`H(b8Z8W+d z_UMP{%8&mL!x9KKo@ScQu}|gwhvbSfOBSXV$1lU#L2$y_nxly$O9xK@bci%bRk@;y zl7(fP|B4r_HhPy=UkwD8=%&%&5?UA zh!3DP1?I%CIxhTD4+7G8WgYVX$uFje3Pz9Y zqyHylcw!$#F0Z*!Cu4A@WuR3;c1Fc0U<%gAWb=|z;!v&D2i(AZ|4Ar<+D%Rq>=15AOgw?^DkTC}^#vT>31*b{6sNjmok@Hr>fQsd)NwOTf3S@Za z{D^t0?uI%bGf~rvi-Dg?jGQVN32NlQmpW6)8$Psz^3&)qgYr}9AA{;<{8>cA&0yOx zl6frbGK(uR6?Bxt9-Ia_!D|U%gh_Iph1Ef(IL$!?9UO#9kH|uR+npG6<37L9ra>1-3*I2&WJu2O zLqeA>Jj@0LSwD8O8yVq&k~5COU0AV&GjSsGSM-mPxPvV+&%PrT(z97jTDxO+9L0i? zb>zrFz-W;sdN%F$OoXVJLlngX$d7Hj-T^x_D}m#|G+6J78lD@?a;YaG7*?`x?)-Pa zXR9OgX{NVGFhu63`-{ud_}BTit}Fj%v>N2JDu%U3||HA3>=tP2G zfPc^CY#mp#oOVI0`mgm;qP4snU7<1|xFea2$RjSTOXOyfO9d7<1dX|-b^ER%2Ujr$ z2lWnqw~H%CZZa7ZSiQH12m7`zro=Y>Q+fWj$R6&7iQNTpPU8t0oVrs7r5t9Is$R#*U=G$+0l6B~}wI*aA%)XP zz)wD@9wQFbK8bJ0rb~}8{%c#bsDgvHd(RdVSJy~Y$BGMb;T5goM$+SIJ`P=>`Y6RT z7LG#V>DY(+OB11mGdOL-BkOj2f{YgG_Ko2)efV{KPv1JfC-_gapyoWJeqIP`T(m%3 z2vq1=oGr=Mq_<|dXvWbrrgehPinIZ=; zpH^H55cjov7s&?h6FRkL5fjyRalFZE=@3&e%s`;0SwzESpM1)EjK6wjt)1;=lP$Dw zT|P&wd^{!7@7+~v4|^>sm$OmB96q_6jvnG|%wU+O&y2^d*3@ZsWnVA&g(M3mTl-;` z{$`RV&(O4{inCmO5ynLnPy_t%SYauF1QGvr>q*y{T1PaL`u$(kJoL_huC!4I2}8-9uADb>CIp`SAI#me&LIg*_a@1+X^U zuj1X)G&NMy@wF^uk+mlWg0Ip9>fEN(Ct{}ov}MWlDQ_}blc zf*kdNfQR5-``tm-pz8d>VFa}s{L81sGtW2UzP{Pk0%gxg0YHhl9!klG0Serh2T1V` z(&TK$(#EAMcDFz&S+PPX;j~53x1wosWNyk(hmwyLVZ|T~k*kth5({1A>xgJh33Ikx&5!}lvY&^ z-04%)6sOk0BH6@k51J2f-jXxW2cj#^U`*xLFuT21T>%q3_zq_yEK@5iQv!2M77QI< zR&cSz5H-aRAN*QVSReVth)&v5Tl3ji>_yCtHr1y3o1s#JYM>@*4hE(Slx%4Eghp8J z2Sd-bqM+vNyljTh@rkyaY=^A*=#E-f3fS48o5|v$g7LC<%EQjCAGr+)M^q z)7+59gYA#c{Su+J)E7G_5kSQsl(6iwzn`>W*>OY8xG;h?JL$x-vz1{tfGjmgcd?Ez zmut#li9GVN9--UiT4*+z`A@*a%RbAD+PpW&D7TmXj)rB2pHvtRwRJ5TVTG7Mmj4f- z;~})}l(e)IwJ~ia97wsu_-eu|mM&sz&B~?10`3OK)2yu)n9pIK)`k7s1S?Ha9)c(q@5IORI zkw<+i5N>%cB$svd{SVXrE5wVkkRSAG`SW+ngm{x6FX&gA#-llmz)%4z;uP!`>q)x2 z0->y8P;Po@$pP+TNmMzgjMXpNJQ1NRJ5Vz7RN{P-;os66xxv}Dx%JncL_fRZLoFf2 zqeC^J*`vcuzfd3MBXTP_(|j*U`vOiY7sj)BJPvx)IZ#Xs1jUTwQtYUAi1!QKk^&)Z z^Ub0HA$}NCBkbepgs;!{1p`b4{c<$7Xz<;DFlOe0;6wsPf_X9)Nuyw}IOrl=2us); z^1!V4d~2o#w0lCh{QPB4iu_4ok%=IRz~CeV888J|MGEkglx=AU8d}~YK>q$*fw8q9 zMcx4V`2*k%F;0w_FVd2MIO~vr;DNwrlJ_yiHQ|Qfh+pbMxc6ZhdH)2B0SwR$2F@(p zj{v-d0CWf5{|6#^fkSr-e;dt1y4Mf(OP`R)0gsEGAypvkkywV(PQgnMzV8B~m_ro4 z-%*;Xg7y0XVGAH4y9En+gRNGITV{nEg9xGroP3mecK4j=kz&KksQ!&K(?t+m{!MEG zQ-k7XlgZ@kU0>*5BIMq?2U43acz*~W!f;MCH%rx89~#UX0pZ2b3Mx=ZWO^dc+Ga7> zRL9jw(ZguqXs5y1L_>oqNzP}NKP=eyYLTm?s)n33sbXVz{aRCkJuC><#>}?}&KAke&M2H{Id*V$A|TliNAvNy)sXC( z7eheHek}U6cdz{ZEFj=)+gDs*_wrmE=L$Nk1!Qow(M8GAlbO0k7_SV0fa(5xwk}Rh z#mBj@?DRJCxvwguk)_ziGZgw!9nm$*xZHql@ngz@%Z~lFeY2hIL(riINdSke9`01a zt->C0{)piDBIo0Yye;MipR>|+ujZCJo-4;UQi?Oza=zliDaYJdi*b$cN7q(K(^XPL z6U`u*rWtC~d=@bf(L%HNI>Lno!*F4f7)T&gYxRKw3;mOR5*#cT{N?*SSfEwrimuIg zmx|bKD&BqVa)M_`csD)gk$wf~jVzM4=KqsLLnIU02ye2Te}P9CsLI`0tqf6Vp@R-q z$dT^{Nm5BLsSQ@hsiaXh4SQDOow7pv7a}q#(}gFHCX*Iq;|+o`|KML}*vaXwd9;Gx z0P&s@j$@_sS({R!c2U_rlzkMKzu0}ngo^3uMNSMf?M)}7xn#XJ55olwgcPt83EWEz zH;P3^&6R^*--b&k(}Sjy6v>`tRbY`pB+e6S819qGHwgxQs|{0(B@Bykd1nRVa6gPK zV9{AAix|pxu}E_mrDP&#;-`RNn$n2l=!fRR8BB$_rT0}s*1I>WzwJgWGtb7fwznt^Oz)PLAuVRx7fsk1l4} z=tMDBz49KE_VNg!m`4^%KN8%AcMh)_ z_^VIWKI5(quTO+E@k&B+YO9ET5c%60rom!U4thtCNG>I0vHfvr1fynfH7p;f>itK4#us9yf{(De+C*14ygw zmgfNJxn9~SYvYxK%=!2EC8!2A$0AMga^&4AQ=sk#FNJg%X1DjRMLsD1dF8A5jwSlR zi_7yx?< z$=!le5jo24^tliX39q#uFpR0UpTa0Qv7w%5KJ$yPH@uJeCCVP2h4BZL@h90W?SHL$ z`OG{y1=e@<4gr@rPz}NixdRkZ(3R2PGtmI_JOwi!zb+xZePX-g4+Wi1xELqP4_M0q z9wKNhy^H3)YX{`5osT#EKYXe&gO=79X<6%SmBfoRzc!_@tztw`ccIMbwNdrpR! z_4|?Jz|oY;)PLp%4qe9InHbVnE?SmtuKFc`fM4gg99e%wOCAkHNjVvtsx)YkJMro0 z=QWhm>^KkF`)n#g4$+*I%hfM~F&m2yPPl6Wj zWXH$yAKh`XfV(?4MVxflWHeG&&b9o&GLIGa^uj$l-%l_xkWsz5efB7 zGeAU-i8KyQF(Au5W>bO$4jVra`xYYN-k~wZK6+yU<)dt*pC@fZlV-uMs!NjD?=lSrDjN zhUxU32|}?!x}Vks?uFsY=f=RmHF8{?hm&7R$YB*Bf#KE97aN!{O@5x<&4Ig>|1AsT z;9-vB2k7y>8}OK7ASo5t+Z}lQjXc+e9=Ic!=SU6K6)Ui(2nBfrwPjW&o^QMKwkCOK8)M;I$N* zs5j@Br)6j&Mc|!J*=__>UZG6j(262Wkd4DgqBfm8&!JGMYlhQS_D(#L-8ynK$8W8A zLM&^7Mk9WP#SF6n53Q6RJk&f2SWuv*wEuF}(EayNfGy)8b{d)RBp58Q@4dped(%1O zFTW{-u^@iI=jzP#72541siM~u%S=(yTBj%&@%O^fpu;8_OcDvKevgRZC+pdO@)-BmTF$TyH zQ0a#f*)o8IF%;aHiy!l~XUR}~xug3=R%AYNRN9Hsn%gY(tNT4;TYfpKkjb?~cru=L z`kq`%Aj~Qtv{1$CR0`+kak?ePpMG}4+l}?ZAI5>yj=(t<@Pb4^#h>R@(e=ieX}kfP zt{aAM9eXivj3PRi3%^~XmeXVo*{oHl6yBt_iMJ&=Ut=C>#O zebVpdXI2(wgNFEPU=$583+gc>7kfQBBtJKcn2?KDSX3hInXz#=(MFph9Q)(=tRdC( ze8@ION8*^%dgdQgsx5i)-m0=4o0ge?Pn`qGfV&VuT&C@fDb~e=j8p&;V#y-dmZ>Vz z3`Yvpu%H>vIL)rj3F^ADs0YZ6{9i^nZR2kz^H0PWOVBVbCpdTsljp0jcf^*f?X8VdqE}`R{giE||K^as5d7LP^!F1Bs z!GN$@54)o@pbX1Mc#uo4%9sM z7NXLEjlD=Qh#1nE9F5g7CzK_)=um5jvEq2*T(|k>Uxco;NRVF;3kz_>li4CiEm=61 zIR7qk_vPAr%@@$d8&Fe*F8$6nUn!l(5NmYbfGEG4F_9^s?o35*oq@)1xb7WSUy39M1KuuYYTCPmWGz|++zd`f_3q@NI5R3>jCo04s&S^QVjkVvG8 z%v+K#c-@?bNY^|s_q45O>2LI_LGA#787@kbe7H7C>6NkxI#}0da*yb2PBSjZ zGI5spyo>Q`fee`SwnqND6Lo7sN#eh}G|79hWY7qO@~%evVg?kaK5ecCLUqZ+R6C3J zo%t4LVUaHeH8au3M6{H12Ju!hw#DcKLI{tyG0+z(WSt&o_%2z5z#2E_F^OpqkNfja zSBbEWGyHWT5;Z++yOOj>QU%hS-FmZR!5{*@;uWgVACaEsZ_JmZSoyL2lPj>%AY&JnrFA|~ut)x@fUR+3zUZI<=7r4#Qua5;aD0z+q&ME=HdpcFX}+ct0baA4b84Psb*zEP1^7kwPOQDP@+IR)z1hSXz`dMPCaq$^?>J z^|E^JtEC@*@F`Z_*sVa&DXqZVPu#L_Ay=LmDYL)+XVU-Oqg2!t5p&?|!bQ)3(&JYi z)^o!~yRKzNXYN%2>KxIcGrXiS=Rr2(D8mFhexL}fGb7FifQgBDNCkj7rIaqG13tNuJbhCS*fz*1=qpEGfzGl_kvx!C z;xT2SBss9~WQqVNRO;a1NOTcWr4>C-G#f?x+h;St z^KyMZ+A)T%Sw|sb7f7aIm9aZ+{V%N43UyX@M|p?lXxKuv#Gnsn{irn0A<_82;J2+k z!R1RKDQpgoT!bf+rH`bkA<+Q!hf_wbR{>Cc)fID$lcTt8haa-SW^J+f>a@?gEeigZ zV5RW@n3PS6S~0POn6asxwvo(OndYv-4bZS{w61BO_0^(UWhkE2gae(nTWJ+qk> z>Kyar9Z=e^;X5wZK4{>iLhoX*P@`meaGXIZO^4Y8QYx+v7uWFSGidbI_Ino_=c^^0b(T+b z&?H(@e$vJfIFSl(f{E_)9T)lL*bu@9tqWa9Bl40w?StP_@?g<3vD3VAy z04^PlUOt3KIfQBc|4p(`4*a1hPL-Ij!5Sp6-@iOyO=f)rU(#fjj|C$FfmxCuvktYYj9L`_9KT97G*m) zL}clY7Xh?2&2sFe9QB*BwsY6E#&negDfFz+SOt9jWPl(EScqsK0E-kBG!Uj0+S15S z*u8jfwKE$-TcgI0Ufis5B@fzF3p;36d$YhV$N7ZSiI^jUQ&z(XF!u!oJyNL%3wyIolsVqW2jz7fs$HvBa!i73DX z&XAigiq)_}uu_yn@`^Rin1%|&Zf!HkwR*?k%%5yl9jm1PA0hPofWZcV(I}$x z2u{~^Lo6@>=d;d!!qSzJ5;7}1Otj*aSfd!i#Wu#`;Nq2~5g9zCkjal!Sjs-=VvE^0 zNxQ=dk6_`&+^iHmQKaVzhy}Nk**K!fhy7@fw4%`I`wKWDDTs*Hxb+(gMQ{TaQt?#c zT>S3Db{>ybqVq+pm1w~=wWCPk^(!mw1Hjf!JZ_w%q$L409q!pdRJL|A{9$X}6sE1f z(;oOld*Mhs$e!N5IE|^zdSMkn(4}l|gu*5&%cF-g|h9cZ38%P+ir}X&C>7+Sz zUf3avNK`qsG$^LhJ~(1L`pOQ%q*^{WbJiGSf-yi00)AjwCX1-C`E)Tb#zae7YY#FQ z9KI(LxX~GE1Qc_$fLq8`S7l2FH|AE`od!ZTKyopQ;S3|McOx1<9JCJzkr>!oZwcG1 z$Mrk`lgL;oB#h2f7(Q@C5=HUSo8b2Fj@Cq=s)HdJ`HFVcZ8!-i-YetSMu+-d}-q3~wj=-`vzkkZ$e_Mxq|9d!Izlu!h1pRJfu;1%0Cbsz2uuam zm{VxV2k&=svquOgY*T!?$y97fI})hA3etpNlG~TW1q7TBgZ_-_Acpl31?d%-j>s@E}&=9P-up%$r9Z9l|+l53(;>*YB3fZK!@EA_2Zo zz(FS4`VKc4vSFn!V}=fy!${u8>N1OlpgeyLi=}w5W5a#fYCtl2Puk9ab2FX1@-C0H zxI9P->5@S}tv>^gPR;T@gYB8rvoari1x)%EH7d9z_tu$F^D%gt`c>pE=Bza4c&}`v zo0h0qeoP@bh3*zmD4aaBn4OgZKZ$h122lnIH>r0L80yU?#^!ef@q>iQNjy3j2q@HW*Ii8}mAW;9@obshgLhQz)V@{z%b~WAl)s(>m3SWHNEe zgtN6QY!*R!X=q@bDn@uv{?kk#u>Fh3&rd+m8+xe108aZC)1RL)L2vBP*K;@w?KrBc z{(B_w|6+>rEMs0AX1HJyaUg&+m;@Hp45Lm5TuLfjjlO&#Q9`}urxaLNg~qLE;Gi0C z@pyzOpQYaG63~HHfK39xLiqqA#r&N*5?gB&M>lx@sHm@Fm@SOE$5lGB`Yto#XYVk| z;2N^T4y`zsVG|s(0DxHm96d_fDJj-T8U&{-xxUX;9fi_GVl_3vhu~Q`jt2^J1Q*Xx zJGbPsSj)u+F`BAq8Ebei_7V*p<8Gk=Zxb^HG;m%>N>&PLc$S#*Wq2$i_2#)BYZ3Zr zI&fqUp9}fzV)C$mTign6l;N-r;iHm^4ut+J@nXr=MJixc)GSNU+XJfEv zF1h9avc-mSo93f(@~lUY-~i4fj)x|nhX!my`}zJ@JyFPaG}RgVj|suXwp*w&F$zmkNJmU z0>MB5h}h_ytY^%^3&$S>I}j+v1hK zKKBIYEq@fOC9XF=6^StFb`V@{*UUZk^Jq-jhlQ@kN_buv5HvKpkGGNkHa1`=9b_7R zD!OIb8wk`-dKCSF4(6+DgE*F!bsH#g0EJ+Qw_u##_!BOOm0lJSK$+5 z;4i9Vy6t{zE=OO#HrNh4bY=2g4_3ERA*Msx1bs=GIZ$%Z3dz42c?m&9ik5?cs(?lM zH$EZjwj#ACZjJSmriJuG`4z}8Bm|!3=eKM?d)p{$*ik)a27k=kn5O9iW&xYS}bWqgwt zq>mOTS`~X?Xr`8SPd>X+!f~*eo+tG^wHAG=8v1xOaqn_|dE9+DAnNaexfr>+F6G~K zI1??V>mkgK|K)Zn;{Im@?87fmh+?l;(Q~wV$rADr{H;~&31xlH`}a$?C^1Q!`+d7& z-txo}pSK3}kClm2_j`$4KW|5q->|>fYb|+8n?&N=lmnuMc=wb@v4_H9pb>CaEx5*6 z36C-mU1DH(!;#qVIL6J^Ee`qX^^hCouSw+okmiudb*49tCk4Qkjk6J2WFj@?AT=R& zJ$YrAv)S#O*SnVut>z-@dh-2Gq4Ta@^6LK}Cr7)84|9P_=0oFe))6)QM6K6e>z#+j z7je1nL(q8>je7PcUn{1N*%Idv*;_n~@pgiubZir%rg4}O8QJxBgDl-(@_r)=9P;08 zOPrh&dRch>v$d4?{4aLu>wk-J6-2vQ8q`NyN~2Tm~0<-lCgR>+K*z2 zoGv>ykMuhKSaRpenN%I9%*vU@Z}?0Hx4Og&4g9qlu3FvL!WT-4<(DxsD^gdthR^Ry zYDuJX?K=j_%_C$KV;jJsSK!bH5VCC222p57E=vj z)v|ij%LU?TRlJE*i&skyI;5jyoA%*TPlY9HR+2Ch=$0#UttENrRjR~$>AS^`x(4O` z8(dt1085Yfk?jx&aDlS^f!$*cfgG4M!Yi(obAem+vw-ZT!G1{7FhzSUMk8s+U6`7A zZ{lXrQ~!DR+-DK`M^Z?qoT_>2S#9(DbM@SPm%yM>Pj~3J7S8__h=4YT0t;2Fw+uC- zEJxKG=rwHf_aFm{sc62T1WIdfRZE;k3dXu$h+=8Q$NIU(<4PQ#>bUO$O`|NuP)Ky{ z;iQaKJk{)oPYif5RfrsEYq;U>L6YrskVw;@Jh?xVYOw{KTPIC{Lkv$JVsk1x)DH|R zJ6skOHyf`im6p&MB|aWOyp^ZK-4r1NE6H%XjUa}OHmxjZK0vUdN;y41-$*Cr&b_jA zh|J(=wP(y+aZ~D(_RFEtvf}zb0QVa2smJDqW~g!b`BreMp5f^MkavvSh^X5ZAUfED z*yi@p4BfOm=jWAXJXZbV%N@f|X%%t$f3ux`EE_S~LBOu_Pa@SEn_VYAA}fdd$WX`k zu+e)q){m1Uks~PGD6N`gO;;$B-`P1?(&*8k^ps>Oi#`$?p!bRnS=<|O$nvmG1qUQ8 zx~=-+W{uXdd6L24mSNY55Ih7Ujyep~& z4_JDcvzg^=-am(huCkWTPaz+p`TT!I!)`KGEWu2xZqSNU9V2rK6Rl139r#kHqx&`R zQdG_nti%Gv2vRSA} z%Qoe{(NMf*Sunl#>Ejc~WIEmHmbQ`$b&g`8MJ=TqtQO~?M^y=(fqjekDl?H?^t4*^ zYSGipjSQ1)w$@WJxA48#Idl29YC?7C$k3~?zhA0;@iy8enK@gRbmlaZ3f0#svUt0s zK8Hf-tVdsGwLNFnz1r4?su`QDzt_IQb)Iq&ifm18*>~^leL}kg&sA40{|rKQ4)$&C zDy_uET5d9ttJWqPi*HEJdyb9!^ycgw7TfYu`X#7>h&384a5)&*2;VN>7Zz+w7|c^ zX#|=CW9DZV{SQ&H1&k9OTsAZAK*pi7y6=1sT~XUJJJ0@X1JNO78Uo>?^xm1jt6n(z z!LHm(d-47kcvv(l(&?*QydJC;vy=u@4OARs0yVK<`$kOH%xAq92g%P4WsHw{e;!BT z@9@SpUA_!DNi@j2hI6#->KC|d7+;_1-14r~&#d=z;93h`0mMc~JK75>U+1JBilWEj zQnp-ME**Z)E@%$U5q7TI-_#Lr6P)b$P)or`xjl5h-tmz5Ou_*8zJ?%kzd3Yp4J!Oe z=#Gd%CDW&w7hCKj;4oxDv0kEuJ0-Ae*zTev^iR zrsskUcjcd6%I8KEBymi(|Ax$!+PTv)F@C-9>sHMN%$@pAltAolKWh_L;D#O-Iifou zj>seTcS>Kf)<3KSZt+T9DiKpsK;J@j*2Jc48tn#f7)m@mgGNYUsBkw-IMx>tZheU) zmMvcBXkx_g=MZUXnw`HXjL&USLrdrl&@ALRnchUGZ^B4}Gs1shl6}z+QAb28Ss_dQ zE>eh7^`5HRdPGnOpTYrJK31=|SwdC@qE#$N#pP7{H8lyW#A!zJVx4h-qKk5{MO`9k z2W@}05~AV=2&%QR3oI4p2#rq2iBE~q*Eboe0)j$j+0ZG7AP+M;QEQGOC2MrqU9pB) zs&VrG$%Lu*7^TG=k9j*$K2|vZ@z{N)xeT<9*Lr9YxRru2x-4`)XQ%=VZr~~+SAkie zb;Y@5N$HPNj(@aKK>vspf~H~$^_|dO6s=ac;ZRBX8Iz_JK-yrXB5TZ9%z}`T1fawZ z%{NjKrJz-^gA=V?$PX3P%uk`9*&rF-hbW;CnSf3;9^A9hqQE3$)F05GnKxY0roe;{ z+B>(HRK(uc)PZ`1$LQkt_RSDE9xLsya*h>7}R%0k#&4}(7^}eVq|DHNG^qOQts6@{%T2Qs-2}6 z^XZUbUeNi7@rD5dP6sJcRbl1nB2iUfq4+mik*2~SO3Kx0$hy46N(+T)qm*jPjtO{e4Ek z*zmlm$+>Z=@i&F>+a=2k9!XZY|gcX5m?8W{0(#Hj1NrRM0`fGRfJPbcJMQF@u zWhwXt?pr;>%&^BP_+{g#nz*Po3NmCQSY?fW$Uw19x@u8@Dn-~VP8X@1mlZ#S?(<)- zPEq%fQPPggI|f&r#b+`m(rYcnx4%J{Q;OqE*I(KLoOT#JIdGw7qeO4lD8{cNV=nJ^ z*3AynxfGW;&>az8+5^ABzhFFb|6YqeH16~5<{NgMJMp!IdhZUzek^4%*~buTY{)!v z`R2H~rCFqgX#*cDT6VD_n;Y;w#cQ_72H{+5KmUm$TfRe6I&#Eei_jx`B{>fH*S}dH z2=5gbd0M1^xC{Q~;J_&<;=r?!MkBXw{`ZaDYztksevhTA+LNv0!>2}HX_)cWXR7;p z^U;`?MsT)KD8e@vtn`T4AWKjGo-H=&-{y;b3?oBh6dY3xdc^dc^FQK3W`~R9%=98g z)JtN9D*30^bVco`9T0LuscOjdlmf*7L&#x@;w+a&`46_K1a}n%;${>3ZCKy~Ny-*` z_`pQU2q-8LEjDIluz@7T%qQJOvp#4s@ic+}O-vwdlcAvu!1lQbOT6B!*%haUZMdw- zh2p*3IsB!EN!;uz00jwEyK65y8TQxl^E(i<&$?UF_WZC_xwK2mnsIWu-@cV-BAFis zjASYneK0YR3>!C$_q94wjbJ0W{WV{4!n zeoCUL=oQeSs_5CQBj2${h|-8lJ6AON>19Ks1Hw+LhK$>a$alAPe)sBH*>C@dSPAev zTit>&V(U{B7v~GiE=#k%*IJh3qq%2 z!?J9YO4MFwPGPvbDf%)EZ#8&8C9KIkcJaD^dDz!FM6304vNs+U9cUdh-ilBX=n8ZH z^lP)0()AP6So-GaCGcgQJ3y5eb7G@&=gFtR$4QGY{$22vr0ybuo4)R>Cn`rMGxrCz zsV4&3B)4n~PJ>r!W1QjT&PlHwE?(t$-0& z{opO1|5U0#L%W{#m>kzT!YuoZKC{6ydRxeDS(JkR=3@&bvL7B*dqh zF(CD0*j(SXPuoDyt668fbnn?P{_2Qf5A{HkU1I-8l%s6i++9U2!0FUkrzPv8QE`)X zfUo)VqhL95l~nF4O-rqN!V>yXAm;DYhhDq@aRT7Rj}K!A@gt{06qNGGBOE+r1RASq zQU<|2dN_F=(Fk@hc$Jc-OIVS+eb29`!3PMZYs5>Qwj1%GAg_bgnW0mdHYYkzdZjas zlFUCTy=6Zg-Imy^5LH%_5^vGIRlQ-&Ar;IluscJb=fEVSbYDWD@H+BE=#y1Y_>fgB z`;wKQ{KcU4Cn=S-DdS9BmXs$`f0V7zlTfk#%o86AN1))d)hA1>5wYx)D{kbZ0j5ct z+bXdzBu=ho$n@k@b93u*7j0a>yANm9c{CHdTp~}Eq2DRnGtAs1H)HB-bXuBkR79jo zAEz~kFHgDf&(IaSpwCV!WcXJVNgq=cH=R(bY#_3m$~rq3+hlJT+wgB1+iZb?@0PI* z{&PMQcn4eIRD6qQ9n)`(0I%@D{-tF2au!3#tRV&*rk>Xw3Riv+x!d z)y)$V7lr~l@Nr|BkvWvU&83JQpI)J+{uq?v$W=3u@mG`;NxN;Xo-9NAtZ&M=30r0q zWyxKZ`N@(E5T7-F*F!_)Mfn|3&A8AYlrt2u3ImXv+v;`v0x1jsti&dV(vrb+j{I=t z+?#*FXoZ&Hkp%g%P^c@Qqc`{5L{{=XTP&^Yn;=-is3MsGH)(bQY6nxns`2CJr(+WM zs8VVL2@&O^aDLBek>=ZF8XQ;&TLsO4GUZ-dIH;l3WoL-+Rc-ZZK;muQ=>VCkb1AcD zsrW6XPP}C=Id!gDTOyUulr9yp`0HOz-Oa_ zJkTI)5um9Asope39A3byjAR#9Hez6~9l%yJrj(O{#{(lPcY;XC-Cchgz)*%4(eq-M zbcLq4kv5VSPpT}Q2MH4JYbw#@2}Y8=%Dal(eG;Gu%lh~WlM!rdCeRtk)Xfhi z_A`e+Lly=9SgYFpS3!j2+j?D!M~SOPF#Y9Gk*t!&dfm)m z4YB=GEGmB%7LA<=$dHZwyzKbQqszY#>aNIo-xzE$q7eKVgYybOX2YtJ_@isE_$$wb#y5wl0u2$o1g%o`_Sc+p2U7pbu zpNmBo;i&Z4z};8Rkr;@=rF#Q5pe|d&;PWHJVDB1afjP0fp?b#md7c&dYAW}j=p#R7sz2VkkQiiuHJ_g^T!bP$Uv4YV zDC=H6!q2rJQ^}yOHZxKPFvaJ<7@wRL330WU*lPqA=selm%arz!(YI0cYgX^ zk2BzkFlXv@a^6}!wY=PHXuH{IZou$!o1x!sFfGTjh^1T2eQ+0{pfbFGP};X)e>W~ z?{J*apux$pM}w>o2*nBkW|Ww@QO3w(QWVe8&4Ig!hPgZVf^(RczXQBC;<9?cii!hm&#|Y@44ile6D!bZ}47OL3-}t zx%A!D(~bzLl!-#73Yp28MtM!63JoL_G-zKz;~l~RO-sjH2^e51wh5EYjNP=&C~w7G zYy;yOJO{iI^sZ>S=o-hS(d4SL^P$S?{rOFOV#U+pUN4MC<4L-*RPS;%*@M{?c#F@^Y8Fc$zAw`ZJ`L<=l8Poq~(dbeEPcW^(w0Gvac zEJVuM&sX3@&KBf&Tc{}Ds+@rL<)q@NAFa2lZ$?}SJR*U?;Mz7LZyWGXx}g?)w(k-> z(g**Dkf(cJhzNHF3GEgue2;Fn2BZ&3J;Hesf$&D2kfD4N|VmCy+A|6)CnP{p+9u@@4di#i0 zV{ux7LnAG>izGhjVw3n_h)wEePMS3BV{{_+r;pwx^!CvPf;P{T+w@rX>t zg($G|Q9wMV07j2l0OQAg=mjnw-|a2?mTBxmoYs!Zhx*i-$OB=uE2mBt_K zo6u8R?x}hF_f9U{q`loN=_oE8{ma{jDt*Aq_`E-*ku~p6azM&3>|(0j!Yq^8EgzId zY2X9#Y4}1Y%?E-HZ8)}q+I?imFxEs?97jVjN{?Wa7Q=f+WLiEah%_GBrAR!15Hk&r z!N4BEbgm#Z8TNI%5$R>$hrOh@k>J;JkMLU`4Bf9LvbA7K*IPn{Cf`(A?jpwXA!4+lNc4+lNc zcljO}#q=hx87JatES_5N)HlNZ;rzHd+;%&M$Bb!kMMa9)QcAUPW+H#=%fEWM=X_W8 zl+!rBl|A(|k_w)9)f20ja56zW+ghxH7T#1!3+}wBm@(%G#B)n0B1-Qjy<-Tn%tbPY zQb8Hc--rfP+Z{0o7};`BOMF(>9G=d5hsIGp0lo^l!`4lN4@ z3Gynq(Bvwdl!}vG-lfW)WF0~eY=0Igm76K%ABDkvR#ZGoI(wpcmUQ-F@hs__SrX5( z!9ku08#m+Uh7iv}J0|CkVS{8H;uxpTZ}$6}&Cw?qvZ}J(ij0}>iU}bhq3&G@!>Z`z z)+`#doRC|{XGw=vm_RE`pcN(@R|H-1L#s@nRVLh-gW05#beJ|{1oKa@zp~egXGsUe z$DsHa6d!})V^C}i&OT*l&z`~XQ*gg27-9;BnnHb3F#Htcn}YmP&~M86dvCfw-y%0V zd-7hcJbx5at~!4d)GR3YM?r;{0QGXC^+IMNk$cLgmMb3aqF0lJ^S~=Kp#v)PrMn5TL5fL1WS8l7Mkty zWvIQyWp8Fxc3M#|v$DeWskwb=?tYq_EGzCUnEQ<84i1a2Ha60(8%x{|iP@I;@Sa(5 zYb@4R-|Wgawv>WoA534xEO0rE&Ti@7u{J2i{Y=ex_wA?d%d`=$!e8sxzdd3l&k^Ad z=Liu?QnCed!bXD6YXdU2Q`p0qZqAlMVXbA_3-rie*K$Vg%~D^1!_ zWW7NYE7=!vTgArVAp>4ei6`zlDBc()=reU@;t6~prKzM3P{uA_s)RhSEHZAel$7fC z-!-X{m+lp!xs^!BSa55@g+eAa+^pN`O_t*5GcM^7 zvnqD(CS`sSuUhPkgwT4CDW8$f^G;YSWyL|t0oGx-^v0z>F1?XIEN1-h;xj$jas}77 zxW2^oD6U^|y^HJPfkl~5CS}jCzV|tl^z73)rIlsY;A-6_*O_t+3Kj<$eab13b%skm zV+IS0W4ZkNV&Z-Jcv#$<(3jC+-a;Xumye(N<#fMh%pY65)G?2>vE&+TjfI-Md^1mP ze(BD+Vomm$-fU5rg9fL$t}Y!Y6^(ZLWqcymKK^VrYas>j&QH18=>q!@H_2OGFMm0l zfVEEM{wg1F#YQKC7Z2*jQpMp-g^gkm>Bm)H2^SX;y`DAh3?e zD$M9c92(HqN~e2!@a>*uEvGr)ph%vcz|)@j>Yk36Rx$-`k6l6)X7xPdwqiky%@O6Sz<0xD@u zp-g<|J5@AnB2VJp;^eIqTWp;oq>ZB8RcuX>8w(yu_#7lWy z+u9>3Q^4>a{1&l#&!aqyuCz)7}I;Urt00vwe|X=unqCkMpVMPd0sE+VtO zQGXeZr&1C>k9_@h3$XRUsyAav1r@%q`}NvG_lr1mzcezGPm4y`X^<+mLZ8u$-Pb=EUR={%P`@Dt2a#T z)jR6`8hhVUQoXK80VHAvYFYny_^<_Sw-V`1qJg^HTwGaP_Z`zXEM{3LA7DG!+o zw`7E)p~On5JxkF3m7{kLnmf)?q1^l5N!U~tJj}9%^!2aWXHGoDX&GIn1utM zxIZ#@iczzvV!iBj!dy zoY~BzmE5o+^ecND*`Kh?Yyr=|GUq>qJhE-zV5W5fG~N#jD<{sd|EjGLQy78Ex_@$4wOa=1aq zuk$D`e{Vt;B6|_#u*grnRq5$&TY)1Dei?5CVxjh~pPAYJBDVrnO@9aN`q_JHW($7V z?E0nMw6wF8oqIL*!ZeogS$k{w#bd=CyTRSZwDadr^YEXzUuffOkv^WJ-AD@+_x)f4 zQ*?djJAA&>zk|2*G-&u-X`inz^zH8R#ied=A==Cs*y4ixXL0xM{|B3WVZ|J((^uqZ z^KrDy%uw6YtI=xvhQEA!ff^ay!!vjOV0X~(i5a_kZ+{OD%b%(j)kQLC(+pCE#pCod zWB>0R?&DzS9o54&e!AMTxR{S~(OLe5*ZHExUj3T*MgCfm@ix4D~_b^#-z_S($% zP+hePNHOi#_W2Y7TW6&$9N2s4oh<^Dy})1F)zjKTkBd0;xHLxGZC5Wsv0LJXkG{_2 zi{9T;4*W&!-4(a6Mv5E9&=^4SF|?0Jzq*@v(r`oH&Qz>W*_Zt?-Tnjj!Z6T&`E3Y# zqljHSuS0F?@7MPE-uXUXmTdD^xfpeun|s#A7|Fs@k-}cr5;yP6ZNbo+!|=^{7pT2= zP9x0*ZgPW(@kXUD&0e7ETzy*q-iSu*^nClEd*ZN*dkieDnPGI#XZFJj(|eDu4zDs7 z{c*c`Lg?AONB0l&>y>|DwO!smT+NrOgL@u5|H7a88-M2idGXm7e%~|Se{!|{?B~C5 z`=dYj>D$kI;d5_&lAo@B_;-H(jl~zj)Bf}0^=H5E#^-+9r$6(?Cx7JgKYiHz@mCO@zJ9uG{;+~wp@-%VDcBX=HGlGz_n$m> zd`u<2ulY{@x0CAq&3E{}-Mg^uYK8x z{g{J3N%B(j^RK*e@_}A^V)_luUwXw^&$?0^+oxu)pSI0!$my<< zA87t6(H-cw%~jqcPNj?H zuaitYZ3Rn!zdZ-sY3Rs?HwY(A*39I?AV;Y34ibz1N#93gOruHUH-;p#=BziRK%8 z(N3?AG~fK<$$QyGPN(l{F5{CcYu;Rhnw*HAX(r*p@x9TEgZ-Q{Oq%bDFS)|MyZP?; z9SO`R{VXb*7It{|#BY zH?1q}tDB#BCCcE;w`$JvrgaS8+Wf7&6sOULn*TwxzpMP)o4+Yt*A=yFexjE%itku| zQ}e&&uHvk)ZZ_WFj{0Kr?f!3Pj}JEAmR0N=uW9}owG+we$e(QHxfIS`-`M=GLNHhM zH#h%FUYlcj&|C{iT}fBXPvt5)rB9mwIgdK7pr_5=3+6O?x%t-ME{^b3&DUq|I_hcj zKLy`(7XMiDO}TqJ4S!qnSDwuwSO0HrelGTCr{!hyJ-HIj;N#|hl-6}>d{^^Vqb*!h z&zfH!9$cO0&G+U7xC*|r`2}GZC*`R58?sEN%J(!sCoyMH3L=SZ@-f#Yda$Hx#_cwn`!LE#b^G9N*bX9y^^EJ8Sy3)R)`76Sgo%~A4zVx8YJRTH%A|Zyg%BDbohIk#~(WRK(9I(=f|4gEFj1AyPHo5 z$eHKwYhD+Sv;05M{HEN$-Fx?%pB66f`o-5aKPe#BalXF!@mF%OoD6?=^BZ%-)%W@4 zX^yz+erNNh$>^wOKnqYQBG{PyN11KcaWqvc^7sdvf9j4`|GA>Y`o5|8&lD}z_sz|Js%X*X-_raiiWcqut<8U| zXwmlH*8E3`7VZD-&42h34cGmIz{Afqe?s((sMcxoPYOJ$^m6l02t2Cuq2>ZXPUHP-rl&CeLrSgl*v8q`?ty!mNr z>^OAvHn?hjj{Gu=I8vIk<}WH*l;ynn3yKycxoG~pqD46_n?D!jpfReKW6}KVOPiC` z`m=9m?vUqC++S|V_46-XpWJ`Ph0$M~n`HmO+ba#zF)Z7I<`-Yuo;-NxrFr2VHh=S_ z<%=)B{HK5ELl;lpeCy56{;qq=H-7ZZ&%AMO@#)X}&>P==Z}G!F@?)QS^9O(E3-`vO zc6x9A)?4@Z@$>gS_r~Yn_}q`a@dMxaRquY+yWaKwllQLPdgFJz@mbenPES4%f8+P& zxLTgR@0F7elJMd5@9l%_^7MJYj;qb-2R?A}!g@;*uC}MoeB|Wi^_Fse`D^c0D82aN z`%a!)b5$?6zjplB`%g}u-`#X%vv*eb7Syzl_I&tp=#rAK0_VnbrlV?V!&pSb*hNsWC(pfY|TA#k~!ISrA zdY!)e`IC1$ruVrjgxsgky4Rc}r_V*<-2XI*J#l3p-~7TG-H-jq8>i2ZV)SFor_Z=@ zBfNdXMcG`0XFq&$np>QX@t(dvfcg39)Az8D9{?er`}oO6pn+~5&JWAc>AOF6@(MH) z7yhD?Gd2|iTEXW(aq=BmuKMa5RA$p2O=ucm9C3UACb{MxUzxuK>#pcc5x_INQx7gy(I;Y@{_Kf?3 z`pD_K$)&in5UqRjtv62J<^Fj5=I8t$)GDX%I{E(qP)h>@6aWAK2mk=OiBcs{7}|URcy63}bzD^4x3?gOw4ju9cMC{Mcb9Z`3?My(v@&!f zF-nJkbTf39fPi#&IWz+^FZw*c-}~I(z4!g&ozM5Iv-ev2#NK=Dz2eMUCm&s20U1fE zho6l(7uWAIkGT!M&wNaP;X87HM4RE6a28{>eVc}brM!%tk1?S=1^XV#$|x$6FOLUu zGxMF^36U`}?Y*^mC1XFBH&yDp_~D)ihQ@C}d1)Us3QuQ$u^%FBlbipv>NEhI>iHX8 zU8L~Rv$L8Vam3Bd_ZlMaz}dSN#3P%}IU^%UKkv|Y8HdEG&MGSrKFwmj_s8IhZsjI~ z#cJlsO1Ah-CF72B>8*IS6Tb27GK zn$<39oL!NY)@!ULbG_fd*$y;Vd~I4Wrpl?;yM5Eb2+7G|2OG7UwhP@~c-%BMR+}3A zWOX?{5)dRp)aOOTB&DU5fs*vf)@#~iy|j&%9Ho2tz-z^g?QNc}u1-;o3$UOckXp2- zXaqPlrcq_(yAG^P^B5KNceV>am79&W9iUuqU3Oc&|GW3D>T9dRl=WM<3(!dCn{M?$ z$hDJgWuyCBqkESfvxY`!+WL@}lt4-L`cSYft~Y2LEC!gES~plI%$-~K8Bp)p8sKsI z_4>|ir?D~c8*J&aCJ4}BKGkT;8LK@tsh=6bP%xkDqB&8hoHXe?#LqlcXp~ef^XvX< zVdbRD!f~hHBCq6E3*gF>j6eW3-Ozi)@9R^G=*DdkW}gQx=aFJN@QltUiv4m{E6LG( zj4F!yxB#e#o4ohDUafKcR+abBWt!`&B@J)6-Pls$vAbu72u}(eo!7~0t6iNDq3CGj zIL~lc$nKsV*OK2m1;JJ_3r!GfuC|C97sqMum19vrR>xJ?e(V=FmGMI{R(`K~FE5m!#eXvv658-J!aCkhx; zD;VJ#WjeN48(_a|eX`_pncC2LQ?>w^fa@Hs6dvi-e|kMJ*ZipRx(4zp^{$}G3bv5@ zL277a#rUqg@#Z|T?Y{S5Yr(tsVh6TzB)Y&}5d=J6)SIHv@eVsKZpotzv276+Tfq*} zmXf?c-+kV!mM&)AGFd7t={>zvRoOPa(`@;ZyzF=``ZR_T$9!#}Y3;eNp`4+Nn@=Om zqv{90g?t$kwuZsg-cdO>;&LWE2ACK%*H_`B&zfJ6?x4si-T1=xAQYqw}bv7Ll6MmHg};L{igSk#l&vY zQRh_X(o#JUZT>UlFn?N++lKDk)Bey^Dk%dQ`wx==O_aExanc!c_g zS+7N(&3s&T8y)vFWn24I(fT{&QFMP*m3IzeMPCH5!Bdl2{6m752{ZxubN+9jNSSI$ z{+~u|<;A+UYv?FfaO9wZ{H6-f6sPv6&9XWFcZmRsE#Mrg{9&A_8(WfT)lEt|<=h?B z_N-H&3KRfvyJY+i?$5yPZ2^nG;q3;C!2WG-3wYuvC2WHzQk;HvC`Ub6BMhm_u8X+q ze3T-&Ect!%1hctji1xl_uJT6~`rCI$fk6w?UT=wZ`!F>ZP0`DJUivfxer)VI5a{JV z6;~xzBRh911Em}(aAx^E$fdqo=$q;1@Abc(%HCeyG`q2JtMZ>RxuE?sYL3U-y-@ci zgH|C^<63@x93WVoH$tnnxKLZ6BueuveLwTp2Xz(I5A5jdPSyCT+(uKE{mDv(WIm(G z0$8>rW88jOpNsCoYmGE8mU`w)!w?83L8cNgZoJ)=*t zEBIVFx+QvaAa?|b{*R=7j^<1yJ1DXkRbLUE7^lC(nsw+inh+`8l?_oZ-(DZv+PAp6 z^8`qv!MNeM!N8r$G)iF0^R5`_AW0GV5A{XI)RSN=^t`82wfp8nVZt;c4w{qC`tbV_ z=E)6#3W~a68HmJlWP7X;O;4_kX(V>^Fly{4QVs%6NBN{3coDCNSu}yYGpfzO=@qv2 zgxUBEo>WQK#*{C)*8YrjdE+{Z%e<@i#ifOC^O)J;lSLRTAllFyL9^Z3U@2K3J*9<< zPeU9jpH0ZiY_8Q+KpG*I=`lGe z2^RVcI{)CCmm=3~v(#DpOwWzzJ$03{Rr;6hPvaPE+aMR}=1++oo*+lOlnVXQJ7NCT z;;s4XlQmaPB8hNnv)%ry?!jJ%9V<^BBP}i@<}X@Z(qW3A;@S@upt%q4r*Y(2!)rC= zSyAgX)9|gOceO#Pb?MVwNrc=^cuDwG=KST;g(>s3nwh%$lR`!YkxB=yPUH#ZZM^2W zZbfM5!8%ta5$M^3Q%2lLgf-0Hvo;z;-5Rk3WO~~O%T;xUmjh^J@e1FSk0zTleNHY+ z{*qjfocCK_-eQDthq;9@qf0sF$SI3Y{+=1EgS=#P-nK}ra{U=XQUrA0m=`Jg-Vv;c zFj$Pr!Iu7Ok*|UcE{E^GcLl-T!gXs=j22_ld@X-3+*guMzVt}|WDbh(-V^T(Oi*y|{Mg_V#sT}UQthGN8`_WSoMEc8_Lw-_xl1?w}zKW@gL3uk&quW|lB= zRYK$#*AIcB*{Z7t26L;gKbh`39K~BR(AJP-3=a?+8Wm=d4&Ub(k6E-#MzcSY(Iphr zmmV#);$`_6t;nC3I9e>rdwh;*XpY1hfg#nQZfVC=OMLr7so9lijGzDqFD)#lXqTQ5~EUfSsd7j)~R8Pf~*rABbh_1OMTRJ4KBcpnsUQwVq{&9>krvdo{MeMsF zx*w|qfPS|erR}?K?Z+8c!<0g~2%~Z`;P5J^B#qfnY;Kt;E1-GMZc>3=R`I=cB z!o*)u+KWU@%_sLMOxwtl`_ z#I9GgweMl1%D9a+Pub^K&`tKqoM0Gv*ddjV%~5~G6X$@)IghXN`p`d}V?LtAw_164 zs$=R?5w@CPj+clr)FY+-$sE5dqwLVjR8Ka;YBqpW!&nE$N(4~G1-&7GNjLG55c)b+ zSLDc)V{g_C6chNdrjeXe*Q?l&ht0*K%;lG^74FG8L8V=$tD!e!TH6TsK%&=J#E~P) zO;Wh#SC$MZ-H;V=v24^iu2Gb6#$o+SX(Ezw{;Vhf5*f zh8;gIRGSl9yOsXQSSq$w_oJ=eZ$cM$e&57!$*~OR>{(fU{F~W4t9$jpTnO@-m;B>` z!BOMt8KcUUyVf0+;1|{F^HV#*n7jP<2v>CAPT5v(C1d5MR!(uFnckgY5NQ3u0B(8` zAFr=lX4(amtNroDrHY|(E;h7~5Oou|rQSIUk3_h(dHZ0T1;h)fpGwyZ2ynXuAJ`78e zkUCQRqk8y+nX-3V3?5ZJ9?w(#uqQ`P_$F4}Uipw=Q^zX>+!h7c;O%6BKE(vr)ilIgo`k_nKfA5UP}5|8m@ zSvDrFu|!x#*!UbVZ2i8|c?%YCVsww|iju;gONvGmh7>EJqG)#@6M<_62LL08mHhG} zv$99A(?)H^`HZPZmgP2$g{G8R9IXMV&{XCV;tH$AsP9_ne)DM(5nDOO*%9W0XqNKd zRKF>FQ~9Rk`qAy={%IMCRETGYN{DlaamYQ8V#8g#G5fCZwX*!X`K zV3=amBkH9uYl#9h;m`gaJ~Bxa)5W{SQ`XVtuhi%Plip)XaUk!5hoxeBB3VJ~olT@G z2`j`dZUUkgLvm?~xnB_*U@biY63Mtm^gfXSeL0BH990*X7d>f zUg@(>R|8azY?3%%@bwKWl$4z8KeDkW6wp`KN~fj{Og=EF9k;n(nsB{LfK)is5DN~u zCM-yQ8S& zr*h*oDwp8I-UeZJ6nk+R!*Y+fC%Cz|zj3>AGg+$ley%$Aib1yg-|(PT^-@y(KbY)h z{yQuuzV?6q$><4o+OW;;8GhOO2mQk@(FT44b)bSFd>=LJRjJog2RXW*n*@f>LWza$*@JredkYWx9t0;tljR#y>KrBr0pr=>-&rYYUYDX z7eSOM5i#b!HZ&h1ko2&P6*&qp(GlfnjuCK5E>SScHGHSU(HL4{sQj;T|`@*ep60-Ci+cspa3-|1QrY zH(3;_Cqhpcp71~6cw&uJN<7jp0J~?Hh5tajCJ#XCh*l70SW0K>x8h?Ur8iZ8Swt~5 zE%6@}Fs40jTLL?kCdg!H8sJ|fb;ks9Mg?8Ho;Q2GtSg!6#DtnWnaq=1os3Zv3;eZB z`X{!0+coh?sY^R7qkkzc;#i{=_~M8{rbX`i|1BmQYvco8>`}-x|D%W&as1JgqRBg> zeHNeh6ITRRDpxEQy*W!4m1TDWNk6u@n~MtDR1c2|8)zAMao2nDoZO-qLcGrzaSQqa zx1b4XA_8F0L^EKqTcdA0)xs~N!P++x_Nbsw)wjs_H_@*>{N}5$ETYIP!~7@0(1ww{ zbmn7em#-)J%h7*`)##lR811c)0 zD}=1pd;j96iT=GmPIY>tb36oGjU*xBPl0|08$6Op&*!ryw!-LXJp@CI#;DSG2ez=> zNkZ3AKSxh&hVe6c2vXZlxc>Mi_+=PjG&jSL=+Q zlp4ZfUO09oxv~q(Eb|#QXl#1PpAsXWhhFgSId@NnLh66GSe?N@&Bia+4$QhQ>j?=r zQtQ{nmX8S|NCCc>-gWn~4K6KecfKK+-7|GA3!0F;ypvKpBC-Ok0=5FO$;}`T^2rA& z`WHVcidkfIWTrY|0;h~tR*NTN0x^v_AJa@pnc6vgj@=f$vWWVJPK;POj!xi98CalY z(DMikkR_x@;U?FjDb7Ep-wRGWf1}{{nNCzak%CD9H#r}z^b0+K{h)vRXx@oVKJf6Z zZjsaAb_~t%l3S3`w=c*12e)lZ8-cYGpM2B|vJ7#Xum_zLToC4=fkFD() zg~A06FR2~>CmcMZb|j;YY;)o!L$)DD9r=VFd-06S5ufaU`}NGL2jKq=DZ0htNO_$k zc^%w@Qig<53K|_1+CQNAA0R4S`boOf?}IkqN9~JeB@Xx{`_!60Ui|^x{{*T0Qic4| zhX)iTu-G(nZcRHKmj0a6si`X4wwS&6MmctZB*T3ucIIQ27xdzl$P1{Nj^9h0-a4<~ zl1751VnTstVUZy4RMs4PBG5D+rRKD4D<*BQXS%&n93|_uFKeU~h?6wP zZItJ^_xh{^8OpeSis1>}H;Ony%C(HxOs__XCs`Dwk}rp5Eg#Ql{DI~F0;$LRw;C)i zI7@~`xY!S2$Ts1u6p}gdLM6X^Vg?(s{@*TaN_BCf%sUMf_m(#g5-!!LnP08ZCfosbO#-z}t*J4y)xTuhmRgi_}oyldi4sBa9d%94iV1$?3&-~<>wq*$gW)2g!OD1Izx|mbWB9XU*-Vn%B#bT z>s^=KnX6%=orH8uhaeSB-X??DVfFHQpITRb(ee5=MlDke^6>pYwl&~>ih zTUmTtOsRG~sukAw6M}&&j7=b_kxACcs{!wqBQ+M;CxqE2+Sw<3zX3=e6HwkEwSi*u z-r)TU5kFh)`B!%S1(!@f!)U|8_QDagU`cwfRk*QLIN5i}+Qd6!)_D7|Rz}KpScyH~}Qxkk#2p}g+L=Ii-O>sg~%`yy7`B>hsg5sSi_xji-)Atn7q z5*JYStvF0&vFND9+`a99Y5P&ud|3HkPM0cC zV<<5uq7%D69+N}NK5r0VdEA8r$C`3Y{K9-96xjKufs=T#|15e!;MX&pV)E=<;+A)B zcf11>1;ozx4*?(u7;dXIiVYSK)$5H=dljzp>nEtt>-^U#=@Mfb2*|0X2MP=jx+^~V zD^))J$lRj`OB@SCB123S9VB)@8sSXwr@T$_A-z*_Pj=xzD&IU_C9O zF_l(8jz=-TCsr_{4^BcUpev@6*!Y1<)44hw7Hv^JwRzhopUi}$kt_2Nk6t&qu@y!7 zBO!f60v$)P;y?M+?x+eco1S4}9rVNdpzFEp)AUqy^W*RD{oJ5lcVWJ70@M$}{u#}L zv4m~Ekcf;pF^VZ}tBa+Q{5#Baf12_TX5N&G+McMIa5iTyyPl9cHo!bKXXBI^YuUm zsy_?btf?Rxv^C~T9B(#R9dBN?KZPZMrgWC{i6lOlAE5qX?2>{LaI|;z>J?uTEx^Wu zj1EP`=L4F76RO*IUo??5C5yuTN^E}O7gx6RGZ!!rYQO|AeHPTa0wpGElcAIC1s!m< zm7?D75wKeaTj#ZT3q&^QYG4iO|N1b})YXiV;f?pwM@UmYIw^mBCOwCn7v-GgP9X6$ zYxvjNA;oUVt)xYfy(IVaK{79%$jlK9LPUDDML0oA30pxv z4wL^EyJ>)vf+;SMgad$I7imh@CYzUzki@VeHEW%I$*^KK&++Wy5s>H_PBl0W&chEU zA+fR&qW#-<(zUVDk)2u82}l^Rn4o*=+aoY}PW|vk(>?8}i^&@amSHT6VOi=sVGNA5 zf?rmw!DCpb(T_cu7}1wJ>jJ{Qts&}74_oI)Xl;7ST>|my>-u@4zFAU^vaBmdalIi{ zA(kRmB9==}VM@6K(hIw6#^3;M}Ik3JALu2)5~q$McP+R?k)Ws z!UwO&oo{)oZ2kiihoWzbbi{~8jC!FK7yd4BKOA)QIjquL=;<`OOVc7d7s<< z*6Lc~GEVqAvJMuKRLqyo35#7WC-KX(UtI9PAx^HGf-ptJH~&tI45R3w=fwHksT6l# z+fsASpi>-u4BXh`g5R{GDX8O8T3W38sG8CJ$wVjj>KSd~Hf)9b?Y~q1oz#}b%(4qk zVtb!qg*5Uf!TpGL5c!!LQncMa^4r=L&M9vVYrCNI@2t&@=H)q@>C*TUl}P`c+ip!v zmSbO$CaQDwj^c1KuXs~o!{h8T53SwUtM*Z@40QI&;nd*!{;2xzNV#e#N>Be63#*BB zZ&`H2!I&#LHYsxCFjK_K?r%o#c#V$c1+rV{q7_6LM$>C8Cu0ULiD-Y-RUw)usc+T~ z1C)8lxUy_sce|#pk#(nF>2WWTy^E^S=f0GIu~ACTPFz3Niri#PZP-YvX6nP^tcZ-| z;E!wz2XXvxVZZbI1?{fvEoa!eBCcN@TWF|U=1?`MY_Uzaf6~+2DEzC6^JWsF@#DpZ zYuICPcL7sQ((St+(A>G#e|^if0LUK^nVRIZ{{r(jHX3CaO{+YH88S$$Y6d4NGL@jn z)4yT)Qh;y3VxEsL%k&+!+^bFNS=bTr*KhYTFBwv7q9;kniivz7Qc5v$n!$;i+BT31R(B)XDFWS=U~4BX!$H&=z&S z#>0g1h7A%kYBwda=;6E5Q|oE&DDE36B(d774+ag442#i+W(=7X@idlTS^uIF$ioyK zWpJMxBy-`BV2Y2`$Al7$-p0W*n4aNY22Dp!}an83#=BR zp|uFBK!1Pa2$Wk{zS(m49#|R(^wf2V{f2PA-#EE4B|GK_G`ag0G?yGOFR-B$tC#!P zp2je#=Rfjz<7n`=yI(9PrJRuHPpM7~R%1F0gT0YWG5qOI;b&N!D{S*>8xXNF82A^{ z@pDbyEc4gHuCZ&15oN?Kv5n@aoLj$@=?3YuQ44h7OkKq3`V@rZhAaB{>-K-imM3il zBL4DOD3Q3V-dgRTPIxsT8uj*7)3vbrQ-1t2k)ElAlkW9s3dd|7sd-LWFygP21`D%} zh69Dx28FLOvm#Q#%UK`k;u-F@FQZyE7)reW)7jgajauNVI2EDS#NlRhI^-HhefPv= zC@?KbOM^(&p>&i5l$Lze{%L&%>rxaL5wS&dFI+SkM*N&0J~bGHaTE#wFZQR;WW4$T z@eXnQIQj&OFX9QVDMC|9THmO{Je{e`)svvSVow|HSe=bYm{vlk56I0D0 z2GL}Tv{jbQcVP=^+h&U`HeL1+yjXgKK~HT@%W#R(PBSv+ z?)>^B9+$@w!TW9$oZ+}VV#I6v&?3Xp&!%E8qp9$rBF;N|K4LV*`%Yzigin-r_UAl+VJE+WPu9vg=+6PbXbbsgr%!+PK1b*-S$(sag~ zWt%0LWtyd}@^tEi1gt8}d@?{&6YNclVjgwtaQ(D~)vX`#4oi>6sMq!E3tDUvx3Fse z;cN1m4XcqqmA=E*B>%mV!7aSqcbHCEV`=lJV)IZT`LC4?pOFf9|8ZxahDVw4QKkE( zJwXArBFycB-nI?8E_wutH>ScKkLBCQBBdB)0Ln5(>+@^PRn}l!KwiKoCvJpV>N&`K zEz$z`+2Rqmo%q*hoNJ?+k_9OFVJnm)>?&S>^2sd)g?~9N52g_1%R2#?yihJ!J76-)Hlf zl0XSNz*V<(+&~ng8fi|w$dOO;=3Z2h6xv;maVb^+H9*S0rD@*QpgOvKQnG#bRB92q zT3WAVa|DTB*4AH}26)#q#Dwv0(z<*N!VvkpThf(y`vQ{pvc=+oa@k)a@n^YP4~UmN zu}*|ShHOgV2qf7)iK(~$!@ptq_<6W}=3}xwvvhu3!5Pzq-yLJ&cs@$eZgzZ%N~(dU zf#<~i220xgMN3P|^KUJ^(w%*h5|TY{oFuS%u77>C%^vr=7HTztj8mTZ!oH1(!E%7d zv2|Aluz`dnxmePl>|hFh7buQae@xbEzY%x%K&rZ}~!f)pDMX5wt zsl=yAMMe=LRXNR<{t429tSfWk&U1rOg!BZKE=ah2AYbsi%Y z`HJIa!UlAZ*i6mLuV=thMy(dmM%sRnQkiygh-;7^n3Il{+fC{y;RgxZkGsw<7Wt!;r=(Akngvac^7a}#Pa7tFCmD=1)|B}9_-Q12IDy! zM6`qbOT?pdtGz}Yh`z#1qr_Ey74gA6`oN{g@Jjt7VKX;Iw$q}ES8r>>P~jq)=Uz$v z?Uy*=2Toq&1TVgBfX!BFryB{*k4n5*4f@*#AssfB^M@#zsnh)-Xqo`DS_d^lHQ`W>&+rwJQ+A1!yeIS&SLbdG|1mN_TM0M^~^;u8!PRaNy!+JWrP zC$fPg{uI7^LqEf}?w*L%P55BWVdaj>uFBB&LAnY_j$m&9N*u@t6EhK%#ZW&jS0Z|jVrGyKPi%cfrO?7R6O^Ve{wj%aM)C>EXw4yd8@h8u zaLc3(pAXGNL#J)xRrOVjKiRcbDlge$`fThYfb=q{QD!S{V~9VE&+FlzL`JFs;;uRV zj~elA-ndFZC03I~@E70TJ@LUlc=xoC;zFv^bC5Emaw`B(%^!KobhdGO!NkfWIruL zjluLuJNS<5+6cO}iZ%cVWsI+UBre~MfA{Q$<&=3-MLjZfkhxL##$irG8UAD5ABwi> zI50aetlUmv)OS1nO$>C4y=pL>nRll#ZCdLU;a_tRu)N$=$arDsAi_}5RmhEDBkmpNP2j11t?x4<`M{ZZA*j?U z4b*gICV>(OtP(h#^Z14vHhO!F;ZJshe(JD%#e6C_4X(FU3hcYJgDN`$2W5O*$Opy^ z4OId==Ut(!tET$!vY84$agd|;g&6rAxwB38wgA*|mDdg-bd9?Y2%hy@iE@Np&k@|} zY7FIVFtV-Zvap8-^8WAm~7~zT}ExWl^66WQTfZLot7ioCz2U%jmbKZ2*XiZ)XCs-5CPMT>0( zX5R7pFhX7DMv6vVR%Zt=&1*QF3qyekC&*V+<4CwP~} zxqEUUu_|ywA~$DS)SmQHJ?N43rDcXNK^}JQDB8Csu(b(hd&2GWZz~a(M`l5c7oabC z8Y@3@NGnC3-jO&ThmXFQUqo9@6d~qUvadnA-lDrre>}+hMO;k`#UJ}7Fu6uXqPB^V z{`+>0OyKw}0aR@DDv4OiNH*}<*15GHZZIsqWtCSm4vl*fa6adfjb>>Q8@G31+Jx_ z+r>e6&X?hE7ktZ*@0+%6l~O*HdLuiMIp|`^i`ZL>Yb&VdwsQ=v7|R*_W~X(VQk{B90)Vkx~DbAt1jYpn4{X_MR7bG3ykw9{)S`v6IR%su;q;gXrT zn%Z{W%_Qh)bS1uMnlMxkB3cZ;qiE#432KzstDzsW9Rj_R^iiR^OM`M)O9t z0U{Tiqd}b~c1S*I?olw+{86`WL8*{YHM1Hp1h^V7pknr*YoISDD)&A{h7$Lxrx{kpGy+C30?T=lX)*7Q6M%BT z+0i{{nz52)6zpzLoi*j=Oj5}nB9?xuKcRFd+EYjCdqnunH)Lh@=eH7>O!x$4USQrR z_S-SRnfiBkT$uhuN8@$g(SD9bK-P=1uj2*nBJ=wA5wwu0=J65fd&YP`Rg;|9ofd>{Ez%$*jB>w+?oNFr9?)CI_-lTlqiCx;&Wqdm z^ddhq0e}Y1!G-8};s=(|CY>4vWQJAlU(?-btt1HN!f_ea%7lc_O@CY|T$ctaclCRy z;)@l66HmFzmAlf;-{BviI3}ip!I?dG{y?ZPfBR@8WG{}+UjcIS8s)+%E6j9H4;sK< zxlwl&%9wP}9Vp^V@m?(aHgweiT60;E_$x`?Z~{(!>UMLgP_Ej=SS@~IK3B_ta5!N( z;`UT9)CeM5OuVCOBx&N@zkCtoDE?+8_V#S19yT`~Xezc`vqE(v2s?^5eYDc=h~T13 zjXUU=N_?xg(7l4ai%2wmQmN8K>Be(Iup5_XS`!$*NbD(DlLW2_qc&W;Y5+Y{5R zx?qPIZi6q}KHR_(PlK@O0Fh9zYS&5Y!-(uiC|nPCU0(Hz(TblKogPmMRJSuw2F55A zLvS;V6W`X5WQ7Ge%j3iA5)`gyBND}Hz21x9igfk!^H*N3p!$r{`8#(fo%Wt&_k7xF z7qjK2WV(6oXy`v?=M2Daua~+L4uO-2&w}~&7&+@Mqxgd|0W_5G#_q&ZV5~w{|Er%1 zG-qFYAeQaXbpBpCn<+CvRU;=I!VGJ}!VKtOV=&zv?7nrh>K9k#=o;`R=#K9ku5f)( zuWTV|^9En6-Fa}OE08g$ze6}a=#{tzKD7VW8Tt|!dA5coB8*PC!n_G_YE8opTDh6VR396=c?%PX^<&=!YH}js4)?#@ z4_CN`6*8=y2&Wifz>+V0PYZ8Oe`QN#&QCn(EJ_3YEUI^bis*sBAa*BFf&h{?)VFz5 zXHcbcba1r8J1H~tgt`F*q2hwdu^q7R9+0<8=r8O`jir;i`(Y2<)$ zXIy+ssN*ja(atNaN@OC<6U!s4h2_9i#zDgnN=DpA;)=^d{>Yo9?ylx>)?W>x!QO~XWS37 z?+mN#nOn2x01T}KbB9cxhOGV{MG!~5fla#`(g516AZmV?lBfU?EVv5|q~iD;D4*dh z17_GdVY{{Zz5r?m`B&g*+L*45-7bBEzfTs_b~?syE8A@uZfjN$Ta^93Fc3Z1(E8*qiG&YoTFs7!c|ULFsd;aPb$JnVU6n_?h3KWU&PGqErRHhwFm7 zOYSKpvw!lbxMpOY48$lX&`~=~8747+#sMqh1bS_Epo364N={ z`4AYg`!FeAe@{wa=^U5=eC6@bTf=6>AwempRCF-2e`@kg(=I1En7vhYxtmi4qOtEE zyIT`BP9UasEnq*s^D(e}x?DRjleK3Xc`YuS^F_P-+~s?p`^qFCQ#Sszp#aY3_k!O! ziSE6bcQC$JzGzphSdtb~V)3t=L$Hi*KK@>ra=Gc(bb@uW40zVol#IwteplnF<{RXK zcdxs)5C$Q7=p!k?@6k9(7Gg^t7c<@uikn+u^oPueGu_s7;i4nxul%Gd0<@hdrhcW& ziBR8a<%+Z4@^2obgbxbmIWX8Z6n56N)kUDG>bw@yQ>fY3 z?I}~qxcH``PL#?7AqyRQMM%2vc(V9m{Cxy7qg0+Z)o1Lo_p}#?B*D8f(E%J+4)lJ) zYq3JM-vyIhy-UI*}L1q^n=;qQtSBI-0Er;AE#J z`uyV}F$Y+0Z`IyeDGyF5@+^kh3-c7N**o*phvXxCGI3la$%h;5$$3(*r#OB$`4Y~( zEu0-)uvW`Ka$H!8O)_=k;mwnZJmq55s56CR#YSIrG4Qrs&6~PgG|@$^FVUkJn`FU$ zveT+jWpdlf2`qn*v^KB8I`Ec888q;ATa`4v>Mpy`%865<;rxQ~RMpC9T>gs65~w_% zgGHp?OhPieXfMT+YB~kCVmm3+v0`hug6p0lV4!fs5KdA)tEUcLNZ)ukIR$V zHmNe;>RhmpP*qM(E*-%t<}4eL$wV$60b^ZCj;7!Cz~ren$rnzl+G224?3*d^D8B-& z8kblqa5zgufS8v)Va*!NCq~mlJp_1Cd8Wt*-ipZ!T-{5o>RLI4Dm2h^x22{&^jUEs zv1%mySU%!F%vq%`(N8EWnw@Eni>E%UAYkyVpDOHnnZp&GWO&M+ou@uIKirDVw+PkB z$ypI`0VlpIx@uWHuI)@ssih@Zh?&f>a#B+SCajq#2`5x-W_vMM>T}&Mzzj}J53Z}b z(j4-{djP;McdGl70&}n9>b~U8b=QaR7rP6^MO#e94Z3O-W=B~-ehN&g-me3Hn2Cd< zU2{DW{B5&A7MwE{zIq0l{ZNxzG*6Kn52PDY#}m7nC%25yY-bg6O40jbuFGY%U*jP{ z4Q`}!D>59ljX3jPzu6kUa8^IawF`Afs@lw;kTbD9!Xx20Jde+{8@?s%1vqIz8!iLR zOk7!ujEgNxcd3zi00%blTo!lw@Q zXlO5FU~>?r`OetQTP9mho_enW+WV$pLjoB?eemM5TWRR_YD(*D>MG|T;_ZT5rq~1; zp^WK|tk#+N?A+Z3MNqDqVpMJ%Go$54fwo$vc~E&&Zh*ZKM{gU(fVe(F`WWM$b>_(S zfVKo-@BI8m&oK{_3n`&PSl>Hp)DAo5v%^!O3(n6C=FKvA?q8pv6ZbS^Sy7dI~UD!}$s#F|*soNh8`A1C&e2&ah+tj-!bzi&5|W@W9(; zrFT|N!b*+=y{}8cqPJ>miJBf?180zi?d@^*Jv*faFFLvhh=~emqb#y&RWzc5>lp_e>w&Utz2KNSoQ=&WYjt&q0zHgR4%&YStZK9Xt^Cyr&u0hNOk;4LrC@^|^! zE=zt`<_59(N1hUNJ=B=LD}Vn%;8*ZlvN!N9mowGf>FuFbOj(aj{i#P)lYvj{+{m+b z*=vn8+^|Z5cGYWa=AhKwamF*RecK>B{|>Y}uDC>jAMj=IO7}b9IV|nL%-=f)}2)S-b=9;yx8;10yj z(2yg8xM2Ub)a-C}!6sA=2)3y&J@X^{KWw~rG~0jlKVH-*MNw3hRIJ)ntrm84>iM64tdzTThj_~Une=RA}1eBS3Bk9%L| z^}P3<*DJC5?j4o-?2ohNYTu^-yrmhn(4Qf%@f%?^y}MPBC)Y#sL*lfGDs(w=9Y_FvGgsn7fesMD4d@+uz@`S9M=VArn>-VY6eI~lfaf0TAm;J z1=B`v!BcH^GCK9hLxMrkXDB$2o*u!p*{@#$Y7Vz@6P_ZYb5auuwDzhuuLy>XAGhT) zLh&+>gHHoKoy~AE-^ep5sqE!{IaX^sS4pf9;Mb}S{!bffLxR9Svnr80b6GSPxagcN zPLy>i7pASOnVV|U-x#BCAaV~3)UL7wX?B_8yFQ2MaKVaI4#)}Ivl;-n5KpHb=@JmY zb#19LBP?#h`7YA*L+ZzI^GJoGKXQaYWJ_MEzKqAfBjf$7r-F1L6YR*}=2>0wWL7J1 z(fzNU+S?<3;3CA~h+ZsUm%- zl~d8*!o-yUkDXbZhl?QZY9D{&?$K|AV!|S z^qIgT=BFM^Sgm`65wO^>p5ut-S!Bb-NvwdcQI-25mS7-DRrQ@D)@D9fJ*RgkKWU5+ zR>)~ifB@iJsnOoV!mA`cfM2Bd0hW%W4B*Cz-e(q6uf5U1PvjCI*@J;}&>_A3zu#fn zi1rVu19vsSkO_2Qe{SR`%Z@9-|buS z`-c?4y-1R@(ojdv^7oZO;iDiNdoZgUD`i;sfV!Vb}BVzqQYU2>qO zH7Tu=GK$5Ey!Lqt6dl&W*iU3w4QgmMl}aNb3GaD|2hjHTLhGw3Cjqd zt~mTD`2>2_6B2`$O7`tr7|$`zIl()jEguWZT&d`kY@TifJ(F|G>i?=^5d2;DIXa?a zpSB3~G81+vwGRG%JNwL{wPo;kd-3J!@t1qCE{+#pH77^e5*oM;KeIZ_pOz*cyO4*# z9=a2y$|N76E=Dm1xduUx%qa%HJk zGE8T2k!K@oxti6y5%dhTk<&j^ky28SUOmZkD?4N=@Oo#s>b79?k*Eqi-q`CR_|wfk zTP3Hh5 zM0((7Nca<{xSA{D(A4NOO3uc&$))<1rK~z1%d<>aJ`yBI#VMZVF8RMFUa^`}#Xm(q zH5GPPZg4icvQ(7{V`kjyYK`kbIpYg*%`R0pD7Zq4ZjMWhnnH*Q%9?-A^l74Zw-KrlYhAqQb(U^A-Zk6wC0YVrL( z_QbZzM3TPrPd!s9aJn{3jCY)W3iH6+{7B8-0i51O(jWS%m#%M>n~*A|08W3fp31Xc z#*2CKe=|Iqa6rSqR{o~hVZ`Hx>jL*u&ZvpWW;_CA61N^1{rtb;4|d@=Cs^75={mgG`hO!L zj#vI)Sqr%{1h)SPe&f!Sk@(*@;l8G4jM|ig|Hj$g{zT%h3uoTq{vW3Q0Gzos-XU>I z_hGT|f7Wuy7Qir<|Ksv{&wt}T4oUIO|3ml>7D+$d=LpdB1Ml`0^6IEZ0~!Z$XT^JG1}G%u!oEFXe3Mg8Qj$)^ zJzI@B9&^4;5}l>eEoM?~S|76>h<;KKL7zK`RCbA4HG$Ak)q*bvNs(_79B_G^R>WDQ z?`#rimK|g8?>BDZzcuAW02jg(ht)36C&3hgWQ?`lw48`;K61w4Z&0T978zi2zanqAB@8(8{;DjVs?bWNr*Mm(h55=?&#;5>OpS;hno z@^lUlm`ARrOGbByLobBK&tC|5KXgm--sv04k1@{D@Q+3ggA*QKjKewFn90(FxwgX~ zLJn>a!;5R}I&kf{LIoY}Na@MR=G=6MZq2FC&ob&~k+1*{TA= zgfr{n&oHi)5+=XOn@vNV@94Xg6Jk_W~Wtlm^0d3+vkR6zH`Fuc>+LUvEy zNBkhP(<-$4i9a-``w2m*4(enkvZ3+G>+=BThi@s$oPYP@+qgVFjIY?OwGXDAmHw$i zmumo98YU4JDY`iNwUL3;JhnKh8cAjG8^6b+(i5?L8_nIX&8Y)ARca~Jx@MsPxx#4) z2t&ID+0s84?()GsnhFXsCbD6Kar|990}4?`zzz>DR-&x`aT=5^m2mzCrU1A77|}E6 z{|T=(ss&xUU_DY|5WZjyz)`BCfZi9q^ul{)BZ%kL^g_DL{ZrG>OaT4xe(U}M94{!1 zDj%dr48{Dw9d*SF03ri{ft~GN2LT`E;z68kY-E+Z@pK4%ps2z*@B1ec^HMm^$19uE zMTp{biljf|seZeh$IusV_V5hK{TY~k7*~@>@CB0EEQ~cA-gcQv->fzyRZMdk>nJ;6 zBn1+4Fo1#KE(pAX>Ga_V|9t*3jKS7`W<`)D9%26A09_sF5jDujX%3yRA0nq0HT6yy zt^YAg&FrIjDBUNIiTE+WjOj=-;&Xqgw9{MW++=Tmp)}^gd`=6*eL{Ib5HIz7up!~4 zpB!Nwcgx4!*p2NZA)ld9Bq!Pm&O=zi`4ReXtUf$`o<5>};z1Id&p79^Ll}IjC6gE- z27Q^THd@DTFp$B#$WsY#)|2@8^Hhaqsiw%~+YF78cqVY{JbhayPir(9Q(w`!JlS{- zpzFmxnlTs2V{FSNyg7*J%Fu+%k`DsaKi>n=m@b zNr-vkq2@24624=_6~Xp>D=3gY$T_>s`V z#!;TeVA;t(esYnp8-9*;$h*(o{2tT@{2iFC)WLF+PbuW}#q@GCy#^1f^@p2>e~GP! z;xf8;2Jx0c7NcB;!e*y4iw+x0TPjQO&t|+6K}aA6%X5G6Us@=Od2RalEhe*M>zVc5q){#ou^C$wzlaz%Bdwr0Xkw= z&{t6#TC-0gp+~V)W%)wd0cLujY_2U@xiT_B!nh(q469L?uQOR0cOqS><6*0wgde}a z3qMEYBCyx>zl(gu;rUuujjbH*=pO_LVpR4Xa}Jz=J-xbw|280LnWqnC1VzpB9)7ey zm=z-Q&tUq7W7s_SGPp!@kXsk7+bNaYPA4KQFDC|}iAG0N5x-;3b=D3hL_LIxJLsr~ zEvOvFK=+Jjm{kygk;>hC{y@s{V|C33B*x(VCv+c$8rxD)%xD>%uVY|pK^)taRn0tPi%G1vpOuflpD<{IvnQISFo~x_iJl$sc`#m* z5HSGr7bn<-s?LXWp-nmjsJ9QSI#I`1er4U=9)(U+8iEee>+Y>`*b`!c>YBt?De?qg z4LUn*gA{|Af-W>eery{dhxJ9jNe!m|MI7=GmcUqOm=4TW@ zq=G~Q3o;gjLYfij-5my#x+aG0krduwk$sK95#LGR6IwBIHtu^#---E$@3THKRQj(^QR4W298P48B6BG@XSs!b*wP=ML|W(qoHys zYKMa*iv8fm+bh)7%s$xh*7$pG#B!MCP;l$*l{uH}Gg9(6VY|Tgsy}|Bt)izMPYzcU#QQ8W~HV(XGgJkb8A@OcYkBTEr={9RnqfoC&2et zq<_JS}7K!*YVvA`n=VZofEpk%hPUTa zM;d)+)U6tAYYRTiJ6}8PN6}7=nrf)VMvQ#(U^BCo(oyR`zZWx_vx<2(67Wz}b zbTp+1aihgcOU-nsE}$WVv3hi68Y!jxt>l`Oi~pk5%+z1d#`d-5*I%p7RMx6n?*niG zhg^AV%Sch2K!MC*d+*6hDgR_2hvq}K=0kd^h!TQSx1NETS*!wg9$p(D1FN0^i5z)~ z9jNJUDR3Rz2RAJJTyelU#3^LB_a}un6V790VkKFjQu78IHw|7{x$NO7>g2^T1EB(* z<8QI4`~|YG#2ZciH9(ELx3`xd$$U9s2A(`(Q_%82v&%?H54+YfgcQd%A20L zkv<{_oknEVCwmXpd$V?l>n_@t7oH__eYF?W;z#x|mr%5&QXvjjn z-1Ink7bfo1kag@OcgWUzR%JOD;%1HGa#&Sz{J=akHmpKi4qJpAwGx)?t$q586p97J zMQ{sCBX(V+_V}^TxYoBcd{HHQwd&b^N9-w|uo$Y)WN6+x$S}CyEzwp2@0ggG!PEXk zm8&73LDup`oEY2p1d92C+ymS!Q<1mCfq{8oE*Yk#H9)v^@JUHjr;oXN#GQlWWmt zqqdA-YdT7Jd(b!{WNU3Qb~&QSlY=hvDaqe`cSHHF5Avq4l{*L@Y8HCcZQGE?MZ|dLT~ng%V;~zDDWB z7u0#YuI)weqCXikn-zW{yiar8yRkp|ea`=^e?cx9T8`aGwk zg3P;l9q+$tXDjB_0cdpUrxAL><&Bxdv`3;5FIIcuN4;U3Vi7FunQh(R&kE+)S)% zvpC9ZJ$mr%%TigEutAulbu0Tp9Cp=?4_(BI_wYaDpmBHx(~^r@{=r3 zWag7(_19NR4F^x}dK=4YtL0@VoQK|*r$1c@?(M8C=a13YZEX^68{4$z9)^pL8JE&Z zF&_uZV#-1aYSUFkFKbv$h}R8vIqUfeG~H37J6#X{-Pvzic`m|0wbx+V*|@lxC=yU{dEuxeD{? zw%VUghA)lh8^yetPX73(NA;6i*=>!&A_&^vfKC;%_ca)#IqRUTAdkIG>OlFhz|7kn z{kdZmBlL2D!}_5Yr@R=N1N<@BW_$fPDuDUqgL(_LPE=sQc6R8P--nran_z(3!BkKM zW{-PrDPA*Re%!xP^$IMY74?e858wkiwTS@`t;4FIE9Avbc#^V%hk{sFRGwYK*5VgbvoQnsxr@;E;J5tS!vat`e;0!%4jE!{Z&_ zHPI%-)QI&4w3bbHA;sA!cj~&{HPp!+bYZ?NlyHI*oF363?uD5Xo;q$JA3{q;E)lXO z%ckD8B?n%ea8@DkPI9Tikq^Ueko-<?e^2ui$%>2lOgE3VAY zi$1yzxcEa1%D5N}V`&1DO?()Lc9`w6#2Ya1x$6M-&}mzV?6Vdy=+G%iX_`ce61gxb z1A0-C@Kh$emhSnxlykwdK_K$`=U|0ML0(W)@|Wm+VeeJLe;4~4Jd^d1=U~FYAksCW zHo?+yi(*_BYKuyr`EW~sl8bY5Hy-H!`@X;NLX@!x;w9}Wx%fFe^uW17r!0_16)8noXm*hH#=%kFl z4yE(LV{c=OHBS{u9H<2=nbEd(rnaBQ*7KWk*)eM+9_|zMRhC=9c3p<;DCtBwtjNVpD-L@$T&#U*wtz+&WjfVTU zC-L-0B4~DGobu6gz=m2_7ycM@83rJO2`P2W09edwJtGt!9NaYxuTD~?MNWgy;1hw* zfpdL_H~0N!LG)e^!sq*Rs9VN=XL?qDV^m-}7Ymm5RP)$K7MJHoR=uW1Gq~Vso^p9k zVX>(7?|@z3)|8B3sCG;Vo|4Z2%1Ivhy0459Wee|Jb;qQJU5pIXG}4_kqzIEeLpi{J zI+x`=v(PuV!0o^3XL=SN^gY7uYY|(+$c%b10c^&~JqLx;BQ z3v@YZxr>w1*7^*0)7H&F2T{9Zs|f;iE@~k-qw)J{T%^~Cvgx?KlEo8Y$(~2V z-74~J*u{&sYYyfFAxG^i#f`@^ys*5~$6ZOVWY+NU{tS#H3}(SSC(9M~9=x&CnLgw7 zcI}JDH>IM|@p#u5mSzvO8%3GpdN3-}$$Rx8$C_=Pgmi;dIM;R#67L{K2zAs}D8c_& zs&&_#mYW+r$-p%#U~09*9#bUo@i)rq7!P8WXT^yuJOQdDBOa}QMyzPJ zjORn4#~H3+exI#lUjVNvvay3ci`{QkUjf$9bKSKhE!ZAd8w|Q>|w)@Aoc?` zlTq7AwyFh3JugPx^JXnv0opT8;mq1EN;-~@J|tBQ{~KpnzK46k_wLQWh-~b#!7k@$ zjdG595q+)CuVrzr(WHc8S#5^Lb(T#}`^Q;+Ydr5mCw$f4BrC;^d=|dN7IwaIE<9Pi zl4v5mhE7wwJhCXFz2q$76gXo^ptc=nYR~)(RhB4aFESiA`hs`w0C1+rC003WZ; zmY3*q;#?oeCz_1n4S}+)Lf|E3YO-X`&ujRmbQY{SQmlJcxVQc4#7V1l>}mnqVk%XP zbTMMJOKnyZs48fj|Ac#KIJ9foQQNx&8vNx8jSp1UE(SC9_vF~ZhTZ6pABNP5-7n$# zQxFFMez?Q7tDWh~R~qbK5G!8a@Bb3zH!78Qv%a92WzUTzPtqbD`T%In37@u96)lRa z_35)R1j2Z@(3U}(z@N1~rrPB$BHamnBlo2%WfQA<%{{g@vBWQ^3vCKOSgp^YkssGi zD$BBLGWTzo<@?EvbQW>#*e85DiFW2It!VD$iw6zj{B}7O(t~|t(>dDjU3;u!E6^s~ zcAtBjSrkFa{2~j8Zs)l8mgnddk*q2KoD}N=pib9_IG0zboX4YGUt@#VAB(2kh1HT> z&KjIC*}iw3L`jXw) zVe1~@mHX!b!v{bR$8Y`hn;Y)_@FI-x%;aHO7=i!9Dsm)X5-*dut9Z+_Y{8IzjA72)BW)JhEtnCk zPIsIv?3bNXcj@Ja34YDYzf*eykj$S~#aa-uj)%sCAHozThdZ3Z4b5K~OPckCcE6H8 zlzX42z1;Bs)p$SbsX?rBxYv>m=VmPJ?rewilJZ8v+u{2g(8gUEvfifI%hS@4N)UB+ zrBgWDlhzd9vI3-D?pc-X9neq~KBxmWzdt%*MmS%2Hd=#0aZs@ufXb^kn)QTHRWNg+qy!nSB!^dyt;YmI4fcm;aI<~E4*{K$~6pYlv;&EdaJp+u`mg}-nkW!GaagvD3> z{@(9;7uWDNR;T|&4x06`{!iq`Ppv;D(FA6^(ketBMTLK96vSw>r7}h6dSxU%G45Ce zW<4^S^pu%mfBMT*(@y_G$zpDP(ruBCou4(G7)h{OZ|E&QWC%CwiaUmnOhM%c@nX2~+6b@RX&(Sfk8_~ZN={H}(SD80vkbHWc7`PwvtH3$v3?Fy(U z{+#gLKE9Y=5RFR|kx4}x-&ZBBT z(5+yY{P>kEhb0$;`&{5XAug&VYc9N5KW?1<$g`)#CX20O4~5ZKh|fsM1SQ0dnwGWE zA?H^In)T&k)(HD{#tbpUxoWC123gQ>onI=)i~g+iN;n)f?YRD2)37z*p}gtG1$OYn zYE`p7?Uoe3)Q7KKtz_zCp9A=jme5WBAZ|nh2I4H(SU2{A>;4NmZo?_Pbx1ipzoyZ0 z+P|?Cc76ze^LIQ>2BVo#K9F-w9e4%?s1}$Vc9SefFbaqIK#94{Ggiv{Fgmga;U2iZ zuDPSEm_GG7iecJr;YZcs)RDi1)xA8ZKYVBt80s=$@osp0v2!`Uq>OSwd1A0J+W7EL zncvl0zE-jAc&8%8N$AI~UJ7f2NbxrqJ41-3G5b02;_;_({exGc`)blkArZ_!tRtO+>QvGc&q}F;EuKd%A{a=r-lvaGw7~I0Ivd~P zJ6(>ivCfZ~U6^SfNVP;f3ZGu#Di|HHK}Y;-&q1;N=lAr;*XUp}2dE0bQE=43 zJurV&a7x)B9eNolI(d2z!GE{oXFnN}G$_-lLYWbUiI5pK^(;;~yRvX(Wm{skCJ6-S zi9v}dFs-_FO!hr4xLTn)_2*GeSc(f@zdBvRy3=O#%v5H@ww=301Nx=F5NO0K8mn}O zZAZp}NBWB}7m!RQSEc%qZ^DzxIM1V(mgcOBE01MX*X?dLGD0w}*&eoiq00|M|5&@a z76-{PsFWGed)<>~J_4FY=cR8(<^{K8jO&*?>$p8$AR;RpHgCopbpS~~w!b`qybu&w zc8ji2qVYWBVW@}8@Zq}`ma20}F>*S87bRpZT|!Ae(ll!<7Ey<5FUaz9wb9}lbAZps zuQlgK54S1GVRManLbuGXDK~geH?qwk7i9C9LTJ%5jhk~nJ<&gM9FAXyweGQ*KC<0$ zI)3f0I#8wk-y}?Cuh#xFc`~AT?LWb<5UGILu-4HAZxuGl40VO{kkQMwvdhyMln&|t zGH}!L<}q|MIp`!%6hjiJ>I*?>(6 zkkQb({9$`>zI3%K5Y^l`vk!NV$iaVUsAC9Q_V*N-_LA~AyYe1YS!-5oN7;cvg1;Mc zh?4q3Qx6s>gRP1t`$EgP7t?QbWc!`)=Bb;;+x%PsNcmT-g$^j?11UecD-CJ!3E-!s zM^Y{-zai=F@o#OR$V!gxRztN=RutUtbtX#np~oS&usrB~kTIf?163M)@D_Gxd z^xLpF7=QoJUfbQEHi9;Gxm!)jrDp9pj(m+0So{?-Jgg>UCI+62Ae0Q zY|kCIgqE(kTtLWCn+a;BC&5Jsg|>27N8Safm@@zHQD|Zt!^qEYmEeM$w=@`8$VP&~ zTLhImqC5&JA>8R^cUr6~nLm17-Tb(zW5&A7A5h-IUgQn*JTNLk%fgai&|&S4r~7lU zWy9Y7rE7r~&~nT3GSt$^Ju~tnJWt+n9N<#82`Nl!Rpj@XuOQh2nD)kD(+or$y)-e- z*M9v_;lio-p#s}c=J6;ujTZ~RAuAuyT-X%2^QN^Uw3!Zvr~Sp%~I zmo%kTmCCiG@vF@Cd*5bYHz*)1qhDn&(MAF8p7RzN1L{%Gfr=cW*-pRs1F(p=2rgSW z>o5KSzfUjOic%Zf4A1VBxfFY;vg|b{q9r$Wl(>+UWGm%ASm6k&O`4hH{ULeeDLemU z$XkBiv?*(*ko-94rn0yL(?u`ypNeZNtaBCQ|*frNi5ZDPn_JI2s|6 z$^dz&d%ylwT6}C)FI|}a{p_8!!gNe4^`YInGl>O;Wux|0YwWvLbyD^bewx8o`ku{ zBk3fqFcedSj;?9rE5=|@keO}Ld2d%m0fU9{6-P1BLr59zC80q0EtA(uZhx22pguJ> z{N6FG(+D)l1ImP*YvLhaA!ya<6*bkRsi%jOs+j7HH`T>b^oI}sdyssL)9TEdU>bZd zku6L-e-f|!xl<1G>jIVMV6GE#95sk(f9bDEGJ?c5$a2`+o;H_3|6piW45lYNo_%;8 zbzM{>B!q!{p1=@(Q#Qzflas;wpNONAZ_~~oMtKrGsbmBrGf;&+)7q5fK8FjF_#su7 zL5(*{pM}4dOphzWr^EB_&~lQ+zOPq0ij^9<*FF?;G%`PqD#5G{=0pKRp&a4wV2nYf zfRl^i*~#Y@*Gs}lH%X$zKTf_0yLX&?^@({-zGEufSIRU<&-Q9m$G8VyP2>&ezb+7( zO~fs)gNXhZb>zWis_KDYS5GX-Udv2z>{*B6LWllg#zKex`8*bT{)oBu*KwzUX$OFM-|iut z=5xUODR93^o?ySB@0jZPnMME5NmAVcS&OMqa{K zojxtH-Jj*OhbDepd3ofZ4gr77Qb3$swN*044z*g` zc0VCGI$t>jlY&|@Vcd4K(@Y)xze6?p8ozHQPBP|6!pEJ5#m1GB4S?3I;oR$PhmU}` z$v#Elsn;glQvPWPs%*J7Px(Yv^d#YL3LkTe)HcYC_h_m-06Ov*MAq&zbY$z?2yPea zc1+4(89uI%HFcVq>77XNfNQJY5Zf6Y58BGneh+?wvb^GJ$t|*??_5ywrMenkCZKvV z@g@y21oWtW&5ez;$zY)=7y;*fUU3(`bsq*^d;O9d+Ypeh-x2k*!Lmh&ZREL}gRF7y zexPOSN{%G_^*_!F0(HBH_^cyC;M2sERnS6jpFwd_m2X!3BJdy}FD+=Urt8T$234zD=HEA@dXX(=d>kd70#l&QKHT)4BrAw>GJ;J0Au z?=1$!=4!Huml>5K2$wCD8+R{rD6j5jaw?DRWnR!s*SE6wzhbOqKX*-2?(K*R$Vh)l zY+QflJ!(RFhWc}2=K3uaLo0g+J!85WgR_f}CjqzrYQXJUGAjw!wfEz8jrt$~=PR=0 zmU{g`5^j&hiH+yi=>s)?DH{~Ky5?$Mtr$typZFu4IH^~Wp)W8lkyxkenV~-t@PNB~ zc=oJMX3u4<$rNN3gTMRcz+K+cUTj=4`5{nF4z@Ozpkj5IJSy3 zDE_^H&~8bhJDbd^p8=VZfIo6*73+rK({2aNNx~OB`o+e{$%ep@!B1kQTU(jhtO9Hm zySFcDNWzJ3Ib!2g?2mv>18HL8Z}SVZZyb7^U7tD4#M|DXVsK?=l$dEbyCIPF=M2o( zs|DI21zZNjd-rlON_wi(9vSmD8gm!!@vUH8vk#4c2eVxU#ReL;6K_j@PS+289mKsp z^-dqSFaSSuNkcL5m8G6I*DbJe;$&pM7(AUYBW4P=AjOw?T}5cWJc$(>k1=`x^!$@4 zHhytlO6uP`L*V==No?HAD5K72LOe^T1uOfMy9FW3%h%ExZoQ$vx||t=9LTz$_J20-dmLupN=<7?;R^#`!{So8k7?RyDCu1Dv|4)cSdH6I+X$eO!bPU7nXf_e$COH?8R<{g|8V8&b-@uFMmc!-lwSEjL|o z1C~FNFN@fHLJl|Pr&$L#qB2mSQ8R1G32e)?P_b!S*=eu{MVxp|KC8t>0@h66?KWra z0D2%>bQ!VVhO=Gc(3zA7(SX)x9>~kcM?oK~kMm*Ko4qT#*gV|dMOoD9vu6CZ>535X z;m&1RfRukrrjpgGg&O~ywbbGE9WI(JHZ>N{gM?Z%)`G4Fgqn~MR-;OvG zL8MT7QrNOM1XuX8pi;s&(~~{Zc*~-WvvTt7Njl-I?Yht*Le0)RZCJw(9I2YW+WT|o z1`G6KgaV!|;`$-rE!g-!lI$62PWF(^w8e|hUnZ#$j-mAABNFno3r*y<=${ktMfaQ5rW!yz(?uV+4@k)eT$2Cti5YDwp(^MfzqsLoQ0qEvMpG95k-ALCRJCk zdXZ5_w*B>KWESya#7#pExA*ebKLXWoJ7qEuBy^{zZG4lC_rl0ApTGky6)LnHi>dbjougV_3`1ekBu>@z4Y>0(1$qb6#0~!&9x6i_r0FD#{L65 zyDCzu%y)S6$~BIxxY}9L^h6D&YDu=3mHF7&&S5oMH-zh&dVZW5_WFXXhamHovmI8| zQH{;ByKBT!{oFOv+&Hg)V>sEA%N${&-G@H!&9lJ_%!+0BpsSd1eQ2~+c&&-F*{!W?p=G9 z7gzl6EFr_#nE70=rK$r8Z1;ZJy|x?>=O`NKF7^%b$_}TGS8&08Rwc+7_C{;%)}$F; zYsra|SsI&@WgiMiN3zBvoJD@BW~#9{{k%74*`&ZhKYC_w=4Cb?bNsRs_Mhr|1w;18 z7;24)<26D~No3c(Sj!gnYmYv}Y5aT9BKCl@qOvd z$9iJn8e97>vQ74)U_7^T;jD3%Zo-yQ3H%o3?>Nh8f#p?fz3RL&n{WTUamxX94mZ^O ze&0@OKGSzGtFn$q*zTN-7wml-KM!BU#f>R~IG9aK6;|zSR-JEgyn47vUN4#w&gz@} zC0a-BANMxFb0U8m&o`i!Bm-m6pWektP8hm10z0D85D|qd0-qgVaQgTgqOJsvk4pd|G$Mn8?q^x+PMZ z{X8|9;od}Bwg{VV@5!AN05VziER~3S={pvbj17adIL$@ zN0_pRXf~>&m5+b`^HeD!C_zqjcfwHaau&spP4eKj5?}a+WXAL78pIdwN3Jni?=2t zf^*?OKfs*ia#`yvSYw0P0{T9QCm<)Su^#+u?ktlH%*|Pd@Vh>FB#D2qr0mB$*LYv} zrNedNJLPa7XN@msu;k|SpvD|2u`TJKU%192baTMSQ=y$a4|zO1Y46)g zwpC&oAsOC8pEdF zyiAC{6m13ixv>26Y$-XID2Yb?Iq*VzujV6Q=mT2M0pXX;AMdB;)6W9UpO%^Omfew; zexRZtE&ZTG`du{A`jzx#f0EbbjZhtx3kT~MV|xFKRKqT`cnff{Kf3v1zd8q5HYS3V zs+4vpyH;C>inEP|tz1V0kFgbc^DNw+G=v{={~k0^i0`1MI2YSoh~V9KTdN=2{4}lQ z9l1E*kfqz-a&;FPQv*#3`k_UGa-J{|RPLi=>+(K%Mx`4q*8&5C@J8)Vh~%W`Pl)KGs1Cxi zQV^AX`Ujvy%jdiw9;`U|`DX-p(&1->@}z@K2S(t+p6g{yE_Yw%jkoq+W#8JnSzqpb z^8DD_Kd&NG1F?;QXww~60t@fjYd=b9q_U|wC zT&y184!A!lU|%g>{PHjMO;abgXzUfc1bL)a|jU0fcG$wN~=?Q^D`PI-!A>kl!Mg7o=O%qhfpI?WzqewzyfRwj&~qIFf4P zLg;L{?sOBw_;Z|PkYv@K{q3RJN=gw$LYh-Z^rN85#5jszeLAn z#IDZ4%g5DhUmEPgc_Olu{mosK0-cltPD|%I436*}9Rq^qzow&UC0IVgQSsxQptUUr zo{AnwSlN`7K(jN2ms8%&rux%K+WOr#FnhtVSO~9BOo1j@n{8)7rP$3w&c)o^)yn& zt_KfcO4=dAu@|p?5b$FGecrFhU@*4ZY%{yWy zBOE(aYep=4UcW1W^Zm}q9WrZ3)FZ24K4bms22bBX`0K}uXomX8bnPxFr3RsdUNY;ZHVf^GYTRH0Ij zL&E>47L?mhZT8y@Zhano%geXT+xJ;z`uo24rE39R`#Lw=v-8o-svBLfGWxr(wrE` zP>>kNjuwXLg)W=`%3S|JI2UG%bWH^8`{QQ0DYSr zOc^%`1R%$O0F-uIAj_OY+eH`)&Jw4DI|I`st^ndFeF!{plfEE+lWmSskI9{Lj=zn* zO&AvHJH_ADI=(paH!TElMGpS6&pz(|`}ouNj5MYTzmi7`&@EOVrFQp@&+RT2wb^^7 zL|1=c5=q7L>g(e)VlwP~ou1tLIR+_e->&H_3i|nr!EPGjd74;nJfJf%3Grkjswar_ zqlU$ZIbb7&2?fr^P+*E)b)wt++}0oah542w5w@}y<68<_&^bQdgy|mCg)PGDMwM~R zqTShjqd9b~aSfI#k?7rvfvb#$(ria8q#k3`-Z#H1{QH@kFOrQnX!fpI4WR_BG?P0E zMy)J5Y>nwOiM`<(%Kr3|d;I(An>-1|!b_Jgu^l;*I!sXSboiN%^COVOcHUxa3@3A- z0{co6nq-1(WJ@<&C@Jnffsrn=g&Et>#1O8({Of0vzI3N8mHiZC3y`3ROzZFL!l*v# zJe5Vp?sVxHoQ_I%k_l}+sI4H@7=+@4E@wiLG9QjVVcpL5Ycb-1tX+z+z&Agq8@B08 zO4lp>sJ^yr#0rm<M` zt_CS@Y#Up622=)dY;zZWFlW>fH#L320eE9i;AL0 zmpniGtUW7sMUT!8xZq z7?v!1_8#xj^X{Y{`j&Rj870>Zs!o}|5;hV!6s>bN$~G6R{HhuqKCg9ybE{Jq%$X9Z zt%+70WIuQl`<`jfQv+H|s39wW;NbqTPxyT*Vc# z=E0f}rAn4uWlz3&u;xgq(jhCpjxvoRTSqIUMp>45<`zXw6&))**st;<%N?w7QPylJ zRUO4mo#ifPYSh22q~qEDMS>=MJ230_e?XRi+OQSy&D z{>Ei10$*XJlP$*vM|W^4WZ2l9$8X9{F2U%~hHD?r43G87mG11fgY}-Zo3`CpJ4WWm z)TUtrbJR3obkVL?lY*Nqw6$P@(aRQ8(OUZ=jC1kS*qzypv1LmV!yFrNOJS39jm^a8 zV(vNdKKGo!Kpef9XzACM>Ty+$g8uM$*jac#%)g8!Hjo{0g&)2On+BMk`BCG-t)cgE zDrO*R-{z~$+SItx)VPqg>uah!a27zOq$Q$MBT?%oC z@fQAdpkLWiX_uC^xt}UFvPcGGICOi_k4?u-z2+6#I)~B^oN8c^ypJ=G(0o2A@uUTX z-$KSW>@AdBn|*9xDOCdsuNlx!N>ZH*_NoKzb35dB)V=R55ib9`Gz~3in7_Yasi&1I zcu>RnF!bu{VL`9mGYi{AFc&CZ*VWj(hiT!OEZj(Lg{KPB zaO-x|;5JynD6zx=_qYX=_{ z&cC+ig%&KIuQ+1R2y*Cgg|=2H7Bq?nkN3>nZe3F>$P^FO=$Y|teXVFTbZS;*yXhle z(ET*|A^Hm+{ryR&2SR3Ah_0IeViAZkF+TG)a`rtQ~C1#zWP`Iss=Euy4M?S5Q za|~Mejn%-efN4sv{`U};mes6DeE^ivfPrlOP97%Q-p**4mBNa9qfL7Crx8gh&2_t+ zLQo^TxD@7iQhyAj+<>v)w1`b7_>x+Hkp7m6xJms<(3u8IFjo97dT}o9bi^~h9{q_B z`BeC`U7L{1^oP?~z52r;6)j=6CiOMT>X&2)m$%D*9og8fUD;38mnqYjlOcT1E^4MH zsBC96Vt!-AebAvj`WVD&Dm->~C0To*4_+89?-E!WF7FJbO0Lk(i28eICaiT+zmo!{ zD%Ul8EWzd#Zqq~IS3{aZ+bk>JoI@MHMr#|WZEyaaopznFw2})yKxIRy3qRaD(rVzZ z!&hAhA=@iX(3J31X9C;yiVL(VeEi`P$5idnkfEM@D7yN38&36KZUu}-^n4rj>R-ak z+cNLdo#OWJJSS*l1l()9mIvCpJek`31%dBz^+WG&E#(YU*M#Re6ZE##nyJNz>PHO6re=0J!EC$s!>qNUyI4}nCf3~#o!{$vAMHBpsuJs}y39%Vk=-TVeNn<{ z4O^WYVX)laxm9>|?ZLZmhvtKsKmUN%Fn?#f406VLt9eMU!OZSIAQ{Y6JV+UH8V}0* zN3hWO0a}(%4K9ut%xu-AvWh=*k*e{z*eieAs;m8E)Ov{rY)@Q)iV?5issIg?yNeM7 zp+fYbd86=K4Pn-nmkv{!HPU)9!l|1{Y;HWux zGd<*HiONp}E6u^{>HF0@(VEygv+qBXTSYE9E{b_`M>B8xP@7eSxKH=&a?cFD{H!~O zbQ8{2jtlV)%DAN9#q9kZ`Aqn2$(g}?$YTu!DD!fS@#AVcj8b+p+y6cB%421FjAeE+ z@Beji%D=T_igi!()hS6ZJPAYJ<{HN-|8?kUU{cb{-L8jmDX-cg1Wq&yu@H!W5IE4P z#7Dr43E>o4hG+xmn-J*H%0xpT2_nfE$w+(wm_sBv(CWk};Lt?!ROC4#1Yj|dq>oe} zUIZ#2MVyh$#Mgiiq=+L@g%}LJUnIW=ebG*AxQ z%!_no1^)k7ojNX{K2$ShvI~L?$$PVHO&9wzZ)lx;`Ii~3Pm};6j0sF=ZDJEJ1A%g( z8HgExK7?=v%}%WS0jvo`wk&%v?SenM*^1b3MBPLtiKcdOP8fdMyMX(O%6w|bOIE;@ zphyWAE<`kr0m&6_c$$10XM*HPPd#~KSQOezNQq5POFd}=XI|!y232}J-|zz}Op53t z&l8;i9}`LTNHO9zAP+7&9mz`^04l&mA_6BIk$-Wi^XyFdX9Z5IVC)5hl697~uLlDj!3xjTva;u$dm#~h zs0-z`4pFWGEE_yYUtSsV;gmU^oKXse-FRKpo-)C<@$9Xcc}(=5mCwoz4r2e<4;aZF zDDlPTp5NpFF18Ksq$A_b?C2?p+DY(&S8;cj#eutwSc86u2p`jNz#w$^4{{Dm?VR^7 z0-@tOrL!dTuk7glInHT0&Vz&}id}rxEw|;4pG>GPSsiS=6l*XF5fNfK_8KGoY zpTBnj+|DU)AIl2D3BJH!Q60@e_UO$i;F5#%fD+~!#L9 z9yd0dT|)pmT4mWXO91iLHb55mio2}_DShgkdSbfP$@J=~B>hSq+y`p+LIslRI~^QA z^Srf5$_xgiXrA`1^C~KC5BZ}@C7ChQFeA&z#TVl}3S0MD=PidI{k_!k?EG?+x(bW9 zD77SZSdP+AW)bJ3ibG7(4ybxM>y*0>lmHL50s$(;hB14$aNlVpur_2G`}9b4J+GT3 zeB-aZYV2*3s#I^>6VZWd{M2VtirAW=HS&1ZiLl;LaBbhO6vjnGQBps3KbDyLH@E_RuVtXAVHA2#Dd=W16l61gN+T)PbLDswq^J31b@sRl~FY zS79p_tgYNYuREMUFR28mvZ-cL&5iVP?|_?Bk0-Cd&58{w)I6qg4hNur*3H50#f{-# zhbVt9Xy+kRZ+#An*%XpHYrk2MVaghE6*<&W%qTNN>G-4okzj>+-^#vyqqXR^pq#?F zm?=sLW62QZy|yE8ifKa(;x$Mi>gVD0_-i$Yx%ROim!kqbvd<+kdT>4CeWpy}BNa0~ zmfb?wW#4|%TJ%%sKOufAe2xz>+V~;RpwBj~7~7;o3bk^t#oM>o0C6RZd_xr3aRmq` zYsZKw>-n5R;Y#~h1Kx0utmTE)SzFt5UjFCZ_$S%7EnAB;L<7^}Vjn$2RxL+euNn$t zV4LDW_-5aBX)OvCk^3eUGnJt5nHXZUd)e~2IelG{DeL|0!-FpSSbE-YcTu@l&YSbP z39cGQq`Qpot=6KC>mhT=U&0b3B;;PXZ&uWsQMp(MbI-nw4>79K{7(pTGu9?WQkF*v zBNH{N`11-}Q*5#`0%0myyYB;)!i-5KF4g#aZ%}pqO^<-!X15I)?WR@W6@?>o!(qy zRG|6}UtOG_GXADywUOnGqvz%LfYcAy@X}?6*zZu+_yj*wr#E3SsQT~$h)bTAyDv`6 zBkuX+vQwVY9M{LqQwSJOu9rKt!1XAjX@x}f((+VG!&oQf5=Z`oo$+&z4NDlK@Q~Dy z{rq=WC$F0bZCNHYsC{mm1)Mu7rE9D{0_xbI_4-36E+ zwBf41tEV4M4D!z2*WgE08Rz-o@VR=bUPwsY62ol#+-X1 zPvcl0;vGfXo|53DjWu=DVjU`H;qN+sn;OgYnN$7RGq)TFHi`VYCc73L%-AVH@ zqk6?=QN3KVC7wCio&u!=P;OD#c}a_8oj8KkRPqbJYm4e73Dr=s%%flu!A10lOEctx zM70HPRjRRBSTD^Hpcw`-N1aIY&Y769$@5 z1IDSrOXWS=@+`Z38!{<9qrt-&ieG+Am z_o2q90wz8fq?nDU6r0mt%ZtywL=8C=FZ$GzW8N`XMyj#A`&BWq8WL1>^62N& zz7yN|+Ue4RXI5ki-{m6SJlm9^ilr`$J<6~sr>yhs(9QQjcjuGl?z0ac-DlU&If|@L zD6sl|pM7z;{>3LhZY{M}&x!iNi`AE0`+5UcwlN<(EnjC(6nv6_hKxaXk`lrk zdR)D`=rwM3;PTt4HO=+m-jg$OYhCg& zA%EWx+ZSVJtUJ{9TH56)kH%=OHj^_NYw+xq@-x`s4;Tyc634VOLYS`%S^%`&3>YsVh zwxjE>(AY{EsRYy)TRM-Bq}>OZYuXe_fjj)g$6@EZ(Y@F?;X^Vq9NyDusDRn{SjTmq zl6Ey*NS-2kjfT3;kj8eG#xfOMKx$;9T}NjVhpGj6qokFZjo(aS?s-c2LFKA)R#aB- z#LlPEd9>i}lbrDRX@rLwhJDYimbbnQKpG%84x~+wK+O_v~A={fNv>^+dHtVd{^TMSGZKd;7`T3WUNuQPj zxd~h|n^1nJe*Rv0>HI^%S!#PgcQ2x@`-#I`!ooiJ#}2E@F*Hds{hG4fyzv3 zb2ox3P1}eDY^9gR*X*w;maHgFjxNJj#4P9n=mk*&XU}rNO$f$=6fs&&;s$aUp*@i& zE*)#R5q-NXyE1>TNljCiAjjS?PTB8&An= zWYs*LA!Tg(hXeE*qU7xb+DLJcOQg2&y8ce;c!w%G_&AHMNYGfP97Yz8R>iDpZRleI z{9EB!QSW#|c?s`BmyQ9s==qkiU`jga zo7M8r>yRl_{ovcZ{OlXX%}JZwKJPx?h^sOy6yEx;pPLKS-HtJJ^q#Dpyier9>z3DI z1CP$3AGM`K-*n>jYi$qM4`L&#;C=(HCQugiSxM8@Q{*Q&Q-B>M1RhN)WC00LOI}@I z=3L<#Fpg|aDm)G1L$Kg1fEi=YghjQuPF{o}Q2?+)swZ_mlg$16Q7s{wmDmdiK|H@@ z{yZl+bzjeTQ8`cCYS&-#-%;tGAz?rmr-Z8l+>!bw@Yv1MAO?gW@gc4T)g0G(%JbVV z=3^Mn5128rjW1+HGZ1BQ0jLVFXX;tCn9j3gX&n2(uFcXoCpy{SBsA;ibpa!bqFmLV zk3a8ECmC=PvwET)X`8XtoVsj-TG@p7ky+NnO%q&I-+v(qIU3hJ^%#Nr-y9h`@0GqNuvWPG+(T&N=xYa99M z2{g7aJzOI8Q{|S}zuD$;wmCzQgC5P>#h;OBliR~XE zi|l#BRHEA|D%ox_kX6ad-#zII-q|q&UwLlGN+R1#P)gvkAAeGrTyCy=I!C5bJ}qxv zZ^YmDQuj6cYOLli>tN!8SXsooLR=N1xMDq}P|2;>T}c>S`zH(%jxOHfrJpOe^8xqr z+&B-ovxU*$5QL;NwmG0Op7Qcc{EDoy_g~bQ=sP{p_pDOu<;&aX$v&UTbTx%AADj&! zUNY?~EnEX^Vfsf_B+Yy-%K;15DQ@$8I*iN|2dxqNpiwU4jP-S^~j9G{aS+ z>S8*z$Znpk+2_>Nmp7FsEB(2{IErf_6Pn3w%=S~~)OH|kw>|TZpq_rNWFx~JKz5xgQRTOV;%^& zP)qX!9aSbJg@*H-3GBfxtl18}VPb4T*aku0a${}!ch5o|$N;c}z+{sf3Wk&h}hW_DF4k zHwjUpX_fPTWvM0lT>4kd6yykffIF9_yX;g{*GpVTt0=nH8h}IQStD798$dH;o-LA{ zI1J<$7gnWRX||4p?aDBvS2FYbJXb7o35JTn#uoBO4l70PaTLziez71 z%^};yf#Nuq&5wTX(~+H@oLy4Kt!~{h9w6$0;yf2;M5E0BLHe4@&!HD2|HwS0cdv;y z>ADi`2^r0B`31JvDqPVMRecYg2yf!Qx{}{QctbLud3<_x2ZCck5|TQ($R464l*Wz

wEb5Bhb0Hw0W5E1emwxqUSf1nD10KwMd~ zZ~4+8b9pY?BPZF0Stj&i&$CWkM?HRuNw?H?My{|8GfgNB`lW&e*a}!GO&P5PDm(rV zdhfa!u9~RFdYnd|LKqThw-;9-qcO+gj(=qAbt^1jimG44_)&g0;@38Jwh)h_Vpm9d zA?~wVk>#nvn$JD}J8*}rNW(&IZ^M+=Tc%tbq7tSXxUp+BwMA>1Kt8sH;6l2bk zTCZ4bme{lp=kSH3$y^hr)u0jf@aH^cZRuCq?D4^R>)UCS5^%+=)uU5u)(OgD727_Hii|b0uT44)Lx3h87F>=q4|X{L zuVLaO5?*#PN6UWMXR7$;vUY~U-@LdPQYY@h2Br_)d$?@_L6UYa6$F%JV(LQqHlmh@m5A2BjG`~1Gh&J?tS4oK%Z?F;wml{MfDen34*f60H>6 zI3FLygFBNTVal*3xJ;}D`-pt=?DI6!El??A=Wk;y!^fB>r{5b(HU@F;N7&n>Gqp{C z4YwR+6chndHnd0=uW@AxSV&YMvvbIZmmkN=@Dmk!2IWu+b5(#{v~=WysLEDEG`!dY z7u{f()3F4u^SV{FP9pU%scb(ik?b5jHrnwn>fAH&H_a{R%gcNIHCJWYp<;(%l6Rd3+r-u7h%`=|o+49h_1!HjFn zgLTxptrhWDD-C5TSl}QSytV$XwKHZtLKMNy-ylhpza15Gtc#gn;c1+*MM`d_MeTd< zXM&BGDRRUJ01Al~=*oI;YW{iXognE$Sj*LQU~+xylKkKM_1E!pq7Nt+Cwyhz#`JBk zyfn4GXOGvNfN^RTm{>0b-3rwJOF*>0sTONn3epPE5!Icr$Gd_IBDJ{UT>pHlu!+ElRYJNBEdm}(O5Qczzw zJck+jt}C zmR(Zb%RAPqrRD7tQ?Qv(E8;h2vDXDR1?7gM9!$a{R$sw;{syoqSkr0V=yp~@-ea0@ zql*q<$Gv0M(O{ZT^N$Vq$m#Q*v(D$Mi?B-LSk;7e%Vec9g7a>@f;FePm+SYEdyn5P z(m!Z>>hj*SW}=wbsNgLApp8@0JxRkMY5JW>z)w`u`j+wZyg^GBc+SoG?fGc4`AH+| ztc&0Oic|db+>zdFyJoBy#lUbjdFB1F=x+hQdAWJz435)Z3Q|UVv7LJE6BiYSBJ^=Z ztJPSpiBD$-SGFh2^TO_Ez(?w_!OR%pp7wZlUVqWV@(U|E z+b_h!-`1MT-rN1~Yp?r5>w~JxG?r(z-WfHs>NdMhuD;Z}RbhSLJ7diCR3fSEEIUP~ zwkcX_uLv7Qq2K)8kbVX@90}GL`?bFzBr_ys&e^n+jC^{UttD@4@29P&*cpYC-a?Z> zvB3iKdru5|{{6(-SkHLXk!3Us%UXS2=nuJoGoI|*gEzxkNbIA_&MR((@9XlTOx(DH z`Xy#oFXop$tvb(ijr&JvXzGen?2bJfMHCx(mh6aI=bbpTINvW8G9Z;FmXU3ep?mGt z4>IUSwqBasr>n0`t9HJDG8NVD6ch%m6YmeB&%%UZ(#L%=nFkk{u4(+xLz{;FO+ctH zyE7L&Rg2yHt~Ylt`9l0xL4Zo%hY)Cg_^mF@1Xz$1R!Lgrrn3JiWC&>uG7bmQgGel3oNSY*xgf6!P znAg-9@l1Jcvr1D5?qz&If4>|?nj-DFc#g&%^AR%vBk;V~@|Y63W^&5>T%oD;$tkp= zV;q!n(nnTwZy!2Ntw zn!A_~zWJ<~x|Vg6LhE`<&!YcvPBk6e4SuM{ifMMWYhep-PI*ee=bmO{{it3RE8Xu6Fds$bc?Cg5r5eG5fhb3m4=znYQUiyCIgd4A|bvr9k%cq$g&NGSssA8>)#+ z>o57EE_e!mcz$Z9};sEYAo+MeV+Q489P_%l%{iD zAjH$JW%SiEL5+X5)V~kk<9!oIo$MOXwPWFzNs$Ix~V8N?#5rqRI1gI^5}ucosVfBewjM`l~y_K`gMQf zLd~DAH^qvvb^;&gFnjK|5V#pR4*d#mzWb|}A1l3$diN{*Uz7p5q=D6siIOVv$VoK& zg8ut~@l2xfqsDfFt{{z=kLzwL(QQ`zg({~lqwkLowkeU+xT?p!w;kGizPx{wCkNiV z&!f-qZCXmZGD&_sXI53g;IaN@V(HeBdRP8WPJ-b!=O+vWyWxjs>6w{Fd^+7@U6w?8 z9eMd!4 zXtYdmC=pgwB$5}5pw~xvm{G)~Fcoy&?!6IbLTKp=H}167^~}s6Jlp+0Bj#KD=MnR%+EWsX`rD5_ z&Kg-!EYAeZr@7NX3#~&R-A59&6L8$UYi5PX0*k9x*oec(bSxyN>@9~`PdJe@~3 zc!~X8zvU_?C7K<+bnW&B>!*=QtY_p<5n7Col2Balr&Rwq2KT_l$rB-gQz$O0<4(Mt z-`YG}T&byWCJe`i9|-ER9ykBJ6cQYfvhbEr8pYbxa>mcU_i!lWD{quNXNTT)XVYxZcIQXT>Fw#?dg6*6FNUEv zzNqV({x*|83K1?9m%*HKRri|9aLyIY+p@9?dbW+_X!es&ZZ6xM-Em zQ2*^m4Hzx#hCe!>ccvdPlhT~E+av_#!f%|z{F$7w?{I(LoE1Lf3|)=)B(p>F;yqo5 z=hFG2I0Q!y0$IDhu&=`5+tW@^u4d)8yLxAu4ljH2_MSj~D& z6jmIHru6E6L9iq@*X(lg6YTKf*#HaY3;2idBxeHmw&3qQcxAYcE8)WS$S2GnY=IwI zhBwOQLZ72~Dm-yHgO>oqi>qS%CiTra9BSYXztlbGxtPI6;2#oK#|%vBe@@ST4=+yp zjgU`)7w_8G>?gd`*;L#-a@o8ASF3@)3Ga6%5aT_?Vvk0+p)t!N{Ls$b5ngEF?ugBP zS;IGi*!GIcrrY*NJ%%bd5e&9R8Zoo+MI-56-}wk)L&NZPhV$1vJHQ>NJ+c$7uf1v1 zL)HU|FDTk_)jGFvw|VEzm!q1NbAKE!o!dyja(wht=OqM)?(_yGUBSCcWAZ24Z**wC zhrbT5b0vf{@1S;blSwuY;a<%$rMtOp7t7IHEtmdiKkD9XSNSvbw7XsDPu|PVu7z9G zXa3Y&-4B2*)WD`*?A~gnZUEJDHm~=fM+y<#&#wR1L zbg;Z9`F&ny7@mA&KAiZZMtlAazhiW56i-b zyhcxEDaeh`PC-KMuN@;t46PZRJhbV^rK`Wss=Rj zSaiGXcko@84*9?HeNEm`>}uipDWuZf>70Ye(?PR)-cd3T!xQD52&OO9{DhmLo;l%l zPU;RTaP@dc_Z8AsT=V1C^G94B{g=8fiFj08>Y6*(F@iSsSbVqoQElQJuuwuV{D2Ox1rUB%iKxd_C{P>p{5G)x_dabgAor ztE1C9>M>W*NzOqIdW)O}uMenTa1;Hs5rqsVIYX_swSGq#C}S3LMP)HwlS3(_%3bEX z1JI=xo}J&Jx0#^H;hDc0n6@RFFm}{c=}{BT;3q8)M$*yY4mCG6I?GqbqBZqx|FOM_rGX#;KCC=%oiP{R+M_&5?)nvhYH-S zHU54xxs~m|DW^RIuyw{qHEj&lB+GFbd(u}Y4Dm0WF_ zW%iIiNn$X%R_aOfO5RUnQf%khLy`w$q!f|q=n4bz0DziwF;UJFMS(h#E@lcRu^M3D z*~!_;GxnrIGm=AaL;DttI4fktqEjSNduyBn7(z~&)WvzQqnQz!L?v7fpp48jA(=jG z?(Pzy@-z(OBcZXKJY;4Zo=R({AXx+#fg~h$vXgCa`bcO}CkOc!E=nOH8Yka9%Ra*;}YVfm|NHRvABhmqfCIl9=I?)h_Fd;BSo}s-` z4JL4+MTxaQJ6Mtz;cDr$)dC2#1)_^u- z{&XY*ahJMkJlP{TU`9QA|EKxYyN&c!%KlX?A4msCZOm5pFX0Wys>tPymp1EpzePBd zB|fH}MEbr?*^m&fP%0myi<+<&Zn{$LjN8=dRs;eivI2vyaI6$LnH?KysRlvb!y(_F zpSxG+H6-u7lOy@T4asI-`odoh=81DyG#~l%?QwRW`GjDbc!RPtot!fAp2tvpTOzvF z%1h35)l$pB@_fDK&?syszvQwcgp^dsZS>lsh*{NPzVWg= zr7oSh1lOFfIi5aJ4`zhRL>`))*zu;RW$vu+-o_EIs`t{<5KUJoRwffAJZmgE)6F`I zkN17RTp3ePiL!x`%a5}Ye+bx_G*(KPp{GsJjaMlCUz1e-B_qxd7xs0xexxTJpOY|^ zkQ)_<~9HH@Dq4HT%*QwZqJd zcO82$-Sli%)l>QD1b7r<`4#UH&|VD6cOm@TYkL`J$M?3i8lm3Q%7pU7@I+{oa{j1g zPhVpyTf=AMb;wIyU0n{*T!VtVEIwNi3O_Lm7((SijOga7y4Oa4x>#pAv0+cv6WIY}R8i6$nsM~!bP?09_%Co{Muqx4rlw+42X3;qwaK+~thJ)O0P(ezdXn*uc4=f-MyFRR@p{H?dxR4*3W~;{0 zoD_7AgFkT|uvdeoggkx_r`P(aR!}6P?(h%jK*}2lN6p7XiIP=u+Nfp-JV$BsHjH;9 zK%o38%tAdFyVhnTH)UZzuRKwkNM`PBKlQsy;yuBKu|sdg4lu)E!f}&4|d2s{$6O zd#eQVoG5hzHJJG2i5uTD-JqnqkcA>|#Q2w%l=VIOfv-CCdVT=1%04j>_g=`9Q$z-+ zmAL2r0EhfYueoy$?~jV>W928E%THnE6j8Se<@(f`riT5d+VL(|0B`!Ro49@M2f~nXMv)3&Ip|4w=#w@u zh+ic3)qTBwpVP2pV~WW)9YY6XiGWB3Tj82mmmBh#v3$}+;eH=h*hk~~h5hA`?cem` zm4Nfr&5+f70ebOwz*Fu^8KXSDMhQ0TQj7a;F&Wo=eNPMbud-ftNBs_Zk{kM@6MU!u zqrdxRS*+_iGEzWKG5*;iJL7sOa8c@>n5TlBc7hEn)m1uX?^dNe@;9f8ik)OaxeR>V zZL^jaC!5e$5?j$atIAWEXY63|ZE5pCjLj_^{r=_Q5eV79MQ&~y+#iGeV-Q^c_&fNYN>-O)jGo3F+Y}zkMmPi!u2z-9rhoRJTgr7Y1HrjN|y;2;$M%?t5ei z>vKZR%VDB36~tPSEV$M&c(SaMhA2s{d;UP>maAnX_wA;kuh&^Wz@>#u`;|eFi@hq?r|!AZRhK$^IVx0Pc7^Gobt?Mx?y=q{c>RaI;R?|)dVWQ z!sn;jBLsZh^|YyJeYi&7u&`7})gheKvQ_%vDLD zrRa2Pc@-TLSx682)>nPT4U3Zhi3(*Hi&Ky8OaKoEQf-WuqjBsKbFwdQBqo0nCY+Y=NxX z?VWYJ!xexmVj}m&?bDBdyG|2pFe#nJAvMp(U#NB0@h)hj?^^YcQ{tldaG_j-*xEbD zc_#9gxQAmi;teK9bx@+5*3gfC1;Q*3nFP*$vL?! zQG#SpU>77Pk_46{AhLi+S|rMn6p$s0F4@($eBZ76>c0B#f9tPT^;b_^$~r6Nq9I-LyLOLG5jT#&L9 zJ%)tlEzwmbB#a(oK=XRw@t`IZ(UPfgKb@TAMvOSKXf3t)U1ym>g_uv3bF;iU?Gf?L z8Cu0@ZO?Qs%?b9(W|WD==`e0m8wcJ+8t|z2Fambtgf)ftkG!kaHi@K5ZkP(b=hz({ zdAt$KvL_7y{op+y<(##FWpX3=$`Zqvyz@u`CB0x?0MIe--uWZybc!}xxcRzu0i=`y zTSF3f_(@ZWFlr3qD=Vyk3IOz0p=u2qp%6zhY#+(a`Gi(A-~evgNc7q}o}_?mb`o+2 z?gdNIJm6S#*E7~cPk(pR>igF&Dy~bRQp(~;I`936qhBi;lssqUxgpOckdJvh7}Qtx7#=oETOfc zNwEl5?TX3=h?JYR8DM3E=bFC|T-9gUkR^(?uJ@;W@d7pGfz|#ge^}M#HBbUC>I>+1 zddlnPQd7-u32Ryb-fa`rX(h1Vr7yi9M)m_<)Zy4hd^4056W&Dh@)+g?6Hg3_pcR>; zY%9{_+0nd^N9O18U`^&T52YU)kS!bf-6k=uLTW`**nHDcjL1>25J?O>g*o0OV6SV{ zMDNB(m6z7r=4++3ri@oUXzkRq*`RMoZkl8LJPR9a(n|UC=-!4U%du#6K=^=SZ~~;8 zJl~DvK*2=jt->x}W`-o>I=vxgVXFx7`}%C|sx#^AS(3qQf&I23k;+>6@J&{0GY`W2 zVOHVH{25U{r4EJHGrN@7iU-46Bpx}G#H8<@dp@4|2s4<1IZeRM#({15LoR9=(OjxH z$;(qoNA|S>8xI?oOtiaVcdBn&tM8k@bq^viog!=2{Q9@o1GpyQ1{g7Dc2}^bGk%JK z+syCyJ0qDkIdOzC<N_~rTYGN`us6T2)CnkFlOo}(nR~#;MfW(zRirCxK>os&iG9m3{&z87tio(!B7mm9=`9|zrU-R7A zg`Vk4&(u{b7>Q>3@j}9l*xG6+9ZiWF1Rz$UFdMm#4~f-0iFK+ZjZ`wM#w(d@s!nXH z@cEYAbsDm*8akf1V_XIf^tFs`_g-nQQ>$m`bleqg4>7|ne$~#$gyAjYI<@MrKI|X` zs-}iM#wc>E5GoHYlUz>NdlNUL*UX}0{~_fR#lyRwjp~Od`~8F%n9nPvM6=;LPLueD zE3jq|8g{>JUh)uqzYQtrSUE?c6RwkSPTSz)=ZcD6fSt_23g*@)y+cJ7`>EY1X1`_G zWfXd!)IMkok&=**s*iW!YIAV-`)#@KvM5G~bOkW3(Hx$FyMb97cxmz3t%_LgVgRR+ z5go@|U92~H-1lkW)ttB3zNMdqhoX0ZGl8G6cb^1YpgPG>5q1C7z{f9|82LNK)GH+2 zEFm(J)xWObHH-u(Fzlz}!6MXVBb2L*vmK~~wK0N7WkD%jL0&3E++qh@vid8f~r zE7q1YVk>g7UVcwa4tHTyghmlLrVS;KC~})at@+HGT!O#cj_0{Oaem);?^|#-{ovh; zuqGLOVgD|Z*iD}`6`pm*g1G^`ZO< zCNOKp(ggbc4+S%i6OEXbl<~%{0HKO4JnhF0`S!VCVwN@L-7VpbbJ4^?Q3aN)slcoX z36wi|8Kn68oslU93@cP0Z?VR-Y2JsLQQ^(v3jkLW%6l^)D>&w<=A*ryUWw-JBVJ?_ zZ}-c{LtzCw08fLAbokZ>gEy5Wd(5X#^KKaUB;4!*s#89|*RrLxs8C5`Q-e3W+^2{v z>*sIu@V>7my)`9eR?162ePZa6#Wkt$##Q7=&QR$M;#-l$k_4Ag)%n985{;Z`hpUY% z$5zI4*y|~ZpNwJ|$xstxI?Tqj;?wrUjM4=+uA+uYhgFD(ZA$Nz6>Us@wR*JrG$4@jN$BK{H*U^-f{t8Ppv*aI3T=PY*k8k;^10S-}1haexXCG zx`ck+v#Cbj0Xl#;R*Q@i7L8xJ*->q=I@iL2PdW(;JZZFG={ zeqFEZWhx>k^u0$F+w3?U#umCdMRA(P<{hA*R|bZkjV$i!TcdD zrBe*=3Lt0?`xf&Rf$;zd$#BJ7+r-g|k_ zMjSzn62=HgzdxXVkWU=JjPk(S@2;OKH9ruBsEB z_-DpN_{>Q6r{vOpv{+RW>h?Qi5f7^AdaacV2f$|FCA?n2=%tIgiETwqX*DIpabK@( zG#WnMitFF}_*U;ZriSDUPhQh z5xU_wwIj2stpt`Rw`W1Q&lv`Q_EGX}%vb|ls?}GhDww-B%jvwIX@?UyZo;-J(N)X? zdxnS7+cW7H{d!b4t(>}=3<_X+XeQXPDAZvE)1Fu{LWWfM5y|K-G-}Hv<@gZEklVaO zW#C=l_mpyF z_D+M$lZQaHI|#a$w3-Yk1m|iqf9wR<6YfWd-W*bk41Z4Gh*3tjF?!#H|*l_Temc*JfEs&L@x1(nx zhOzh6EPouaUQDyZw``(r9e6E4XfUc4uu_T2OHdC*onkT@wZ{l-yG35g5O$l5V5Wen zKPj;@D03|hvR=+8HY@{*r*mMWDEEh-%Kk+{eXwliEVrt>;CuFoNs`C`=hl@(KGda3 zU0st|&ckMRamepSvagDUbh&R!PgB&Zs%G~+LmtkHq&~9Pae}X<#LZKM_7}Vl_x;mB z5XFAU#Qf*kdnavu;QLl7``!yFJraVf^KPD1v$l{kU}6@(FSN#GHBpUJ+? zr8&mBNOXP=;M_z|egi9gv*(6}ae|-1`;CNENZbdab3MSj#)g*++4ln*HtiGV=PO{j zEdKSaJwk+z+gi@k9^uBMAz-dqZ`Vfdr}-ZS48=JA2LLy%xfPZgzU{l?0_BFulYi$g zD$}U6ZwZYL!wK3yZ=1j>VH!~8+8RVS1?&|HtkqA3qsF39**Y2oy_8YP*l5&|wg&Oa z7p7^q+>Pz_LN`6Sm7Vl0p2S0YZz5P&qX^C(ri0Bo6%QE7?sf_^GjWxaz&etaeAQ~y zOc84nP8$CK(}Zo$*3SLd3ESVG#jrtL2|}Jj(g}SVMnO73G%6Kg^mW?s2K=b@6-8N> zE{h9>lOViF+p>qTfiv*QU$@&YrNxjzT>&8!O1h-jVr>~f_ZKZGqSrPRtBK|` zUHprtu$Opsog?Qez@?C_8&~ResI33Y(meEt9z(fJ^jc9RMVx)}$;ilC`F>hWyy8H1`3(>qO$ZzJU6q9J&-W9FUy-- z#u|7hwTg3EZq+Ewo!2Zxw?4vHDEY~qFLV!&zZ+2!$S%`u9eWv35>KzuF&)d{$Cmoxo}8(Ho>PuUW9}RfK>LaRTdls^>d6Jx=SzRx0%|ztCmkogupDVTyt~ z97x$L46BUAH%VF%(jyT8;hL`JGaNrRY6y4}Ex&?y_ql_CGH>E^;W z%Z){e;o;+_UZDis%|}7dXDsn%X;40R=0>CtGc-vlizVNIlYZ%rtJODg+VIxEH&(!V zhTaNlJ*x%TFvqTF`o zRLL67SbC$(H*i(+S7ZNR_Q=^c-nqE5`T_hK{Y7oJ2Uob;Hb?P+W81@_V}q$JI+ zM`fcOxT^UL{ zAc5P;_SZ;v7`^?I#qyMGD1p&9$+L}N_c9?juDzdgRzK&=cOqdlz@q3v`e3aWBik@_ zqj3V+XH!~_L<5OZ%Pu%WO6gm*OxA-fWQ4pDStqt(*7=>B$X7AcD)-V#c=eB!F@!>1 z33pPav_`~axsY9Wf_|D0@8o8%g}IRQ%|O8lNR+576S4(Q&`vYfdVz0ZFuxc8yj20A zw){pQM*poZky2ay1heHkB2TcXBu1R2ui`iH9eQovJ+8dogNnKeMo9t3cZ%V|5vY zD*C*4&&ZhsNZwlxL$>ou!xuI}|9oYqjoz5}?CFa0Q0%MMa@!AT&c1EuP)V|^L4Bz% zY1u__DMa~nUJIPqXYeTZWeoEk8*etWMT9mQAW`+%;Us_f^;;vSatg&^gF)6YS`W5) z%jy<=@yvFg0>tm8Symo04@teJ)ehAK!{l!i>^g0iE=y}=rS+bkRdF6AuO>K@r}PaD zE#6W$@QAtdR5p>7!GWM~c(+l(4sE-n`rbeD7=CM54(X750`z%9Wb85FTHPBYy}-&t z`ER?Kg~LXz3U+_+%;S`q#{|gp8=nrjF->%1_A&cmvPzleGXe>RG#+ga&&YvYY!u-~ z)AqYT3#G4HmRW{@V}4A)F0rT8A6CCePx~B2(~bEiy_2QZO1bya7`LfX#djLu=p!P% zP;nTpp_pM@TUPu=V`V$em%E6nBFz|E8~tl{Ev)v$%$67T)$_m)tl4mvB9`>(5Z%@k zORg)D)fQj@WJ^6I>fCUyM>6onjn^MFot<3nF)g`)33?e91|X=ZAI&t4PAPE(xRchX zArQ5PIqxaq_tu%>dNYsLu2*}tio>SvPameXP`0l5jQiKher>{?2ua zd;g9JuZx-D0do(&q|*gs#o>iPR%1F3v3c5;E$Y0PTZ~I?8ZTuVb)IHd?sF%*LL9gu zuA_f02@ZS~l4Y0PMrVebV~c27`}@p2?la(D*`DpH?4Uzie_t(cH)qpXx7(NIisI_t zPt0NcL8Z>XtUqlfD@}Lzgum~aKVQUs8oikoc{!sW*8h{Tthh-7^x#ZFb(6t<*Bsn@ zRZwL@Pl2sH*^k=_$wg}8c0%!NFJ3sj)M)THlc4#MHgq}T7}o#xP*T<@-f_eCZKN~z zYOoh`{&KEB$M{aRyEDeS=eV@ zS=UNXm{gbKNaLd6%Uuu-czP!vd3b{O1I%0COglY65CHQ?vUEqH(8{tspSTc-Kqm~E zcxeA;$RhE`zPR6Faw-S`=3s9ft8(WMMvTRxAGb6#_{F5D?STYSRYI9a^jp^k&iQCujp1_5{qq)B2pry+_mE z{_^21KCgxMgG!eEzhclYi(QCm&`{YPVh%(GQfaWmg|l_6+5NdB!l&;bw|GT?s-hOT z-{-w3o%y9=N?xQs2FVX8jhtNswV0lQT9ILC z;OAVeXsZW3{9fVH{F!)Bl}VPJTTD+tzkp#ftp2}-!k&S9POcj zk5fa9&9kmzH3@=+5%QMMGtgAV0k6jXr((5Yc)6cL5RCG`Gy4a;iSd&v4$#3EAc-Z# zP{2gAvXi;VrR*EaOJwOUwK2#4{Hc}wg!^W!I`%Qf9ks2MO+6!S;JB6A&v{%V@FxiA zmL(@<@Ovv&Bk`Q!zhprYI=>&L_ER1g@%@oQx+R~FS*SlWtf!0=)9J1@>|op}69K~{47B1vy|RHNYJP++rweirQ1YAhkmRi+TkhctSUbnsD4@zL=*jfbz_G80z}oP z_;ZfkeS#hhsgx%nKQODTUJQ!i4GG6U;8S`xxhT{CQS@z;ts67r ze^qhWvmvmS6Fin<49 z!23)yN{M#jAu*&SK~eJEU;K#N$h=?t+G)TXHlcpg%BlBqQIRl~vS<(2hF2aZY6t6l zJjXU_%0j&W>^m`0<}iM!*R`;*iD6dWQFsy6Y3tzHGun7A_qHNEieJucwN=hU@r(zj zKX}pQSs|#A=>QsVT0HmxjskCxi*b>cbi4X^T z$1a^=223h~NhZp%bfE3&4=-+dzxlN@=B=8oop;?I+qN!KPq*pVN+=US@6%XN3rMgS1-Q({1bsnOnbu9!F zHVkDB0NG+F1#d51U|TiOj4BK$i=rMk!YFIFI-e)-(iYaixXYm}9NtgXb{{_TA^P!i zOmN!gJ!De@g#H9KrFF+B>J_@+EE-D)N1Z=@06KlWPg~~zw@lDi!O$d@jzq95QGpgU z&LDab0)*Ez#~4W<~~EFi_U2;Y{BSyDhv z0%GkxUfn0o5j!3R#2%icC7Gn%ro&`tpI(=a_)$gBeFm};dayW(>QW(RKpz@_x?lcd z!umb@4APjm%5w=^P(2hU>u*>sgW|j)oPs0K!(eMeSGQRn+V3zg`k4cAt{ZjFA)6KF zT&^Z_AD^#$5<`_;m)dZM0?qJ9*@qVFVg0q5;nDb#$>~i8z{~dhK6#oFXB0*)1Gv4b{6M6 zTJfHYCQNP=;a_5a)oNETbzAy9O4wQ-NxI8rU_CEz%fCoC*?JcUIkk@W5}0E!v-8ElYuhpD-{OX9a zNarqtLDT?9d7KjRhz`_VHc+Ue!=R>cF1p#v^2CjL1zo+WAf1vgob~ew`rVXvj;mpB zhjH(HXKFoDP7F~;WJTtBtxm;vD=>GFjspWp3i4@{VXB!%_GB@iIXG$KbH6yjk z^^$l6OcnKoJ)}kvBkzY&oQILT(K2`xsv*7IJ7-)_7AIxZp!d4nu$}qUJpY{IOW%`q zfFz>e=1%31Q~Qs-8yEs2hj*bB29LCyR@P1)UOktasQ*~Byn@-1hAcnK>7fi7xuON% zX4}M9Tk2<)S2n9l^1&l$F}Usq3^YufxWDjyOm zGev%WQpEhzQUvlmU)m|!(C;Vb<~LrSW~5gb|WfhBvGB))y2Z1-?WbR z&y&w0AMzMrGNN^BayG2-(^ai7w(#H{Qc%HX5rMwE51kufiC-kR%ZOYuM;Z&mju-um zD$>u*63f?ruPXNq{|7r}FSI(EuW!|TIj{%j*ZaAbE?fqwHhr-o*=M;kL$~IGVkldi z*m!k#@f7d=)nK&U@fhpp7p zfreHWN!(;set9SG#hE&PCS6t6{^Th!WWg|JBlOlCr5O815FUUUQ8&*!c1ktEs2d~@ zd*dLHod=I41HKpWE)lqYzTat+x%v9p3u@vbLwb?DYsz_wz|tU0MCXiV1vRj4OMf7y z!pfPE`2NMMCCS`+{WcZWeCt;XwY$YY&QCGYl`8a?q}0S)jQhGWGM!R`k?uK?m7fP- zFWSm52SgoP@;n3CPYwt0i0@^0CMW{NzJ9u8$ZWauz`~5u{6*uyh`sCXwlrQcEK4Dq@9!vM6X^4N;Lzy*yW{yDwKNnV6QU+Q{%W*tm z6d#L(Dpvs0TsXiuF*Q_OIz}dE*jq4Dgil@h;g1_5ByZpJ5^rU&P6s4m0Bq^v7!Gx^ zz#oIRY3?tRqL=o}`iAKaJX57};B}?yUk90cUu)Tb_S=Qs{-%bh>u%j;oD1<{Wdg4= zonGe3Jk{+H7)RVOIi|LiT6h-IAh;yDT7@_m1c=X}9pSFuTrPhriqWLl{2#8c1B*Twozz&?(pMqALany@ zf)gF0_XTTl{V}5gj-R>O2M6iq+);V(tCV{Si(#T}bZLbN1_wVLzl?BL?6CKsot{VN zT=?E=Gr3Ey&TfxnbJwWQsx50du z1n5G}tSw26{B7Zy1BJB@2;CJED-sB8jN++M@3*nwS0(;NGK+f#IS%s7FKM-BxxX(R zPhAwcxDs3$y4aF?)0Xq)w+Boqb$4ur+{LNw1C!DPY_S%B`RV22S$2U>=?0CZM_cv~ zV^yK(t!c-Dw-|6e20VrV|H6PAx4o5KA7 zLqNR0?c9&cRe20g&#-ZR1ALp__zdHPFyTZsH!_X#v_7nCZL6H@e)tW>&#}3V8Hlui zShgH1he2^|%YUPxIIJ@m8he)fw%gv{We-G>4tfn%HT1Ve&JVefiE@}NjTf4K`qXqx zfS_PjKe0-Hy%p+f%0Smur5ze*h?CZe*Y9 zCQ_b`gmHCM*==Qk-Frxt3#eR>_lJTSJJ&!jq?D9@@wH@2;hpG9CiE{eh84WmJapRE zUl)r5Vu$eFyw46DJ^+j05sDrThLx zR|sRI(n^OZ9r|J4fmKVx&tI~({xT$y3~1v%kYfvuo85nAXuct_qsu*cQLYbEvsiQ9 z=PZpN>I2!e;OIqe@1=sWz&+ajtubiMmWdyr;31`njtK^|&6-mGBKL0AJD2C_j7G~U{hGaoF4Jn~J zR)i5HeR%I!@g%lS$G_(sp*l3b*s&snaEV2)44rzsZ(gzX*RZER_YR%j>|HLl_NQ6a z$F0gB@J}ES82w$zpC{eFbFihLR4Eu7hajvUS5p|0MT9ehp}hb!nOF` zctf@&6uS}a>~CyyfI4s42Cf1MI|=N%LF5l?m;mepb3@b_Vi8h9!fYoc#MoDA6h{T;I^HENFNi zsCV)7G&ZtoF;0MWIT?6W?X{@HjBausM7&yzYhp)C0QWX)TlDdT+GVQ@>pek^*lm7&6Z=(!&l?Hfu+_+eN3OZs!A91}u?OQBA0r8|B_^q9~ zx8RIaeqKFWj63Pd9y)yvzS8wyJ4cugoi>2c(ukuN^dsQaYDcWAzs4}NTOTc&M*XZB z|29ExX$K-}r|pYzr=YdehK7pa4&c?$c3;MDQXDQ$2BF>G|5wXD{2akE6xIX2!uL9y z0Z}nzw~mgxUOYLiU(~-stfe1p^SWR4F2)UVAh>`TR|mT^EoIxm@#&za;45SQjTrPA zkYfw|hYsDP_1BI{6Tlj-7d>Au8xBo7I1rc)bZ>&CljDs_rw6reL$Hk*MpW$3d zKYQ~dL}Jm>z^j!GMJA`}qhF1{v0t$P!ibnPW)?KHM&Ebf)nG?EExMwwp?dMpPf$;q z)XvpsBmej-=U0n=jzJHBR|_3N$ij^){4~q2(D!TEx^#vvA}$b0K#60tg;R^#Av%l& z?a>FKX<@oTgaQ39=%oyh$eNuPf`6@$5q)c|kRE-}*8o`@zC?((nEn7oryl^;5JijJ zCm^n&(^N3p$=^8EsaF4V?{#x1vy;Huyqy!!Y8i(^j z+HIk`(?I5Hg&@!VB?5Fja(*gasg4JHG%?=Shin8QGQWt_1 z>Zovx5k?KJs!5YrXwRvF`^$rgh0k1nFHk2u@sPZi2D^$XgGs>9njO#pnqCs@A*uj% z55tIcMcJabU@1wFk_Oyk&qjhaTR8tMHU(|gbDlU*SB!lPR{kw%a3Qkp1n3j`-&lQ(cB&V%gTm_Zm#iaOxZ5W|fEYimG);@B^hF^|63(S^xlolxe9 z@wo>)<8~O#Qq%i(x5T|}z(Ocd;}&@mD6_eN4x#t~q3`g*o1Y}G>^#=QDVDrJ9covh zU2hJbt3~Zvd!LB4T{1fU|AltKDPo&Y{aW!iaZ=cD)SAxU8#n>1IZ8w4FQAtw@(U%7 z8Ed^>k+e$eC4u#O;0kr6!jWV3F;pn9W>ZQWL6i{o7fJ#q&-&F1j@CM2%eows{U$m> z`oXv479zvglS$XvY}hO{z0h*}afYO)skXCO1-=?rKtvpPaqGc`H(dL-;>C&B(SrMQ z)7v-v(mcCnZK&d^%hyjo7ql}6UL1y3n#8Zn!~S?CvHdg*Gt$8&++s$5bWHA)-1Kvh zbAuISgrtPs3}@G|@%sKTS)z(03d&5d`@Q=;5um{m^NChXsayWx5fk5iz<5Ee@A zLZ>}kJ2ZXsjdoE=7Dq)RJw^{&lah1nlcp&nb{(5j(a7vwpuO@#mrctn949qBW(Td| zt$7ptdmaONrA=`IH=-Q(ToWvzAd~cTqk{sQr5&vO@lITd=8?F9MAB39aDA`_V=0|V zKuotp6sQu_Mk}YjB83u$)+FZ~?{Fs~M6ne=+7raa4G43s%_@mr`#kM>=;&N@ru51j zzB!Fya-qAqm{Q3ep`z24?V-&+Q+5&p2(>T-kyHKym zlIb-ypLN_AQIt0PruN7DwLE~7wKtHoL?zY;wieRyxl#0q*W__+)xs9HCkwSq2udCh zTRA!hC_REbBD!>d;|M@Os9-?sOAD&&XeK^XimSV$cMYVR1!E85x~hEZ_iUy{`n?g^ zk5-oVcBg+xn=>eWJRv+^rFbCGM@+!pb3;ad2H<+1`73;}oI?a9?|Ps9?e;Jk_KkgE z(tcMUKyAA~h%Y+eh2|L3((RZXug11HKx|dk(bHm;?qb(WS9(8_=#-{?6O$%Aa4J)} zzAM*_k`29i-KJnBl{-n}$NYX(XP?Nq7`4AgJPVyRHh@x7@&&*9xCbz`ucP2R+UInW zK}kZhbWF<1Ho&9`PH+#Mk9YdCU=oZf!uWT@K~!3Wn&$K5ToXhApxqyir6-RkrmtL~ zX4)n$FE*SiS%%2VSha_b8>XFrP%~1#jdP{MMoY{&tz1^W7%G$e;O0n=%E%f$#ts_A zSJOCo+(FcB3b)4J(kV&erH`#KD12w)g;BatmBC}P$>XW2od9SQe+|AUQ+WY~W|8CXTr|Dep{<6$RmJiU*0lxqWamPRgy_iJSi5sFf1`WY)bcA z3{|u`cl|_)G~FT>u5YnsS@o;&0sd*lS8}v3#C$1#YW|lT+Yru&pFmsrAFaGV8{lu+ zspV8#sNQ6uE&%R6i}h&ePXfCVzQ(l?5;fYWTUcI9FziaFf+|)xga^}ydZg9A(>Q$h z*d}We)Gpa{ShRMGsYqF%zqpPTAi#-W-B9s5e{bSsLa4t@K?_4~bu)A@CEL4gK)?St zdeN$Bg3i^=yDD`xYDwUo(XQ%1Zj9J24Wlnj9ZHJ`u;tC+58bB;-Pj@qY(?d$?Rix} z$cZkE^Zc?t)IT=ubAXj8)F^#re5I(S^Cp9wQ#V%M6ZTNu2jK(cr65nmn!n^wyxVugA45%%16z5C6I+ z2pt!+%{MnVZNx16p!x&HD=x}HM6WF{ z%d~P^`Sf*(+6_7%^Q}n|$r;6I_^i!r0ijkHpyq*#Ni_NFefTJ!CveFa7Dj%M7}Wid zNy{K{DLO~0)|j)cLo=GWg=gD}W9B4;zAlr6VPT!ME_n9KUg&MY@Fcp!ER-Hhru~%- z^o%)p31pwB{!~_Z)rm5n*|1M8d=-(bvQv(H8BL#ec+aF;ME3u>f-6R1J?Lk$@0n-_ z|2LJpw}t*2%KvrcX-r;moC)w!Hf?7_u!bj(HCR~oy9sc-1X(PfCi{rqV^VPV#l5G> z|CNB}J)HT^hN|ZJb+!|yQdSAR(_l2OJ|=^a#7((S&{blZx`!eg{W@LI1`MAC0lh zPk4C3#m!4|Oh4>z@*k2*Hw-7T!l}tv?%Pg()XXAPHr>O+fCW=nKa+3bvQ77MKRZ}V zFS_9CE1|EzC39FTlTos<<%Cp=aJPX^P(1LR<4*F(6-C=UxQ6ye%51;u?;&N;$_;MZ zB#hF5uj$}%Jrr&ID&AT zIV6XXm`{vS+ln>mz5O{YBfbfu@0mqewW^f>NA_CNCBf3<3rX&M08H9t`7$0L3B9_*+)f-kZ_5h zM7TXuR`sY=Tw!^$$L^0~%+FGTo@k0lgv61S800e$sp!F5_&h)D2qv1@dTW!G1gYGI z2{7*77?F9LRl$#XMy{4#ta4|>oT)BFJ3A>S@LiFnfmoXYUJv!+?FtI{>s_fcgqO?F zJ`cITo17XhFnNWpd#OG$Mayh}vR9}dv~r_mVyHm9n5^le$*#>y(%Je{^}viLJHA)s zvojfK(JYh-&M?(I@Swo@tY4>MANfCL3H;}Q0RXHKW*ctbS(GAB$r7p;L*7Qzy2v#C z5u>!Pg?L+d$J+)Qrwy;q+2U(_JDGWSN$$d>4XTnqo0OQAKiiDhlE+4Dods5;C2I#+ zyyVP{>83w|BJ*Q{Z~gQ!ddERu^!@o@PPD|anW4$VZG-(&t8WK6osw&uEzz&(!BAvd zOfd3L%;+5({mickx*idU7AZlm&F2DP=2k#I!|`$aCFOOQe@u zMF=TDlU@`%ILj^7S6Rx=TME?(wq@xLI~ZI8Z43kMr09-AL|*8w}*yg(O0A(?>ZUW z%s-bD{Ek-HZ9<;rbbg+<)DTjqN+UvKP1=3S%W?4D!$=CLQ>W=6vM$AsEe$0Rp|b{Q zG&(>;LzO&5l{Dz7JyRa=4Y}P5yCEcN{gWiSp`?ESk*pmNSws5qzaa2m(DE;k{TKZ5 zctcL_$W-+&*dB6V5^NLSgkVE$>B^j$W8<{VLSpX~epQ-(*xBUcpqzH1ckwFi5BBxd zE8ag(ez#@(X{zol?+ z+W>E*7I9h9_PeDe^124;y8m_+B8Egv7tBOV)jleS<5E7S8Qbv@nujJ8&g2=rp(2y2 zeQ5NCjLh1MB|=@T3d_5>9$GkKZS>+MkZa7Riyo)-=Ap709QlQJzB8zF{UW65^Vl;; z?0vHZi55x0wik`I-_mkWL5`Kk4LVM>i<4zWh| zX@r1Zwq@71N*}u^RM(`&N&{cqT%n9&2$8Za>UR^j~gNg$jpUGbS8;@t1`*rG? zn}8d`N3@D`coH&vM6nBBi;dM^ig2xByW_+A>Q~zdqf!;3NCSR8_?wsL$JSYRoegqV zvoFL;34auCc~MK{oTWZ&zi`vMJ|2`e#4+UaI9N2q7$b{n7@Uya&w7h7TvVI`9%cpD z-MRgjM!B{O_5XB^i@dzNV#Lx)EJ=io?P{EGC_QP?dyCvu@C7&*7(yBJo zEQ-I^5MxAQnO+C0to%&W^Q71PsP|2MPkQfJashZX_y~L$eR%lj)G2uT@7?&b$PeJP zUy~OF)<<32%Nu)nj0}03rq~9Wzt6j8@^W+8jZ)K&9}XjtNn62uETuujquW~4r9rW| z&vW19YMNhrtI^rHT}`Gwyn<@e8@|8!s9`ef1sb~#^*B60V+ZSmIhldzmr z`R0XS#l4?iwaSIgra#AWbZTCh37_%i^$X`Y-2mScKC>0BJ)hqd<`CYBk=tgmO@l>MqOBmPVUCvRw- zqd;_zVL`b5cU+L~)=vo?Ju{aQc80vdMFrUrNcka46E-Ao^jti*HurAXrjKBS)=$P< zk!LX~qXmNIypjB)U_pn**?VQhNMSenKk+T5(CI=>&PGmWA`*_f%8YNL z1x-YgT-XPF3&TeY+M1MpWsJUhzL|Lp%El6Fy-x8P{UIzEea}_@r&VsAp?ZeNEkkv) zt=G;C7K)kD{H!CHvSON$!FA22k^~U8MqlO%KhJ}w;$ef&bP5ByB_EAUe<-O1b`-hIC5l^<>Lq^xJ{K7(2N@Uf}mq1Gog!>-^l z1poKI?~_CkrHZcL{Nqb*c0I62^ptR9SF5a(qeoe3r@y|##z~}=Qg~j?sZG~&%fk-q z!$*1VUTof}wUd7S%=eCyN7jO@@-b^%K+(Xi&s$BZl~vYY-A+?hbGoWeUdXE~(v5pS zlXkYWzQGR>PgrN5mLj1IUNbr$;z|~07HdeL$Mmw351wa{Z|DI{+@EvP4pShDJ<)Au ztvinH8YcTQUutwdM5MA7_|Is$-!*(n=08K=&M7pk>wcHMqFdy5HW+-Kov6`p2L0P+ zz$A^Vh?Cv$s*Pi2{(RR|P5DctEYb1mzJmEVxbX5h{Ew1tJV$+h=ImO?$!$|yL+zh= z+j#3)x2DVIb$^EI`&)Lw4r85~y9Sk$^|LrOWwFo<{*=t$tlE-nZ1qo{+UgIB3wRVf zYx(ri;i2anIXS!kMEABBf!}=QsK;IxH;k+_m_kqTyZ@r6oND9vHOy@^{+_kHoLyj& z8vpxK<7~V$9U({@Q{$&~t74r&j;$xbQLvQOCFtMK**Jp27Nk;;_PgNxrG_(4O6D|NHF zN)u6YUWlvlgWk~9d+!~0-`TzY6yoalKq|zQ<3VqTt90}KV%;l);)uF7k>KtGm%-hG zJIvtjZowgFa0%`V?gV#tcLKo~Bv?XlPjF`^-@aA*W4E?;Yqx5u=jrnt?R)z4?V1|0 zybPq8@|i1mhq|jZIAQ$*gy7Z{pR-_$d7rb8)#p0NB92Ly267k!LFZdQCG%{qnZk@dv$h~Spx4m{zPbq@&O9cr(-;8|9D z=mxrudMF0u4tq4rsPnwJ8&LCB@br>rP7oYBW?0|}AwIn!7>hmu!JbP#dsXM5EA*i^ z2s#y4kCk23SC8-~MOTOwP=p9~Qgem)PlgE3GUp>5{9ed~u|Y-1g}A{nPk>CXZ>Ab1 zz4S^B=A`0EuBr{oq#KzZRZp`Qixi_KKOr7Ay~@%uWNE2UGk9sf@za2YhoeY?6}d2h z9v-X;*^v-GiXJ?94mzvyco|G}y`}a*%*}`T#76q^ z2=UOo?MA}PD1jwQHcuRW<(p_Be&d@UA^u@YHWEDkMa$X%JbuM^SRsDHn>!)?aZ9$Z zxco$Vl?l`E%hKaB?{tbRuR=Um8toHX?Uh#H%r4BP90&#p;e^X9V}pBZEn`D^ODz>s z1ANI%QBU!E16bkt<;G{=_)%9uIH!($b#M;kgX!?37_1zjwJ`iTvslu77uhn?ecA&v zi0Pz*I`G}H2eq(X)d{r-UV7upu!fZf?G&pxdES@}>mH8q4eOq#MrPiJt3Crd&@$n= zH4mKNywt||;e|^q4TFuVEf3(kRmR)k4C_vMVODR1E~Lx^4p(IdoFR=)1I`PLX9GHi zLhOiM%`@Ha^rXN#eHm50^((F91Gt`B)&o64UV;su$-CiJjeW`2P*_0+#z&3IZWDdg zkPZ3qx?4T8uVH5z?v&)~wfiXpYw``E_BBgBej%erZKqdy^|Vp#rmX>@>_`xQX&3nw zm?ssNex#7vuy*QIT0l2HfRpkK-&r=%$c=B$9 zRbS)r+A4p~EpzQikJG3s4k0hnh6_(|XWbi#gH6~|GUJQ9s=A||ZirsBrFS)#{`k4N zWqZOVn&X$c9WlQ1lb)xgXNhqNwJT(D;i@q;azE_V&aQ+=$bIz{1>)@&iVS(kYV8#T z!fo-4pOUD{(dy2?@}X}5jF-`YD9Y(aazE5n4xwA#22;<|_ht^BR zw?1ZBzBxXyt2UcIi9*;Qo;~kQar5rD8x%cH3Cx5&PPNSxub)ley|QMWNE^O;o_d<; z9Z!V8;@i@~;OkBcFAg(L(ZIwsfMxtkFk z_izLomspy@t(RGv!mig@n!+2_oZKU>jtX5EnxS2HJ&`xq_a+2kIrfR^JQwbtJw6}H z^YyPZ76j*2CT5I>@GTy=idP>XkUzU2Wrkz3f*@5+AK7R2M1KQAK?x3 z%{OMQa^AKk_yh-(S~d=>9lwsj3Tu!5hRz#SSUtbZb{R8mAr6$)BcXi+uZRRX_?$9J zrU5t48q5X}@@h24I%h@Zh6y1ZtW484rvNke>qju6V?+WU%(D8MlTd>KxdSq*={N~& zPUZS<_+`)mA0odh#})km{gG!oQ^OD6!0BM+rK|5S{F39`&}kQxgHWTjVh)p2chwX! zz0kNnPsc3=a3GAzuA#?BZ=k zdDO@Od;2xv2Ie*-Aq`Ggm6AmTu?;lt3%CAFPr;tUtUc__@tUkYmMARxaHSSQ5x@a> z5CId253do+Trz_*QhGvnmjLy&KB5x`Nj)LE?jRHCO9LA46u?3IzzQZx?#bL$ z2Do@U<}3GoOu6{6>&O3)qx@=<(*I-E<$pj+{)bFuF`Jac|G>Tf5L)Mm56(#!|NW5G zE%}L)5fgEAuU%-&xtEYy_HW1=OwxESdXM@I@XiShCNOW4FnuaBR? z8UrF61;ko_Ww|DbGOg7Auf!Tk+)VUY_$f*;Ao@{2iUk~wTuHmL`` zK~Q=io^VNdCY;dI!1bY;FvUGU1B){}@PNhX9`L~8^gh^oZ#dhO;Ahy0DkXQIvx@b6 zuElEZ@8VquH@fuE1Q(a60E2?oGd_X1?5L`GqZR9Gj6WK5dkWnn(gJ?UU7i7cN?zsx zC;<=H6DweU@`;rcfA|Rjus_~}KuX-Gd~#%xk>sTrI1(%pw;KX*DSPIie$W7WC;7jh z$Vl-=m=Ff_fp@Ecec8KgfD0NQfeAn0mg1!t_%6jic=rmlrErM=Fa=)90$gZ)geQnn zM52B_;4BSefnK4sZJ8^7d{H&X1jub-|1g8{{bp&4s5Rw#@a`ZPh9R~8MAhcCe76tV z=-LYp71%7fCx#aW!0JE!A)hs#C6Ppzg0D`*-pB{iaar+cPkm>ycq~o+|CL~U5RO^D z0K7?FqJS;GQD99p0>+ccRNdevq5(mw4-7cxbb?vC_~4Tyql{fG@JX^!!7eX2h>Da1 z`;~TEJlQ{Yw*d4SzH174jo+P4eWL@IDqLcMp`GmpuBY;0n9v3L6HMr)^n~vk11{)& zuqOOKTk@BIV5}s6u?a&^U+^v(s4r^Q3E;x;U;*wg{TwKLxdI51z03dvNnRcRg5)mC z0YOri;{dE8uj~J;{&DLCVKw{LjJPYt_rl#W6jZ%t0j;&u0yyMHn+wUz`F$>ppD60j8)de_$#AruFKALL<5$-=6ECR8)%R!Aziw{UOJw~`7aKUk+JxN- zQKotb3kyg6VfCV7mE(GBlSE=$B1Iysv_ROpmg(r!^_ng>;sSil-mM2Z1G9doDevMN zTZ|N3eJ%W|$CUtdS=Vtys7#h)F58|3IKdx7a*E#7EXlLC(*Z{2mW;)Clp0(REl4s{M$;IV63&Es#HT>ClIswU9I0z* zhIQ8>F5v9alAOzPb)XQ@t~JTo9jr8b7u+HTl+Oh${^_NvC0Hi&7J*L2|yYXPI1nv({K0@1=eSoT_m_@5Dz2vLs+p`o7Tk_b_bZ2lqo zBtoihTN&jeqzhFi)x?bWZ z>1zU%j`qmdoVtKNBA~{g)d1%$zEBwxopa)LMdGcg*BA9P3kmcrU8U(U0CCT*Y?w** zga5*CuMxfHR4LEh2X7?rX8n7;C!FwsgnQEXpiV?v9q}H68B+WaeSR_cFi)HT#nO6e z06tM?f7L(xOGzEj(e5Yz?o2(B0kfROQOL;kE6A0DKc;~4fo>v9q>dl2vAMhG->-{^ zfHkSp%&13;l6djK1>w6=)T3QVHA%(8R`a~z129?Pr zc_)^~H-`5}g4MrWEz;zkFKiM1dV}An9^OrG@#g$ZB2#oDoA?W0NXi9X-lYcNZkvEp zKq}Oom=m5L6}nD}i5rj#?E_NNSJ-WF+*iDbanNhlt|{;}ZTBaQ+wl%#d>*%*y>fWt zT7IA??1D`tvP13#!t~!0(2bC;+ha23P<`8YeL1Ati2CIhAZur3@mRJlsm~ic_4nj` ztEB|+ryywm{-)+QbM()R)xFUAp92XJdcn~JV8;yqvrke4^281xE26(}D+!Hh48)BQ zgi``5C6xS&3MzcJaAR>VXm#(q{wHI}NXJb9;CbRSYzk}Fl7#!OadnrfovBBKL1o+Z zAzIWWAO7`_3l%xeut3uG!D>W*otFhrg(-DWux&d?SMwt^-9J^Aima}ro`2n%b6nb+ z1penJDA=Q(IFS8)-yr{UfxR1(;8JleKh#0#?G-Z9(!Z<>r>(=aR-j0{%&Qx@G9kr^ zGAP0Avj;>X%b!(LwJtys62(p?8b8PB&ZFb;>`d(Z@$4e>|=34c7mtN**%^zDNqz zwqm@Ym$*^Coc}Yz)NrAG+5d-NH8`kWj{l*`Tla8~KF7%9Wn{*(Fnr6_`@)+Um|AZ{ z+g=`tlRQ|13-mePkHPsto{MA^L&;Sh04hb&2%vWQ1fJ@;5(U~xtwD?SJV0vYPzNeb{Gn^x7O(oM1=Lkj zQFI&*(pcoDHvU&2rS5udm`B#XYtc-y72Yxoz36YUPU*M{+}!?^PWSaxX~;+RlXg(( zQk8?Vp#5(tFYIRvlIlF5m-0=mH#^Bo2)fV=634V9&ENi5w{3HM`tV3s(wn--z3z$y zgb!;OhdNC}uq#aR`qvVls4#FB*rDAcLQ#TBO-{9--`4dmNeyvAu z$jn(S8KZI{)Zp<|m}vkhOBm1tD3eLnOUj^AUIUMYuN8y{oE|RevHWy-zg>=`D=ymc zcr>`Aid7fr>w2^JrMiH^=;iv?@&89^OZf4qxA=ehy0pQpR!?0k&5K_UW7IBUTiVlf z(r{10v@5}`h0iJ>OR8G}mbL(`!3M$C-AO96p4$ACbijVQ5-K+3tdbOon6$vJlbx70 zV1p3+^5oCv-vOu2D^p&*0c3WtMs@Wy}Nw;C3=$8^Pgi4zT+wBFgd!_XE@+9RZp0hBeol>bOddjl3 z61b#Spp8aSI-|12$E3OyAhm%DKgd`@0o!viFLs;05*v^x_j}1T1wv3l96y4?36e}Y zPi?H;D9(&cm8IJJnw+4iYYCR3+N?_sEED?%@Pt3&kH1FqRH3gVE}xEeUqO#Ex>Vt$ntI2v=HNv^wyDk#!r00l&|wYD=8QWFS)_VJ7< zwOOT(rAn?Gb0)PLkt>^1tkxj91hGk|&{0|zNM%e{!Vhg}%^*H`f(0b}Dep5gOqfbg zCVl~jEKW%j(h-uR?_cNF&s2fWf!r=8joEJ>a(IzT{KB=A+MJtuDbN>0rH-Kk&IAkg z$eB7E>h$C*)k~4nL__x5=k+pkDp6)Ozv8QRF@i@FbrkOayb7{H;q)@C?O{VDyAagp zd(eDCG_PX|U!2-3tvLop{-9zRF83`vSP>K`KKR#wONQfI>Kc_-roLV3Izdj1Mxply z5WI6JI@5ifHKY!i?U!dyES7rvc8)7I)LdphyO7{J5+k)>1Qcu(cqZWnZoOk-mb|0~ z>L4*0q;Gr?VWEC7{s>E`2~e< zYGZBHkG&k*XKE{qv1_!Yclvp){!t>o-A+kG%Gf2Y9*y7QECa(h;&i?0B>rYw*lr9K zG})B$I{*RLB?sm{E0Hl}0FbAapbJl&e3xIK2HDetJT>IUqe1sg)G900HkN=N%0_3y zv3HrK{Qh#p`YLjv!Mm@3JK9c;i9J9fRcGk#HUNvZle+bf&5W9lD5KcT z-;q<-zolR0ZX}sZSxPq~14BucNI~sD4L@O~E!~I`L%Pbmywt;!@RDILenU!6Up`QX z2IO>Bg8y>@*rg19_R=uki~rOO*!uyx@fS_V?7Q;F4u8 z4cevGxD!BSS{`II(uMK;isAyuOG9%hG@cYtS(=x+%_6lB4~7h>Olr{CYyd!lUK(v! zAHCvp=qi0Qc8iNFf0vxjU2i3bB+enue2ufDPoPm&_-XRxT(jKTm!SwVT7vnPoq@_@?V zr=CEduNGM7Q^5)mC=sL~cIUGP^fdwtt$h-wQ}LJC@Rp%SWu##fJkOBJ2S?3^?gWB% zaiWO@$?jrlXXQt1X99-Xz76AWp`Vksp%vp%8vgFvF8U^g%+=K4(xF659{mYy{90gV zNg;M;FG5Z2IN8idHqM)$Q9>^gJ2c)EM+B(knk{lI`}tWN><<-%cKihc_?l8QAXj;R zn*e+*DaTcGpdA8`LVL<_r6$%`H5f9WqM*qmx5EcgXiixOxE~7sD*&o;Vc@&m9!3~T z0c*{w*q;u!BgB;hn3h!RkB2{U#Ff)k*5##sr8tZG2&hcROD(0qi2q2(G^#SGlbAl{ z4o-Dc6&Sa(+%nWykhV)-;f+4N5f9@EBUT1inDkS}4UX96%G^A9nxoT1a6mbSA!1;h z97wC)6lV;^f>)`?_eEnD9jU+-2t`u6QHWe5fceb3T+T;aTDIu1o{wSbDshh>-hENJ zII)q63>nKUyrDzoI0%5`iULpWJ=ZZkR6UB*7pGVSH{J&erI>+B18h4b%p8{zo$PoQ zQs7{@^+Bt$Uonk&C-4;?&`S;K?I@s(EfJurWVpMsOuEJb3H?|WHX*$_mb2Iv(bN3t z3#h`24o^w85ydT)vDmiaZJ>w-(>A(tFD!|?p|KH`4_^nx90dpxmQx?GftU~Ua$`!` z=_;|tEkwWxAPpB`!gBjYU@SznJPo5(50VH`WhhQ2i1kf=JhHm4(JPTVE>D@)8~v@w zMp$hA*lppyUplEj;NaY_WSi>>3{{v|4GS-sMV3ADLrpGL3ovmAdsf}bymUz$P# z1rwG(LO3*8DNRyM`;`01&Y!KL0`Ye9^348SbF-xMuDR!Qnr5BpR#xuwg=?FsF>|VolEgx?TJox=jUo{B(Rr{&E2wv)S0&5HLmAqC-XGoLjg>x9WI3(n?L28%W-L^GGZKQgS=(H=3m;?u z>Yg&?Y`(P+;iV<8kpP}cFi@<10vi|`22$A5#c@P^B56NM3HvL^<<{xcjz9Kscqj-`cCyI&IMj|lx~CsO`T2A3$sL-Hxt$FlF(U=|>S zT$7N+g2A5H`%Z7HgDtYo1BUNS4h{#EIaIw*m!@iATXHgavA*+ABzc=J^65o~vjqW;g z5m=%S`2E*M7KOl$iNKG^>EmQ69yzxKL4@?`p+jN^DZo9{$}LKYw1^F}=Z#8cKkVDH z1#^srTWRKyj?$=x5<8*hhu8_(IuPBiGfH?{s+W4>3HLK1tvvdH+8IjH2@fz|=2Gip zh19M^lu_d@99We>_Y#z^>}FWbLIWGHJyIgYl>jtq8mC_+J5~p zkq%O-9K&2t5w!UZC@UXhFX{E@^ca$$=dvC;mr8%$w}Ofjp$dKS{}k$>3VF#>P@^Do zA}}38RBr+U7d)R=l9w*GAwAa@Xv3ZLTeT@!M4)!F=;NM1t!+A>))X^FLIApyOdOK# zBrhNC^_K25m(+$YR-n)nr?t&70Xa(~yK=^6HSui4k0nao>yKk--BGN6 zg?^N6p81#Col>1iTDRGGBbk;$6EUuu;y;_YQ^xm-Vy%=u#c10^=$jxB1_~QPdw6qH zx3m$>j)N8IwEM9PwVPC5V|If7jQvu1WmR%bHAnGdtx|XQgN;2j+^6r3i|6NkKZe-% zOQjfu<(0R~%urY8M8dz1;MW-hW=$w&L8P7c<);vaoMi&`2p5`@kWRx%x>HqdI1R@- z(uSO+(j7gUtfTZw8^3sPGiLCgD_-;%KyR&6?B-H$lj6qMBM%%X5;I+WZ#BWsVCZ{* zG32b^qE5gp58|B!arn;y=>8ZDt*hRdJJ>^f$N{Ft|2FxPB^MJ%u>92R2qy7*=HovHk^dBkP}b#7MOE6aXJVmiI&=BYoDMpp z%3s`$07=&~IW3v^i#`(2qpxuqgntwhDo{7 zZtmdbyl{dNuvtp%#FT{)3W7Tri>~BO5yW^QUZEQ3Yp36yP+V2Luj_p(P;YtBnL;#E zm)%_0W%*;{#}%O#Tdpa@;W6~s1g|5NG;$$m~Q&(lI`OO{A&baJ&H-9cfC+u{P& zThE<5s0QKgRpK>2nP?+0Nq-D#JaIfOSTP`|JP_0hW`p;YIYZcRsJ7rN$Ocdtf@v{H zel-RknIvLm?2=^862$%WUEF!XIuhqDCNuy!_+vNG^eLXVsF*9q7ox1(k{CLeE`Bbp zl&}+8{vc3;l4FZ8g^uRGWu=%xuzj!6nQ}R(h<4@rQ1j{Q`#HILY7;q9qL&A(!C@9V zOT%fAo=pC>6-Q*}CO&q0=|6R=9VIphpDi7Y40y0E;^WEruKwJvcGTE>`7~YkN$`DK zqAQ6mqy~l~Fg_1TJ+5>#*-&^%lj<%_4S%=TInE{@HXa;fcSFBOir?g88DNl!7v;Nh z-EM1ax1nI)=D%HXd8I zrv&^=@Lv$mg9OfNUL-B)u}Bo~oFDnmx%uc;y69Q_-$x0bs|lR9{l;AC$X)LkcUqbY zW9g6gEB=Z?6is?3OPkxFv(-^B6#X^ygktep%CLlGa50{``>(bksaopPeQ^rD%qFAWKTF1oU+#vlglA1&ToGFWBR zmDg{JIuvoJe~{aFYbsfI%~#w8AqGn=7}+GA7}b*;v$Gc(Tct)Xq_3u{<2rLj@1|$F zDmy>=%sw|=Ho4un+y~A1+vvGtXE$ba7dGAUt(+ObOj|#Qvc)s1=JxXD*S}=De}&}! zQL@lwQ7U%DgnZtfEmN;H2#xF}i6e5@9}T_Jm=+OPCgoU}8uktTt1#Vzcf2b*-6Ia+ ziW5Kh+V)a3V+9Fxs%|*(x$k#-UMcS(}O;bU_3AzS<+Ek@9!(Jt2jJwU?0scCYkSyB;_j9BBDQ}{|~%Em^l?`QA# z<3zVQv20M-A2LFW?|A`1D;=!!i@azemM%Ka6FL-ucwYPw#kJIf^lCEnM0TH(_i-$=@!q_6r6XFc-r?Zc=8=T-@jXN z=y;4;lBwE020j5+HZ>!U8M^D_$WID>L$#7^(@w%m#pJvY3DUdZJ*!U6mBX{`>blGO zj(PGngz*N@S2lC7_;`$UH8J>_jiA9-7aU`L!@;;4dAm?UraX-?D0{hkr$s5M1M7p{%#ya?hG0(eY z>1l(NrCfNv6C>T`clOKObv8_p+?}p$YqjPTF7d27mdQS7H_BtA@9t4(P0GuEX#Vb)4rv+Mk8*Qrwmh6G5|;RcoI1 zFe^7~L^V|3P)ef8BQ#*Z)!1db%kOEa7Y7=#@VwB~ zcpB5QApFFhUDMmLqi)~Lb2j3{zD~{CtHWe?NjJ*nD@mWG@#ya^Kiw(^V4tGfc`%@%yTtaUk=OCBmVoSL zT9MP=8uI#6j41QjLIvYZS8pw?O2g*!=on^Cw3XhvYN;i)ZkUT$gC#Yh^i9e%sw%WO ziYO>4zBpe|!K_8JAX92z@m-K22CAWJDM|*_sBWY9XRf?jtgc>KNAAbUtSxOkbNLxk z718zeEV|;I`sV$u6*SKJaefR`@o95Wy^`cOq3~mo4j)7|WLAzY>iGBIK~a%{arV7G zR8f)G)YX{4ru&$%n#jSY%}}m+(^4V63+IGxWvODl)x^s*T0pYPy;zIJPd zPae}^HPKXonB8`06_YHfPV3+gl0B`T4Vomuq~}UhTkpKa1%oS9%o6c3kKWk`hV-hK zCE$IqfZe|L^H;X`#QyC`H}ULE(ed|htDT@hUi{OjuY0sCPZ%V8Zr^^beeXB9+2A46 znlb1w+Zs8#LPL$=ClfwaH{pxWec8OMf^I45-Z*-W(drRDza6Vj1eqp&(&Eyl>Xn^?nghe0ak=Fl+HQ~>fG_Jyfv_ydQ~&pR2!7>w$4sYMf85)uq$ zzd}1bUf#2avTbJv4FXO=f`+17mS5*ydlRYXxruUD*5vX}c6YpGQ2!wPD+{^YwoJvcJqP37@91exi^ z8CP_^zjz+}X{|qh)cl?qLpK+Z`Y39Vh9-Mg}{CUH#Pg4~g(M#x- zfm&L|@Y+jI9=nQAMs|t1!hkrBxSix=UE<+#jWR2HFLQ3Nh>J zUVLxtOH2@ID^-%UTT`18$0Iz0P&OUxe;Sq=J|R{L$9gEJR=q0FGso|1XHoquv#I5v zNpRR?9WL^J5ifo8cINl zCCj1kc z)M3%G@6H_rX5w}nyv-`Wg)P*GxY-g^D9p=IBr;mQ9CD*E7O*nDj2dATymriz2r^c3 zdY}jpBoi%>1{eg;U_)D0ORD zONG=wb4?4bl1Hl=E?#yM1E&Zd9Yid%6crRD<1Cyi{6pvDtkxCLXMjty}uv_X?(gSW>NJpNoY zHo2S+avvng&6-GF!mQDOHSMN$-q~3Un#dlhiM_lMmboexrFrNrN`3~m&*Ta3dz~)x z6|nrwtBR}7O7ab-Z)UKX`7*U!2GU%6E($>ZVvBG$L{+Qq*5~Y>k0>}DSKk{ha)}+Y zg7x&4^TSlUNxpi2sjEsytu;!C4saP+_4~aMZAP4$GcY83Zk&Ws$gGr zWP4Gy*zm$-*c)6y)Z+1_!$FQKO3^yiYcK1?gQYp`6yitt3Zo&)fCU+r^ARjfjDtJ+ zioiX`nfhA+3%wx9pq=XHw=sKny~M=Y#`^-|h1anKQDVa&xJSNM%M&XHN4ifa^eb*C zZY{b?MG&v-vaN=vlaF~Gshoeg@Qo%-Mf^gfdz7v$%-@2FywAVH4)m<@RLomH_pVNq z5miUfP&c+tZUmQA)b2fhBFEc>hJ7ufjKfs6i9Z>$P1QKy>y}1j6g#u7uurz?g%HTEt*Oh#!i?I zrTB?IX{#((e*NHqBB0I`_0lWJTaA6H>+Cx^>bJcBv(22p20tc#cCDW+vk8U?tTIK_ z?Dua?%QW3MTMmP5ye2-NG%GH~2n@ws{AvHqpF{9nQO>r6RKhp$?b_d}ic2)H5;Z;j zu`f~R3CFW7R@^x=x_JHey-sx>Z$Wp=lCHPjCxN#|5<5r+5~0-z6Grgb@XyetG6ZdH zjD-&8rB-7B$_UoX1}u-WJ(nRiFT4cAN<84xeG2_La4-DOh&t)^s=hjAcSd_4TMpF~ z>3(O7&Wjyf##QIFC=tFHiuygg?#nYv&CnJvYQkVxB&Qg8k-v51aAPJ3TKEw4OMB)A z;y*Y@n))$$zwy+3GT(BV*Zke>Cn0LcPp!Te=dsMs!9fJht=i@oC!2Yak4fToG4WdZ z-^h|?&BqcC$+7io;6p`YO&WeDH=h%vnWSd52C&14ThRJIj1ztk;@X=`G`P?nZi2a-ct&H6~kSKQ4N#<1jWpvV?|Bb93c!wC1Lx39JSO9-^=AXYSQv+4DVJ%eEA} z>F{fXGufK_S`b|oi(DPU+k|I0LZ@vS55DJlTgb)V&ocT;mU=hLdaY?u=k-;GQHNo^ zcjBnUula3Hej@i>o1C>jA^tE{(O@#2q3wnu#xWwRWr2RAM~lh6_i-|zLr-OKIvyyV zEHQL9%7iYsu#Z@EkJv81`MSo^<~%wNRVJoQG8+RMD%Z-~j+iqL{6q$sZb zhdj(!mI<9J(IWh7tx-t6cWtIhea9Ecn$A(tu|-Zh{yjsc5}#M80Me0avM|>6SU(Sm zRvdC{wfKZUvWgQUNVk=Olng8Fj%(&M1I~0rywh4DmwX<^bddL_g5tW?S@l@SYy=Gk zoRTamPeREdC8J}SP+szqI!Fm2i5(@doLf^Pj&IFj?n6IzD^1vD4DCIh3LYzR=^9ZO z?;2HR8`||t${Y8z;}hXQA)Q@TR&7AGm7Q8w1oJng??U43-p(cN<^HYmr(^kzb;(-~ z0b!r$0?gZjr3=uxTkO&E)p7;l9iXT;~MEp3MD!ykdyae zuwfUeonD+c|Jh9D@=NjrR!j1o?mWA}=W^q%HxK7B7c}z5?l(Cz)<}Lioh(FM45F`^9AalX? zGnHXm@PFvEfuqnw?@_WVE*{mJMyF_MnV6rR!d)b?;1|}96E}-t3e9YXI?*ZcLAGf7 zps&Pf8^aWwS)1T&laA!dGvespLhJx@h{&~0&Ngk%L31diRdlHQ02xH<|$aMF$;84zi&FQNUVN^x?tscPhq$tLswF>`rKntFfmBiQ1+h_nrx;onJ)?XJO=DL#8HC34zr@^5QU`{B;{A)T4xrJEHDLq5vH#vHvNXt7 zj$**?S=vvksfm3pLxH2C3^pWV9d|Z84Kbw=DLj#RGv(!&``_ z{gqBah^a0WOxA_Zk!xWDoZIdEcc2Xh+$> zaQXO;{+f%G2aZ%EvJEs)_;W#W<(IyEk<@-FM-0COB?T~hseZrxc+!%hs zF>e1F>vkbSnYnRKqE*8RjK9~&$c`-Vr`Vaw?X+CX#~_Xx&mif}?J*Q&D-TdLVEZ7~JDR5KX!w&ZiA=&IRk%%)>a*_A1tA+G`y8J-FYZ`7k z6h@SEb9Y~j-H#Va)Wf;$60Jl`xNtkIyX{iA=bbtnH7=G)e30^rdK%7N1e2lnfqA6= zM!(UHocfT4VYb{~vRIiHB*0Ce-h!g<4v}8N3fyr}d&{%2Vk+|c8g4PRQusSiR8$ft zT9bZU)b2TbbAR9_B}+VNWGEBm{m&$eo38$nl^OC8F0C2beb>_2n2QJ6cQ5mMzqCO= zPCefwb2?IeeG7m7vgw@q8SI+{W3SXIOCD%J?_X3HF^zTAErobP(~`~)G1zHu%Y@8M zp=$zmNV>BO<5zz+IL74I>;@O*q>gAolUiJie^v#EIkCPL2k=VEqubkeB|k=o9xs(a zOqMc#8xYEU4$aSqwy-$6`wpbeZNMBJ`%@j#n{AJd^`za8jikl@F*PW-@K%dQ!3o<; zRAWPLM#dOZeMK#LLAclOkJ|wkk2%pOSO1%F-bO!0?$TWmg{d?(hWmx__!HEKfD&{27II2a%f^Y z^4_HyxHKH&@@l{}aW9$q@ z5>>rFrt&wTx*pnWL^fFwAq9T7R3ObX@DA9_kEc3~ztE3(^O0wXc5h7K!-FGRDW#gR5F z+o}vvM;E)d~>pygX$Zn*kul|qTyjIMe1Kt5j2vc_UCX*Bt1$hT3Nh^)V`1hn5`=o0`x|V&Ctk68)aPS%#d7swStdNdafg%eN<~rohMql;%Zos)1OSQv1TJ za@&8}k$5ky+)0_bUct0ixS?XKo}#Yqy~=znW;U)7+f9@zT*-77>_)_Ajmzk*sdrwV(8w1w)#{tx{0D7$Y;&Uc&C};%B=KZ#%5?dBp}#e!?I8WsO*EE8d;%y zPpqV8&a*9JHm(`#_bxTEk_k^DOG4R)I90xa<@l2pM8;4y9_dzHffcQL$Xx7*tIu3l zaeBQ5rzwK+wT{&i(9z^*rzkFc_mTMOQX}x zM!5`k&)q@XZGc4u-@!L1w1UYhnxiNtv+N_n(eI5~-ZYma_LCmug=zKCc=Sit$m1-z z^ex6BRN=VeR*R7OFBL~xPVBq72yLBS+4+foj&oo|Yo~Qh6>>~l65nqwQ|^h$bY^*G zJi+YQEE%73PEOZ#Z&0V^XnJ>kVH9XTcav9hHrd?6EZlhR`o4Ww)bh)5uj;Y+rka>! zMv9>z?63a!5-f=+JQYH`7IwS$NL*<*GE*gZRyH?jDjg(MzpzINGyw4A z5ZH2V-KgB&$+U5>jXNlx1wTmBy&mTkJ2w zze`&EN6Gl)gq-&Ee2^FoCG^KL+@`L7@lNWgxq270R%p2Wbk^O~8tfQ&=`0rCG9?P&NmCO}NO`UD8h%^0^(Uv{6366hwKc^dL}1j%NH8kKl-L4 zBm>la&3{L4i2-tz@Af$95MXr_%{sBJVOSyp;8w9J8)HLW7!yXn5dHlXb$0O?Hb@?$ zHMsz3kCAUrP))3??MRVxn}I(^ED@<-&GC`-HNg^MUjV!JfzKL;k)eV~^~>dZ&*|Q{ z7$LjrPNF}*+zqEi!~1veTh|zVwBADSt@tuju1ag#HDhqj{vviSzdFuS@C~b-(|K_P zZ0->jZ)A987|uB7)^~4kr#^L^yD9$AzVyuS%QHqa_3m6*^*o235bX8Uc5kqp=HGUG zXZvq$oMluLPPoQlSzux5?vU=5lvKJA=}u{h6{ME_)3rzlf^;`aER9Hav!ucT3QI|& zUhcR1;ePqehnacioip#8^UTaSV<%a+Vuf~snB1>TG=aLg??+Q#z7@stdS@rYZ>nRr zQf-7?6J&4iyZFnj&P@FaedM%$48{GQztGAWmTpv8@yII2Z~yFsXSr2$EgZK?Kj7~Q zlYfhC!v`8`Jx7~s{{u-9qH=c2J=b)8zn;5trRrEv&gb4^uN>&e%24`PtlamNq3+B* z%}~r$^4)%5o(~_nr-k_6R)Trk;P$k|cx$ou*EhmWGFd!BZi8ITMAu9?^I1ySnQWY1 zmW~se!Vao9CaLui0`4wLfB2;j&28z@9F{Tsn53O0gmd?DjE~_@nW1S*m+r6yEEkb> z#unC57oiDD0W82g*iAA3zTcy^Mv9(Ku=Lst{{3tFF0S2S*8TJD9*d?J6RxDAhQKX6 zRSeGKaTn?u)6uNyHkO^?rWb2DZNBSQnZw_EVizC4SCe-Z^(~x_esV4OCoSyPtViB| zi9%&>XvNc+DRM9WQF9rI44Q7m&Y54Hs1PoAuuA_}J!_A$`ly?-hBt{_O4EAkvf8u% z3@KTexJvk=xN4>u{O#4vKGreS8NtuGnm@;pUcQsOw(P!>ZnlD)Bej?)GT%v3$jEbP z6ba%kkHL>5M@vKGuuc!l&&=2R53}y^!>|AMZrnjiEO7m#;D0}wS|3?};^7S|Qp}MW zaLOQH&&|=Ud}fefs(;MrVDjQ~T`won0<`J9j&DCg}9-4GhnWvhMH4<=R0Mze{6R-P|^X z+mvBs@)T>hMdB1Gs7;2~BD>Amr49btWobp+krEea{ztRY?(zG5Uiy1>PIeeE9FeD~*(BQs4))O{P9xQXimyNDWi)aXwR?yb(^ZXR6&an_+ddlD z;0B0S%5PS-N3Ksi?~>p4vS@c<6>h*3i)HX*79L4=K;t^|OQSGtQ@BPvu$>vCQ98D| zEF;~RlYxc==ww3j2|MlT z&(me5swVGT6pw%$pE5+#4A4p$`@;C&u~)+ZpR`Uki1ce0VO&aMCAx!C>dF@Ec&ry z_e0WZUpvFOL^-GvioJt^bnQ#KC)3@vd1&8m8Mf;Q@K>K@QT)2x{9_T-#@hBpV9}D+ zb!^&U`ZX)zJ_Rb#Xj}YIM}6o;jjGtV*B%TEo222e+w(qN%35v=#)o)$BCHxeReRn% zJH~H2v4$3?A<;prIt%b%BFIA9$>$iWboi!NBi}L{iH9KbL{!+Btha0?#&a5#3t$>6 zF*^r}7B_ROR^DgFn)BAEs4#{LYvxBRqhiOM0bv0FadwV5XYX=&( zvn!T><|+aa)$p{wZ}700w1{$Ry9$(8MHil1cO#2+WfFDu_n4Z@AJOji%9^G*t6aL= zDWHl*kE-D*)8xfB4%mrIJWXJp^v?pR_1Wwi&fa72Ubgmh(gUa|)_0A2avdr@cS9{h zc~?wT1ckVQYm0x`dA{myZYm6TM3&~3%wk1gSU_5us8}r-*@{^TB`x(+GIs?YF{Dw^ zD#_57;<(LwwZ8nUs#Qu_xz<$-;d&|a)yvGt^BfxtR_@a!X zx?YiI4&IxYt;wN2!1+h3Y_rKw1EMABDn~g11(TH*!~TV*Ck*@4KgXx&gV z&p4xY4!#&uBp_BSkH9G1S512!**XZCzhfzI10M0Gy`fVwC!T++nl=r5n?+h0z|v-v z>2pIB;on8-Ni~4{*8Oxe|DK}Y5W`tpXOeFJv%L)fC6~-kcw}t z9YjDrk~*o&s=LrD$uO4sC9xD>&UdQ95-%0kZC@g(!|Je4-2-OehmCOm}RoT=l zBK#x%mRjL8#dW5`(Uxg~;?-*YWUkb-5}HAxuGGH@6AI)g_vfytkoOS0(mNI_d!PpP zks+HpSg~soH1C`yNStXHz}}NV5**UjJCvXX)l%$=BwlRSB8eoar-;rXsV9pzVzCqe zX|YM5g#No0UuXa@r#XK{8vD1nqZlt}VeHn>5_Bwl3EI3P)|GB~;q zG$;yc2Mtz{{N)AJk^JQZC9-VLk$x0mB?fTjjRthZ4o^oP9#gd=_k9tYZ?54j?Spi5 zYoJVHmTgtjM{|S6-sp(0GDTi1efd9+c_|BY&FWLb6>I5BkSg|{IP!vw&wi!Icez-* z@L&4+=1UXB9rx4!!=cst%B$3C^pDHFpoz;~S~nKvmp^A%M)wu*n>d?MxubPL&Jv)w zSr^`MEX-mFIc660ooxCb1<$>Z_vzxOIKPP?4D&ovvG`A;_G}PICGb^o8uyOLusQKh z$K@(=U`y8oCKo}y(drm~m)M@%L&>8lPrCQuYJ{v74tGMgWH4R^JsZ7Q$l%~O77FGw zoIC)eF&A;Tw6OTaZhN^;9%!(5mvLDPdbd-s>EQ&?bF87^EqiY^9vc=Z?Ti$+yu@j* z%n3({f7&#h+ndvI7CwOw;C``V|!LGAY`^q4}1 zW%qe4W2vqiS=Tzgdg{+YlfvPP@%q)1T8DsVs4vn=!L>7|Gb#=cES+*~u(PJl(L@)y zyR4XSKms^{N7qL+nu8`l>yG`JDhLm9@UG`kO56GJE;7Q7_P|<_)`~5Dw%^g zxUxUq(R`?%;1Lq%a3Ro7C`T}sQOdV<6pvPPU6loqo#lzz<0G~+#O_B-onjyjiGX42 zS_X|t8U_{PK&xt`(Rag%?t1`7+EWXWjEb+tA3Miil6lG6rrju@u@PiXlp$7 z+Oue}BYr!rk_}rn(|ppR8uA^pe=RtMvzOuFAvhMhpW*RZ(1|`xYwa7#5N_8A)5+6X zA`oXG7&o9qm^A$=(AiXB9f9hPiae+AB>=}Tlz6RLV)^o{tXhss@Mrrbk8{*ub~;Kt z&#rya$`a3>J<>MOOq{Ufutv-nnHT%@wX~NE)-|KGI1*#S`0;b}UJuOLYEID{pI3MH z?FCSZ9BZ3&&XoBT^2mK1uxFKUU@6H8vf^kht+a8n){Fn{&(qo(lk^dDz1H`D-+tyN zjXQ=zR&Z!*kvZf?c@|>hr+Dhj0dMl?(Vq$WdEvKu*ZAF z+ABDHWmqdl{`etL>#A38Vu8X0Pm8W?R;(>;h4jxLL&iBF@*7zYCZFa)k9=@vL$P*& z)6K2FkV?ENfyuX28VCX6#jxWzOxXFSaFcL{XiPe;39iMXTS4F0wjnJ(@YABAk*Ck$ z9##jwZXwS7@?MUV;C;hzXSLHtg4^}00nY0JHzTK#zM_fRx}}EaD<;j`S%eW?oVH+P z0nf0%3UpXHZu(XXDa3d#UEX3!s2*6v*x@{XHW3wrFMi2L@YwC`Il%wjNK@%ClkMr2W z!?vYw~(KT-8?f0*6 z=^~dBA0-}wDe#|5j{IINdsdHcdZf@cDxu56Yg}G4Y&2oKoiA#l6d!8o;ACXs6qVrb zinn+>#BBZ6f}F`N+g=4-ypx0%pX)9HIKc<22zwM_CuT0>GEz=_d6U+SpNV zSVzAT?jsY;(LZokFB&U=jY?QnLB&m$ypw{pXR@`CjZ zxDxQC;O4?Xwr+tCCz*M7-A8#0Tuok$Bs6AG-o10_$84$^>urYR>*f7KMBP7|&7)zX ziuT*$o7U~{pRFHe{Cg*WHud#Sp2o)GiJUgPPsFh~tn<8)u_=EdosHuYd2OCO5#MH~ z*7HX6nC{8_e4hwyJAqsVbge@Q&te*0thG@OpgnsXjge@F-qqJgG+VFs6IFWqjgV-p zUhyY}_Es7q(F!5brSQ$*5Y`g-CL#o{7``bRf@wDcSxs~f5aR!*B|94$&_xL->Eaw~ zX=a9LDN1MM`Lc5JRq-4|`O--^VR6?~^0-9J>*`38!xpM|+?AxG@-EnIma`#6!IA+b zivcH_PZMM41=HJ1tLX$q3jHW4mz&N$H87kd`c@7>i3QO^W?q6;wPw2IpY6y@Lw%?M zLM(yfYm2btqI?w{X=0e)(_ql%h`eSd*kvWpFGcCXyk;C&@*>RTcJO)VDbjqm_hheZ zNVm5#IqyQ;W>QrrC_%`+q_oI(2$hf0iq0THzRwd%2Ic5UkqkP$-9(Wy9R^5|Bj92! zs2_1{EH1WO79Kcnd*x0PC2_Y3W357b`3)uwZR=;L#dy2S^m`@fu4b_OIQDyDUc@!= zRNYm#Vr}{b{uBR^898*9={-!ZpsuXnv|D}W#>*RMz`IrSjIN2J>W;43mB0v5{0`go zlll-aXQ1Cwi{kf3xxgsbZkimTV%MbMN9-a?HH}@1j$Y8@)oU6nxXc`R$`}R6~>`AM*}heihHn<<+-E z*u(9*y(Yf-*VVf1knGu)-&iqG2)02_oeOSh1)6w9LdgU$ES|moSH(mVmdV<>pdm0AjEFStRXb(3HX~5H!3a$e61Y# zl71)3sse6>eay53A5y|WjkKOP528=kQ+AIjFMmWjw6Ms1AMRj>|`QXhCsf&g}M*e+X? z0XvS^mwxLQ`L(uT)<_~Qz8srb_|OjRhKoJ-Jsx#Z3DqQ`4YQ#iUB+~xt4!|2 zeQdqlj@K^vC3r#Zy15@*dtn9q$@g{>&NNq+IJ~lnveUy`p8A0EXPX-OAqzjI06Xaf ziy1%MdZGQz2D0tP>%FEa6<3kodhbLLZh3hbJQ%K0(9`w-aeF_VuyFPT+{P=4j_0zQ zC6$WKVjt%IYif_F2;9beAmX5cohk)o=UvcppBfm+FxS92FH+_Jc zamury*vD#%)1idpBa5(}q7Y@BI~gChJ<%r2^2E(k6 zy5M&A34U1&u0_d!viBcWqH;({?m>gM|$*ViiqGRcvUD1^mS1m%g*cqoR zysLi5@}VnbE}@j^aV=%}FGeU^iP?X<*Fi-dSWYYw`?&fYFsp%N{ zmn^u-9(sC~IQ%z?85SNPnwxi_r(dpb1cBODl%8?dsKKO(BDsHTEe_J}+wx$#?Id5? z@)XqUB>!Tmlz#WV7m8S@JKYRQmkLi!jguoDf9*EzS43fG^cj0tMPt|CIkUlYoo#Ll zC0ZxG>(pis)KmyM8Q;5{nSYBPD*5UuAm1IrH`$T{x!?WsULAXJb*akD@ec4vgUASD z`pbOEVO_F8(%epqunEOnrOl~60L<5IeA_@O_(~vOh{A>LjCV&wKV%W6rc_l_?jI?d znGty&7c=_DEadS^i|~x&I714fg?B&E65Cp+Y~$B`zKg(6-tCGd3j+qLR)^}kivy>J z8eY$nlRu52{Qov^pRBght+4eixAm=*^)0*gt+@3qzxAygXK8c{;rSpu&@Q<9%(wdt z(tQ@Dtv)G!Gq7i)Btk;a`hbG5?dYPtfxFzGZF~S-66&;LcI{CL z|Mc{olZN)kgS-sU`2s<;_~MJKx-Es~h~JB%dR0GvOX37|Xlv{pQUq~6pnILl_T?o) z8VlsNHxfhN({6l7)}z{OOd+w^z#o~i`=GEGQ`U2?FWO|m7|>6Bu4Tfrv^8BAnu75@ zr#~PfSX}zX)F%#X$<;BE=9h|jV;WHdm5t1oW!OjutYD(KebI9}DO4R0pQq}$h~WJG z0sz|77mc^WB;=#j&!{%dh>OMpw9{;y?``}Tm?GVWmY@b(C_;;(Da^%hMgd^$(NHqLHOPJ^}>OtiE#e$WB9vt_}l` z1Q0w%R3Ap|7HrvXTMyOl5L#Bkzc{DL(a z*I)P-tQaQjkSn?el^h<>AC^h7Xn#-(HY?#EgVG_`uAZe-SxSS1C`wq5geb(Geuo*mfAvbV^dBkv1e0pti^zs6H160=kM6##UA& zDOWb-26=%JfdklIlt}VP=&%Q{zG#qes(|nZ*+Em0`WU+uN;#k}uSoD&Tk(y@k%yLX zgT|WG)^;ZI5^0NDN7&B9!k&9M?NBrAlpyjPj#3&5yi9#|{xV*&jqYBxCQ00UC&%H> z>>APri~L0&jf(jdCF}|Xiq3=;KUX{%E1&TxYB~`HdwZZyMMmq??Kn@YS<+@N)C^BM zc{=CtnRsFcuA%t0GgdI2Rqt9C*@ci|-TWO2A0%lqVhM|`04y*G;z{3lfhsb6Bi0Be zY4>sj`fEA$hK>7cNe$1LK<;Ck2(8v0%s^j6aU6AMad!B}ObdEyvP0f3gk45nc-B@Jk639&Xqn7+!d%jm-i_gB&)RP% zVDu&MqZz!m?1^z-GM8>G;c6+KS(WY1NyPW{v3h>32SPr5Xm&pxd0!a2*|xrILVzIh zL>R7G-5?+zx!VaKD>sj!a^Ot6KrAz=b;jn+etPKX3xZUyw-H(HnT>-uS*H%+JZ7R0lwurIS%-!-2Ad-5 z6^@za;Pe;>-6w5ggjx)k3A8KX+20pvzlJjVwio^p&V zCwo-H1oKi<8s%nd%{o)-)ZfkPxGX_p&Gz1#T(}|Ap&lDW=sSsHyTv}@k8w#Aq|J}( zoJvBzq7?XPqvuydP#l9FmhfqYgBK9>;$qSqb22=7vI}qU;VDN6CUN(2E}?g641V&$ z%;^r#0hc0A{c%v_tek?-GoH?1YQQC}H0qhHJlBXN!6fxwZW}O1C}y$?IA~J3Q6ch? z3UJ`w43`~{S{HgCzPZdz*eH0@(UM&~;vg|wP~cO6jSf+f*vfVh`&O8L7+s{~b70Yo zr-UBm6J=CSu*b!EVBD8ue@B%;5u6Xf@7T`Nc{%QfWXBRCxm+ZQQ&UKrGD`I1Vj6G) z+_2G3b80hSp@nzCM8C?)UD4rh%lGOkj7Lq<+Kz8xo9fG=ZW@*_(^4?e@Dj1)BQE{;DS42laDu87vDY zIJy`oS=fg=tp145VI4AO2MfupdSCzKZPdkpn~)ht?E4@o7dFs(eNMp z_Ck-z_6JzVI0C*eJv+|1@v&GF%iM4(QzNo~nt}3Fvlr7_q#iFCtD+@SN*n3FrSwf6 zm_AFzfeX<-&#SmSu~#rSrmv)|GqevHt$Jx+^2?ety&kFw z^S5paG{|wEo0KK$`0Jm`h2+TXIT3X~7~h3RobE|P$g;#&X1eGX8mDx&ke~@leYr+x z-rF17QFTj4^K&Jfa=0?suN0{8X=Qp+fX8*`C7|H~kG+f_?jCf(Ge$ z!>b)4PX4}Q*VnY-@+20*ju9rgj#X7><9c|h#4mr!Zy9*w+|069%O@UZIAOU1v?*#L z)#5lv2^pk4niM^Nt{^j(Vu0F}r)_SMVr`U3+(O&I&{QDLqeVg%Cm718xdv)!QU6L$ zdX(^-{u^fSOS0XcDr#_c>Eb47+77lVd$I1Y%n@e{7C*Ahz?8vpvJ!ivgwCb@_ar61 zuGVHJB*yDZ*KDvFV&|?m%u3`gBq0;V7oKw<*=&fs;+L}stae!$|0`*Q9*qNo9MA97 z$DFqEKSm_e?azMybY%bDq+zAkAiu9BkdC;)Q*e*p=s6G3k{swsz3WupLx+APR(&=S zGOG7P3cHjC(*hBT;fN8=joH)lmURE#FL$CXUPI5Dm)x?}h(8u!J_suC$1_Y+zCnN) zBZRLR!#z`ZsrDwuqF5(J&ZqfM`b}>q(+e(Y2Jj26IPZ0}nK2wL zgv<0l49AiM*8lr7DJpI+{l6uPn3P@MdfS?b9)%qR&JN~W?YBx+TY`I9`ueQ8e!$P> zn3ybH{z_9HCle~lm1BM!ZvswE)#QHz^ueb+H(SA{;e+6VVRYyG+4QhwzV^jrz8~t7 znAnNu9EZl{_r!Jq9aSW`ptrl>P3+Zv*HAH6oIHIa0OoCO*+b4l1@_aiuKzr7s-1?DN&J{#wnhAN3?+gdvRs7 zt8#VO9^6WrT7<;Q%5L!9ti;F}hw0TR)I4raH%Rxs)Z-kilR{Z^REgGdmBi<#sQX=y z*FQ56zvZp@#X!_g)c@)5j29D$>0LflgYALU9YfSW*+_ZzMy6Z2zZ1H$u)75i#OzKc zLIN#;fwGK(q&}=WjQ$<3`>~<#=XZ;sR?zozyS|tQSX(-AeAxN8fy9jURE^AM)-uN= zjl^g2GWmSALOXx_rEL0{`f(3^BA|(w%%wxG01zzsmvLO+K>VE{|5X9o`~(^0xEFxW zz*}y{Ydk4}Tbh3m%{%(f#J5s?HP~{5XLvFU)K&GEAsIwmz@(8_nOAX0Y)kB0aYi(W z@aNf|1dR36KG-`g{(-Sj5rQf||GzzDkfQ`5#3OpY4^_@`h;UTd^MT5 z(<+BnV1+5giD5rQ+q2hT3HXe4OUcN@zq1Clxuhn+{2`&+^JQoVTaNh5;%^||4o?5+ zVI!w)2%G-tkqdRd)ZST9c zpWpWWmkpfyw6Ca8W1fp&`EK2lUz;mhc!GPv6*ueeyg#M1weI7RGupnllCHcz~suN`LzUR5r=8^wq9ebVGu_^=sp^eTt%UJom*vs*#^$<=f`Sdu7Y#s~yUA zjEGQR*wq;X^f&_(hyVgHAV!2jW8>D4&+juEn;RKH97W{18JT3R; zwAW5^gc&QsPcXl!2n*!7@A53*Ly%KjaF>?~XZi+3ttpGV5*QyHNa<@8@;bNjA5)@q z3{#V->r3@DKjqB!w0v9>YCbcthoy~)v(wS@yq55?FC1b!RHo!RepuzR@#S)_1v;xm zxAwCrEEBxwXMnZGkWh&;(7lgbW6zsd4E|6pE%hWKb+YYo#3C;cwJ&%*V(-W zevhVh=`!hamQKobeEUVc`2GWhFMn4WichR`e>K}bItxtf1UcD_sZ`1 zZf8XGPM#1rBw{W1tmy@}W=mvR-PGK_Xi?)e?}lj#Fly#AqbF-f)MTXOOq~?(f5<@O z=>6#*WZx}T+hpJpQX#c?W_S05T!s9z|3Wh6=)SaS&CjckAD|ozGSFzBRL` z*(+MIb5eq>-Iv>U`>wXLp4Gg4r{Q>z@EeaiCHa@e8e;>Uqe%mWmv6o$5lTHN*Xr#(e;g1XGS~-E`6e6U6g*v*eLbL9j zaNNhpaPcKSJft8_7OO?onu;090TQ>mG8h^1N*Nh=P`ul0&5Ueq926{1$a6~bR8IY0#T{n73gV4>l9N7i%awou> Ul?|kb7YIdw#na*yz|6n^06B8()&Kwi diff --git a/proj/GPIO.runs/impl_1/GPIO_demo_placed.dcp b/proj/GPIO.runs/impl_1/GPIO_demo_placed.dcp deleted file mode 100644 index 313f18ea98b3493d7e5b8a791900695665aeb99b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 440936 zcmV)5K*_&QO9KQH0000800X*-QlN-q*uMb)0M!Hl00#g70AyouE_iKhm6T6!n=l-P z&#Tn$U~$?GrI0^lMd>QQq+ucf5lFiearO&FdLd-&~bnjU?Z;Yr~s2GP#<(v)y2(b7lMhRE;^4N zcrdcUPO_&bgc&#qK$B;lieXLukvZ_hHE#~`X{>4u z-48uSoQ+hyZU+vzEyjkSeWj8Xld+vRTPqj}#EGs(3Ib>h^A$#8Xynb@{Dv27<4+qP{?Y}=gJHgC`GJNKUR z{nt5noqK!j?)RyuYFE|MwX>2p-Rf3usw^BLf`ovFi2)_j(dYQy!eALcNj}IEvuZ-1rq5b_}gM1ZcbnN3K_`bCdr$P zrAA0Lt6TXKzgF+>tekA|IthI7Hl<@K_nPp;7RcWzTo%*|JF>U7#S&JyxxI0>2UTyM zQfw2xeDGz^57_ijZn@oWn)$`M!rd5RgxjjKD^s>>;!`+Iwqvde-0n9|ou)z7B`0#C zC~~R_md@0!4#S{oK0mL^`8s{1@22&dik}ed?>X<}hHu`TSbfuZY7QID)LJ-PYtY$N zWi4(?YFaqT+O)t?qYJ@uXMv_+vtrZ_Z`q z>H8Bsy`O$QTfblB(NV6jDPG$>NM3{E-htbe#N3slMOX{~BA7}xC2%LdM^%#utbSiR ze02M?@uYKqQJ5j>>ZV8^xCKYQ1&1A_oqp+(e(6p= zdOEnfoV*w|YYRVp%5ijohy77JVHPH0diCx8ODD!fLZo^g@ng(q+u|bj97J_>U#!|H zY&FXT^L*x94{gFmr9+&iRCc~v7Ws5p?u-=H*OaF+Z*SI$U2^xCHa+r^q+(GjBza5h zsL9)Xp;lce@6&;d6#V$W))@_Z=jqbjRX&T}RI0-guc^b(G7;g?#2$5yF_@LUw0x7lLbJ2HXiwoQh6VpYz-H*vsbP-#^wEXq?BubY{Q=Cy z=m@id6x92*mE2WeM;LmcMAEl014b-5>D+)`>&EEpI21RC6 zrMCE%C9~fLRps2vGZRZolo=As0WS**a%H-dO0p-^CHt>^-C2}hE>|S>DGq6NXgAyN z>)kY@JZDWl3b*wUJ{_+3rQDuR+6I*}o0*iw1>q;Jy=kAuhlQK)3DmN_-uvT{kEq40 z7yCG9ir_~xCrO2aWZQQc0WNLFG^<{-YD};B+kCc7px_$LH(D#7GI;~F2$-0j5N+sd zd>mY{eCq|o0?IwNs;1}--7=B^^S_!u10-?l*8#YSJ!!WWNnG4#oYNu z+I}W9U35#N4bR&q473*9Gl;=S*y~+@gs>J6mS6rp?AsCMRLA;EPr|vlj$p5LF^lIs+6@pq9c(zBFHR}pBmB_6S?>5LC$()_gUg1U7X7Y$m=FD{%nEmn zESRANH!+F6I{bk6&l=h4xE8T9yG9jP^qJ>+lL?dnItEbTBg1F84%ZpIJ6m)|LdNmi z3_kp@&@#8C@AYh#G{5+plExyE*P?>_qWgm5`&c=R{#5=n)af^tkDWbY)KR9CWw_eZ z{ez@V(}_Z031GI5T2xnWtRDoJCr5(Nie_|*(}%=`K$53Wk}$cA)wu!qsXh3b7*5b| zhYJn8>cEMMC<}Cc?GIoF;PSd^@(PuIATTU{3kJGBSTS}3oi3v^Y5-X;p)~erNJ(oV z?k`l+loA%s1!f4$1{lqydzcs)3Y>A(<$?>yo>7gM3&bj^{!L;4NCJ%lqd6T0PA5%k z#z%qPNH}872hK$Y>2SJ?ri^O&9vHzE90p!>G>}heX%TjUN{%iI2m^mmmAg@$v8gOh z4KVYt>#NFLKc!lSF0abYugYCLrCNm!pRA!OChWt_)TSwI;tp%-{jhrTiP*0i%R(Q= z^Hdl9I=C1uV<&OMywQ*39Ut*s@C-`bQ{uCgL29`~)a?B5ze4CEB$p9$Og$0f_Y&7Y ziPffw<7S_KtRZ4=j8L+Q27(Kh@1O{YJ4YFN=pmJ*+M?pzT{>FPj$qpA!>iAHqH$_ZW(cQoY;d+_`V_32jHC@;IA~Bn_ySGo57*_^x=-i zh^qeur>UHgUt3j`8IY`G#|tjUcph!t0Dng7L-EyE)+z&~P~z|?=sd?&rVa>7cb0`GM5^hk-%C)dYr>$@Y7dgjr5 zCWL5?9=C)zJ4(#WCTy%BtSThT5pleP7-x#)4u{l9o3BPN;KLhUa5QdP@f5ziEp1-E zUy|?%mL>c8YBsDCK--vA(p*uS=HGn4V5_vFqo*^OkB*&s4##$UJRRUQY;T20JsCI0 z*XCgQcGNh(YWc>^WmW>c7Avdw=W;ns13q~XYiY8#-P7SHLH~^a3>zuU9X@@Tjoy<> zzNR|Y2Y#W3#*77Gt&)gxR6?|VSgVMi%sCMjQo5O0T{|CH|VdKxG+FZiaL

@iCvP0ckxz|m3KCcNIO zNd_x@eRyBgn{6ip$tS+!03k7@w;{O~@LT=_xbeh(OcwOCU@X`UG&s?t(t&6~n24Nu zDTv69Cy`gF3NnOV04b2&&tJU>c5y71+$$^n+S#ISxeQ9LtX62)mLTjsx?ye$qLVGr^OoRDXK=1(gmrGq3b{vw zb^gCy0OU81h^R|C_O6zd<1NE}kMRtvYK|jo`*y|*dPjy78~F09{@JPCM#t7Qu2vU# zIkw?P!BiJ?jfl$5qL}C_Z&0G#nswU+jGU3BU6e9SFKEg3ZsP#@X+c7FNJ0egeS0AH z`vxFdP|TtGhSpb&Rv&aZ{)n2KqOw#GviQVJ&S((t4xfyNF*X`G}95TpA-%xpwyK<<_{_~5UvlrtFO{>_4?$aPy9HahXG!gF@v*6;7B z*6u7{tJzbWoG=2NYuQDNXPdLVw4>BK zYU;*{mQ>~5nn4&z69noVM%eX_)$cBlrj!1(+3{+Ii9H4n|8&ER7Nfp7?z-m1%7z$j zpt*6u(^tjF21hb+PN!iWpxiuHLNoGH8z#$@h7N~hnM*4&%w&oDjRcJKDmj~0z1@LVQy%yP#g<&LufTB z5=)ujRQUw40~ImxvHbqT7;Qdze*w(yJrxj-5!DvA@Y@eETq zpi?G+UnGIi3c~av17LUI+)p(j+Ch5V&85&_95R&t0m zo1$N60JAV0c+VO??j2W$Jw_sA!?~VH{(-%w91k_`6Fywt7eb`8JCJx`TL8)8Di^ZJ zRX%8qhf?qY;C=+SJpt}cfO|^-sr)i`=3M6YN(N^+hkXy8Hkp?FqCGpf6^S)p8aC;7 zC-_lB8iYGLY1on~u5u5pvK_{lgYY_SO7!FO0C!sYp&cVt$(j9wdSre8zQ9*7;OMUJ0p?yaUnJE@rPxR-p=tsK7q zDSQ588})T^0aAkeLcl=bn=o)Uq_sQNPaW|ex*hcIABKP*Kvt1B2cBbM!sB%4NiLpn zY%`>{JNBcKNITjEpmQ@r!(Uvqa*^QCc;CrgjtxY^4?E}#a&Q$+KVEd#if!E+tU zK}G}s?m~cD8Q`t}xK#n}3V>S$u)7>&#vkAw0Jx1+fVdg}ZcVfwvQ^N8!>Zu1jujvy zy(+-_`{h6mP5^fY^~~DD21?Nq=H4=v*<$MoyFv1!-Gy@6qO)>W*%-apGQFyKie(>t zam5eEX?9)NTZd_m>g-)-jnQbI;-Wd#kR8s@P$7eIO{eJ71Sm-^$Y`M;1)13;e*bb* zkij3|u+SJOw@Sgt5s8G9(0xchIH3^-XoCU+^g$qtfdnQ6cLWn@{Osa@p@IJ76Se{c zki3B{{@S60lmq%hqy+->2XIdT98?4JCq4LD0Z|567-bbX`nxa+v;de9UT=)EacMWN zusm$EU$6g@rQ)tk5&rRMAP=P-Igsh~O&w}x8aM=kSXqS3T#e3mEw-3=jDqZhO zlUX@oM~uj|@jfM*Y=P($rp;n~*g%jsLcN9jQNVV% zZ#mH>T&ZKx&XhZpNpu?bt2wN*B$%%x6dQDamR%U{%2MCAJfj8#V}24yWoMT-%Ab1Y zg}O8zuc#MY9vl~g8&=srr3T~**?tg69vq(@9dor*X9Xv`&CKv@**^y6+qcJ2_B-d9 zfqO7 zbSWcy7=|*onX`U*QxXoPu7Nu*MU$TkOSD*f!JAg~YvOhZ68mmGSJm-jZpqSzsJ(Fd zZnok|d-R{ZJNjmJpP)QtOo~Ny@V{M&?Md8dy>Yxu{`_w9+f2N{!^?N3B#G+{l%?&-7jpokQe9GQ;*ftbn+ZMX?k*Zej3$+gK%o= z*eLdEjuwGss~H*g&<42n*>A6h?2SuSX4duoS=MIOjYMw%d)dm2gxv|*$}Dhm#-%o| z!9)lGN+cW(uNH^b>bQD!MueJqFob>u4xb&ja@DSO^qWKTAE5JZ5ICHkW}v3x98PEJ zj5;6-aG6{yKP=f1=isQ=5trcT*{{>#BAtZ7;Ub*_Bj7Ac#L)|J6s-^|akQ<}c|_`o zH)mvP1$u4dA#j#t<2D4_i3UT^{}!ptB5sTNyU+S>1AtHmh?5Xh9BzFMx0PC#@O^s2 zet`fuom!lB_`k6)KxN{xwf}0!_yUy*OEC^A=G$nGjd=5)a}(`}8ME`O1m00yI^Ra8 zTn(fA&%TMlmLrYHWF6QliWxCj*SU(O zj>;p9n%6<`3F&UlY#%bb4Pi^UM>a*Bb^P~ zDoIHPgi!Wzx(?`4d8LV5zHW$t&VHOIITd zD#-iVC4Tj!Y!5TJ1Rpv9DL4U*BKf8X({c>t7=u!4Qz#y6U)56;t1qFe z5gjin8G0*KX^+#r#%Dovmo@tbt4oHaHI?WQRXO1UgAS*LKigJb8O)I}Xv!iM4-A_g z9Vfl&6kY4m+gFwx>K>SUcMY}5BCHuO)@8jWmUOLnoa?d<40*z0R@WjftaPpJW;^v# zr?~Jtr-u_5qWGibK932WWTRjCpQ2;JJyZ8>i@l}rruON18@?t#8+?5l51tII&CRjyrc8*Yo{gv>i%p|zZ|MV)*%LBK`v)W7p@@88oa)@;^x zJz$p@>`e50exK?;I>7(#>;CAS(dzZA9aU`fD`DNU?j1W}s3D=`PD~dm)m(iW&W7Ud zm(@++YSq=Zl)Z?Xl-;+M<-pIkn;jEIEUyXMjLA0`-Km$iKL&%D4O*7dFV3De5Vo&s z{iDy#{CK*V-G^eeLtA5TN2?Vl+q)rshK^Lj4AX0imQ>p@TM}1RZN1js?~g|(Ike-Y z&uU)QHU~=x^P&N8*5e_Mli1U>Mv_+bMkZ?v`D# z4VXBT4Q)%)``DVVlc|2AX|bT%@iHT|+rSP>HU-6g{|D(^!EHTBd0vUlTRmLIG_tU#IBb zs5p@(O4dcLi*t*%u8HEbOhy2gw(A0hMUV(64C!osuaZ z1Qgd&ju29!Yc56qRjg^HKnE|=w34rhn{Hu`wzvx@{$Hs&ktWXnv(i5la)gD1Svi@C zvJ{}7Fo`Bo_C@3b3kj=oGV;av6=f;vvgC8xf2X9&$?D3I)s>|H%Iiv#gNii`73iR3 zng;SUk<%?C&=%tX#s4c6CelRue^&a3QjD-LH!Cj!P=J2QB$`;+7ZnpM%&p3c$QS1T zm1xS6FKPdsk|{3&6xURi0w`}PO%5s6v{0aflWAJW*Thb@Fh^UQ0~G(SRGCN<>;G8^ zKw&Ood#BR!^Lf_&ipa5kfdZz}uDm`+0f`QmgAhlo(!5ImRuy&(GutB7#B*u=c($fE zC_tRiNk;BP)~HQ}Tp9_`A`8$G09TT*SGeEJkKfH785AKK6p4$7kPMF?#=uBI#So#V zr>CNi*45Tl)W&UVZ7po&bg;LVu(#je-HqSf9T_Ae8zh^Jkdh3SBF0EbL`@L}#8c6S z0pb<4k=t4u3tL$|?9CN;{1{{>@6p2;y!xxe3+6xRzXgcR#T0R%e$~#L7@6>#Ad_Q6Ym-GsH8M}R) zzI4U*M$fTrZBNv0ya&bDYbra2z=C9Zj==(Cb8*O@9lIt|g) zltuKJ zh0@Jbma?SrjvP=VvWZ6(NV&p|<>JmMhmhq7_5)aNutbbsZ@rG3z7Y;?Ne_@vKfmIq0ZoTV% zwC;Yi;h)!kt^Y5WWq59ySBl?}_G9AzhXG6XVOp`5lf_H-)j9w0rs2&XKF27DGi2UR zYMojQzy4{#y4is(lTj^7VEqtjsco=g!e~$cg*`cA<}XUa+72Ei`b{WXAXy+k(2%<JCDA>%DX6(_~Zu_V|?%1lTBq-Lx#OrnLqBAt9WxMb6hGIYwt zfPct%3jsws@p5p9Cc-jw(!XS!GEJgIuws>bIhtgXV3{iAV)#E~yoHEjm3TRtL=$nD zD(Rvo!{YkRh|C_PJuXFb!hrn}XxU|keapc1uA8$IF2j519vhMX1IBwh_vcf8zjfWs zpBsh`T{2wJ6~>?tB4${BgHRYDbKOU$kP9NI^Z`yt`4K=mK}W=#7D`M)sy0s@)6^?u$cPo1;rt6`R;v*~BZisJ+Q0 zkj=azwFguIbS+r#{H$>~O#l7uf&r7;+F(|%jrK5-$kQjZAdNZ4qRPis#yK(b+_d1Y?}^0Ji9PA*C!U(@jfi9qwO3fvX{S;O)*sjBt7;eoU!Jdb z>ly1A6}~!Nt~d7&4-75*x?fHYm;HLW-Q4d^-kx{ke}*&k5IEFo;5+(zgPLU2&-&I7 zm#8bz4KAoFH-nnq!|I=O#9mWz(8=4M0xz7S=sk(1XM!h0@ zDLQP4a2A_F(@1zdI;>eB-#XtcgK(`lw3#a3I@~OS_&;F4UzR+y8S39)yV*L^EW3DP z6pFQx@Y%5NS*)23Y86brvq)og=n~p&JF%vk@L9N-4&q-@ppiaw34FGlP!mJ=EWk_$ zqly5fN+{o1ws9(yJvxk?a5jW;4N({;+)N0`nlc|wq%kj)9d$N@@Glu)CWL4WnGYw> zNEFHrHXA~}#!0^BCybM67Kn5~;e16hyZzxGgXUbZ$M0)Dw~X&_w#DM+<6ifsDDrTG zm>+;ROyou9b#eIp%NDa+?WP02JL%@4CA?et27|9F>1N#)&9`c|#^YTFYrEU-y(8|p zUpTGvxoUGdv!{X|@8^`)i|-11WNr#15NKh+P8xJ-pgt86BD$R@s3T$}8AuP<5++(K zBr%Ws7D_0JTn+`C5Dq8oAQ+^A0FYBFGkYcypeQgJeI%6WfL{5t-!~qkUaXsC>hHNd z`mm5g+tk1|g+K&KPqn};P^kI&KVXA~DD6Z)bq^?td-3*quwGKA`FDEw0O;?got`Lr zLbUC2(TNe!i5}63R;4rHWO$B5Dm`1aGg11GBU6Muqi7eM6V_>khCAGA!EQ^RBGHmN$pDvD**90Oh(vs z5;vY`1oD6SQtd?Fi^37iYFW@TkpS-;C^YPlpZx#MDT)@(VD;B^Ja~Xt(MOFoaDcXxVd>#Q^qdC|fO(KC8pxEb;;DE_gJo|wJG7)0>R)Dp}f$U?~g=B}d zjQQbkAz~K*hTxf$llsjy64Z2Gul(H)^a+6e*#pWk;OAGSb>OXKXk-8*U5P0Tn4=$Kx7!V`yTxc(3G>4%q`#(vu!aD)+ zfMD|h^1&+=uOGoMMtxW}DS*S&JEaa7Oj9@lB_k$iz)g#!9}d-zY9z;d>E9~fHb(qs zFu|urwkzHTSX+&7IZ3`04wqHsC~^J+|4-A1{U@ni$!;ki9;Z(Ke|@Sz{@15!c(40o`r?CM^fB!9 z`}^xCMhY+T<7eL2({;?z?}?O)RB5_VC&7{@L)Wp7rm!9YEiclwQQ>sy0Tf~%5G1A0 z6AbstT&>dkw&BF?2U{zR;FBy5;j+mIoJoH0KE0N zhD|+=*6vV9Yu$g@ZMA2k92z8DNFi*@(fl_PDTl|dsOiS>QOE4hzff%Bc+cj(&UE!Z zE#=TwX;NfBId4F@xY)4!pwM$s0@ngTVFx2p8`z;J`z{#5_UCi&%hcE9)@XyQ z%gy1d_o;&b+K1@AiNMycYuhLCTX(`|piD-iIgjJ~_unUvcJnf8P5^A}HvefiPj9_8 zz0n9~%Z+ctT_`i0mazqA^LpEWad*%Y@pAho;>F>3&}(d2PS;5Q#24>v?aDNh;n$}9 z%M4zM&?RS)7~j+gsi+Gz34zH1KC=Z#0sBO$MC4joRZAkm~W*eNAXMjm(*`tJ-k22Ez#V~v1i1X*H~;om^8TE)@7R#fXd%(;#2hARXxTj z?3KWaVws2JNz0rtTZ*}9;YqI*izRXAW^4)hZiNwftR&(+29uECX2L=bAn&l-%jbUibVj} z++YLDP?JTv;*=bCeykO$^N_D|Rl`Y%V7QUV285w+%QW@z@0;K`Btu9p1z}sZpeR68 zgf2~;Ga!SOC^&{G6jwNBpiSK*&838+Y=PxbJS9noB1MOl#F8(lP$bl*Y%y9Iz=Re~ zjWVMA)3}rLqsn?C31L!OrXc)jr({HDG+QLzkpUOE21p84w-8aa2-dV1bSpy}Q{YQgq^Vb=Y5bR@-bKW?B+b14UJ@EW z68hK+cPcz?jDaS zGS>ANx<2;^y1aedJ|_?E_`AKHPHbv)H@$C*T)!I0J!*7*$-Ft_3&{UQ!UETfdah6i%(3IR%3@*W);DZ zO3B82MYS-KlFSD8IF{gd7P~NJCgspxR3;)zV{pS|3L*uvVl+dK(9{zY6b2r& z-JX-7(bx%}X?g+hyj!}QrIRG}Qa46?cEW`WE$|dbQ2p-;q-1D{hE!C_;=PKBnnXoQ zu_jN0MXWc@5yt5&@;_iQIaLwNz;eKl(EYALpe5tN6$eTc?awg_gQ4kC3p*q8M+oVv zX695kzJ*G7A9{3A|IH-dF(h#AwC}ZT!nl#lZpx@xSSTn-OBM`Gr7kH+Q`mS{$Ti*W z6L**TgGdZv%00)L3TNHgLV zBlGevAbSK=lVIwKvP!F!tEY}#jP=II?S;eN(lJTO8evtH@;||~G|ZEdT(X_v79Q_m zaY{^M=8vH4Gixdwl2t#2nKdj+-;svw()&Mei>ptRzn)P1y(zf3%Dr>{+!R zXO)aDuMUO@BLw9`l;E%08Q;o_vEd2wOh4-KLCkro?8_K5A30xYLI?Jjd{Z2G0$7&H6}zKiVOC&m2Qbm4`B!K!d;k-9q%r zPSQ++y3V%m;@{sbd*G5S4^^|MvSf1XP1I>?;gpEoDFw{5+APWN`?=8rHPfXcFtme4 zDOxVBTt3chVgFjObG2;Zx0N(04olkh3qzSqNui_2T$|#YMgo=xF&=M6e0BV34i zjV(SeqCdnYR1-z3U@-A&pH1)@iuiDhd%zT|``o0T6lh>B&t3pF5k|oJBpk6#ZAM0T zYuV<8^uXl#p^L~y_2x!)=z2rOI)?q5iz5W_Wsl9J`~aRyc88yHIyNhhUCVX|HDs&q z@lKPKEtDp5C_fdirjAAVt%Rv6`QY?NUi8tgAhS}@pmOJ*MRQ7}-vk#m6-7DmxzX}n zOEG`Q5#pjiFV{KrPz^W+?>biy=GFvJDEG1OSWx=H!A4=1Ljnl`_ter@>4g-JswL(M zk{y4oO7sRvGO}n)P|**2U3s4*pu27>4U^G7r|%1k%hFOn5OJx?$_DQ*I5?1#nkFZ7 z2s$h~ILL`#I%oeL`Po&1;1sf@n1UE~_J}WdJhWqYz;0!WP+*)f89$IpVgs?!-H7b- z8wn}^h*VW}{f7WggZ?!L1MEJb=9#XB6eqmlX3Mn1c;R}+mQ*=u-F)ACNDY9D1-Ap6zr%Fm%EwT@8Lw= z$b?_cBH1&syz~??>qzcBOr$ZxqkByxkE{{Lj-ftceHBaykeu!T)!N?DgE6rQ6s#KZ zXBc|@2HT`eb^|lOzZjFMtRtXnuGW5kb}|$FK?+NXOl$!4oV~`L`PcL=@U3(M0@MdW|N^*)*yw zuE8~pTtN!1+K2*6d!7F2Pq+g!MGZMCGt^y|u8>#0#kdR(Yu`JME(V1QH_cwsnw#iq z2L6ErFGslPSE+{|P5cfi(~(@~!clZRsHJWKn8@Tc>*!Fhd}i}pTP%w1h3NbgXv=~K zL%8(OMqg&j6L_+oTI6;@9HeuU73c+Stoq0mw)>Q4Fuk*TM~8X7c#yd^$1EZ8)i6lP zw_G7)#pwLwh^wL+usU8W$z^)F@V{?%i3*!tNLxbUN(E^c}vUOG54VtEF0piQ*+%l zsT9=n1TrvYA|k1nPpYaY7=~O~SV$&mK}JTSz)VB6iCkn!R-~4HUs-rBmFROs#gblP z&McP`MJA}5%CLPBJd7QwN6PHDmx+RxQ`}jGRnMB%DdRf-W9f>t#Gh4r0tfyV5F_tv zV=M@xU61}b)81+p1nkHwJn7q;S<7$FP%1HLxT-~acPuRTJ~`k-``n2cFM`8?31i20 z+#<^f|2@tH4R_&A4LZO_}36x3|nZ#}@<%1Cb=`Xh|QGw=#-<^Ik9DVaJRGMT`kkQ@a{} zUVlSu)rWnAFxm-V5(nQi(Og)NfY!bSPv}kIB<)_}++QKVctT`}PEFIyq`NKPT>GuQ zDc(kc*nwMTdF%ix3DeG)6GEhrch4!QG%Y=mQo|%H(S|53hY|of{DdZ&Mj5O>7OYQ= z7Jy^1^c@J3TZ9TDq)Zvp=D1C>j!1Zjjg-aRr~!|!`ZrL*H>QKovOkW_-sY2^Opety zQfq*-&#S@)bls~)NQz5T7mLU#SQ{Jb5VRmDIE2JZ0|iqy19Q;pbX+d6kT%;luWMzs}G8^)>xI@jUI&mAd&Q|GxS& z{t$Q8tVHzFry`22!ui4b`1!c?l3$=g zjILQi-qTR}Mj9!F_VFvQhiQGjK*HY@M=nAV6)u{N8pTSLK3`}iTaeEs6MkqG@tC~b zyI#@zd6h&Dws=xJXHsOY`gSq&Tjx{h1FMGC8n zwvpyxb2nT;95kS%2ODU~&kzoCsb>(|O&yfL5>X#ckSp*O8sb)zYOa)-0_*34U$c51 z?Q@$CXT$P~A}7lW=>B2Hh=$#~#0)u@Er=URIER573Wn|O9~m$6gw2b>M3FiKH1i*| z-~8Be)j>xer4Ys&W&F1|(q_AsNACA+yCwcSX5hAe;H%E(8opAu@>ewxORDA?iy)~& zI5gFdk{cH^QKliWvBNXfG$O6ZLzH@#TCj3d98tqRlEtXd`+R*|yc z#I1sE83(h(N!SFrK-A;p;q79Q-DZT3{-F_G-M>y!wi1{@_iN5IgCRHfZ_Si+eO`RX ze4n`5Nuli1rLsMudYZT%HQc?Q(rxLQ=pJoZ()$ka9(V4db@XkSm_+QPyu0C7C+!Rv zjJ}2)mNdGPMFT2Ynq^dII8rI50vE~XfE3l0qGU&6J-ydlQLXqjMxE-X5Z@*G{#d8$p4aXuuQ{6t*rL zwk#a>cQ|Z%IBa}4Y@F{KY*wzrHS*CAzM&(8mPLVozMhLc%7JIL{ zh*oF}eNXB76>dn);TQ)0!zE79Hbv1iMNs{&?wzG!8(Xl1%+W-|Edpik|F*b9*3 zzrDDA&ws<8)rmRI7IPXVY2QZLyhzR39ocH!1aDtqd2Ux}GL@$vZ%4-}dw{(>AJs*l z1B2)qJNAGFH~#u9}Bk0Txs@md2rKf5X(5LaP0HMn*HTpkuP!U%CF*v!+=Qx+Dw_ z;hVcS2`|{BkdwPUKLTqXs2Tpu0oBj~NQ|L;()ks}-A>!Z3<3As6SN%!nLz-kAb*iH_l`8c(XNl)S+yGM+U@HB~?EC^ggj*r{ z?`~I_m^cmH)&_NC9C3%?I*-(>PK39<9mk|SFg3^T4$_Xv(o-*?T33;fBM-(}4u3vr zTsn-toZ9f_sy+6K(7m|e-lu9 zKO$uKKGgB^e~q6WbT_;)Agq^k`?PS=1Zu&wHuxgrvJ`YBzvuSvGMhU_(7R;sn3WtK8K3+46xO3ANvXr0=X4N6T(C~J?(yh; z_fj(1fBGwlC*`1M)3r^qxTc}FX1w^wE7J*$a%0WryuuDDFz0huzYzsB7Dj_v0^)i0 zWLvj7z2@{G4zY_eXd31wi;Rqb?OBNoQUpp69IBNP_`@A}ARnwQ08Ba2?~4~|Eg#Gp z94b8@?6N|t_6#+rUYQ;?v?j(^kpy15=!ct$u2x7htx%LYVKpkO%Fk;tw0N>P0+CWh z;*NB(xg0@AJ=A>BFe{p*kpywXaO4HbxH`o!-Y~E_N`a^aOzNLQ3F0&8WTkQF-bIz_ zN&(fWs2$}J_EZID4Ti4JWL^qk*cx%AikiG(-||WYq8i0zihjEx!x<_BV!PYxP%&f+ z2Q&jR{a#TSi%12Vhw3;NjwR6ZeVTW1Y*h&x->89Z2;}L~%x8eoDtX&IAWKk%6IY;c>P}Gys$Elw5f3*IbB-9+cZ`pfDC2D?P&~bp@jRSSABf@T@N%tB9H-Mkh>e~WCz;He$5l1w{71<;e%@PSE zKuwZ2vgCC_;YlBdN}EoA7AX3?SoygNEEZQxv^h+U zf?WAI@Xdf%FO$ePgY!7LhiT2kccQ|OZH+&%q@95d41&7cZI65Y?4ZCUhX6B8UyWcC z-Qail`eWib?|W{0<%5Dy(Hl%`=+n>v9q*WZeDUkDWO6cVMM4R6pGZ}HgC^7ww|AeE z0N>%A9Lw-&`9z`Zt>``f1z7ZMT(XGsozAeESmmd4D{TS>>aHHX%NIda`Z@nBeG+aL zygC7vuHJCg;r{4EHsP!BE`N!w2|^r)-4T{+vx8|64^C?bmaFN(CF12&&*pTiCyi^2 z-P5;AgCRckHi#fkM!Oi6%h@qL;*A1-PoBLk&9)ZEr=_0G4Ew9f4W1xR)19ttdp#-F z&Q6gCOKbtg0E3`FBz#dYOe6zg7!q#GIPFR!^T4>6l_oYrcl0_dOzSiJgDLJyIgAd^ zQ;H0qhr^GD=YAbEt<>-NeObkJXtEx^Jjif&T3;H?_|d@4cCNLeSDb5V)Avn$Umfo9 ze4o8*m>rII2Eu+VfDK^Bhp%@dX?qZ)vdNDkkDYS?W2A*^d+j?qwwI3q==oVkAy^DRJx$xUEMW z*3!bYRJu`32ybzt?z)Olno7)cMi5V$^56gxsnuRTcw@^k?Q3|XAfINS|9Xw-evs?d zFnM}+#fk=i}uHEPY6zKrrv{uAcEH zf_R6KV6SM8_X>hZM_@iF_boMywIn=^Mi|3Cm;i3-8IotWUy4r&ND5>_IJ?{jr!i*M87OL-*|1XpKaaTJWh>Zki7d_+c< z5v#4g!kjYs>Dc20jSP1X?e1ppf??e!CnAmwdzEsuKs?wGO|mt|BA#g{X|LDMeXFGI zOSl8#_S(4zf8@^t+=ly5*3B=I1Z}W=y1ou9_h0-M21Hk|`yY|NhY7A5W1qg=8i;;R zO*BpPVvLPpxs5*x72>;95X&|h4XGRri8U4qF&1ht7Me5e7o-QdwIaMS+0SzMeLBJe z>dsGc)nuN{{3Mmq=P4EGl^TaoTZO2|nkzGW={vgPGJN090dZfaW2+!S?kicX=P{JL8!Js#WnHnWM;{eQb z5(<74YAP0GgO-AGeiOc4stPwP?L-f<8%CWQfj`RbX?9OL>B^}nG{4BYxKFf4K$=z zk;wQzM90JkaJ?df#1O$qcysghaekq6hKC_G?pSqEF}|I@-ZrnZ^+-Lg2%Egg1+{!J zdU=60wjcXV^3EL`OeErOK|!~`jmm+KZiIbvi-^alLLw{ayU`SuY6|VqB!%4*ZLgA_ zBWKq3&9!vPHH11tD5HT&qlVHT=tN|P3F3eOL$C@M$LT$Y+EEr?3V& zy_j0a;{Iu1XLokI(*Pkr-oLk_VqL(|#Gt$0wQi^le;zYwep& z7`7!o;t;fXr%bVcm>U=Q0Vf%!DH5+NlnvTQyQSQWHe#j(l#SR*qNTdZITpjC?B*Az zlSFrH<4q&YNyG2;J5WXT9nsR%|tY$YHzI}C~9 zIJxUuL#1AWLhS};8xeJ{ERO|lm0c&dMdz{J%Ly*c+St9FU|a|cg_>&IF44z$4&&Zd z@9%A=ze@PJ6CZ!mT`lXnk z#%6av!k*^$6UdYD`Iu_RXpg}4khmYLXrcEYNG_-(^2@X!buxt6&;uU+>X-r`jwx(E z-IL|A*~6jti$SvA&THX?+Gz)s+6x@QyU-M_082G8a|B#&DCHJz9-hqqv z;=N;GOS<9H$Kt(^o6+7G24Tau8cMHqdzTtn2MGi&bq9y9OXWLcScWSIOr$?(sf5s* z=OlCC*PE{84e}(;rFGN`^;1^LQ=}^D4G$ZVy+7>iQn^?ss{JVT*#!Ef1p1dxA+kY! z*G7^Mw`Zh1c;@2O3Twmv)MGQP-Tbf_{WzA)?MaJb>xACiRkpErZmuZD3)|L@)-7|l z(iSBk))~JqDy`rskQ@7eE`d)IQM{)K_w+i^e-W{lAn4YGU1jCx;!|UvkM};HJw5YI zBJhNuyAhnni8?Xw6(?hPdTzHTLayH;DsXi>#A{53?i8ZVk|<&+BlbV_{1vrj&vM22 zutK!B@(14+GdFQn9qorUU&9|2D?8=W+{h??k<%5-HU{Ipm>o%WKawIVAR|0jcc7Z< z`i1|Ck#7Lftl75gsxEcewrzLWwr$(C?XPUxwv8@#*|t6P-#2e&-itdE6R{(5tz0{I zW@Mg>lRM+Yxi@$|JMeoVoD^zy_tu>y)e)ddpN)(9C=9HF4N;OSbG*|btN^%Ok~G$f zcL{OQ#ZOBX-XUi7OIkf7WO$C9j36rP7CNwTwqY3$?;#m+31?+oe0tEjHrBXG_5_b_ z{z1bo-}+;lG_yFvTI2j|QwZcilgOj5fdYpuF_SM8^!V!`TFM%g{jKxmeg07YVIX40 z*uZ?+RPB>i22zC@>~cTAUY`E-G@z|euX-B45#lf+fJIlEJ_mWS;6lV;!rD-75)H-i zsQzj$Q@rtAo1je19NNp(X{1dS>JusaN+emVsPZmH0pt(3G5@Cf(Ow zsHdG(X)9r%T|~U3tYnp?WTj0uC>2pfWn7mYA>ZCpQY?eZWG*6IB&DLXhy=^#>zmuw)$ zu0_MBMar1T!g%{>PK}sozVcgAtOE(oQ+2A1XHTo9oeBB(vgUACi;xKW!}i_cI}+pP zFJ~}a`ef;v1$OjMzEV>ANipm*W~J$*{Cq?ln$^0dMwTqlPF4|-N>mJMQpUfWfpnBq zx|S!UoKSAoJ+TfRN6m|wYsQ&ti}uw_Wys0L)4TX*+h^r3rdcudrhQ{)Sf+an=~vmmjDwdmw&$Y-nbT&i z1Vr6rEW(COu|v&lIj0e997?il(E0=22ZFEvNMlF*43Yv8Kurq{%UiQO>9eDK9D*E zt<75W?VN&!&VYv2+-b0VV3byJU(9DrL&7{JiqKp>Jgj3mT*8!lLdOSYny-5*ss$&q zh2&)i8cg%g(SJh1LXv`mMg|HY?%jnwb+~-z%O@uGA5*Wd*L3?!*M2KAUf4d=vQErn zhAzefyxzAJgPXEFJUW|rxI;x}13mbjPhlTfV>_bvos;Lk*vbs`8%%-2t2UpQXDYLT zn*`O*HVxh;UyZjG=5)8uhoH>1S;k=YU7t-tk`NQD$-?m8uyRx-TLVZc8-t=#TH{Ho z14v}d!=*C z9YC#V8p5*vMWiVJqZzQ2qSr_gV-?O~6|SL~PuakmW9RP0FnY%uU+Kd|bVpIb`=vE` ztooe67ZZzy^Q%Qd^6BMuH1VEGe3Z$;{nn4{%)LI&boSQiXSU4D{4aM88d=`$lLxM@ zxDprQ%e%dJ)7d*{f$Yiy3~;W3Y*XZ0VwxG3-iF0Ht6(my#SE~V+nTRoyiNKrqpdwj z1XbHd-n=gU7jGkg^QNfFeh1ES291twbD&29%2LM3c=k4pIJLLu3N>Q$U&tmLrP8We z0UFsCq7G=}6yYjku3G2~LBj2}^TYM@_l5f0rb!rTN!7lupP(G9xBu>n2H%i_{-2*v zX*&LuKpfe+qag1Y^vO7B6MqW<%5qlT&6LQja-?)t39d7+Gz^p+t@Cbv?5Lsj!{EZD z2cR;=K=h&4K7VwSEOIkpe!pwIY_n#U^f|GQK6daaa(riA*~BOJ*rQM8<~o+Fes|&+ z56kYu-B|NV-i_=M>3xpwNIN)Y8y#rUy}I`dHMLBAa6Rqq(2r?`sxwk|&)f$NJz3yL z`Fsw4ak5+Be3~Y~CPpfEn@W=|5f@a%*Ex?3VyCHzh)5az5fNb}4Rjvc$4=9Vlv*8K z88&j#W@Hg9ULu6DGOA_3P?vDIx4DEF!W$Q95l`W5uAh_6$^B}Ry)$dU6-iS_=@zLg;!m~|WvhAARJW8D2{~5|VzwdKteK3D&UDDM6N2WV%vQ_+7 z|FMf#Oyi1~Lo@IUuWBHYSrW{h6SG-H#`nwPQ37HJ9p=c|uCX@OD)Y(g#LRg7%Vl$@!LB_r{UsoNF5OxBKAAnDiHcPw(Hk z%W#_!c;4R&K7Xft$}`POe|wBmfEDac4R~<@cw~^;mTXHlIB_WMnh47}WhSt5r3}Y) zm1=ZKj?{TQsdIF-3vaAbHVfTfnK1BhrdnVxY=>(fdOQ@~;lFr764gVP*8)G+PHgVC zrZ291l0Mk$Nn&conR$I*(S14I8komf8Ko&p;qha2M&C>w-Ye$o#I(9zEyC%}>l*a%)ugK+-tGtby2S zTBr4RLgoFIf-~|<{rvcTZ=P(p;L6?08?f~_FLhpBq~TP6x97EE z;KnrWFU(;#c%()&&JgJ^iE!XXG;Sa1fGy9_-R+>joS#`sPPTr{A&w<4qmh&tL%u9! zsf>D%L{!y;aR40Y;Ey%_Ycd10pkWK}q{CE)5kRW1^ zr6MR0G>}1JBf+KX?Q>R^LNJmZJ7Nc$V#IYxz%fz%%=3Ht6dtDU7|z2&p3F zKPG-eITebwAZ$<%(2XPbS{hX@Fg_!)GjeW?u0$FilQ;>rh`ff@?=zCtiMQMq*)EQu zdUEW=N(g|4O0SlIX1~hH(%dh2deqJiVCDLj`8t;O{^6OHdAmE}T`oUz#r{LykecFd zt6`IOv^ft2)peb%qj7wImD>W&a^fJTh&oSsC5@$a{PXHW5X$?Qy9;#F)umR6oNx0- z7fbGP!#Xz1vEGg4^;R9t0h*hxkAIN>&=nQ(w@2^Rk1p;~dq7}%(L3!>lv+^u>w+Zz{CNYl&5K;|E0`QY<*wQK|+r)awy%D%eAnp-3(yQWRt zJrbiX9h6!si+RyB50V-?Vs40ofGUH-8bH>JJX~Eze98)TDu+-XAZs_RZav@-?0whP zBVakWF;QjjjG7(PAFPIk#+zL%(vO#(9FR7$Wc4%1NI4n%(sq{xjkV~{6gujsFamek z#pDS1L$y3~4pIUt6y$H{6iO?lOpV1Vfy@(gTl4B2vXTw6(UkEt#oR@WQ8^p<3=SJ% z`z&*y9<8`f7UPRzs57!Hx_&mCB0!!E+R_GX4^;sboa#sHG_RLF8qv~ASAtW;0QkaR zb!;;6)74^?%={&iLaMsjI}Ov-;FJ&}*~@L`_7M`*%m*RETe(+HhrOaCu+0Yfe@Z%m z4Dm|s$`QfFBaWM�W_=VFC=6S}*oam$JEV3lNcT((oBvIkW0btJc!2N++RAihT|e zmFV10EJ&_WCW3Aex*iy_(sou*TDlyv;)!s5kJlL>lGTqV)h6&CVmcs`6;Ct&)O?DR zFSa2!Ob7dcT)0vRaSF&F3Bj}vlU)~_jO4Gd0BR`b&bC&z zUK1htUIPARhmGHhg2rGl7}h6hI?hF|ac97@j8wgom88wl#ozr`BN!U1WNq%NSOq(} z(uW6&L?x@&g~6jrgG<|WX;=)Z8A1sBps;Nv^3Nqv_iO9Es0#xrR{t5z$agA47t@XK z*vGaYRu=+w`V&Dz(OAP^C|$fVVxfGriawm52&@q(A>zK($EpC4DH`39RheTnRE?CL zrcE!k;=*yCm5N!SGKjGBa9DpP+dzY-Pi35}nW?8CaB*n7iG6a55Bt!=e}bWeR8eUd zv_WBzup2u{ROYjd$7(_qt9j!u3h%55g`;ZnK>TO(PdGENjE=I6qToYtAcZmOZ@0rarhWsErX_q1rsCguKBF(ZlXrFJjg zga-L>{kLY8F?x|Ux-}~({s$@HJp9~iI(eSF5IHHuve-@aIXaG^0%WuT{%T@;y*04K zUJ_>6ATI?W{^*XF`Jh>W%4ldBU%~z9cqGfg{Vj8Ysi&7PCVai!tusWKh!{69NHjbb zu@NT0U0j@H(7K>N9s;B-#L;qh8Z9c*QwItl%HBB2AUh@9j>3LF!VaifWuXv7c%i(d zTLoL4irySF<{8BS%ZRiJyKTIVxK+bGQDr@zYKl>-DoC7aN2C)GQkBa5k3@SOO0zCS zqRMzYD@3aXC}|ZiTVuaXV4irzY&>h27dEr_L6|~o8hI)uHhxSapHY>R&3EKv_*RWH zO6(tO?~rYnAd101ClPw`Va&dp=lTz|k2l$2ZXc2QblR%~g{RD<1&3o;)}aT~JzhAP zm>Z;+xu{65sHdf(Y+?u4{G9X;=eX|R4kbN=k8X>cwBj7>un$sg^#(3BGUN5|bmg}^ ztv#^0wSn445qp~CS;HfZh5?6edH8zXQaTCv#X?=?Uc zBPo4)TJw1WcV@0Yfigd!$-L|rz++NUx^qEShyEsDrgO$)uXqwx3+5gJmMJav#gfNn z_u%su5~=1IpqlPhG}B2JM08@ru0VQ6;X8$v>h{yuT@cCkn6Bp6vZe<8!7HEFAq%)J zKJ9nf>Q3c$US0eL^qoe#H|gx>U0Mqd_(pH%z0*CnRW|9FyCqj*&Ffitae0!blqHXq z16pi)G4R^f>t7|EH%;w~a(UlKc|{sbHz`Y40slz;Q6&{mC1u`0w2#g&CsLOWuc3z8 z7U!3xQZ3cd`tsR7b;Ei`%jMaS!e~Jn*8QJLsJ$u>f#paFYWSd1fYizb$Ifm^`jBE| zO}Pkt${}(4pA+WcZ-vOZauJ5j**a`;See88vr33ilvS(@*1s!Og5#m&)o96y<>6Gb zAdUnm6g8UoD(E$(HHB;%(^o-qOOD>SUPSG_&q*{A?R?^0g+ZVa*9i$Ua*T8oFTf;E z2@2G5kaQFWz(w~73N&(*M-*2L%(5nYo)d_CqpHm-f|;=34bzdQ7^lDv9lR(7q=lFS z8&LfRCHG>)&U}jC-*20;0cPja(5gVoAgrwGN8b#caL9k3rxLRqY)1iA<*g``?d0}vUV7p^#dRX_u@iM!4ynnN|rbUGo%mkVqz8Jq)t!est zKD@TPE}oq2z3$&H-fZi!r!(hd-0*3fP#OdDC4FVKT$niUw3!0~?U^{g{y^KD?HuRR zo_eTF^fh#-UPU}LsLsHGgKvdQJ=x!x(_4_L4rGjNZ|AqQr+*Aoe03@Z23G8Z-n^{L zeD2)t)qZl~`e4Z5k_k$pYlJ}K=pP55|8)v+k&s{iN^<=I)JqOW(5pZ%D=@Jv*?#%Ffs?_ z>5tapLqml?)99OFFggY0opBGWc`SJKKy9=`FQNjiZ8pDv(ku!$?mkKxmN$-2G8SpU zN1xeFJ= z6Fasbil>v5m51q-gHEQEWJ;K5a&%QhapaSnSV)czG94F_&ZZ}oiygBRqS?L4H|~4b zV?rF5u{lta4Ey2>im>^*Im7Rm37}Q2*>2RAr`$ z*veDGkeK6M#Oo>l?f*+21A3t5G$8Lxp(07)vhQdlid;6Kk9|(wuf$+d{!4KxyDV1; zv?0n!#=)4IL^L79jv1Q*HOQbZKA!}cMl>Qu&Iy|$CCFeb-awdKWs)2d5fpt`o{v8j zH7ZS`e}U2D6hd+2pBQaJwK2oBF~-nmV$f&o-)OKl#_<0^G!w{k&zT|N=eI8N{UYeA z(3!l+NxFR>QYFI+FeD`884p^OVC%Qr8c6qt<}%oNGSHhnbMa3Y#bYLjmjU9BHfbr4 z$|>Z>pK`eZhNVpZxuoihTFxl#y4eCX9FQAyy!v6o(1Ot@LHd1hc_h#@f*~>VPShCH z9shNKS5X=gQf$}G4+p~kIVecgCPw}roRz}xSP5o;AJJZl;nbY(V|zS4EhAA0cQ*p_oh9~N^G zYmNr$D%#WVB}q%?PZ!G|7Ut&U;Yi2g#T~TE#lm)tMW^-c+fV(o{oFOrCim?YD8sqw zmxAgpW!_ykwA+m=AQb24{(jyCy<@wMwc0NhU=045*&-m zBZNi~3`wV7fX2Y=pQSfagAV}}3`wDHgvQ|PpMA*AyJ`kE67S%N^ZA0skVx4Y;&E= z9eBzAT4E(GP7jM*(tJM~OTeYqj$t8%yhr-zCmsJXdKCq zitO2k38Z!w+2hxPUy}KC335*gEq4G8{hpS{{(UyD2%C(1|5;Mff}!K%UZ( z<(;^G-Mh~3ZndKQETt1k^wi~=Kp7R?;v6)3E^7O9L=4t`<3U0$-jnBqJ}9U@7^r@D z)1n8WB2->m^tZRlwoe*mju~T?i8sxbyxyxU$I~fk0y1V8F@I*PU}V^yHJr zfIY*xRRs@;A#?1v)|!qV9N=jn*}j1P4Vp1#ibK|gUKv^MQAth49h|x4|v_P3CU%@3(^=P=@4`et2VONWP_llCXTr=9~BYgNk z#aN>oul^A+d8%qe+C#|nf|52?Gb;5h-B2;c>c)r4b5PR*^AOdVBD!-Yj-I6n>C6z{ zdXUC1Glf@XiyJ*i5hj`0sxJwKFa5P}k4>GU@be0Y3$KLH?2P;_Lu zARy9~hmpH64o2At_;5jF*g5vW?YJV{_fb&`CzTw0Q?q7>05q3As|RlGh{tE zK7U|pNV`10H=j2DD;*n2EC}~fClDY+Q89FXU}VTy^4?rOU{E#WZ8^Sh;BvX%d}#Lb zi_%BElfH4m;LuapWSnwNC8yFuxv{*6pP)x`-s1&tNuo~_v6qUt8zo%rvhGefx5qpO zdEVoD{}SM?z6EEl_A@u9<(uQ`y*cfXf{qwbSDe^O&hC(`AB|^jO5(L~ayWU60?I)V z5R34X1WNp+el91b_WSlJ52WYnOPX}1Wk(05>NA=%x7Z(9{$$2Gi@gqIm}-~hU_2tR z`6>vS#SR&P5J3}4ol=7pLY*>$8A4w1VJ+cqfG|3w8)ZTsm}3Wb^+a^2+rXp}z4jcalZLsx!QxCFMCnBvxYVe?#*l`@@?1k1y{VVD2DGIuuKepx;@r3SS-tGNOql?eSd~LLuwSmf8eJD{P+kXi!UPQ8F+xl2a zHnZMQNEXMDK&4{W-GEKirszYV;zvYFa(!*)(g(!~siDtND#J{S5|4>pD2iYL<~GuN zQAi6&c2;XaQ;9CM(goq~YOqltj6P|!-bHbR6&swgqdqP!eo?% zOeqbRSTvuOL$b_kQ*~REdE}&6z)NQNx@@o(02{B>SD=NhP91=^1ukV-7UVT6sA^u2 z&&n;&81=Cm^UV0`k0dxv&=aJ4L)L|@Gd9z`wz{BT|0tCf(kNLj{3}`~`&QdpkkTtaSC@?7qq>8DXoQBiR0*u*-0PC7x29No zL9z14Ou4EY58ld-bg`>)F>pE0gWc`hcqV7{C(n-v0~Cv(Q500Iy`M(AuU(MVk20SKR0&r@^_HV%{l8OB{)Aj-CO)v#AV!$^Am&!6~gXk zC*FyR5{!VpeS19g`M@Cw@Y3F}s*Xo{A;Zu8ApnE`N)IVUj$hzM2x_&|^V9a?(f90P zre}jNj;_UZCDI231RFsQ1QH(^Uj9dcUl1@OBssaB5Ktnro$H-Bkt@%_liqS5H=Hxq z!j)W$U>B@2=faU3K#&{OsTC)^#KkQ8Z=SnF=waD^B}pYNZvP_SN8gIGi`mj@p1NtM zN!EWM8<4QTa^EByCFv@7sguV27=*?#D;WruAjd zP*KI%1NG(eR_v$G{ql0g!^f&e2e;=(&RWLQ>t31ez0LE@%hu3!wJH#{U@w0SOU>kB*=ZG7ttr#27_R1Ck>UMQ>1!0Au@kaC-Tq zyL7gNOW(!!Y~hD=W2wgn;NL@~4Me3@9qj%06JEO)YPo9B*}7TN~a@t(t0Ib=|LaxT40V zzk;}4%3oie5`fsRuTvPt8yPMtW|X8Kx>3(_VL4H5Seal%o3CDsy9EfgPIwX`oiN!z zt+|~{y}vxWivdRBXM?%vw5y@uR6OW6MuVb6JQz2tA#CY0tgZIfLtuE;*S}_ML@kK> zAQh}GDnNDZ|YWzV0ACu+hHh47g z-eAFZsp=a?0@`}NNGlx<7OD-mcdf8U!tH)s-V7E-F28n%8&P^oFgeW3pX422vx+w> zf$*sC{f~$P+ZcR+UBX|jVvo5D?qIV;t)sxS*uclf|5WEgw355fMwtF^J`4Z_O3M0a zc81+f=y%H)RO<&H`eVEr$cf;4=un zYheedXGD5`u)W&FYqhZ>L_BCgID|V=VrUn&Rv1FuLcF0cYBh{9auxExJragkf~kju zt{?Rgc)OXz8oQBu<6<|qm^L~c=%0g6%()*$X%!r_LSU_%4&c&=&X~19!PCNe4bidzy5%E21)GottcKb zAMy;QVe}?m#YL}&^1S4Ybi1vOF8_XgvCkT?EJ05dQtKte=xJ?lQQm_rsTu#3a zyOa*nDl*JQK;GAqeh=xP3L?{3#yJYq2gUjFK*X{T>#vL5|6u*=68gpATpmLLzL0Ou zwKf=0IRj8+EgzItYgZFERgbRNlStPeqR7km@BW}stF8j)YL3qsMI3N?dE9FcizC(i z(NLHo&psgm`01*!(AU;bKbP>Cwx1M0TBTL|){H=(FC$-6T0vR@QDXK=*-C4RR?3P; z-Pr0^eTG0ot1tg`e8C2y8@o z-k?ls2%kd_(MiH!Mciup#=v4(+1Iwmz-Cz8>iR^nyz2X;&FWvMF>-9~)W6GBuM{gD zORRS5{bv8QVe5?Y<}{0p()sapVw9Hi?XpXOG%M%5ldW;VrF~th>+b!;L_YLivMToF zE$;8XYnN9v1Oti(mMP4am!!F|7O4)P?so~|az3qPItwr4Z9DcM^9q|k$eKiX!|P?G zQ7Pj~YIBD`Nn(;(O9$%^0ZFZugD506O1q>aGT=l-8S#(q5^Uck1zw3)`k#_y+NyiA zB0;JT_W<4z2Nuac)XACpkuei^~X$XB$x$C1CEVOuJC^}HJAM}K`-Z*uhCRt*;$QD4&7i@_K|Aes(rZ)E%#fz9Xf)lA$gAoMq8$ZzF=EUBCD?Pz_q9;x=75RGo| zI1Mt(}cc z7i)oI*`}R7NVP~it+-8a)s(oizHo6F(jYY}4OcvPHt5Wzk|LzU2PH88N98UN%t7sl zJD;;eEn1>W^MFuw&FQ@>VeqC!utMV*g@#sWsmgU#x2Ff{ zdRjsV#bM8~9k_NJReH+VImdd@yXZgWJ8|q2p*e^8`vLLMbjEud?ZBWGYb79krAPlN zZtm6X5LiTPwz9VCYU>OIOt`e8sv_Qcv=QBvM ztQ#aC*4_;1y{lpHrZ>y!;jm#web3pVX@Ju()fr0Jc>Y+DaQsAh3^l}cH)6euWJqU5 zd1r>y@4vsYRLA066_Z^PnXl?|Zmj5el!wWzh2}AGpofpfpRE!s5p>XZxKpklMKP)= z;MeCvw_&-TZQMeB9|@`9FrNCXZu=BD@IG7$3&>`X24adOV~Rayy|0${|4>B%)>4LW zQ}*8}2Odxk9v)^_@{vAf;~v9r$=2$B+2eJ&+VFa9=Y^?&3!G#^?jitNQ3N}|FhC$C zjBBodwu`~o4srjvPH&rPor+cj!5`Y3_L+>pqbo>H*jGgY73KF=RYWa}IU^jgQEO2vLxQw<6|W~2G_i_N4J(XJ;5GcQ>|M}z2(wm2w`#{d=5{v>By#~m zbnOzGD#E|;v?qz-2XI)}QEA;4Dzu)5LRKM;DzQO=9)r4`c^KdbLIfh`=9ve5MZn`3XRcl=XWz93WuN3?kgtC_ zHt$mZxDQBFS52R3lTNJ#H)qj5@}h0KnO_| zG}N!n-l5p|J0 zts>Ij;Ds1e`-d>BN4{DiU%f;`t5Ha;Ui907kE0;x#vacWINgitwq>pZJcD}pq^?z@ z!0rqi4@G&B@mAyTz}a~jGuuR{%b+7J&{XsifE9DCvqP^@^g#N;Ac)XKh|%Nqh2{t# z)?ASAs0Bj10{>-aaKBS~!hi6xGVn+HZ>z-zT2-X|Sj3v%h+-nzfg#Dfn`e zm47KZzp;gzWxPdKYwVSW%1q+JZ0yYiO+ZC&;v=D@ut!pu;_io$(31NZh$^O`w*Z`o zn)IIoPr*Mt=b9&$6s8dLhPZrQb?b4~kV z|6+|d%lqT$aDM7yFyrO*-(r{(6<#zObaL3l| z>G^oL#iqLamV+7&M&0LA+Z#BH5$^sCoEGR33Udx-V%P#I#cYx>f;ct7u%$C(pAK&imFkK zx7e@0TiM>e_N<*7&)hNH$ekguG{?C;#Mqnse|x{cH&=F}L28_C##;`hX*PwfVYNFi zHSZ2lZI`J0%b=EhiPjfR)yGOr+xkjPNequ?157+r>QB^{I_rie?kmma8!FuuBiT-t zYe6!yji)j+JJV8iZRZ=U+;aYoIm|l*D$n0Wdvnb;rljM6;%LnJujIp$N>606HM?IyT~i5*4TS1{E~kV?Hh+xiI>$Sp|U_KMjs3`SL_TYI83Tv^sP z-bj&1Ydo2bRXNGiS17nz8xsx2qOlR>%!*^tNCFFEkS`cbiZh2RWX6*3Whc|UX4ll}GcvPhd{t{tuR zV}Y3l{Bvd6c_l5XlsQDHdDzJ_5Jkz#0YnvLW2N;C@{xI^6sth$iKrlBHk1Jq%aHkx zVd42I(MGJ)h?zyyoKOu*5l{NDj}fOxG(kQ};cdAHU85cwPjwxf{ZDRzcAb5&c3sV- zI7{SsB#*2UkNCZb(PM%M{V2>g_@iUaKiW@Odv8q#=q!%})ANRf^Rd%+AAcR9Fa?Eb zn2p);BS&j>*(65i%f(dry?`)cWDT*fjgZI{6o~rs}a=ykHY)|3d$cGQl}_Ch7W-{)|;Op0TLw z!rRs3`E}oVbpqeJ)AVNY{;uIE=fggJexlm^dOGAV+Ak>TM?gbQoK2t)9d5wxv+jO> zdsr}yx6IMZ+9=EGnG#ER@&0+XvBlGIch>Q7F>}RxZqBN1g2bKSR9}?X&}Ph9V(OS> zb+d2flQwm>>P4o>&Y`Jh0_D*>J9a2LjS5)J&!%yyZ%b?_GHwon?0dM}V8ShPd*BZ?Vs09$d|dixVmk44?GVy zAG$hZPS4&|k&hlhy$G-|*LFgFM1p6LcvA0= z-C{!SZjx8yr;|g+w?r>gB3RKKP}q~T+Uja{uOuV$Bq0_F@IxRI8T^LYNt^K1lQg0z zF8|RO;YZ0)omgzWeFULLY6mp|SjzpVn!`%uR2o#R>|kXo~Pd{TQ;=^ z-A(@Gz}Z`%W{7ZC{u`J%T~l|>m>NlI;!6xUH9l>ZUoZJ?vGq5&Xcg$~d1uF_BTxOX z&ad}37J^%3eJW9&N0 z$W4TiiwGk-9(o3XI9ydz0YLuQ9?`Qo(&>A!k?R@JP7_6|(h+v-0N`}bYd|CS-nn~n z{={j4#9DQ`e;%T8o9YXA=?KLnlVbvJaXZQ$kbm@}1(o~!OKT*;f^ zO!pWx(IQi5huEdb%>Hyppa#XP44!I8x$QTrYv}%E*t++I3-_Y4x9+^QE=u-so2=(h zx(GSu935nKaR|ZbIFa+gYCELzrGHvzE?R%KnRIu%joV@UPDeGS180&_9x)h6ykr*t z7>b069An!wMpB&s21Zi67M0XQI%QHgf7)nMzR)k_Cm5?I7_lc9p{Ho&%lIj7!ZuOD zC#8ezm35>9PeO;8ru6Zo^zq8{@j=MZ|HQlI7U=oaj0&o0RTXjvxT)^=3reK4E^P{m zY4VC`N{Y-9<#LFD38O{Rx%-eLOyp@=N6_PHc~Q{gV$~?c#?mO`gSk@s8uIy3%1=0} zm%j-&T#4JSln!|6+GNEpk>cMbHjPrg_Q0n+4n_poRLy6O1lFw5b@o39Q%m}|pbj7O z-0g=MxeXa>6;sA2CL$G6PAD`zlnU_gK1!UjV}Y%+XYI3Q#@Gn|FOQ_uNA_?sk{!-O z16THS25*47m+%`zvDND;Z3?hctR8G#_4r0z+izl5(S6+V^`9A@d=79zmv!UZ^=+cW zPh$Jo73)nYGr*cWP*yM0wFeEo|0l^!nGsOqku-jxPCb}+KCFLLoxJL4U&F(U5Vhy_ z$D&;K`?7us;M6+$1wS@I`4WRAF#^u&>;3f-tNZk}h3QB#vP$=Nt*&3rB)2_~Q%YZgLqNRuD*ylY7`oMtJKcbc5H5q)zfVMuOC5Puo;5BJH zHloFj=ji|69ajFE!~a(V7Hj1H9zp&&73$cKHZHa;m*?=$g(0rTra2W|=-~m|9b#lB zR|=qCS6k25x$k2UlvNUKg<4y$!O4H>&wnK>l4uLm+UyNZmrRmqRqB|Y5(@MqHNBn~ zea>CmYk2;~MZJGDXD>vE2nP^|uo(ytFmmFfX2e2Fi3gYxcK-MNMk4gaxU(sn>?9l?xOs4JbC=-*_cI@qBDb@)O?cHmXdIs#!@;4T?bl zd*#cRsSSd*--J)9HZ1~e8<2Z0RQuw23LP7e2QE}mYf}mTZSR3Ud$|zIEGCa%sGzrK z60F;Vke3OFLrV|>I0F-?k|uMF9I}|m4TBjO$%)!jQd3xz$&msX<1K~55z8;JRxYvP zF0sNcu`1SyGCYMGVudct#<}a-$cUeW_OmTnk||h{)mf6mQR4m+56v&o3uzk{Rx@g< z=8bdH-|>}}Nok!s6csZT6f>3;oBjXpe2j|A-}M`=LhV;#PFrQ;-Ug02aqDEP-&?=> zLLSLI){YSMvCh6`cDSn_^U3PbcVxIr0P6|Ta=l?y0OuQ6uTwSJ&m0muTKxlR+C-4` zdihhp6Ok8&a%bLsUhR*`6PCo)^vLx4vVuUHK~Yyw)$}y={H^`}D`^5nZKAoJ|9m_> zEUAF&fa|&BeLvc%LCN_(gG~?d!T}yybe6s}hvS*5$wh5jMG%y+J zuOp4pWTl>krpQ#hY|>`Zt~pq88g}m{yf%YoDqcEKbkz=_NWJ){&?=vI8FiU=A}zYj zh5#uq3BPm|?ntP*J0}6s&-Lkanm5rCUIo9lN)NHBo{NpU$AWAX?xanYpHP5^SRqC3 z5k=0KC)2|i6c~b{80H!335^Ct#=>;Q!_rdXQ5c9=Eky1eL?-W}Dj_iI<)Ak-&d*_$Sl^eAT?S_Ku_5XLTNv9ai zIgV+OBlfOodx@;OwW}OD9`1E1H22oD&u86Zuvf#uo#K~0z*>$UQ|?R*EvI$yMrWw! zcBqqZvdtOA!d*i@)E&}_>}m(#Jd4tEIk_v7)blH$OV#+QqaIHt(c5i|r?ehUdsP!} z&SRXX+Xzp2RkZ%H?0jDGQ9Rk3@GN_rr^hHqdDZlv$`Qc1sPZF``jY_5`w7X1c=D$Z zDi5H0vbrX}qn9;S;~tq;oW5t@M#mA9M~CqF2GKtj0O8dsw7o|Hj7Nv?>=xcTA_?*> zUEd(i)pYDID^s0_VMz8j)fJ|3rZiT?{(PmlIJ-#v`29oomyrxUC2*DzE0LWea0%h; zmX?PAa2|hB&TQRYDJyjN6$dUz}2N9yz2^OiwV0C@>2`G?w3Tw-N@unn5wV zL_H4jc%wRefjugunt%2=itwh{$Od*uh}^^$*a&QnUD$fIa4Ob9myv;=2&}5@@jky~ zR0Ddgx9RS!w*_|{l3I_KVuIU?*w+z4741{av?2!Z?A=g8!~Ybq_alhP*{2?BM-buK z3w>9e7P0?95LNj0(v2X(vp4##0xo7BCW6g^Ek>dA1xkzIBSnFz!iT928dF7pn)iBZP zV9`+kKS0308jfSfbvEB2NIoGwM@b&pzowO*qau&&+7Y4QWXSu*oIF^53c%zJum0vg23g8{ND(U-G(Dy2%Z&O0sp@6kQ{?rNvs_y@xs?R<& zm);Kf1)eRTc=GS=6!1+Lng98`+ENiq6!jxpH*Z%Edh2zAsjRB1{=rU35?{oHQU~A) zc|B+rNFo6-qOJM1<%(+tFA&=XH)fWSWFT&*c{F*^eZ&)FhVwC-?me}^ja@Xqv_p)O zKX{TpoK>qclG~1alVTs@VD)9MP??dkoXD5biapHZf zN{KT&wlObfyVPB1(F^fMCXKyQt zL4ae}DE2NWEJL&)^b!0#i;a9KiF_G}KsiaE3NM0XxrX6>diAn{d@YH59f?3aiFU(5 zzL{jcg=CPGWV_9T@J@=*K?=l4iql0(ZeI*21$^Eo29AC5P}h2k~WxHuA%S(nCaoqlD@s3;7w8Zxfh7(Vkh%&!+meplqs; z*Eew>nW|m}688VIx-i>Uk|#@c=I$|NrbF{UP|mc1nW97Ez}rsr%;%RFTZM-*@L7GN zbFBII1e(^hl+CsyJExxx|JctJ@@4$Z8z@8^`Xrdt??!JWa~-Q5Ovm&V zW$xY8JEObmM;EhX%3Ahi1)D}|f zC&u5U4RJ3J;S&?%Qxp4x$ZP;t9R9`gMu|~oiBZJF3UWpzxig_#3cP19n2Q;c(}rDQ z?FuzW3N&^{X_;qfnZ=~Y+Iwl`=&t|T{NUDvb_&}&!}3N_YwJ(CP*$u5^@&2L2SdYU ztX-cK%c3otad{~1_1qwzot7cv)FbKf4I^mC%E>G$y-_W?x`$eEqal(GGgNm~J!Mnf zB*LX3=lTU!WVVftno$bQAZ|FvYJ(Fdx734~Tb+u{5!i2bYH&wo;~G3cQnSLdj0c;Z zZunEurV^1OqW92znGZF+DsUpFT8z~O1yx%W8kfd7nOZ9o{sMtTgtnSm=enXm*r-8x z5e*uz9okW-OoZM8q)a45&h^eM0pi%CiHXXjr5QR4S}mVZ$-Wee1BWg%ac9#Vwe-fS zJ5mGOxg7^)Z((*(O%orpEYQFOqP(;Bv__L3;w(;FlD_;-%G!TYMueRaA;rUzXj}%| zMGr?Y@Ha?SGSqWBo6u3=FD6EWg?2WB!+*Vb59)!C91qK{9B@NDoKg?V?(u)U;ydM9 z3A$wxC>~K_{5;waivkhkyIG;k;)h*MGZ13avZzx|Y3)m&qGVhQ_6_8ESs1>t6$?=h(B#iZv4ET^2p(vwpdn zmmb0!0X5q=(HvL@6kYIYgi#K5h9-%D%Z znN`Yl6CvkinN=ZS|4A13R-9^7tnAV+TjL5OQ2Z)nS`nIRbWy;bV-}t(z5P$o7j2;l zbdkgnBD3%Yv{e5z>fjJM5LT7)YzT<2LVPe(s)8}TDX+iar%b%#<$<~E?t&JCpQI<5 zE?ezqS@m0#f}gBMY;uC;RE43UHxDq!87{9!cCUmZRU365mXt51*9MjvYwZ`Gv;00! zuBnMSwhym2BUdAip9Sgne}^`p(W)9EY0GxAcl|<=Paa&(l5aL_SFtxYb+SWlODvnE zw5!@ES0b9Oq7b_f=ejU`+5AeMXW}o{_CB_4gG$|ZMhK6REzd$EHf=ZHy9$>^4j!^A z`V{)4Jh}0sB`Q8fs;)M{sId(zGPYVJ;HsS61yc8kj<)pK(^)=MZ2+l9Ll25?spN@G zb0ZG-EiTtf3(q_q&28^X*K>XZ`LFh!GlPCnEbh=RZiwnHCuQzGObU0P^aij~FYcjk zT3hk9qJR~Fw_9e8ubxvE)@aS92@I8U`!WRBaSEmul7nt@9y9>5wU2Mv1=-gVv@$x0v z9-Z-~j7xoMmL(l8XajClAz1NuQp1G$J~$Md@jJ;o&d=-}{5)yUZ`FtP7msP|5my0A zI-ac|VLEp|hORbOAFAr#tezD9+7qr9Eku;j6)AfdxqwW#kyJ(cs7m}A4tqF<&&+gY ztTZCt)n!#VOQq&vjYk2m^F%#0EO#aaq>9(pMwuMoj?h?e`A$S&^l;>e- z@HfOQ((%4COZi@sW!AagdKG2XE5yXS27a7KDVMucAEtYhdN5D2%-kuRIqJ1rV|18xS6O6oJ<6E`nAbGBkxvohN4ZEz zwX-QKH#JTz8Dv?LtK9qT&}Ozc{8yQ?wsStJoKcSSOlEGFAl=d=W};cV*2=`BDX&Bq znih9VmOTkz>}9aX)(~inyOv&CSu^gflG-AENKhHu5@Kzlc7g*?<7iC1z{O_rCIt_+ zH|f6S{r0`h?l*_4I67woHK9F~5?N-drz3e!x%Yk~iiLV-Y(Fc&C_QoxRe8=qnW0CTsUAX3$0FMW~Mo0^=jR!#y3SALhtFu$v;6r_a%N zM44XI+Qow>LtDqVovnL^d3}s5zj(Tz{j(Q4xpkwKIi2ghe3-lhzRk}N+hWyc*HmWw zyVFF^#I4)cH8WG!+qDy5{EQN?&X(gbmE+d5TvRnZ$g9&FYS@HRWK3Sc#>IR@_atK2 znY>F(djYG3b>Q6W^TFHID{+Ho!#R$JR9Dt1*@eQ!0@Pjx51@zO4S zrgAdYBKc#)&bEf1@MPmf(!3{EWz(~=O-|e?B+|s=EUbb#?S0cxX(}PRNaN#M)nZSd z3Ss}yTgjV7q?^#Y>6K}t;9AG7eoY5*?eA7^Y26P&B@Km=GP(IKH!vO69W60{U}3r2 zzO56vNP%6JGG}_R-_&n(g{HUbYme3!m8Wcx4?4=N z?DzFc!H?^s2IG%|Y$ycHAH27y;_=DVC?4N)Rj7*Ctxjj@EA zVocP9?*ImC>H5G2uie+KpGQRb#`@{TuAeOzM)dBEvXH%yThb16Y!~!?R01_MBEF1U zqpp0}>YQ_+bqoE>SEc+_uIBPT2!Rp?PLpQT1qGlf-DJZq&ZSLi@gIU~z^+bteYt^C zqwJ6c(c_5H-TdI8ju!o_S?VR+v4(QM*HGM0P3ag2GC?{}B z$nm?1ci4rB4CmiQ9{|l)hW{RT$54;O+<fy!Egiyswy+1 zm87t~0OMBsOGHM(tn`pcpa%*X!d0wGqLpwPFjou;-KCXSVG2RZ?<+NxjGK*=wFgQX zlcd9?Y%z*ffug_2_?)SJ30Kxt27%%$5HiAInRGBuC$4)MY*a3nMdo!*~wDQg0tpev+J*6grHf ze;Q3bV}0HFKvxRu$ISnSvAgBvk_lhmXfDowJzv(^$EE}Ule-89_}XPc%3PCwAJe^| zU!0>K5N)zA9f0iC*(|fH<@b2t`~xTaV^Ru^?h4wI6CW_&Lux46$QuC@tHE^XyTC}4?Wh`VW69=<_e;u= zBy!x5(^LZ9u-X!nsOiYVrz>@9PlA1|GVw>Nw!oRadd^em_-!C&q^Cxo(0WWZ0k|W- zyZ84;y?(N03~)mpFE7Cb%uiDRbAij~fRn${{gRpu8-hcDN)DMNc291durLyS~&Q4-*yGld~3_rE@k(P+V)%FUO1F=D0Y|cop82@&XdL+0m6@me%KK z$0Bnx>^1aJx@bNYJgpRs6*bm*NOBTO{jO}Ta@!V7!ObjrloEjT>!^dWAxJm^b?ze6 z?Ggw*+Fwi3I}OV274UkrwB-$nq-EpreAEWXIDZcHM-r@(>6a>NIc^Th`a9p4o>Ae0 zi1kXU8*N!GNJ3pvT3mZBB#Atk={-@fJ77c^fntm#`B*{6V)e&jruTWs9if;V#gTgO zdC9qAj1>7;fybs6GZ7=l7;jAvIf7mJ)zDBJU2B=Y+1H_~5WdrP#1nj2=um{XJudEW zz|tfC!Ui+>_3%M9By#H!ib+5+AyR*x^1^4vJ$1V0(_mH#Ve;dbnd#p?1?!&*%eHY_ zv#oL9i;3gy%2ud45jS&e485@7bP#{;{R`}p9FJaVVq_c}`XjjXTVg9{&qrS5H?l|>riF?_Krn{}D8z%u1PF{c!Wr4@>t ziq@)84)>iK1==#bkd>S}t|q=pk8a4-1tD~~Ergr-PdAfC5i#bl@}s?QR&aAqMAU5BaQmX&HCzu-1OBakkIr9r-f(1{B~+d9;a4^ketl6{ zJABEz;k-mAsd@KyG#zcJ5HOS-5l&tKKfO}!RoS1$;kA*CS*fAry>$kEol&(^+bF2L z+UzQD#G`H3Hz`G5<-HLsPQ}XCUqR;=4J`(uM12QO9BQg1{s2Y7>46V4j(!0KLZq-U8vVv(d~3$ zn;3$$lY1*q$FC;>pRmM*BZBY)o3kvkY{=4Kiqhyfk_}kPa?`H4y2pC&j&KQj za2m-5W0}O;m*&~m44qKMI!h(p7wJ*i!w?UC+XBVP(jjh^@PuPnX?)`C7HV4zn{e_N zWRuqF1DvE}3WzdaX(r$R&efpUs3*y(3`LxH!;mbW)1_C0O)S1t5|oY~nyfgx_|$hA zNo7t<)V8E8*LPyeWYW*Q!|XV_2*S+0NV7uV1M*1Ij*BO~mxs5*)n; znKhg}XJ|#Wg}+y%H5t;)GX$f?x6Mv=Tj7_vs`ZlpLVKrV{66~FgJ!w!cujf}aqFf- z2lCL-3FTWMaK2YRq^dpDH(Z`FE?d1e_bYh|C;{C;F+wZ;mfwdC3^PV-i|Xce`hK?r z8zqDnv1M_#z#-w9IIJCW%`)4v4;555=vx_ftFf`m$aSRZdMs1#lImh5k185a6qowC{xM+X zz5jEnl5s^E(Knwg-`B+#Nr)v9G=szf9yIfE!(@1$>-?wMcZc)6^0by=!3uBR%ueHy zpG)-8nZ}LBX#rmZqe=HU3$~lr*Jvu9P&v{knoK}~V^&!BZzL@@+xWh7p;J+^Y>X?i zbuyeyDf;i-*zRSZGbuh{xg0)sdYaRfrje<5URu;X%}OXahY{`xfuSwgOQmp~taBc~ zSwhO06$PIMY)QC0Yf$a*I|zmiIfi>47<5@qry`C9VC5;dpGH%fMl%c+QxmdO1+o+X zSy>Q}S!@ufM#Q5=l%~d%sOo@1l z9UFmYguu$e;_n%2#Z!<9x*};ayLbQ?UJ7RXv7>E5X6F1W(#PTIlN85LHmPFdm;=SOGU_zQDcOTjyVF@F@pDmXi?dW6KQphxB?|)D#x@jFAMzaTpv_Oc4Zc@$HlN_D<-`w!no@s zOyqMp;<%{CcG-Jwn@_g}<&*KlyonG#q~YT_{+aJNo2mb8?)>@wfVddnL$2y#>4@Ad z8@lJH{Vsp+=0&c`>)fq1;&DJOW&QrI@I?{1%bpfg{b_=f2$D$PWI{Aze-}Pt zB}K=G%>CWQgAkuA)KlQeHn;ow+E^N2#a+9ezKZ#q_6d(jk6r)5+(cUVRwh0{MHRRH zYS|4IO4~?pnZnvf*w(`ozh!nK{UrkuKKhL4;wOQ>&YYH>K|GwZ-&Pk^$C)__J|NK9 zNm=lwn9Cxg%)f)zfhd+A++`JE=HnVC$mC&9A89M-DT#}l_cFY%o{HI*A^|s8 zN$>vtU3f@F21gM0#_s@fiYGQFx3nm8^>V?T58g9>^-|9M`TKbV^*Lu47lMdRSg8#8!XnXG;uMw_xoukPpcgZ9LIoT0tM^F%LPu+#6Q-(|aQqHlcX z?n8zRM|y2%ri4KI%J7xmydwOn64i10MO;1I!s8Ivy}(iSwaiMJl14YGj|;apy>z`P;#F+*kt(#{lO4&pbfyJ{B zYOCG4xRkg(C*ps4cM?dsPIOc)q19H!6V+i(qmZ(kQ}Yi{B__l_Jc{rWH8ET!(P1ZA z&2Az0qEnq~s01w#wb5k*_l2>N465 zkGhqah?_)cBWDt?K-8opQz?<-%)})Pgb0`0A5Ey;ceYi-H&=vQdB5Q9Hf(cBBLs1> zB&Y`FRjXsl)%G0P!cunS#Ovw%)Q+vJnk457thksJsGvdKML>3qj;nbSCt#&+jxc$PHC}S13XH>xt#e`QJWW#TOYebE9O zlBkmvg$;3K!nbe7LhV!uP# z_^iD2SPe5iuYE+aT#G|lXU^p|XZ=J(mn`v|kvQCPtC~(J>BvJLwcf7-xxz4q7K-}n zAMb~aOhZ{cKu7YC9SL%OpotO+BE{)^rh>~LNR$sik979rD2F>pl;!URa5a~H*9ku;n|2dw;?xU zCpJtn);y4@iW7334F@v#VHH)#Ka=sK`4w5%QXV~f$D8Jw6LPF(jXD8WWa5NaU4!Q5 z;pZd+@+icX3wGBFLeo{#+hE#e=j;8`)YH;16zil_(jn)~3QIgd*{j$Z)P!u+(pDLA z8foP&&=q+Tfv#}H8{ta&==TGRo!OmSl+jWDuawwwA`l2UUq3rv?w|IRLzlxrD>ngG z>`_=!0cKS;JMRkGplfMW5kG8LaTDnV@sLD{j8{!UQom zru%tY3n6Bz6}>9=~N=5t$(6`MFzU-L}#!zC2E`8qrITDoh>F=JvsT{ zrk3W8K{-wB@QS2pBsW)#ZOd1k^;@O>0o$GNbY$=zf9wpWTd-lv3}H|v(mX|Qg}j_o zu@p9Yp9-)2rEIN_PFkQ$Q{b7F_Avw9TrJ)ztZBtqwHcy~<)qb)VZ|R;*QZwXd(OXg zK0}Ax_uex1C~iLp-!}w05=HE7sa>azyTs2Me->YiLa|bYEUqBh7MwOlogHr5QCDrW z+FHtQMc}9fO`JF>DXT4KAYv8%L+q8*m6q|;8w)=MZSp$~d>hKCi^?oNsLr|ZbGf$s)>o6W`$~|rd0rd3jnK*cC3ACV11MpHBI3*YO<_=QC{~0r#W$Hr4lco(@=Uh zD(4d##tUBIf7`GkGT~e-LPW%yPF2mBaEkv3aNv5e3)y^hSb4Df2vIf zF{ET{i@#QlZ(0}edP%wDQB6HVVUAuUR?iq9KAC-JKa7o75{ti8h9bMY7q5N4Ba?dw^(KfG~bIo5f&`*@4J z^zzb=-~U@WH?h#y=E%7sDDd_o@^(3Q@Ul4+acFs0`|@J(d4Ip{Z13QPi*yBa{E&aO zVf;)*)Z~*MJh}GF_V~O%Og1=~b$j0NVTrB^c?t~Di;9=3g_$OF?IK?i%R6yk^X&Xs zUpVQbTCr!J+RVngz|Y7a^bS?n((g~ch>>_Tzk0Oe4Q!Ja%vv$b(4+i$^SOC``7!VQ z(!`2`beAPhh@&q*+1XDyQJ4SR?BO)OECoHcPl3%@fF(MvuS);M`B^qn&w5M|mFdWW zdn4|~#6K=~G4L|{62*C^@Au%F{{GjjsV2T~z5bLR zBN+t{L8y3zbX>afT8veDqmqu464F!&y;9Qpx%Zg`@aUu6N~1fjTf0!2h_!4On zW~D|Yb0aefREcy=Ajqenrj$m1y!}qQ2o*|=-ahnJeT3cK*8@%9!_6446CSA(=8^_P z(&w4gh^^52$9q94{-?fR+AAh*8tdQ`rI-Y>Lt$+At9a#a4jqzwCF1Dr_Wo)P9fEu% z{3vLob9@c5l1T?ixRbx?=Ux8L?C-Umr`aH9mQy!^-LsEAczc-<(S5G~+!#H^NobpP zXTO(&xRLjRc!gxpOm<{@ugzP**T0}+VP$!DBs}^d3^d;lw{02u1Oyrht+tT7`6{V) z!x44}J`kE~Lus2Dkf8))361C4XY<^B%4iw9V*&?80pb&E^W&yg07QCSv*^)OQmoYM z)3qc! zVK>LK=EAl?A^%Vk+^m&yZI5C|XUv;M$_oJB30z?Oi7BQ%8q>8_NbDHhI5Flj#Mn$Q z1-9FWaX*E@vYA=x)t@1VHSUpfjqwa}=TNc5zXa1PGW*e2ed6jL4y^yAI8ai6yM>B1 zqs8~Qg$(4PQ#e42wbm)})E(MiQAbk#9Eza>bZ!l7_8NEoVDzB(q_&zFb4W*WJu)rd zXuC1t;vMVotpuiSJkNJDPbd^Gt3j9zC@-B~F}j%65r+OD<6{tE<7KR^s&IKYIp^Dg zCH#8f=fetxjT^>tI~Rbl(PFllH4ao_EIf`PC>#}rFO}P2R1rHRB-7~CwW_rnm1NTp z*R`ttKs|ggqBc?QQXVcZKxZkFqb74)SFKuijUk&&>TVr{6;pReOvD1Tl$GZV)2y4S zaSAq2hmMCs#sYL*7 zj0fu3p_|UTWgoh=I*LsWGt~vrbMK0s7w_fzzqF5rl5ZGacr!CQB`Nx<=9>LD@m*$X z?on>2{uqX`VbZcf>gf%RW$t!hQ;y{Papu#nP*|)x@LM{!u#zfQfoau;g_b?aUs@B%%Q z(F@ijyObq6AT2xKn^HQis%TWYx{q&^58NdOu9{3bdOx2k(3jOK{(uvt5Kk=;4J%pn z9hykm+MVtFOJ3bsRxSL^rW#vwnaf5K43`I{t(j7*xp9G)1SMsCY+njsJ z*^(fA=Y<2``{veR1ix9A{>FIBn!bRXc~UI>gGZukr-FERvf#jwPV04H<{f`|YWaOx znrxxfHz;MSv=bI@4OSD4hzH!@R%QKJi?yHT`n;g2iJc~>tL%y?p`zR=V{%8gR@C4D z9NpHxrp=Mv>4jd$X)_mTYK9t4$Rd|G z?YeI_Q>SL*3cu8wj6J{C=V82pT+M*DOCVhn@OOLNgIvXa5yWdfa?s5(vTmg_^2Mhy3om}Dq-%g|)$cDCBs#+$lkxs(ChH(8rKb0b_qw6*8d<-w_b4quAK8`her z>@eGLS=D$;BuZm0>}`{E&Blx!FG+lnQ;-tYBkgq$cPb2#5|BcceXUiv6`Joqde6xX z7;B2md-ZnLWWcvKb8o^}BsL{olPozao!!1DghqkF}i1 z9S!GEruMX6ODy;0Cetmals9i}x0Q1v#akZk;fLY~_tIU>u=J)DC8D&XDusUycNBpIqJ;*t}yTl84ehG~=j`>ugD zP`E7^sKGN#zoC$Eet)ZPYf-}?%>9O(hMXG{a3v;_RWEszPf*9s<| z*d~|>yVnRtpVfu^RW55aV1i*vbnIFyELr?fIX$sL<2hI}BbwOdpNrv9qH(3h#6xlc zLgS?}#=X_kE~+$+BiR=zk*&b7i49DC`^OSTB@jod-ma8M68FJ^((YsacwpVEmJU`2 z)3WSqc`HHS`u7u>yG<2PgPSk1qEmkjw<~;(zp}NJVrK%zf;*m<1Gqk4)!x6$5lwEnwh&Idecqhd zpzx$TvIA2&ZD(Tp+>);rANE^WFLGL0tkl@G2{+P0onjL2uf)hCFo)!L*vIid#C{ZjD84A zx-dLjC@4O2aX;2cXf70U0cmjJGYS8?Btl*R>8P}KZ1i_aWKtd@)iB05WPL4a0|xKG zBTvapX1&h}Qprg79c_Y5&NLqhM8!sJu4D^hf<||k)VD=}laO9?9$6(& z3JQ96d|CaF6Ak|`DFi)e)@eg13oR%r%BfYZ`2{t_+px3v~xg^I$+SFE!*X|p98r9x9xL28(~zzmCt;ZJ@Olj!?G{wN`4lO$Q9M*(GMlw?sU zFk~72o_hN!KBV&mPN_KE{Y9qvI=s6E=^~$ijPnt4H65-yA;6ojfnpf zu2gboq(>+IeI~xEI{Ym&vPkNk3|m1xwmtra4PYQT7*RUB9(DbJbO353^1rRrn3>pF z-B&v@*PPadQG&1T(CPG7+)}Xdo)~^9X;+SlGwY_qN*5ZvbT7-Bti&FSIAsg$N*dNuG819 z)b07Tx^lkyc|ICFzHR9XZqWZH15r$<3vF zVdmQuYe#AemeLNpysM|u;2xellj!Pt9YRv+@GGv44Je@IRH858*huj^?E$l*7k zWuKIQ8^SaTF)##IVhVHbPztS7p}vh!vY;U2Ta+2v6r1`^?%=HgJI5UO zIGTSoYt4Lo6opo-P#>XPaS^UuJsOKYS`YB+uinNZRSJ1i2b!O;H0c?mgC%tGrdXo*pj|;-15S=~A;v8cny#MZ ze9?(}n%u?!Eln}JvhEt#!TS2I`&17zPE4>(hJ>Po&W85VX`wy_7V6jmij5IyyJdUG_uc=mbc^s4_otKo;aoAUS# z;}hW)!{)|^CJ=0xOE?f#OLh7iq~IoFvK#Wg@N9P+W>SJvB`*{5Xh#O<0UZ_A391x# z)XbyXq{)ZZ1HHa?C+}-q9^AYxpJ&EvRO3;24`V2{>ZHF@O3FY#9z~L|5hR7Wkr4)k zy0KA-`!kI~cxK&04({n3SBZ!6X$E9QGD}WMPd^bgoD{btO-KQ(Wa;k$8p+b&g0vY% zQ-MmKXYNmacK{wR+V{rC<>})jnSxZ|xzmz}a+s4dtrc$OFtRaQ$W~Bz^%Cu4TCDkE z?W1qURwS|Qtx?b!kgckdWc=%vOHlx6|KX=W&#xoun})Jg^GrqB~9!CB>Z==OWsgVu};*Bno*J>im^S zaS-aXh*Dy(Xt`FdW`jnh(Q!TkGf6Tbg-xm0$wO_PdRS0iXIP)V)ME+??NJmsH1L3px$_*ils5Pc z7vv33H0|CPHxIuMxvM4VfK6z}w!mrJ_D${vStm>KKFIkQE3Aaopn9OF%tf4XTY=BF zVpp*e-I-1Z)wacZatwobcA#7Si41ZFuB3hXxBJGg0<5z3q|N1>Ed?(pdT-557v`M17^tP0+;gYAdhas=2ah|a+QC>n@Ni(+;b0rXvys&) z(~=1szh_AqpQRp1>oscC7ip5>B2_WhmR}y%E_UB9{3aQ;_O|ZE7OL!92L!SFx;*Pr z+yt{3>)Yw9z5_&tck?i@T$(MSBQTpS!Xnh0EutbgP-h7A2l#8ky}ZdEpQX=l5|{UA zYlbw8VygNH^uzc&QN2vaJ71e^6PNw4MS{byWyg(-?CO^r1%DvA(U}Wz!;MBA;rhmi zLrGyvj{Z<4Z4rl`w?6<^)_S>*RTo&zPmGzcI3T6gdT9<(7m&_PjG_LbAX*+?KD2gv z_6Kva+AlUs>H;T?iBs>k2XiFaFX!Xx0#fyfQ);#Y{I3(HRRRB zKmDp}5m|O^bLHk9K!d0nrd_BYPe)ICgM%L~u=(xsAagr;FoNsYcL73i`SrrZv#Ue; zs_Ah9&g);t+^dUnfNnMgGLZV}Jqx`~);Q^_-JiUa$7!Mf%qh2Z6F=}g3T{Yk2i0(!C3kW4!^W7IQ`PT;POk;=gqtQ%G2j< ze|#W+{Cn|mykwsbCQJdVw~KR)z+JDxk zxJ6^!P1byg>f^jv7nKgR-En38Rnim)RfjERk$(O59qj8hHhJSeFY z%oy~sA!?+F)cNxG9+ZUf+PhOHvUG9pR9soPTn#*lxfk-U()e+`J16Z#87;^ed7m_n zo9y+wrevR+lP-z>=w?i~l_G_~E0~C*n5v+x_z&NTy22~5V*G*g$3xm51X)l4Jpfgl z1V1qLFD>y82PxkE70xVlpekevqIxTI@IA+fCWi*3)IG-vUQqx;5g%lMPmv#LSPpU? zLI?qAJ`%JxUTl#Gzy!MzfSt@BJLnw8V6hLEj~YC38zjMQM7{f$D~+OZB%rNJz?E6o zo8PCE%H~scCKV=g=VWsNCKe zR#o{{8QGo4hnMO~)1!vc93DcdqDbD%1Ez83V8T-hu_U^ULl7-1*O2E@y^gqN4o&ORi{!8rmZ*la$#HjxkhyE3t%U007L^|Dn#gMkN_Z3~a9S;RJOfPTq znwWFC$MwlPyV1d;`kqOnsSCPOpuMy+#}9K49+pIvfS)K_Lw&K9nW#Dhzs5YcSO!!A zXh|F(zb4L0+QAf}a(`!dUg2A1c(>Zu#6i*YETA-}eNEgC@@5LKrE3Qh&ti-LW2tbZ zVdZ62r3l6};I`$Spzp`sE~DRjgSJiutN2q26G613(?H-ng&d!Ef>~%j?bXwgj}TGi zBA$h9bOT4hqFMW5()22UwXIaeg?j};ZUgV)YOGe zuyX-Y4wgzUWA|^5-=mwM6wGRu)~nNSe;p>xtV5+~tN)~(US~>c6Z$!1bqSFsg2{TN zN3b?_=s&N;@k61p_T{(cXbM3N@r$|#^iRQ+VRhp^OB-=(8^@@N*ukW^<>uGF8l&~4d;jM78C;Fg|3TPI6_B!Mtb=FH&eeYwMw zjAwbvpy3CK`*pQ0O6wp4j#RfNhpkjG=HKY-d|+x?8w9||wEa3Qe5%e$g$74C1);9l%0+&D+^rGaX4t z7WZjl&OA`-xo|pXZY66F-Vr_w9Fju~kGH>u3=w@@)o~qpD3(jnUuA$B(NSTDh!+o{ zr3hRxftSy0w09jKgQ=HD%KDph<5xd2Sukl0aaf@wov;x%Dpnj#I{JFHmi-c>ZD?$lqhLw`dmc&gqK!)5T`LZ+NyVDJv&_vl`K zk~Ew_N47P5P#YWM5m&-ouEs6AII$X54w_a}aJ0a^rL695h+yLCA&4ZRYNlVNFzd{} zNMY7V_%SaV`l%$4+q=BnTUH@P8u(XEDbqLbqNV6gi5ducc)VPny=@s+6Ql&)?A}F< z{5?b+QbdQzkeY6t;~v~w`iXL{WpEVsN0m6=o@r#vG4W+cKiu4*(AG`+HV>dWMiAVq zP{lEEj>5iv^GiF}n&jvZ>#3L#<3AL(0d1;*4B`Rs?as-IMp2am2|0b23jtQ{FQA?w+4p$=e^a-y~900 zN_lYL@RW#XWdm@eMW_iGdf&yWnP8m^xvrtGju9BT+ku1o%K9V@mZqw^iAsu=P+cse z2Brz+V?uM0c)B<_ZpnhC398FS_G%dGrQs7WxYz1Y4IiK2N#^3#|Jzmrk*N{4`|5@6 zn$sHBKL@NSzK}oSwt;Tm9!l0quoLiF^N!fGwA1NxMZ4lrlaGzJHa>187m!7e3B_9I z8Rbx@$&+c)KlYpi+9A^)5W8#s-l1#u^ZurZEDP@Ha6PvDF!k~DV$=fjiT7q)Etttq zZ*S&iczbWxh}SV5Aoh%$gF7tu*cG&8*Eg_jWPqQbeYcODRTZ|Q0)eJz1cR2bTyFt{^ZRAcZGz$#dICeqvv}(+P2x54S(4`8W>4n zXSDV@FOUh)7LZGHwWAk@1&Qg0m+U7!PT#LOuJT&GWhY3{Q5FRoB-%XiP zjXCXaXGqiPD11;?PtfarJz9;V80md>cP&f%HP+Y|yz)b2VUTN^7r8}@SJjPb69RyW zBP4m+RleQpKLJZ(U;(20aHPREnUk|OIUW2SJ6Z9D-{6wYFrJR{KzikH5Hb|XE33E!qS6DfY7Hj&^N{p*-!jKU~@P=1kQ$lrp4Z5 zet@grpdbp*rBGAcAyULOG49YNNgLKF5XZ?&3pIsoh|3PR(f%c?=bw{;ug4>-J&9%r z|C5|b#%++6n5ShOFR=V6NCqyRq$mLKv;${WP{oGjrlD@tC(8dL6d416iJ^gsuOku; zeet5G_)0Apf-7P)9J&)3Yfl+1hle{Yj?94a-w9W13eT_5-?ENYZA!Olrb|cCFl(x@ z)ukKdLIc5iTXPLc|DmByIgt-qgAeNidTjO_BiH(h z$}qr5?Zq+RPyQLJfReU84Q3?q4pti)Pv5B^R_C#`AaRms~gyvVCDBReJ+|W~!h@~k4 zX>LJyUu+1bbErzn0Tc8d9N*+k@y|IT^9Ry?&={XgOpl?u>`NljfU0Eyigm&frnQbM zBN$%1s+-};{ig5~)z%U%wUGW&xk6!S{D(9#K&<&D9HabB z74Rdj9V(G!B8q(orNJuK2*VYGb+XC!4knFT4TH*_7IR3g?#sf8LEc%MU%EL+jJ|wT zrMwsrh@||Vlf(8l2;WeN@ya|B`4U~4=Gj8C{-Ugf2*_X?xu!i1Jq|TJ#X4N@6g(^* zl+g#B!Ud(7$O{gw%?A!l>5~CfM>}PP6kyPQz^V$u6obk%0`6&WHl#=eZJkLt`^bY# zgQ)Nn38N`54Xdfx;_<-Q?6C@)%nZjSV~=&at5shSd&PvpA~h$|O$DvJ z`nKvvPZL7dJh_xu|C<$qD{8-QpRn>Fz+*7p;kCuR#HTL$g5U z0jXxoDnW@<{5P+)7g!?6;L>q3z13#xzsUS=+*oX-Tj1*dmv*(C_N@E4k+KyYtWJ16 zY-hFYxf$E(L=By^ywsMNT^Gf&>0gOfKNh=Nzt(t=1I=%a^#+G2!lo7&kk?)slq z9Xqh6Q0iQzNtV`%HPM@;(JkU>l+wBHB_M$8^$fVxVZ_j<%OlJp8pTFmt>+IWAjhsc zP~6^8MR4Kz+sPgH-1uCJe?G?qeRl^0-o(3lj?a-}Zbn>-hcKXW3+0mmr7CIhnK`Vx} zZYvt!{(S45V?mwTzuL~uXOkKuFiaQKxgq$OKPG>vpPXQFf0Yp?PvQHLj)v{psQptg za#C3VM>O0`ak!MLtAXRo;R#-g>Fs1+KO;HVe)e_B($gB>v^vNuf<+~$eiZCOTlh&) zve^E~(IvIR!+PUI@Y%%>e>Nug@A$LF+SA@At<^ygq5e z_bA}Q>+hUO1ET*Dm%J-*xr^Y`4jTJnE0_PQkAC%4P*^t4UI?W{Y31P(`_kVZ^430w z&;j!~_Q7zTX?{tMXjeErCS_{BH8p`FOopXbD^JB12+?UugPJ4BMjRPIt|=kvlKd4W zQ}?Rjjo!i+xv}s%zfW*4omJEbQKAnXw@X{+gT=q@d<;`3-}*>Z<*e{b??KESG|UFu z=27$Fk-$COz*E{^tG7z<_Vlpw1oQ=Tal=T6-NS8`NAvl|aU&-SHR|s%Dt8+uN?ygM zy|OOc-A_YTG3@fzV0D9!!$}Fd*!Sy!IbQC>IYi}`(v~dOW7dS*+T0b)P>(Qk(g&oN zSxU)n#_10#M2FDh4_#+pTDBi$V@Be^w4F&ebK&_HTYai{f|n=WC8D6@Iy!@L5m56S z<`BTHRy6ubH>kZ8*3+UZhwY`p*uNUAChhdGC=+I(s<=g8B!FV}C_(bU0wpjyo0F?` znIbQ3IW5_(G&+A?3`&8B6N@Oj6oU2yEEb@H0EkWErAUJL{7c{U7jomBbR!yintc3( zDJgv#d)hfxn7(VlINQra&P;LRj}C&Fadu2&7?UW|k?`k0`k@6*?-&i=km8+WAK>z~ zY|8F%;eI^TK1S^!1rzGL!^DyU5+y{MH01ee$>oZ}|Jit?n=_@;K2Q&DpwrnX7eA^; zd*Bu!zniz-7J*FP)(~NAKF?0mZEVpNu@Okx#@6MCl=x!LXW9@{TI#_rQdY@5(a5|`bZmaYJGv>$WU zp6?PQrkr;MUo-$Hz!Xt1lG!~86%r$VHAXp}mWPl|#>xM#wb{5~8jpfmiUX6bnoY_* zb?MgrIf`kD=HXR8-qSNkrz4B9I0MBO;FLn)MZ(r$}^eQ;kXbR=`%9{teXg{y;` zu+E!HfX#cjFcL;pI-tyT(D5dc2pM6&#DMe z8Co|b?Hsi>_DNlNIb(ZnaRA-|H$Y{vx~so z<@^dp>TC>Q3d89ufL1m`8YGoN6z?8NM~*0sknV?b6gYy#*1nUr^$z(t+i@<5r@I^I z8FASFDSY@9Hd6fdYD{;vehuPyJ~@RnJfk3CCJ{E>V{q-q627{3!t!up$qt zU*hNasppo!q0jNG;9b{<}2#U6Bc_j%>2og$g|> z-6}Kj@Jtk@PMpA_{yyJTO8k`7~(;{pbmq+M7+vRe?FkoMbj!u@NgZqcUI zl3Mc=GtyV;A7f*){b2FE!w6T}@yrgmtK5h`KV==RvaG?U5Q>0BNF35(9`gFA>50lU zgUg9sDxERGFsQ3e)^08Za*kRAN{9hg4Js54VBmCnp#XlVX!BAY;?$^TK_FqEB519E>cMNlk*(b_d&YZdYI2ZZJ`x87hxg%11>6a`I)Fr$qlI8?2U zh=OuwFDT3L#&E~@hel1k9~?NT5L9W@GVQwfVU?LpFZbq z_x09ln@g{=>A{<2wOyqkUSgjHcc<6RyQg!nx}$F2)x_?)`!;@h$2R_T@67acKV`_u z%T~;aZ9xp(8RbkxS}FOnzAAx?b#g4w`_9*>%T0HlMuiEX4rj%($ceUw z@##b`1L1o_v-u3Qlg$J znov09#?7M4woSrQjqr28t^x$oG;~ObTTVd7FZt&&VOH_9f0a^N{5S;=R)KTEp-Ps> zRLSH_Lac);%8s#Q*0Bx%z%pw%O3Kb)Pr}Ss?`n|S$gLw|7s0sq^||@iw}K7BT=?_! zFbE^d#`Rccvw%Sz^pkdro4ae=LM`YKvxpIZUfK_<_V$K!&K=`13yL6jfM-Vg zuy`BI!qKu;#H(vFfkCNoc;ZY#!CaV>O_O~DM0z~h5E1d3jraTRhBrI=yZ zM{$n0wl96t`hgaD$WF6Sl>kpVDJI5GIFE z&uN1u3nma}wbApLNvn#k*4;@voBM|-9;Xb)g2bbMVDf29xakK;Xk%0~Oh&P4;-Ads z$>OFE$h;*v+aTxLHpO)a3bBZy%GZR*?e?kqrDqb#<6LVP<0-gg=ow72)RS{HMUbtD ze{&!yb81{F^+PK3ZA@`>xVaJQVySul4PjZ(`|E#Fvll~3Dqmsr?}VG}e#{C3VdfVS z^v|ho?qLtK7vYMW$QJ-#+#6}B12yB4-ENpZ*3IOiG5Vb-AgAR}2B0w;S1(5ocR7%} zpq~>Tvt6m?YcVnVkgE}PGEZJ+=ggPOg^Y;O*WF=vfQ&H69?puUfzn~*D_{Xh7-rL` z#3ut9tSg0>D(ub%Q>0;w@F_e>6;5Zwk{QI>>qPTqJ#o4A{THjbNLXs!Pj}wmzo)h# zbk!zClfJst?A9DV^t+Z!L(7fVZ7rPpdfzA_i<2ll%h zpM<@A4AT_MPfeVbR86Us*)r5IWo>$ve7{_yHMV<+afEa@?AG$n&qXkA4`Ga`pd*q5 zkuI6_T4%1_)lbk~_{}GvVLBnwC$3?OiZBJ9_xu07P(fKYtbQa983oCs=k43bu&ff7 zA4#VB?f)lW0m--!3+L>;_*$!;@@+705(!H>uy;L$_sU9*@GnHE7@yTdGV2yi^Y)Eh z>%vU8+Pyau%|MgR0gabM>4x^zepWIEpS2y>pN~e~gb3c&T!Vn;`AgX>s5Ay~rPgML z=OnMX_`!l+zKxOuX2Jr~Zw-GLxY8GdnZyuaj?Ujk{-iBArM~flaji#87Hmj+USrGG z?q14}SPc`@I@xLGTq-Z)x=~nB3sR|cMakB+Pav#IqLFTGmSdu;A%5@@56{8(1wD5-D%hkWUxnC$HA6^MgnRF^A43N`-CWt|4H;}PPXPHn zPjt1X`}-^5?qiX)s9l#&UKv$ezp`3h`@=QO{LOc2=TsU}4L8flP}j8g!&&#qGRUyb z@b)p|GI@n>)q>H5;aJGbCd$fmu+A#X`XJH}(&~Yt2eGK}3HK9uX}>ECo(FbEgMF!k>eQ8P5#kqsVSYk>L@(X`e@tysn2?*OrJhF_A;&V`m(1S(53tQz5Yw1wM-c3rhh-t#Ymf7fwh)SNloLk7* zUGF;o)hh$pK-JXY`JLxFKL_Mg=+Go&KXIb@NSG$n0lI%n`SuG5~Fk&35Oc06XAyd?p*uOi{bA@i}23x=_1|<17?Arngv+Fo`SzvxTWg+5K1=#s+Fh3@PRK*-IhGNN_&J$KFFvtXtrR^BJJp9Fh zTC38qR;ysNkLTIC_*vgnx|koz){)CEEVUDThpA!iI0<-*_`$^ChaUcGqA|p{MGo^XiyXY@srqZosWQ%<(}HA>GFFph(9T&9bd2hy z&T5(DppzON*`Vb~7)TlzFfy6Qf<5#g2%N~Gebo3JVSRAOzQ^(&g`VQk?==W9qX=oE zzjBFNylXy+lmNITNGm3`u0UM^dl|P6?Re83eQ*ixkj8pct~)a<`&CN{mu4 zm2(dmJ&q}VLZuk8$#S?5SDXJKO~JP`(2>tF7s12fveGRMys<%SK~LsUs+2m ztu6{6*72e)3q(D-=?6WScy-7m!ECO#_Zjn*^`JpQo=VYu{yOv`{HN_^eNTMHJxt`b zM(ED46Hc2h*+Pb1`iosIeEqruf+a@=@sfXC-)aPX%K_Hs4!Mb-Us{jR51HP5@uTb3 zx(CfNmR5Ku&r-n*tz7+n$>3v>Bl0s8HXihXk+jgsUkH*t_1REP$>O%NG8alsAP!_@ z+1|qJ&Na`OWmSgwOb2*E7s{@i_39{~2#%o>I&Ian}u zW+|-6gj&RZ9D2Vz&x3O0R%>VVmJj25>7Se~zE30G5H$8KN_38PHiLfl_l3}HngS}jWKqapvOi{!(^*76Ga*%uK*^U zJkaTP^$Z{YOiv#zrhk(dw%s)RiMcKf(5vi;Uy|%3nBm^h6MKggHp7>!?;ji76fdaF z^`?M7Zu&26Zn=aplo-I6VJdYs#F1pFeqqcc+gNx4Z`SxJ^ zP|lmsHg!Tf+Vul1^8gRKnXU#!uVQt(`0etT*LA#prr*Hv!4H1i-}sT|G2A+o*8MPM z&&0GYn3kSz!(*5=MSN%_7lOpaa|mHOlldcd!s{InMy!HxV#oVm|z(xKO00YTtCmI(}ZVZ3!7la z33`}p+9SJC!xlYxBD<(9Yn^Nw^=eX<+lPuiQ-nLjoi5Nka(?4rj+@&|%3`}%FUVO^hyxKPxITR^gp*WJD^JohK}@2>tjIebj739oyC&m=BaSbn$CAjw!7kQDLBXpNu^SmvKNc^>-@J!&N zjY!?9)bZWgIvQMm>GH~be%33a%RVC2_l>@(X-TZ>7%4;N#ii?< zXxmw!&c%{u|4Pje%}^Dz;I0206Ni(%mR(kfk|rTB9KJ{F<@k%x+{6QW)Wz2xSRsPU zl^864pf`7l+hmRRxLwF+wWXs@(y(8b)rdbyg-Aa@f-m(b-Z>;imJ0}Kv6NI9x>h|Z z#>^`1o`kouo`#c7cVConH}{be1!>9JmU}&kvRzfObOulgUxQp*ueXVw?*A(j zU6!*|vcnOwK)kRj*pzAA%1DMbFobQUb8Ir)f5ub)jUxV zbu8Hf>z-9$=8LgAVdl^c`;L)zxL=(wcNyaWsLEbI;-V`Lj z&bqPUrg*O(z&ARpe_P6+tu{|Ndv9xP6`df%w?DOtn_|vjou3{q2D%R&xWFRz2{r71 z1-l<9h~+nk4F5r{fOTuy2cKx(3`Np#(El4Sz$6(69*?a>VFry{7*>vLNnyDudZ$n( z`86wYE!dIbKWFoATL(ve@2Y31WvgYgA;d|TnfHC<23jhbSbkOzEUQhgyS<_(#^s%O zC$_W9{DoUq^=yL}1XtS^k_3$9WF;C<3j(@lkDU zs-XM21}xX!P5+?hftm3F4_JGw!1NYT*Y;wI5q^71!ezF9$r3tO7Ald@E&{x>O4{@`dN${Uc zJc1|!Y*=d%1X-Q=JQNRWMOCKcFVus$LZN<#oE~$BWd}j`Li5O;3wE0S*w&2O*eODF zapZv*(6AN7V(0^6BQ<0Pk&#)($mn>KYtDWBDh~Laqvn$zgJ@gO&Y9|4FWAk(2vEmrV>No(k(t zD>n8&n9M$(VHe?xF_h55DnMw57$M`c#)J9} zfVYJ=Hh>F;Z@Ai32C{>j@jNO^`YJpRN&vz)2KW;T zBlt9&cNB=H#!rD?VeY$M`Qkc02OyN1$Pn=bnPvH#tEJ2yZkGxuJm;^NJ9O{)mcLwM4-c)GCEob#Ip z#EJq_?gs&$Z>-a3CQ_!%7_u!D8axOPSO|=Bva89K{|pmiSeRpcgP`n@(T0>ajkZLU(yB= z$52&I5B^Mz<{;XAor_20G5B(&tz`!Xt;+DaA&@GTk(#;n?VHWaX z4Bs)ey9ae30K1;oJS-35b5Kl* zzJy$+J(j3Yg6aGu~24hA%>Y+PBy-5~rL`12b0;~MxP zFIM_OyWn#G_WNtl9Q2V4)D@sBKc-(04{HEuEdF4X4>-yb$HNWB1HRHX^e))nbo9*x zZ&Ve8^=^kaz&e#8nlL2UwqHWMT9S5yWf2ABmO5^6uO`GWMkQOM;V)xM8}Zf-~BmiT}=@7dVSg44BoFTpRm zhr}L?9R0o9j^lSuTYC^7yR;+e6Z^;!MxxMhHcR(cOWkGW;BhvKvnNa46=N|ISqwIZ z&2Z?^P@DB}3%XQpLDe;w3|2Gqt0A>bCkg8rObn;K2RV~k-XE;alN zs*uMQLpOp=dT<6lRAm``u7>Jsj~^$c^7ztn_41U^VUrMFp;-WOuAzI>#FWDtv|gB% zau6Zp4e!zy7K?2yAFr#W7;<=&=B#)BI}#)&Z=J)OrtIi8G~qOQWR&iWdB0}tT_vr< z>qtPD?->GDAf5trdxOz(RqU(%)!(5C(QV@pdP+!xG!lkQSv5OVYFAL-11tt?%sU$J zLYPWZmM*BR+o$33qOCNV>9t4$1xVvct2G8~O%+m%VFh(7je4#s(Z&@PEOJ^ID+Nmj zae5rO7ECcRN2K{d;-VuYOz$g2z`)^u-e#WaVJ7@#wUVz*+^sgJHUS5ZL>*bl>4&p} z#0BQmG6S)qMsF1mFV+^C>OEy-5Dl;~3UGi;u+iHl{{uWnwXen9yNCI5=cu8nsax=A z^xuCqg;=68 zmudGLl0aT+c^WB`d7f#Q`2xJ39szWnjXd}&f)H3t8-2(UL4O5D8Yejx zsEfqIqKV<9G@IqA4`1kE_74F^dQ@QGoKqh_`?)}&!5fLe*zxI%hZXXG-UsxSHz~c0~ zo_RI29i43u^yhABaaOLswDfm(y_Qz2PKTq@7HgP^WMNdslZ{E+C;FK=lt@Ogn2p50 zOvjZ-#IV(k#6e8gl_o2IWa(M%&vEUzI4MEJuJEp}PRdW5BR34~uGCqzozsBYlH=I) zdF^KA*5YcaiGH8OX@&{FBn)Lkg-Ic@`5?x9Wg+au;Ewp3`cN{Kf8w3YYwcdq7B zYduZuSrbEOc#FLkEWs5pR%eQw!Gyw{-e#g z|NF>AZeu#eqh-oF5rn`@S1=zEbyW60bux&hfMndK06m`iTTl$n3ZMu&)@Cj!2ID-S z2s*m_TTwn3(@6~g-%I_oZlBtWtqzrHUy`g0a*|_e5Ox}sj)AbKZag)>q^3IlbXiNt zAn?d9Ai1F^u}2ad60ofxW-jVw463gTTIL5yVI~Uk5Xd_oczhX{z*ZF4BAiz%2-_nl zy|EyG%RB6UeCpk`(BEFfvyHd;yhIOpIj2D6$DrpkW#G@ulu`G%DRvG+x+%Hj&J*B0 z9?FYs^%!Eyi1UbG#|6zBzhVDoZ-DHEY2!BJ&U(z9w`Hr?t_!ZUkK+#E-p*&=EA0XB z)*;n#f#&JN`WWy&N3f^mvu`}+8N~SnZnsfww+XTLnKpOvr9`d(f-08O7GY|NsdKkk z=w5UJY7;}!nmpDdvGL-N+A5lh?hSF2g_+H(mg(`-D`H?-R`{nYk61Ql@NfshIRa#%(V z7@EJpIf+#j&-#l+J!^0nsj!Lo#X}&eu&)Xo#uMPkovH?An?DG)3uO#X7RSjkfbDwy zLRg49HG9h;f*VKV)(A)L(;9+}!eovRWv9Cvd~zIr*4J2=^m2KV^ET8>)R`Zmu_|9% zmpCod+DAcR2pcH`{wXHJg&_Hf7{F#rGMWTY2_KJ-AT7<|0wt(fHQo;NCfq8~R}KaO2f$+^r5!7bI~J|Dugnb%fiRn<%+-GJ8Kq0Z)N zNKH&611!zyGz0ay1X8pfk2WPaij@rBovs*p%;*EP87MZ%F=CX%2;q@Q>JjdEvVj%F zcN&L5QM$MnrhAi{Jc zu&Mis2y>Ch_)0aM=S@=QI}0Tb91FQ`yIjsjj)Pak>*x~ zS1l2Wany^U5zO)2?bhs-tMRuj@)v9pcn?vivJuF#5{R=CAaN}(3byU@2NuZBR%>T< z4YT_mQ#(zL#h~9kwmvOpD1QFK{JuY-QS{hFXF5qLsG}YWfTBF@g+}Y>2udu#Yb3=`IUl!0 zZ&ogUp#T%Ty*lhlVyRfK$dqFthdc zv1fT4Zz!5DylWq>hs!W)xH>fa9TnpaYQPOPE{f`Sy!(Q1LtabqR?><{^8*Bpp+i@P z9{DGWr^uPXh@i(T0LS611f#pBtoyIjh#mh&t-gEqCwcxeem|5TM0^KZ{ER1Z@Y`$J zZ)k4)kSBgE2KVbBtGi(FyoY&rOQq`W`Dvr!9#LS6n8qH|0Zh>tQI$fYM9dcSuX~+K zC<}MjZV*1Y=`YHxzM-gEj0atz{DYHzD&hiDEuqQ{gOe}LXJMZYAPlTer^|f$hNh}} z4}lF$pTX_+*29sDZ`aQ-MWc5a;<<6g=cE0@6tCR`y8j=6;o3#YhfVaH8j8#BB)x~V zmo*?Sa(arjPy3$nkUo1x`=CSpnX~GoD;K95Ac#S2laT>TLuPggLrc`^!uOolU~(FW zPG^$?eNJP23J8JOR{16J^7D2R&1G6Qsx{&Y!{PVQsD#BKAX5FnPgm3HZpGR7GB?YV ziCde$kH(Vaqh#(Ab% z-HxpmYOjXu#Cqo>IiESC2sSOlKjd-l&dyoEDePk{{m}-DAk1EZ&pFp-*_& zg&Y8ekJ995u{|svr90%Q&Y5ZUhf7c7nJk&0)D%sr;X4@TvXaBgYcBA^ggeFD*F4V0 z_m4Fn^$Bl{GhW?UR7v!2Q2#7J`0&Z=;iR}1GryXYR^rUwPk%;ZOI^r1NAG6bsk6&X zkD2+cC)XB>iA}P_G=4onLf}wnNmi83@x)68N9p_(M?hR0n6NPO5d}~QBY1@zm`Bod zKf;H>BDrpbJb{L8ZJBcLg_0e|6Ner0oQIEuRo4z8sMQ6rij zA|5QlsJ;<^`?V3MNM%D8sOW!uZYxa|ghzrRCxHq@pe)3E=hi1dAC{ES$q#oYH6poI z1XflX5CN-=q+)nbFNua(*TG~4W;)h~F3FyZj=2UU9z@Z{X5{Ws2{%kc>(!QdwdL9>?6xFx{`bqvaE<8;2FG2RSM)7lUXE=A8Q2T^Rxykob zsh9t}-iZ^P2bXPRvPa4oe#pP8>2W)3BN>OQ3^tItpyYASnI4nB+e{8pd-KGOZ|tU# z3KQF?uxXrq_+qO@tCl`MW9@r1blNsT3WYZ30wZ*;tBF?7Im0;dHHplYTp0~ggG7=Z z(q>r7=nl(RrP)-DdpZ^hb+%x+p?VzVq$f&|J&nuHFiBARqCSgJ`RtKh6`3zbaHuOe^QTkL*lVqPV}l-zVu(4J z=3fW0LEO!t&{*-O)-o+RGyaHjKH?Ty_?Xv5xpz{rV4}?ikP~=lt7UU!B?guvQ!&&?IIKm~c!-8iif`v|iC<#`_D5&}FEc1gws29e z_E;UXxaZAmg>QvqFQIWKb5kTC6*Q+5G}uTSRwCQu@M#h$5%Cl`)bxz~A1X0#VyxvV z9vGC>dE~9UaM61R$%X&=Gff|;!*~IMJfl)$4stm7U`t{uWfA$p|S7BLGbR1Sy%YoCCwW_yiSpy^#AC zXao5NEm=FS-bYsQU0w2g62O4G$pk<_e4b$~CY~6T07e)!^NS2;vPpS`o*9!YA~GZ? zG@qmu9ZQ5sGLaq`6Kqh=@`>8mTn43rqlUy+_3w=En3P&(=tI{oX?e(qO;6JfLkR~1 zrg8QZjBLF{2uF>MZvKklO)C>=Fh8ztb}O_5W-pWCHGb@0LIzGmau;rp1H1m}jCoFI5Rd*{D9FQ5k8aGT+nGz(=ZZuRcYoCr zFKJuZ?I3tpSwp#QCn`CP-D`J^nnGbIyiCU1@<{GNbo=1RE}X!1?mfcJwgJRlok*=K z;)s3Jl_z4#*O4!S%(s-eAF;15UTOV?e)ZF-7XK{fa^S5MD}5cPLix=_!*Yk_C9_DK z!w1-s@=vhP9l{r==Q8Hcn1|7}`0@NXBGwmSU_Thw_3DO?v)u%sfd46=zgWL3KQ+oJ zr1~wuUtsckKR{PsDgw$kFc3x3--~ov5;l1H6ZR3@U>e*Y9=8}=AT7vWvIN)O2J-Dv zh@Cd)nTZLGt$XS9>z5RA_fRY1)l`-0$kma%77R?zi+ITIwJq zetf9^CDPvCa!m`tEe*+Y%FO=^#tQ@aVRrOTQ);*YEus$RP?2dEJ`PF0(=sc8xISIL zh%sQ$2qJV43MLFi2g!w;^h~-TJ{|%44{>o(wUp4<_`Jmv2^5_Wdpx`qGy}yl^>ixp zFtH>LP83gLCwQJN$wNm~FF39JE<8+G;UnbiwWMdS-!A{-oa{cNFB{wzegmvtPev(0 z9yk5Zq%E75x3)(Im!^mBzjyn4*Odb$X2Uw2B4wk23!Z;9Zz{hgF8XJyT6;`=q+F}4 z8%n>`@WDq#@X4>t=Pvdx!fEG$?Tt;Jcyj11^4}60?)flY+FBhxEJK&>hi|&g%@2zG zrmv=^l@dp@5l()l(tc&{n&XcjB2O(VPyzU(d34;;`jLEYO>;w^&%I6#OcJHwH6H}z z8BbdptVhSAXD=7KB4HUruQ$)J7PK|4QGG%3%3FS@Q|@WdOuVXNEon@*LB(d^@U(bxXuJ~IJ(dF zQW|y_mao0KD|z`as)1svv&oqSUCL?Wm$?C4Qj`H-X=Sd6t@9WQ8X=)&=>curcZ_eh zxWVADE+KmR@#TkvaIsm&5{piJ<~c62GpENk{~jI?|F>c-9hjom z|0?AK1p-DVy(HU?d<61!%tIQGEWVPcAgC=E`C+PVE$LJ}fGg~V*B`#BIHS;Bw^ zu0FNS=e^{35f<(=!WP^Di-6vHV}0$0kkZeu{pdmvh2waK)f!>S{lZ;{l< zw^oe4wus@9u0>)yQXTl-+k`c09tNr>(%`how+5YMTGWy!R@;6pd_0>Gx5&2Ab*7!6 zwnjTe{FtoMtS^=D2gfVv7ZVM=Qf5?S%Zm#&8YWiwuHvmp(b7Q&eG)%k&e-b3+j4t6 zrQB91T$&SQR^Iav_IoP}|G6rYcjm2ho%Sz5a3W!JREs>AG`>WdlsyMXtknp)Hr!N`{VAwZ16N94#yu&-?d&hUz9wY2+A@cDc?Ll5Ci zs)3&ma?Gn&XR0JG*4V0V!)f>V_>TU=SnlJVxrM|!A@7D;n9kR^dAbh&-Y``j`X$1C zgx5v<8RnCOZ&S9j!1bldq+)%FI(NfU@50fJ@nntvv*}MyQ!?sb3-dMVpM;Jz2zaBf2DG&ZP;;yS z)c|-vn*$3VvU%#czH}SGgP66#PGReu+YtQb0K>J_Z-H9*Xukvg^p$Uf9d8l3bLQxJ z>D7Vmj#+o|-g8&m$0n-XvhIq}%S?`pMf8+sVr|gBc%&&Wm|~R9VqWx$sUJl;mv>4K z*$uiJv>Px%_tCbz5KngaKdN-^-@shKe#%9;kP@07o1i! zx}kl+#*GZ#k0(pqSg3X{m9;#cyVK7w(x4;0?>Rh`rLsIGc8Lr{<7y|_+ufQWMZw04 zYG3~Iwcpck6f_oiN;Vs0xh%i2S+$5e?Xj>5%(|`4OUQ+vg>oV-jI%y|#KH{0r@FIJ zA}th=z3>~TLgga1g=iuzRFS5-$h~vgk_N6#9wHfi0{OO@gNqKfsZ5v4+fV|DE9m z7mL?o70>NAL69+{v;V)y4W7vUo6*w$f8mjtIV~&u{}=xsPv-#+*YiF65JHF+v7)yS zUGx@05J3=aS)C<%uhF6gQG;F4qet((MzmPH_ue}pI`8EB`#E> zk1K>4FN55rN*p)C;LWEf{f8L~GMbn}Pp+2LcgD3yb3^N%DE4NX8*^$d>b17U4g2fH zwqmm??`&_^#^7xJb>cE#%o@F~{wtEe2~}%^^=4-5Fk=2uhEry?j-B{z%&%rI98M*v#78m$(xZ z57{@##ClWUzd25u2$7DpMirqB#^#)JOve31DIPQN5?oY|6|IO{4NFT})mm(3??1?Q zrJ=}4U2>(Nb_r1my(O}Fl%Xf7P8Bhp`PStqRg!f_Ub1GKQvBO%+&~Oa8D-PIYKzqs#G&? z56w*oQ;1zO;`7u`Ev?qh@*Wp%kL79FoF+QsJ8?OXQf0?CYko`@Q2I({FO$lZL&QDhq~#;WuGXBS;N(^29A}wPD8j@r^&KVjEW%diJ@EEGY~IMlvc!eHXYa zNvSCCG#-rZRoINGE~w5}gbiR0J)JQhvke!y(e}JEw+)17o5S=NBe*o%>MOs9Zt=}A zN;$vU!_LSJ*bE-(P$AXpi+9KRzAk~<#F_i(((t=^L&}_!&A90k8%j1fug?17Wb^Hq zV(wPx89)E@h+n;Kyv&8f-I;RL3r*QiW!3^`*5m!H+AEGDi>ZbAw*4F$cgKU}rGL0e z)a%SH%JD)WSSkeGH9JN7ZY^JsSeAi>!!==jlFsSc&qh<0ce0WG9#*TInEB+V9GI43 zYtuCfGJLpzuIhg3~l+Udb%^%wYqJFYg2+M}AG)8IRS4jOa*GYirW z>y6n*c~ZZ#PBQj3{Y-I|sWfsX6Vr$S)%^7%uo?wa$0xF4d@^KtITOKn!NSCJj-c8HE*ZbRGySm zXk9>a$s-koE891UhJ94n9J^OKO?QX>v7RwpJ*DPF)Hz&RNpIvA3}*5kjzbxnD! z{CKVC986A$c=XAyGs}^+G|1}$o+5FLg*2RU`h-8OdQt(J<+=1}q*e|p>w`fT*=RZ@A48}#*L~uZJ1Xzqi$gjKMnno-8;C0+~~b(%QNd$ z$S`q^5Q||I$s=T9&iwWGG+BKUxxa4T_A2jv!w}{eq79ti4hpZi*G+y8PzmZmEwSjz8X@e9Cv| zNJy1$>6|u~9_;@4?p0c%_IZnmoZ#z`U-<-~!IC$h)CE3;vYcvOOnc>zrtwr5J=N>@ z^%ifK;$&oGEfb+#A5!G}S9op1`tnP0LU9A6scV$mA`;w@~v5#$Zz3W3ZP| zh<~!tY^gcdY2n{if0RSY_jklCYzR}K4tLbtJxJ6r(sn1{nsq5_lVYf4jOuQ}qt{DK zOrKh>pSPu{b}Y4HIYAt|(d^St?x>Wv$bu}0$Z2b3WLh!TM6l$x#nrUL9Q2L~Z*1T; zWh^pFzM!N?&1PYO)Am*ef5Tfg{sn&|hs{KAXwpR@O;$Ck>D134i#l=a!Nm=2Vo#Ua zK>qVCv82b63=#LP7MuF*kt{}?ZK>iyZg^^%j`3dIvWt47nE~35r+=`d7k74C21O^b zaXq-yKY5nM(P&jde5+KNp){pPx_i{4Vtkh4<_{IzYLVYy4QSgM#BC&=C6eBdwLA3m z|FjwJ{Ay5e^(tVqa8s9Gen$3Hcrkv49V}&RXs7V5VZZN6!|lW~;Es8Id+NMoZuN}i zR*fdA@9fX)ngQl^9h3uO?v&oasL~)SP33{?)efmlKql&hdy=aVKYpcly0~BuIn5Nd zn6fr5>@*YcJwN{YcbQt#srrT{g$hJ$@b%ZdUu&&TIY-(RibF{v zLr24FOH?)6y~hfMn?2h13K07|JRH?AbuX)ji&WVN>Y=vw4XA44sx?`J_Q%$$7?+X; zQ%2LOY|m^cwPVrjX7Lw`0uCo*wp^&?n)pNy>)Eyr)DcM{R?S-OSYl;b)o`s1RYf9b zfZ1oFM==u7J31+E^u~J46QMn|32rrMx>PHy?u{(+s!?U5&}Fll{b~*S4TCBbkM`&l zBXnk6hWEL5>b+W2+0JUAwk{jZW61C^q#A3LV~y3Cz(kL9K^Idyw4Rx*4^`II<`H5m80S?=5u38*J)+rCh}pRck?&3P*cV ziV-fhQByW$#R?PhMTJg9g>IUthe$+d83N8$NBnhV=y$z$*?b;~u#M9PdD`yGYPEVKazN+Mbidp}& zKhXuXyHKQ*&)casM}=z4x5N=#v)BTO@HbtXL1@F)v_E$1*>q~#BtUe83x~hj2Z%lvsN3;1 z%{D9&2-ciN7S14p=g2%-w1(gxcu_)+{d!k8&Yq!O&hsc&fFeOcm(E`@l7&HOu93!h!YI~{evT&Z@vlGj3P{OkrUe?EsjU&XiHd5AnS zO3~5U@2+k=KwbVk=n|nLo&i@32Ry3Fz3%N`-SLm#zuhVPM1fi`Oo?v!?U8DHp;JiX z^}Lw({5}{WU^~Ta8~slg`!;&iP*l4{74ZSl8G4kF%+ojHhGLb&KkJ973Zv=+T-2=@ zdBv(7?X#iKTIlh9dqv?YI~$gUrD>g&X~hiOpi^)WWO2_eLObC=`(tkv&u~2_R0kjA z5zj==N--kNMejo=v{@w&(NPS3goZ5*CWeeGat8L76FrbFd7lPTQ&sD1ST^pmiJPR? zFxBs0Mbs74jV&4$I>k;i6nYd+H_CErQSP3;O7Xz?$8~JUuf0nyI)A<||T3`vd zwsCbUSU(ulVCdS>aT%G`arvpY=&DU@;W&%fW83Rtn=AGjYBFqKXB%I<^2mll>Y)0L zg-^o9jcuy3WwOIg)!oW`rmBw1_|MtdRI+XA+H6p4wo!eG9D-0)6byfoUTKYZ+F0S{ zZ;gyr(Pv%Z&qofSJTHIoU*}u72l59Ld8*{fC)JEr9VfyW|9s`AEhL-GQDuv7fX>^S z9mdrXJB=(W^}&_wd_k!z0 zQUWw(1LpF%*QK95WG7kg@kHIdgq`FD&SEEWo{2haP=;A<7w*1Zm*H;+H%;c3SeL1~ zmymXY^Ml~kRd$IHD1M2)nBu|$1s*aaC=q~?>O^_(fDdN(^=^C+C~RG@|EVy*=XrHS zLI(<#bSPkuy*sQhfV>1cTA=eF0y@n=&{+6iFIX>VyhcaUUOhN~b+E7fN3(QW`)=an zBd@Neabg(3{K4zaua$x^7y%Y5>5I_a%t{rC% z+wfZL!gD4^c@_PMzR=E%ZqaM9(NwtiDK2|Dby#rR{d>!ffDe53eD=P>%1AV~Fiki` zng_G37lcPpyotru3+<&V!J@;D2MH8uABAkaw!hXMeLS2!XLq;tB062_G<%PVp&uUH&kI!*ml-%f`G^4lIqJu)H~-cF0To`*{*u}6(U%_< zEbCQ}!{^~*l#Y9Xf^)|HDx!>2unzD1dmFeD5H^Bpy^)(powhEuo2;1~FHy2e$uyoIMUMI1` zlcm-LAmSzoGg@9rrn5YBE6BPOQ_A>e6i*HJDK|>%O&EJ-gec6ms-e2{>cJTDl_=XU ze7D^qkNj#5uv#}#o%!wzD07q^I~-LWZrVGQ%?|H>-FfOCp1B|j>&zEQGcEjrtJRs0 zom!%~uZ`q3b7HTV)APKLmCbMZ3@_&W#CC_Dn9EXU~?1mK6N(aT+&J#;yU4%@yU-`6q!bqp`tZ?nm#DHjStb@o6 z!zC47tevVLnn5-lQem1n@9oK+zQ_S8o|2Qo;z+M4!;XXE4a% zAJSd+?~z$eXPnQb-%GgS#RW7?CX4i?-r2 z(csrbu<#m$Efxhuw~Uydp;`y#I`#w3A>YdI|7vS^WTtG*o442p<9-&eVa7|)QLhzF z^?`M}2HHC z)z)hsHoLQ~)576+WNJtA=dRxtC>QW6l9p$TaCmA2@7{Zf_DXbiULFBU1FD|tbtlKG zE*_+Mx4UP^+V{f0`n#29Y-g7~MmAZ6Qs(*2PxN*oGxQ=6ai=)+rYVEo6{>6sx@^C? zM0t%(8ud_-p*2=4-CldgnJatRKDt2T+chk}I$yj-y8=ZcQ@&$HO%&g|sda?|JID{# z)k`~){IA!UVq@Jz`>_kyxnD1KiqJfyUP?HHt)L|~^^<=`Eu$ss8$B==L%a|8{K+nz z)ge7!Dr{OL+<7~?Tzpdw)^M<{64Y?OvcK;c2WNDXZ9G}EkMB&uQA^QD3}~Ebpl|r5 z+IhSEE?_9KX!mjwio>(tx?`*(Gm17aGC60M@GGXKK|e@`Oe0t!StQ)SbIilBM@w1B zhArh;#he_^c*?Vb&R2omEoR;oxA_+z^iDf>*x}cWzjBB& z%{^A0<}-Px-QBqOK}B#r>IrSUOUx0$#+N8JSt{FS=AtH*H@EJbX0-58G04E$a=-`9 zf=j8+iiuzBD^s|FbnIHnrQsVSyKH5KYcS58sU2k{9VQ_gxli32Smvnb?3o;*us1s5 zaIzH~o_+;!GqM5w=%ZasvakbEc6jDJvJJ*)2mND4lsm*P{7+1YR;P>foIMrYpJtvj z7F1)&JE?VVQzA!4!JGSc4*8#M?E}3zd4}}*^uxP8lJf|t2DvAj(K+3j7znI1Qa4yC z_ux0i&3&DZDxmz;u`4onZQyy3QXa^%lcDQW7 zq(0DOsp!%@soC7d6Uj7hR(IPZ*LCi4V35pcnBS0Wx}0S0QOn=1Hcl8EE@0g9nepDs zH`AQs0ojy1HD%`{C?WaRuu7up!you$3a!}S^z*U%v}R};Jpby0YL0Xzq^u>j+6Bg?F3kyMl0eAKw z0Vp-4n)-C8-y10T=D2u4qDI8iV%#(P8~l}D(^}Vj_wg{LwttbpBqf@_SjVl*>%~r> z7xs+57xPY+i*Wd9<{iPp+@c|=ouz&FNB~1273Ih*$Lz~y*x_RLYzI$up)k^cc($A8 z0hURxucub0A|a2hf3yQ}W4!4s2Hgr1HkshhE3M8)zTs7WeUJ{d{`E`tYqp?(P8Q4- z?ND3pXG&Qt(Yb`PS`Myv^}_;k8wyr1#(&*FwK{pubRay?t{vFAk3xwFS(0k^WZZl! zj}lp{Q_I><_*!>)xRiOf7S)k4Rsn;1VdSDiPieC0ehsC`eoZe9vTotaV%@?qK=sEf zFq9+g#(F1N0~ z3`YT$MqwY{7mfXX^`mp;p=X~a|6OXPO?82dP2)|!L?=m$*nH9|Ej&kJolU=WM`%~^ za2ijOvSj7(+e5M%o5pghx}^EfGkh8wpM-TH3T9=NQ@#)E6^s7F=7ayXq%8HmZ8e}g^?ob7$X(0_znhkz^V$+w29@aCdTK)bCrE=G zUL@gt=v$p)YOPBP@0IG*KH@~3>$Qur|M@l`xgMcF&VzsZHBFE+s0IdV5FP=}=#ML^ zUa_Y0wI+kFCTo2+{{JxSJG|b&V59xgHcS)AYa8WO$zgA{j~W+w z51p$QnTFIUdqU?NO~>|xWM_=s#JomD-g(w1*Sok@ayX==*_N$~u0E(db*U_J){NRH zvcjoDv&j{nwzaS7i`s$KHYV4nY@4I%Z$4Nk#Ji9vIaU@qmTbLKnAvr#Oj$P9*)vzr zVR*)yOKG#G9eMp9+ndqP5XIY1x^% z{mrWN*VTm|J^V9mk_!6v1xG8;Z00C!xN2`)Q>yG>#(y~)Mg-c2{+7?!akYDfK8f5V z9~2lI`kOy9yyh^c`orx0v?ZlYN!@g6O{Ju*#7qg5O-TbUF4rnIY0q}eg3$E5eI{*0mQjH~7h?|9^Xo?~&_0Hw`A-Neb1mJX2tm*Dq3c3GXFJ;4Ioi}LNiPO>vs z#nl@{_5X&vCp&``S=~4`tPA6yS~b7w9zyzz*K@s8>;E!3F!Z;~lcaoHzB!-L=7!29 z&E4sz_SYT{f1zJPfAc&^<`F(4Tw+BAA21N9#LD&qp~1@b9`_=D4c@nB9+ya&5pq!y zomLg>yh#X}^{u{7Wivu)gV}fzDBvYRdMI*V*oZHFGKl_3`0(8s!|tCI6xEGPK``#P z)tYp+*vp~4rSO?wQ|{_d>|SKP)?3hEfBxdH7U$_BPl-KV_KhuPzY!w^Dz)WTcqoY? z-10e>yW7ZWl45}wh525`&rZWb)x&!aPyUWv&5_jfN877M>m#nI6V1wDNEf=CD~dZ}W~d1&=;RbW@1PN$KGu9!UWpJNQaNTrJZgLRu&W)~ z6jD+|5LWEaR2p6px=VuicHW*O9~n9)1dnBu23A}ov(t(G}zGQUGmd1ESDf~?%!zxa=;;jaa#HWX$d;O8HlwkRv?FZUTx;WJJj7#1;0=R0=n>dmR;bow?k8pQ-og%HVcOPqfDuhsM-+x^Pr$8ZVPiwEtkUAE?uz6o(G|^YS2ngq6pk$;!CVDq~fybi0)i@vU}9A9#X` z*HB~njl^#|;F!+2=VOR(lZ%PpHb6*oVP9JE9urgO5nIg>nI=Sc(L1ZlFzvf2zN_zn zWy+_nvy#zZg3HQqyFn4A)owCe?8pr620Ebe{!bH5d4`+JyyA1@%*ZtFq}c2Ht~*^^ zq{mVwot{|6PG5*=l>G&?(S(&xi5d>9}d0U&ksJ-3HmaW)TQETG0 z(it}w>-LYm;?R%#A+P(&9*s9=4TpVXEIM!F|LX9ryMe3MwvqT(l@>HKluM5oSC@Ev z4M}RM)oYO7-fn;9$mJ~iWZut^ti6G#GUNSk^y9dhFEaa$%omp`dYSR8b+c);Os;iQ zrrx2n28@Q=bNX^@)TQr!#6gy>BiuIM3p3;wthUk;F`YavRMyB}b(b_PjL8hTR1H)3 znvY;r^!jY~UVJU<$nS@wkbfXlJh?E&UL^U8)bC4Ghi4J$;)TUA*t~=6uJXCdrH}Kx z!eNYP_Ch3G@u%52&}okDdh@ywXs+fLC!07AHJS$#$Q1^q0G<)5lwVXF*AIHW>vk%! zHNtb3Xeo*o8TMI2^#baThFrb#+&6;MeJG%e=`Qb~+wVpAUk&6P;7}|HQ8He9Z?1m zZlIeNX;j;?Dh)*Ul*s0<7UJD}Koli?i5GplHX*L@m;r}l+J;r1mkwbdp&^${J-J4z ze3}g@uJ9Dw)1B#kOp%>T6ft2t*u%A&|E!zHqb*-$ZuCU9I%nCuX?|>)(js#?UTKfo zwWrB0vr&z6L#z9xSuTmdl!frT?|2T$US%6|E+>O^pf`_p_d!5`3HX7_N#Tk3#JhHj zF(Fy5g&E7CKlq8el}Eg?s3*@OQkq8p_C1ruT`;g5Nv&3KEgUi4qL{<+Nm60d`KZ(( z(A+%w@>Txmcvn;8KFQtemQ!v-M`$FnPJlIwibF~C*eW@hMufpMJa)ZO2z>oMn8lp# z(~=fr7suN**&eWZs}btAsb%u`9Y(8o!4`EjwiQ zPP3PdsPnFG;E0!(POQ{dVEmulsuy8xtim5Y>xml|bCKj4zFp&DELcr`bJ-i`F{B!dU1*(LBv2~bkD*|=LzSb8P%m)+oe!C`E ztKmo;QtoxDX~#-q$ADOH+R;5at*A7ME$nEL>?b6eX2fSLQ!!pw}pkbh-=<1GdZA~ zK-9u3<3Z6ii76tvMRLGa?Z^-;?{bYib(0E%&nO7@2It$g1CD~#xCd3s#EBrjKA+WZ zS*zrgX5O0>Scj^MKb2zrM5DvlWj@#|YYx`WoDi&^C4%x^^i`73W1+1IwDRK%op2azLS&4O$?8 z0tOTgK(PlDn%{r|4ipJM5s?F0Bm#vEQ2c}iuPrDBtQn|h;zQYtAuZW|Vb}T7W=B_+ zX&qce-jJ3$ptuAIQEQ+m2Z}zRke@L-^0Wbp8lYGLibSAjumy@npg0AJZJ@ZaOAA67 zmF|W=Wfwg^h1Jsig$X_T3#(-Uk_AXMAUT120VFSw{6Go;DFUPzkgtGz4Wu-XvOvlK z`3^`WFuni{`<*3?OQ|+d#d%Znz;gT*@aXW0-KX!M;#{v~V43t5C@z8G6ew<52HJ>y z2il$kL;=bGIKT}M21o-`0J{E3EUmv6ej=qbcxgd31ZhDF04&0^ATEF=;1eJhFaS6M z&=92s$pY*E@qiY<27uskT96>X01zA_!hY3`j+!qwj1YT&!G3LW!T$2Y1-qBo1$(18 zs9S)#6{uT-x(%q?g1SAZJAk_5n32NY`!8KU)fH6TfY}{L5?|9-54us!XkHNNM_!O- zfIglVnpkT8_5^ z3(`AgG{x~u%k^ofIJ_%N99Q$JIBqWB3?LgLjvEiy00_p4;|2pJ0MFyZaoqr208)fF zt{I>PfQ1D80l}q)QyT2rKZ}jLAxg&HkbOWT+#AAa;tlBs6i5CnCpIxR%^tBPAn{r}EE=!~cp(M+0t^CZrGa07R)FDsL*YkgT2|^^ z?C6B&?5~Lcs(x#R8b74uAV&FK5VQg>NIF2W&IB{ut07g`G6DvQuqXR?ud!f z*Z*4c4EL@WEP07IZW`bK@TycCHwv%-;3@;hFE$ijW!pv>se40yf`l~!35#av4M_(` zf=#gm@B^EI4s42_03)y|&`g0HAo&5<0V+j59UHASIeya*R}Ijw11=nv=y2yGh*w~3q}O^9^n z%!o_@c7Tsf_$Y@VZ^+Q3H^gDe8$vYg4Jn)6DP=68^Jy5Mj{)QW>H)0yT9NSqQ2S)^ zG?^^QG@0IH5q8_%?SAbjt(h&p2O+92BS+Q9WvJd#9 z6}^+6wvG=96w0x$@sLvB z0v6?m88f0svt~pP04;zOzy}ZqyalKNbO9d#_5cq+ARrR(4UhpS1XKfB0X=|Gz&xNE zpFOx%ib}{jd4Rq)Wq`gHun0H-V5bhyQvzN9qybt0Yk)5x4)6oe2p9yc0?q-C(!gl} zzz28>&;{570s-Fug@9JTC}0zC4IunJK>rLN1b7E91ULgi0LkA6a@;z1agXwk99RT& zVnD#d(h$afMCHp8Vyurc|JyHjjo_K>l~0oHvYsTd^dU!a)2Vz z&jvdzZNL$fEpw*CMpmzM)-!7lt;*yJN{xt(C|*%4cLc1xJ$`TT-~4vW*tCKcqBOLF z@RG1+E~dWFbOjki&R9qAfU&v&h%3gkd93N*T~6UZ zmu$F`B5{Gy^{`@1JOcL>Nd?ph^z^>AP8e8jQ*QsC+nH$`ryq6C`@U8E8)*N(gVuEJ zj@E)HE%|^MlR7Mw7?1yp-&>#R-gt+lK5naj^P625Kwh$PUOV^xH$j5K3X;OMfzI9D z|9VbbTi5A1ERn+h>v`_-N{5MLp@TXK_RrNN!E5WhC5I)w|BZB=lU8I%;=@z@l7SN( z=A7e|j;*xr`PgLne+v_v#=*aJ!bWgZVcOXqL=(CL=aBlW7#Msxr3znZBj`9S`{yq8`o9wQ zH|Zspa+ppSUfJ5we+Oc?20tfUwuS{qtSq;I&I^Al)(YFsI>n4&!2g%S1JFvGSB81v z|AOq(HGm|eA#HyCq3B;z*^8-T=u(73)&FV}zXj+xy)<=gc2vp0QCG}R+CdXtWB;uk z^?xBhh}?nyi|Wh%0c7e^(&iCWGEz|8-8DnOg6zWn3!4$?E1eE zY~=jk5%q4YKNcKL*Ov0X1b!&??)>)zZCN|s0)h;IqOsuu`wPH>hQI|fUe!s97+1;oz6-#Sf zao5!(5~zHLf??S~R(5+I+k1~>xMp;}088>awv$rD7qM&=7U-YXMg0*6VY}Vb-vQFf|0E8;0}h0>0q)=&ntYx{YS2MJt7*sBTaOqsY2A9Ht$Tb)Dbe zYEzhQi1I&qKBa0~rq=J`yHnfKhl=``&524*78HR{=GMcW7cB}UaSB<;T!0@a*V)su zPoDfh6?h2WY?$O`e!b>frTbdjde`@fWJPPItq9Qu4yX{P=e26%Kjs*BB(}H-;dial zB7{`QVmx0ho3+7V6N9gX&TX2mc9jm}+6jxTe)kU`w0Ssf9GoL#lzmo2GoZbXw`SI4 z{7W)~BJgn;TSs!~Fg}*)>PD;Tr!EqQaY>7=Q-^++z-zzE4u|k>PRSU#lr@nuf;RDL z6?saDD-hv>EK|yjdX_F3yMBlDB$r`A^oLC(qM|aR^rdB1dhG*fR&~`?lan?q^bhHKi6$pGtmJ*V!^!EI@s8W~-;Pjf=!RV3ksXK*ffW*AkXAvCGB}RR5w9xHYb75-&NTi|Kv*lSg8H*@bMpW$zvziyxdr1 zEKQX{->TV;s?~Fjs*b#{mBhw#{}FopCO<0@(dT`*Y>e%Fd7C~%LwnzoSBwSzltV#hL4rB<$Yq>xvP|LNaV!yOODsdFD!5Wu|Lo$-$1y)TL!?BZajL2=ceoTT%{R zS&N(qGxjBPcGatx*^g-nV`nj!>(~k>-8;(eQo-yZIJ$GwhyO&fO=oyTvRcrHtgZfe zAPHO(Zy|g}qxcrLi0{T=GE3%sI>$v+hp|mx@z>We5t&al(TjH2iAPu0kZVq{)tc$C z>*hNCmMa_7ff0kx^TgG&*sH^aHt&ljsw2_L`{&-{6Bl#Cf)`@S&wVx=30v-j0vBW` zI}b1;7kMukE*h_y#T&#w4}6VU;hp33YMw?6h$yc*b;VvhI&AQwKJyl*5Je2+`Lvt8 znY*~o$+gs=aG-wE=ltSOVA(Z1kuA=gVZZYS=J4W=@O*lLqV3=8tQlADA3&_*s z?a%xO7$rBSp{@1HjFhl9eRCzR=leH6N$3^BV^4{z`#-D5sc8TDHh*@se{{$P6@0`%GsnLI#tr|QhtjfU+{@N zcjY7S)HmIV?>l!U1tI+2giRPclAp#LuG7O6( z_LNA;{;=B|Rv#kveWio?y{5UJ+6xiMU9=SDzms)OQ=aYJ7lDBq{olzZGH+6mCG?2q z=U}E>d+>O(llx^@&iRw@4XYC=3!1^GcIx+nWnGc;KN>0teqiw@;Nh}- zgzolplmdJI!xkTHEnD`8-{OAEQF6x^pb7=ITj6E5W`CWp9F&@gJcMwO@U zjnb?wUVrP46S#Nt1iL@d!gmrrG0aDZ9hqYhJqgbpaz;+xzf1tsfhi0+S5Mv-k=;eR zdA(a^Wqz3r^dyz7l6-g&Pl*-)zj0Iw#)w@z^&nvx)`;m3L4(biv~^}ou!#}wemrE zeqnM(@VcUPQ8f4xhwJ^dQXtM|pPe0kCKvQcC((KrU5VQjEf*(H$4DNu7zx*F-Rk7L z!pR})JfqtIJURjuw$W{0$82+a@s^hbK*=%AFBqxb9VDsCi?H z;xb&J0ib`=(-z8Z`ix{AzNHPiHJ73EJuLhrg!Zz7IypTTvt_`@#=sB?#&g{g0j0b$ z+}hqrQ@e)B*-1=Yy8K0#_+mj57+BgV0o~9IwPa z#K+UAMrf*6_M1P@wc9;LvDB;4qCZwu>Y+!cpJODn%iFZ|3sHVc5l+_{@rJjhI>R&k zL#wAE;{`ut?zt%u@7%d?h$(AIZh9}WQG6(zpQmVG8nmwD6~~Cpn+D z{pksrn-~*__~Ygw8t(A72O)Hg&$8TPNM1_~yQ&1$em_YqL)o$AG3SH32j}A6rV;|z; zX}n^HeCExvCljK0DL)-{UQnqp9cEY*C;z<(^!vrH{`t@+<_)&AmlAni`grfbYm2zg z9=d3ekPx>_zBjb`Uxu~(+zI4YFqX%jN05fNrSVDbF0VaTj8kvC$&!ot(w)x-vka<9 z=j(X7{0+gUp-L2o7$)B~#jsEOobN>VG%g2Mx==Zhj&MoTB1fVTJsXBjbQ#M@8p52x zr$g(XFie^G#b9`tL{+XaC7TU%xr$xSG_loAbIa1tole0Cb9s)fk2oa0#5qEu(K|a_ zqR}VYDnifaPW})7=*ky^x?yxUwtf2NOIys^Zu51)vPvx}vFLm8*zHNM`u<96`@F1W zMGg+)kdT_n*&pb-Mm@&`I`#Ujp>8#m!3$B*lYzjt_rFRyHr)?-b zZH|N^*Ld5}oqXqR=gQrplj~@(oHlucCeE%X5u)iK z&BO4RNi07-b5c?z+)X?lE z3(#5kh5URw@U5J(IVP3gR2U3o)`Ko?*Mr_{*yH%^Ju9%lBlY=A(F}M10;a-?G)=}m zj)AW{#^Nx&`T%orYZBj2KC_@`9u6jvZ>OW5BPG0EOW5JI*W5pelyI-eapNDoqHNuZ z`4WY-Ddu->>H7u!sP0~q-7dNhZT{iZJ#X{fV?nf&ui&I3Mce%JdsKwpt-7x(d4q3S z$OkVGiL`&Egum!Mw!6n}=qEvCxaZjxT#K5WoS)uEG(00QAbFpkR~i3`_WKh0kr-M8 zAvVo2pQ=<-$%-A8d0f`=FLZJaLIcR)Q@`^{-%gys6$kR?`G()^6 z{GYyd1m-c|j0xjmVCGdEde4P<&5f?ekGEPv#LSUERP{9{^h4lQ_7?f}40o4(4p{~c zU5Z7{P_GKV1u{XF6$J^{sh$qWfZ!U@HMA@|yyvp-)p-*ZR&?oAKdvXqmN zwoGKpJ6G~w52b4l$+3FI>km`w93?x{R+GDp^j}YsN9T-I$B@ebC+0)qd0TJ%&MyO@7C(ZS7cKqHI|FSWhYZMXe(*bw2^`06?_zKclL++B(aC-o zQle0k070AQBqWNlD3ZYF$QFGEi)2>Vc?WAf&0$|m$zcyJ&Q4}Q9l^FZLF(9oL<*1y zyvUBoVV7mi@dV906=AJc?^vVUj~ zk`q~N^+WSn>lxqZ{ZOfTH5FB&j?{V55Z{9nKa)4su*>&SH?3`2-=+ewD3< zPW0%T>ZMG6D%AHECVl&h2*QvbZG5VRUs{whRM3yg9!IRZmD{YbF_JS7rm+lZ;hi?8 zw5Vk`#C#z@m^XqHYh7R(!T@iS62$zY1n0i781%zvijWX{Y`zXYH2eI|m&HFN8JKOf zF@*XmL+&dMI{SsZk>m|$y*&>7AYXJ?M%?!}?Y1NLxvTcb;e^ynC3smeZ&Ots$Nf{nj;AJTE^PHjL#8{(5~W zv1v3-9O*cmdPyn%siPutkiJ6_n{VV~28py83291(EPsa|jBPUcol8g93b>+8yoE_a z({;cHBptBkGZ@P#zIr`c@i&=OVDnIA;HapZr$~6Eyx9R;B!I7eME%&}voPMN3|gat zR8!*7nWb~hXO9>6YzN=l)!Y-5|Ioge@y^O>CG_Q%g>AZ>7D3BG9` zY1*B9m+-=nB}ia8jJK_nqZRkc4DKNGFBoAU-zIr@sFIn`5}4U&(%EHK36m1*y+mhnP z(h$3+7J;8V>OQ1hgA?-&ADWOn4}&XK1SF*NA^2E9&oHRvhn*&|0@m^fgaTwT+iT(o zx>h+=Jn6yjztM?Id~>j+pP?tR5-#B|a}aXlnI~EhErKkLl;Fjn4@B?db44>FYoJv1 z=M>~XPyUzaU6mmWT7x8@Z%{EG9!8@)ZClmg7&_5N&^nHEDN&-?G_HGu1(#uGWjs7- zunO$@KOkhJ-~Ku>lW3by}1De?>DV{s6L4AMBKNVH?6XQLA(`XLiUoTMqV zZF%k&zeo_Szpuwdh~{jbEz$1to#I`QkOYRQ;(g)yhjTyDeLTA*z0DC4(zogVkE^c? zYqJR!#fv)>f^ad#h2 z&lf_V-b1Ozi`wLCS_d5Yxh2GLV3LSYXPlm}=e(W*Eyxalr11gv@t&xIG&Ssu2dD?m z7Uz7K=N1pakoJ{x>xcYa>P<B!$a{1&-`^18SES$+!jlE3v#%knXfu!C(fL9h_jy33POJ^hcGQR zX6T^};PMiOIHM>{p@y@W`hKP~#GJbZ9mT>ugo?ExmxR`jk$eA-UmS9=tH_ph*Dzq> zJJj_4LTk?0b6T+$Fzelp%V-Y}P251gL*)?XB}J$I>KvBGFhdT>Y~X-CJ4&QaKv{qu zK3_W#&06PTfn&A>%E9Mo;T?`oVv;XE;UA!CJQ-WE03kt^r<`8fWJw}oe-G~|J~I94 zO*9}F%p<;tLj&-s98v2zb?n!ZO|`+#W5F9cOA5c}6Y{oi98TKI}jCS}E@UM?N5 z56J)v1NR{9ZAkCS6S`5Ecsu3tZT}e+mT)+UQK?zB&OfRC z$6+9nIH1zE0bGZ$dbD&NPXSl#HSh^s4X#!clT>9q)hi;}S`pMZpPw3IjTyVo3mh*t zSP3;ipf1B$W5iB!I^YdKPLym#lR_ucXTlEgJixR_qk)?d#JH`2aqa?+p{4a>uu%-w zCvfYQKyo;7?W9>YJ%MxN_7n)jT(bZKuoj@os~_t*hyDX}_&e_T_xKm$7`OAA3?esQ z#Sm_x%5XTxQRKYkq?wWAGpeEkuJgpcn%p!}Si7`b=CjjQ^3v=eUnNTOoBzCq?8sce zaDHl%ri42OoCchn$d(u?giWYJNl35?AUHCSxq#isHL;_R*=`EN!b6~G2-Mo>UCh|9mInkOQG$}Ss8V2%FR+jPYd{)U+HDiM z`CqpI$N#_q*cCD$8Cj%-jZS+4C1H#Ab%1B9GIS6CK=2qIBd!P_z+YIa52iqoS|tGv zY~rB@79j$?IiRBfSm4BFm23V`-ur3O8tyWg=gF`lWTRr{J=`S?&yzZ3V2+Fl$~f$Q zlCSZ!FR*;ph#rYH4xfM>mDe;Q_sXJT@Tg1@j~hkNJOd7S&?1S)Nrxw8s)z~RiCcS5 zl)wk!mx5Ksj*3F$R+9`6howi6I)TpwCWi}-5qr)~C{f_K5E4{C8^k&UXNob2p&_`yPJ?>0&p#Ii&+gPa7o&k z4Z(cr4JSKa8%mh{Sc*uL^*m|iDghsKp@jJ#YEc$I`zp%^(0)t&o!$`K3NB+MUfr9i zhTB3;bi_km^mOkKi?jeB#xYRpnlh6UxMaDgc~b_67;xU;1Fj5|W5@v=O~L2+#|U58Ow*+ZVYvV*lCWord{YGRg>I(9 zG-*k8F~O|Tl}BNSsi1`a3-Z;cG)I``QYSQnIna}jcnbnFE$Q3bH88Zh()S3|FfasC z1pd!+vB1~>e|K{X5QY$Az%@1jEP@H%MAU#-EpVIU1Xwq2L|;Jr_>Tc;r710pd0Ggd z?*JWXG}EHD0vy#%&py<{SyCYOe2~8WUKi$Bj52Uri2@`UOp$>EcZMBh!ZSAl2$T?y z{fu^CpHf~r5?r7yaF(rNC|7A|o;yt^=NyA8BrqwbUKOmXeW+x49ftfY{ z1kAB>wJ9y&Zq6RK)Jmuy>;89$3OGYdfUW`bE2fCJPSzdVB(OyL8F#MPDDA_5fdvey zQ)ms(DPp$MFV`Dt{d_1$2U%4LEuOJg8d!fna6NDtggb}Od#r@Ve}u`xqYPtcl?l_f zsu>1S!Ji7B#6{)xYdC0>X3AhYDPNZPDIs90?a@P ziu|2^2e)nxC`j8AS9{X-3BWi4>chFZe#|WU023Il$1rOoxZoDprkny|*la+dx~|f; zj{$U!09{!9*j75Y5HKFX!)Mp%=L@Q$5cu^{=sG<7dM$MQb5HLDEXM64m!D4lJ7C_C zz?EaASQg-nQ}BBJnSv7rSdZv$y5UWk7{XaWrx?F+{sbIaaH36_iCItIg-f{`WB@XQ z2Ztja*FvOqQW}yATx?=AEr5|$=`!7%xcn8CvwR}A=DuaFPhJow6JO|sIFpG_W!yEi zBKsOs7R1k>0k;?gCb*hkHKn);-y>50CGPr=v1J%)%v-S(hR|i63G+}P_V6`-5rG=> zT~`gXJ3rV7SxO4aSA()YaM}P4ha-F)a1^P5%Y;F4s23u&7>dK9su$VFVLoswi?k1x zF3d18pZ+I9u=#FQjDIK}3$Y&yDc}P(jv_b`;w!#4O>t8%CPf6zL0^e&-2D<8BKl^z zkB8)ix*U6M#cq@l!USd?;ev0SHx=Z9H<>eSXQ0Eq?;hQY5g@j$VjgiJ9%MPimi~PqF{&yZF(sh9K}}19RB>8%)(tP)YUbJ4nw2!%iO)S zH7yFs^d`lI4HmceXKy_bF+U*<{D}Fq4Kgb%QV!%NrKx+4P|Wq+m)qWuuFsW+Gx5js zYR`QmRW&-YE*un%?T%zab^+r@PagQP4;+=pOKSed0Mc64Wf4HukkP`40mw6^?$qS! zKu`VF%(H;0Bia}oJ26hL0sbR~Ei`k&J!~8*D>F=SLUZk`W6HCtfPq)}KAzqn z*A&O|H?!?FAcm96DiFg-KYXmE>dy?M>wWcFc~Qxh^_JPf#*y1h@qq2$Z@+Jdxbx5J zd%8@Q@F5hIM0WYJF>6HhZQm| zf9EfF>izcT$B+zKQFp^7tnl}ZrL*eoqQdTRU>|!9ldWVSUdO1bxN=$Lrlnc&w z6|;5aDC@1Ikt_O_K7C!=KMuV+ll9`R($Go*yA3>QlHHg34?NDZ3j~upTztEbJHri# zceJbX`aWDcC)_cHwo2Mf2xDH{*r#;bzqjQZC8+;eRg%N7`q{BZ^_O+5+sw(0KnZNaC=Ey zf;w>`GQ2m55!XZBR1>FG+;msO5p7Y~GeI0AbW)Ic4SBuW?lE>ho3>!<+I-G*V*`1P7V}85 zK1TksIn(Sq_{n+YRWq@X)vY1(%G&&>Qds!wXOO?c>)hc1fT^C?h)l5I@3~1h%cq!| zN~{%WKY8xtdqBD6uX*~(Id)*Tk_ORK6~oBlI%p-pSEXs7$XRKAO20z8U^r6gVyY|H zo6B%FMns%1di{t|ogb{vhBSTttH-~h5NY<$?!L|ochuxZ(H`4Ny_?vp>q?}zxIn#E z=Whmor^>A#c{M3=lzSLOd-U0+pnU-KeW=NF?buZ98D~#X=G6*wM}di2?Uh5B-@56O za>k>NO-!KjewrSA<9Cl}+k+;~cXrlF#~afoj12iQ$Jp&fp>Vcsuc@poZu*5V_oqK@ zc2KLz!~@AOD)WOuyOM{szzihsylz^r*z%6bt`G138{my!d;art#nAg{ieFQ;pyzi_ z7LNn+CASoZH$cxK?O$1$MSC)T2Nj5>3-nseIfs zoi{cGFS0N7hq9EHd5O@W5-BNXXm1N=pGZ(IiD zY`sUL2X(y6C6GA2qR8B~=q*e}M_nR*C1^H1|2ekI_t?Z;@9|N~EiiE5;0+p- znrFiED0)+S^)5x}>`i@R=T{3<@FT%=&lp?2)%`{AYw0tbt#2aof#~jg)oZ^mVsEGV zo*kBZ*T>kE2<@w{doyUp82;963jplm-P(^Ou{8yu2B&{PBnTcp%eN}NB z9@mj~++E+OZ7)6}gJqm0e7MM@+w$mkr}fu`F~70Or@-*I<`;#Qo_!DRwdU${AhRjxAqI$D*7Go7)UrMAYyl`l`AsWkCV z4_3J*KRojx?HzYO$EAiQ= zpb`$GyT@=AQy%0YwwNk(;eo>ww~xf#0}PjR3Jom&LxTbsY%R)xH35XzUtZ->`32GoXbsUx47ds!U@?cfNNv83d6Hh524RYLj25_X~z81>T_e0xdg@YuWw&a@sdEseLQV9pR9 z65Z0lp`cxzhV-7C!W3AtRa0XhDt}3_cRcAjbn3kM@Fe4YpRU%4R7;Vrf2rycOjBX( zrrb$a!asTH`7F5q_?S=g>sKY+uL)Q(V{Ky|>E8(Mx&Y#D8*RR%pHAK{pEu9fubFO< zMy^}+ag%KiwP~2A97@v}X`Y8}Gj-6kXUC}7>lHM}LUyGlCYR2Qc5?#X3L1}Vy^}^( zJn#>toz1)8GoWHmy+*6jeoj~?EXmW~T~_S=%)w#aRIk*L)lZFtE8%zL%JO=Jn&io6 z56No7i68G@XUO<|1j}fy^VNfh`MeNs9@pn(h9}lw*Bbe3A40vNc@el>*+7<%4Vh-w z^JqFPk+3%C4BMR-3y-unDNSn@ccd+(J3i*$}_wTsmU6jZ#}5ZnVSj4*v}n9BB#hucYy`YwiowRvA$ zJnn^cyw-=_W9(*j718N8cIgx|5_XYJXUJQN^b-SeBP$^Sdm{w%nicwN8&N?$YWS#ko5b(pg*khvf!$G-~Ut4LimXB@dna%IQCqKUe zxJ0y^Lif<_rMc?js=YZ}ZXXo`YQ?h~1m!gif<29|2+AuO1Px7-O4D(|T2w-}dMJ!1 zHeSDoZ+B5LyGxtDo<5!+6yIwio{x4&x#4o#U}>c<+@X3%-_^0{Ml7?Ml!F@h80V=2 zQb78Cg$2i(2S*6Xe@F;yIdUuaey*3PqFsCfh-HQV@6v95&BsZztlEuE9PdshVwue( zEB`X3XSm~Hn`(c-&{Yqkr)fIOKQQ!cLy^aq%=l}5bxKc=1TTOZ*Du z7G#)WwdoEr-ZYjykj7j{t&e%PvFATuSsS=wy3YHhH`{GeVE@05fiAzs&9&1@PpkWP733v7wbmu29i`TePAUO?`U{Ps zJu%T49ELO02hPk~Ob|(`;Y+=>Qi>+q^*uK)(ngDP9A(+iy$ksdF__k@ZWc?>?$Q=k z|Gbe-qG4(Eq@qWTs^}tWX*6^1D&PUfvY*raL^TmwR7aE297-rcI6BfXgd-OWvkaU~ z;ZxtuWU0>BQis_EzQrK0#6lH65ZLIG4}{gVIBR_YOQT~ARAAGGq;M#q3gKKj4_6S? zM3P1LrOQnp5wS`EeerN8p$p)&s#a7KH*=t42%zd9E^Z#16X8lnTnDS8hZUANlYfpe zQ^hAJ*Iynb?&N5%B?WS%1xcr%Sgxx5B=|MlTk-$FMtizb#Es&Nh&wu*q zO{BcmY0I;n2Qrt++;?bZfUe=77n+RL+BlGT6^?KkWfn*5{B~&*P`TIh-1aEzK@p(- zHuJ`xNarW?=kq%HL{PLf{-5=(AyyG^Bs8|m!V7(+e_DEY9dDqU-972=-LNwyx)J}S z$Y*D>gY8Un&jra_>wi6E>IXOZwJ)LH>tNqWUD zw{uz^SpkS-oG_KI=rH{PyZct-h{X~ygw_8J!FuvPkp3|o?>`WKe+>JWt|Tz?cmdm< za&=Aq$*3Kf))H3P)pGvf z8Kwa|5bj*=nameecjL~o7PIB5>sylQIO6=V>T$JBemaM!J%Y!iCG~9Bnd1b(wb?y! zirnmwr`bKvt1Vw;ushmV5$gfreS_Qnt}WJ&CS;rEXS$4hSq^tB8~^=gmqZJG5>Gf% ze-(3nywu60>+fC~^B8gC0-D{VNuBfdqOE_%?+TV?}L+9;`s!z^7tsM-2XU@RW$ z3r@F(X!SPc=YmR>crK4j5gjt$3f3P}%lPh$L6f>v=_p6lW>w|m?lLQ~15NoW5zij! z_t_y3Cdhq?C5i;W?cBwxrm3bz5C(}R5eg{ZEF6_a9-b#o$LtFj`=8ZxmjY}d1j#O) zN*4Rw02Qca^bfB}SqU`Ta@Q)!`h!h68XmS##+%XQVJlc30V^`vD-JLsN+ej>rPE70qalfujnA;_ zGSV5s@jgj^xi8135;QL1dZdW1k;0g&yz?Sb`|!GBKOA*yvVeg^l{ z7-n@?@)SN1xh9Ls%3(om*&PbbR-w7G>Rel8>f$opr@XOYx?b&;j$8 z4C1x=F!30wZ%6xeYJpf8F9$K!Z81htytmIBG0rneLbIYRO~&@$r<`>f++7nf=uKvBmV+z-ScOe0fRY5j2sLt@^e)`Zg=&) zOPOXJN!cBW9eHWv91Wq9x#7~^*?LLs@sqJwz0++G-Lu89@bJILKd(8wb(fnYYfgBpb$qRs+n7Gu2RMFpg$ z!V2d;Z_)cjbE3b`&q(t9fSBZlr&>B(l)0#4_-l*#Xa4x}<%>+kqEhm1iw}e}nb- z0V=T-98|Pbh8hv}QV*eF#83E5Z)i}d%KtalRr$Sp=1WzL&sgFo(ZCY3fawfT6>Q^Y zL&?Z|*@G*DtpT>S<*zizSb?LK5K{36Cj&BvUF7xFAdk-PSxpyfcY?X2IEGWos_)3y z@R8+@$}FJxeMUC>25o}f-}G&!CWMY3W*>wuHIz2wz^I+2t%b&e(^BW29!Z?0#l#M5$6>bMVUoDsrcVaaY3X} z9H|=ODvP?+4_D!gpaP3h)WS^2(Grxs3?E~zUIvgqHuowm$}ixy1P|13=792@U^psS zlf$KIKn36kdII17O;K(UMJoQ~MNJU$>p!sCBArxxp2@=9pK71PM}i=JpAo|=$^`qDNk zAl~Sq)QqnupE;oYl`rc$DJfyJ&m%$BS%hiu^w@0^cORM(FrbB2qI?83mn?(`URxM!?)1RW-0m3Y#rlu z{U7@JP>Z-{!-v%#^^pV0{{4u^JgrVAB&|cAPzE{eW8Dw3*odPbvc|v2<|KxdJN{o& zt`O=mYAn34Di*H)D_PTjr-@ov&)-SN)*DiA`b++oj-{ncS;LumU!+ABgG&T2?Ch9@7_fRW=a{G-k zGIaGrC;TWCS)?Y>g1hdBc!YTI0kUi&9F?-H2Q$D52k8lx04tC!x~PYIEyNQ{V8FIL zC`uS`MM#QPxtwH>#m;!(KG*pVoVp041+31uMnmymj}R|Pfl?s$X<_E%F@FWXU`EsR z|0(#`?2iB^v=9E!1x(IZ_9+3fKzqSXI2;sGbHva3-`DL7zmMSzhWu?$z(Ea1Uz_GI ziP=2U^(X%^`cdEj;hLvUpl@|M*=%~WAWCh29;?-3Jeh(h59jP)iL~?H7hxZ%4}e|8U=fN|xvHM^ITaC?Qo>Ok(cz@)M{ zyBD3%eDxbwYe$33ZMT}1am3oN{ii|f6!MfuRZ<>W9**&WE{o{<%k|bY!#w>UinrKb zMZYJ4a)VOT4dAaQdSc#OIGzXc76X>Hb#6T zTc0-v2yZ=loR^P8Ci&Wpn)#gjYA(o~A zVAZ%kl*fjM15jCINQfHu-?FTbTs7`_RWEp9YOtK98W#LoAy`gb1zw2STa#M33*A1k zfJ(9pgEXdqN-+SP6nOqfHUQ%!wgC7|FYywYxMV}j6A3?&v?LD(d!1+u1ObD)jxPrC z0fV!SJ_f>!!8>U^7gd1MLqvcv9H7Ck6@caDoZ*cM!9S>&=zE4s)&z)0OGB;l%K_gPo&1A%_t~F%9UC8R$_^iE4A=(@2stsCAGren3`mS0{qrD3XdB;BbfyP?*W+};X;Kqqz1T5m3EY(ck2e(@a`Ra*H!x@hfe5<@)h?stMTm9E#E=xl03#4*A` zJ}!@pxS^P4-d&^U!lgsuk$mN08r`SGyiiONFfZ%1;B+M;e<0K#-~9fEOyLhgfb;Q5 zyb@p|N-rz6@W)0)y22&jr?tOmy%a@*Yj%xkTvb<%VH z7Z8)kgfX_3oF}$pK^7_zWU^j^$S# z_oOMwl%!lyBhI=;arSRyM-3rlxN>)8Oh_$?lz)nAKuf=S@4qS#7aeOXqBBP;7T~$B z^=^9$^mua4EwZNMlNcr`z$ClR_2xE+>!T~pu)vRf;4V3A2`R?yMPJ*hmWE3&?l2^J z2md3z$J*3npeOFl%+eHc=0-FG@1p11yJE%8DvO0tg*?14O#?s1w6$7n^)7IHY?Tsu zwFMhR>ypGiuQ%IC>yOymJy>nY8?q_vQEBlz7*Sb{3ID_S;}fY@tDHyb;DQ z@0`d?eq$GpCDZ|>s>&!c8hl$!_RH8LJ;gNZE6D@_*ZCtJJLOyb6^yYb_9AFj`X0rxYW zi=*wENJ#M|UCpBx0>$LS6`oSu)jwO5-=m#)%AYQD_KU?ZTjc4;pYE&GO<9zAU4*em z$OVQ51PYOL!wtg0vgjMxn1b7M{)(n2G;=M zV|%=NxP9tRo(a($A#P^1Id+u~OW{xwUi|cQxN7 zC(L&!2Hi4m75c9k89X*P?uBVOyi-Qo?VzR%Cd#*&Dx$wiNiELy-xWJ)dp|hvaD0YK zFK{50#qDKw;N$q6hw|F`rDt>&6Hk(H3nA4r)BEp~gh;l~sSzFB(>uLT50gyGf&lhu zAH~#Y%#gw_tHyYVF9OX7BmX8u@KoSjCP`|QK4Agt|k*G{R4VYD5LEOr^_mNCGDtfj2;FonSOF&hOFk~h&4{tec#D` z*0-&N$AKRY%yqW!(dd792g0f@HC09=r-bwutTAU-pyS_Hud!sO!jzOnM)XEbh+TYtVJ2PeWuR0xh5et1{#>cOO+K-}S zH}J>V-}!s{Q~0-U2JQn5@9(fSg63}F>1M^yOn5%x^B`1f_`}5$g`JsxQtxqKc0{=}T=la-e6{9w99PwT>nxB<#lRe${u$<6>dHKL6?B(&mv%z0?-g1Ibd`-*3vw&^`*@CB-q)SI+Dcchjy{p*(wRr+ zul`=3c4L7M3BSdU(9i-JWY%Hb*-ZFE(BhZdIVrKx?>UvUXmBw1_kO$Q^40FVTjzd# z>dG>PI8+mt!=DPa0rDLD02n@?XsIM+kQx{JIi1)=SxCo4(6X`zv)+{H_rW=}UmBY7 zG=d7Lqg(kXHc1!L9nO;5EPsllO@Zo3zRTq>Wk{0#j30~gCJ`M+$G1sdroGkL4^ZD=)Uo9w&ousd0LA;qsLB1l87c zJ3^C_jf|r?CBEmA5DLDJc5ODk^q-Shlu)C-RM=ucBO{x-mm1aiw$fQEczyMu>NEbS z7qk0E)ie_cuQ>NKEIg4UA(?h-vq+V{2}x(yHpQCXDQJZ2>bgw*%@4^&CDDWwY0rob zaVRvh+iN|tw3Q74PvW2IBQQ-`_d05e=?Pl|ZL$qE93Nd?tRMeaAADS-iAP;F`gH#^ z-oFOvp?I74=IMo)YXDwMpHoa4kA8T6;*1dhclJX2FW97)(8g}ZQu4D!F`O6OslEHn z{Q&IDy3C4<2<(XDJCf69xcxK&hbAB{EroK^3^d6JhPq#9<=`&`%!tH$@(dHQNC_RQ z2gFG%{N;m_MlOSrj(9(jG5$pEo4T1HKK64CNN&{xAN&4HgfVaX(~}pu&VfT=mPv2R ziA7<@f0^Uco8zJQu&->_-vPJ!p$P&6;(VcCb4?hny&I=$NL z*=KW3l3$DO*oN^*2K?K-IZBov`WGjW@`f9)n;ZK|R~}{;TchrXJBhlxeK@R^AAXg_ zzPCt?$LaRueXu8?AxYCso#2Rs&&NMQcrqj@REYdL^!Vv6Y?eyEOk`sioX5(03P)n$ ze`?@^!&ScuthvF+-Uz$U}$g$1^dy^@ReqgIE|9>Qsp%lbxc zkrD4_{N~s{CoLQ^03^Ix+aoOL=dgOwYHgDj&m?_XV}#qZF{~8i_YHH2UvK^_fZNt0 zwMSSy(%$g4we*19pt{Vc^WY>Z{#g2Sdn6!Xx$VSBWtM|v5p|`D;M3$aOH)rPgkHBN zc}8)0ty^W8|7>oE$v@`b#o;!O$b{SVq3fxpvbFs<00#?=-Fdc1mv&|Ri;d~$nEb=9 z!;D=h=(J;BFV@zJNMa3gh3YewmBjKA3djbl%ZIZ5(bfI@t!Rvw@OyI6K}`JP?gyK8 zTMJ_Wc}UHlbc4^|ijR5sz9;`ZK%(a^W&fD;<)9?K*b+gn-O@sySUkaTMc44d#p-rK ze5~~F&GU9mJX#qQ893lmGR+fpfe&EVG`p-(LD;Z$%%PJPOVV3EwpC?<$2L6#T7HH$R6% z=tL8Gi1o6r7yWLQhWnh4L_Gbw+}%~|No}u^9}H#|b|dfShqvgqdY*FRX1GW?sQrCD z^+j@$tW?Xmbm(34-kt}%+6WW-J}=7cq_%E6jv6@itaFRYgthfqsL*{Jo)Epvy!BqS zzr%ccq-XFe6e(JV)goBHIcgZ8G#Bt;#}E3 zEo9bn)4?+%V~~VzW^n)BeV8ZfAcER%6r7qTA(|g?-O}ADVw9`s?T@XNNW=x zpBllZ45IxR)BY23MJjY&!FsfR!-A<7HZA4Xy})_Rpmt(cwB;9JSc=yRUp4+Y6+4PM04rAS4HaR7 zUzVGzUA-q@V1e;UVql?MlU87o;>(zYn^r4pYU@d297Tb4XoDjU$_SUK+7BZA^yQylQz0M6=po9iGL<~im3JU0729$-7HB>p>y@U@+oqK z4+tN7qHordyDG~R_zO>Ib|Bd(l zV$qf3;I#ace8^-Y`#*D?C;WM{pxq00lx{!RTX3LB{EfHAr|HHqZb$jC9qVL#pd`Rs- zaW?jvb!bIWH6=1-ZB7=j{pZi)naV2(;kBY-m8fV<+MG(?ZqL7$kVRtB+uu&x_kZSm zS%#jjO&h&?o_9tlc-ewG&`#DlpfpvUw>GdGK@1bD5~L z#=M3F0cBsHgl?aj{U`3pS@o+&-wI3UQFq({q4ujsDcD!QAZjprpI3s zJGKHQ%p0)|A6!_;-;ZIGR6e5`T`69HVx>;?)AAZ|L`RKLOB%6e_TQ*W&j0SxCMn2o zwFVK+Wy}Yvl68A^_~K*r<@JRjiyFFOiF)99dOoZLcv*;QMf@wTlc_MIq3;jSoe((- z(ybZ={rTe7{>%_a@cHrmOxg>`?4Q>2RqaI&RI2$cbfv3Vp_p&+ZAjC|xx!rehUCnl zaP8_}PHtOm)J7g#y6X3*ZBk(mcwa%U0ky(?9;DoZ&#Qc1k|RDj43&fBnWN{9@Iiz| zT%`@=L$<6{vwG#4xTQFJhp|^H6afMcLx(0;7R8o7B{be~JFADM2G?=V~X zmz#)Qo0ld<5b|I2irDOG*P^xj{!E!f-uI-vK9qFRs1O|f`bnU~NRz`;*i%u#h^8a0 z&#`P0&N*A({Nj;!!bYNF*OvMXZNE_ z=y}pYK>IWkO~_;4BR{)1tTz9^{# zt3@J{e+l()guYc77-8?Q%c+ zkG&(BT>q=u%MtC4CHmEm=2OhDKT@ovEcB-PM;6_3YsF)sAUp4?)$$|#M`4K5l2)v1 zphTw6zRIuu`;!W30a2=nrfZdN6&FSwwrayFa$FA2_wrhUEk?}@JM>KpaY8{c%>L#^ zOurt99ZITu@~F}B^cG_p0%fvn&d-Z~_Lu%{F6hjgv08rqcNsqa`l};mi^S8Fys~}h{S55cqxtm%`wLu)BFJg4eq-s!1`@;1 zrj>Q3$REg1`aQvw+|1RRo&@+4gWOj znuv60?t4@;VJn`nW8fW`+iz{X?6(=& z<+n@bl``tt%VzeG!(<)*u?@zIi&qOX&ZnQK!MxWhLO&K|2{Kx)`*h-V8DyRAT7xD3(C{)E(IcU9@4dpooB(t*Ln zB5jV*8Jz(*b^LyaTyRXbKl@W+8bS2AFutE+M7SUmdT$ zpcPf#zF}Ez0FgaGO}=QvmLox(9rv1(#%02S9d~(YzJ$<%)a-qVq+liCR+lTLzzLl> zr?#Aa@`B92RwOg?5{3v2RkflqJMo0?vg+YE(&GbhUw9H>N5M3wB6wd&X}k@FICJMo zOHDsmnMQo!(Q_zT3!A7rR0LKeX~s$}OjzJBNPl9e*oDoN<48O;6?SE+vxffTcPl%H)io#Jw~7S-Hgc9v7k zHCr)fCDY}KEQ?IERn7yXvvo`1bmJ*AGQM_%I+cul%Yg$m#ctAk+Dn}!xs|2(6C``% zkRc>qvzj>G<=4ixJ1;}F*&nHD@%Gizt`4+6aIZ0VJ5by#o9cNarkZb*G!XSAhw7yz z(3*0Xs|J6gW^ILsa4s)x=f7KS-c_>s`+!lIE27G#8gHL8?dp*XpTfV;H!Sd9>n;3W zTrjNrsdSXhstZAXsG++@A4&ZA=3x^nlKPzybYw^jNI8GpN!F~dmK zD>~%eR6>cmQ;jYPM8Kn+?n}&d|30d5+bU{pG@c4?5E;3TgedDC9e%Fz9#+4jtgo=9 zNo6;ZAR(#uFF9Vntr0v$*m|i66FLdc?Ih{oXgg?u&zc<%%_3?aoXwr|C~ziru1v8+h%gw-xPv#c>GpFx( z$t(7Su9E->*lSY83f)?)?1}qkG&)te(zR7+(bfbA5po z%cANcaey>$KZqdsPNpb-5lNJ$=U_Qn-cnz<%o$ic*hO~ldwG{NVR`g!)8W&L+YYXx ze^!Ep`A*{8oqmwA8Hm+P0aUa(88%VEbt`A^tBWX2cXh>{0Z--^n1+Q1t=z#HUBkJj z&nhg(w}dY`a3Jl5m*glsgL4@?GG+SoA~GIghtAYCXF6x2Dy(gJy+})V&6|ZBQ4es~ zudfjc(N?X8k_4;vb%#o2sfX2^g&xtjofCT!W+ec7aeraQXeI7%4BHwGJkmwvBeoYL ztJqyuzaugJ@u`RBlk*R!#><(w4$3uW zd)Eqb^`6 zWhu6vXSDm*yA08r`!k3B`&Z6H8CNtdf0m@BF4vXr{iLbUN@GiNSq{AIJ6UD@c5)Am zE_%XS+ImjwQ!~v{LuX`+o>&XxzsXomA--1ZN1x4Ur)0hmFUNgq`T1qKI|>|0AjDJL zR=MQ&n|D0(^PyGU=SCNE4;6YJl-~f&uP=2qr+O>;^OLa6VTD%534|UR7&B4<^2|?% z&TJu>Nh810(dW0E*LCb!POe}*i6bX~u1;iZhv<0W4+hy_v%Zc%0%oj!s)z7OJ_#+O zA1@?(O14$*a;7`z*fpvB4#6tq+&^Sg&k_~$diTe_%5$|jWuHvCLVvuLtmTh4GxvpY zVdAYG0)ubp|6%PdfZ_m?558Ip!%%HC}=gX~)2YFcAT`L`0ov_#W0tVjFFSk7UQzZ~QU{ET%5jEjLE zztW|y&rr6`P*Bt35N-U7VTB$XiV0=!1eN7kdA=Y1E!iJWt8V3;WHf~M%2MpL3YbWJ6-eBc08lUDwI998=jKDc5&2KbVa^o}E2 z^6Nrfbg-JS%y;FZeph0b>}js8VpcH7;p69_0sj~YumQIp!DnjWVY?V(?oN*(aa3-9 zimZs=K7h3L06|GlkcR+0wIIO2O~0aM3I4!!O4GMqzUlaCad2kK&W(q(FS2uh>|y(c zyd`2h3INht#cSuyIcpKa?#3y}Js)T#rw4#QNp1P^?+o<0sAG!JA5rTXDDt0XNgO;ul?4vF=WVF(v;?2*~6_Lc- z#1A^T=Gl07d67;(j4GI9RaojrUMm6@M+Tp|E|z7Rk?+WI=-M;W?eyz~bt3!NPN@43 z+x`c08E^A;^s`C471@Nx?-G_#Df4D6yFD2Qr!K#~#WZ~{BZ8oMiB7rblI#^|TID#l zPB^}3Q65av;v0k{OC+~8tjQBY#?mZUN)(6C`6i9NCLMk+QpIO4imqMyFg;Nbecn}o z>Ef<6xmHHfFGtIv!0Vl6z8ZIJjSW%AWz9wMa(ZNk)av|*735#!fn#&4>1TJ%6UwxRlHhvNxF>FQ-0cauA12=r0X(P?>o+Ja#3@WhoVWKCB?%{HD5n@N!4El>*&cY% zglSk69w$!-r`Qj;d6d+jVySd}aPyhV(L(pA|2-MtY{tjc&;|o#yh;Uy_FuC=ZpJ32 zhv^8uuhi-u8GbX>yd#V?>tKKPHYW~-zUh#uvT|@)LL|dhLP7)+G_j+S;R8c&DlYOi zlbqnipP$$F1`<$z`TE4W{*OOg2;%0%;oqEBQRKeK4M5|) zj0X(6rlN=lQi%yjQt$~<@l#UpKMf!$@?EmfMDtsFI$7Nc#!+dU&AKy>-&L+sp!6L{j$fm|`WU&?VrbOMQgHA3YQa&m&dMqkJKCbB~q>KT5 zvEF+#m}9dS=Cu?WFKu;F z6jeh3VjC#j^Pis(&M~(vzMg^c?XS~UdQW%p{#2weDbC{aV;oM~FlR`@) z${@~2NvFfoJgiddjhVGss&|+v=FqP1fO#F(%EH3J#mUB_{mS^FxQ<)_S1~R5&1V!N z#@bO!;4x@qlDAh?RTD*f6AMVmcl)Re4c0%t5!~g6Kd|y>zA`bjLFub?|CMh&JC;KC zm6uIGkdsGyr1Q8yhh6E%-6=TdvE&&cc1)}*}E+`x}Z8qORk?;I-7sEF;ufrP2=KwEkoHruq%WfBG#jASuN(Bfv@*??et4yR_yf3l?dC8} zC=(8?!~Lqzv(UzBTj<;EOtk!hzHowZ9GnK1ZqCBb*#&MKxSzff5(O;^601@)3zXFwvhg&B&#vPo>F0}=~Zcj(RGfC>t$$h ztN4;i-v?Pt@qHmbIc(|f321Q0P?>W>L-z(#s)`7vxynB1?5c1t%M>1D{|+zKZ8jO*p6RtD zW#v|DNv6qv9VTNm7i$@{P;asPCE;`0smTZUetE$Vt*x9a3-orsa2->oJU3KTSb?!C4*;*u_CK+;wywhLZ%%JSEumI-50o zvtt+b5Zzmt#cKBrtIBluuH4xQE4=NQNd*!VQW(EK6{ztG9dgOMYg{GIuaHs8wN`t0 z-At7!>|XnqXq-k8wqOP#F017hOx+zeqh62m-6hqtF|~LLmK*1TTn*DOBzauh4=TM= zW?^%S`4@$_HMA?J;Q=8b)&0aE zof|@O5X@6z83UKC0P>2kS-3c;;(P-Yer2{ms=i#O=1fVNr{!g|g1j8a1l;lo#FxuW zpNMd!IncMXwS`aYQV=k!cB|2 zdauWb%xj5`sw7(AVqS{mE`IY^pn89c=3$(UhX43lWj)Z^!T4t=P1IV2A_33OZyTy- z=KTWOg04eh@{zBG6G>7x)Z_S01X=8VD%xChE$_~GCF1a`y8NDJ*_=Y?psLQQMQm}} zRViq{>szkL(mB0y+WX$X%*3etFDV*v5|aXd<{5lSszkxo<8}I#ae8GUCMMSX&bGn$ z6$znMaHR3akB#Ps7KF{HC!)aHU)@6Dmm{m2!Izl`%p|-IYA1g3C-O+WWCH|`9iHay z>_mk8ksL&XU5h5y0~;*ERbYOr_tUOyx5uWI9+_)k}J8jsI zgySZDW`)vV?Ml~1@$z}Ggn?ZaBO^6gW0`a;&5d}(#}(k05LlWPvc`Bil<%6~#4aZ> zFPwjeL<@@I49*2FQxa4tzj}878dNTjKE2A9-UkiF(0w*(k`8uPd1cfjZL>7|=JN!- zMD@FL%7tD!tov5F&%6@VOQ6B>Ch0`r3w@2!$KnzWcTa1S1RInoCCXG{&KAZ4MVR-k zMX|gb)u~0X%g&L9v#EqB-#N~G7kN2YRq`YgXNqD?6e8D7})NJf$HV)+Vp^G0^vfPLgeB8 zG;o>I(&>Z?!_xz0z{zHSlg)BCETpFq7Qsrpl&DZO^Zc`&OHPh+vL?yPWBJIDk4C=| zn(ZR-!#Fhu=MQxYq+MLYV0~=Eaus5i`apx$&aq4RToSA$;al#|&FZnLygv@X1=5#x z|1uf_4ITkT<$zH^_}1lJvxM^Ck3&ts#_69nhelyrXid_Wd-c+n8f_r%FJjtcZtojp z>C@v;=88TW6vzUw%kHZVlE^14$-EyV@ld5kvk^ExR!ERj6*X@tR-tZiC2V<8|qSxIx6?}=G>G5YD8UCVU}t!;WwR9cpJRZ7pkd* zG2kzR-x|vOU^Y4IPP91IG{RR$n>fC2=d%21F?y!s=s#MV;ILZ-S}Xus4Ci=s!5{m|OAtyC?4mCo~27la6Vgx~a9;L-L=;V(b5y*#Htz^X@c49`pr=#(x+l=PPXu!aS&hP|4TIQ4bI zTx!?FA^)WMlfczpRL7f3kn57YTodNqee%(m6tt)i?dp3vb-c^+I%o?zT zoDu*q5_Ta>{%SyDxjSGYF9aw+9E z%Tlys!crL3R9?Voc)35!b^dT8A=Yh1BaVLS9uL^y_cr(Lui13+3fC3E5abmFP&tth z6I29HSye^Y|43sC5S&EH5EKOJxBzBV7VU&UWMaBmgY{yrw%}>mZ;< z&2{Dj?OX@RN824_rv3`>0Q#f*@BWN7q1E>s=sOr&K#pgQ3Hl0sn+?^{O0*29} zd}>t?HkZ?AR%p}_u8{s^M_hKWmJGn81Hj~cn!CBgySvSQ)>g&)&9)Lv$$B4f$Ne>f zCNxsgiU7z=ISho1|H0md#L2Pj6T3P>&cFNqH0^vE;zn|BcEoFU-T8^8kQZOTv=sF{ zlk2rcdURD351CQ#Y848(gr82Gelb<#djwp#Y3Rhz;wYz;{mkd6I-*U5)LLGh^~B0i zJz9)8Y2dRtPFi?k?p>x%=fSAwJ}`^Qq;oxuy+m*R%Lc4I=$1}T;QCL2tZI@a zUC5!gA7k;k5^s?Gk)|E5#tJTweVs<%xtdB}q(U9ds&@WShdO#6oWO0C*{$eMk~<1r zP%ZoVKy@%zh5Fh3(^DDr5+yU^k%`TBDUT)fdpX?QI zGQb<-cuNAbnOAZ4*J7Nd%Ip;}qI~ML5v>o!X)}s3j`0`*@8Dj(n90WgoE$@8BIq>U zjUr9C9V3k`kVdHgO(16rU%a9kBEaXahkzh}F^B+T5DWH2-7H!#6w34v$LPA)zV6_P z66KN%70?GF^dPUl=oAHr4+{`KuwYO0`oxWbzs#G0dk3)~eH$<&C3rRrFbcA>tHe;U zMi!AYM_%7+!g!?7MN~W~#kkaMBLa09q|TL|mFu-^1SwG0-c5041s2P?>6c=W;+Mjz zrpf~Rmvsv+4>apzSSQd?^><9P8{0ef5an8(xz5y}=BL#_D&$N6P)|5z)IoY8st7c5 z1|yA`cfm2+uVOb91twI24@^WAYuA$_$<6ea5+fLB9n zpA-oA+vN#5j4b0j#lE2&i<+GJv3)~HOg)&=@#-*iE=5yE`1ja5?ytdF??aI;%8;Ni zlkr;o+%8+JCvDYI>uS|IQup_KMFdZ(Q(T!prX0jErVMva@=_#WDy#d`x3sXR>a>v) z?ct;Klf|k^*7i!}isz*=3z;Fkp>h{tGFa$W65gXQ;jb*b{QNFmbaV zU@_S;x81#>_cn!z)D1i5I-J(PB!R_pZ1Kj5Q{sF3?bZV8UJ~_!4Kzo3G@mPm<5P`Z zl4DikM;kTb#}(By6hUQsW(E1))>kt)2m&|^$9d+38@d*1AI@GQ;54}ZoJ~-5xKkc1 z6G(k$b0rqV`@2$2o2#O`iI2Ryn891Qh!>41p{jmf$xux)xSRRXbD}fh1@`)C71l?O z7VRNM(`%Y2l@Ws0)%H^2CW1ZG&e*8;1bdiOv83w}AfJl2lb*XjiwO1^?z7tV>awz; z)CuTYC}1J(xooQUt&>W6bYcSCg!_-|(-pcsV#|&Xw`vD-5w_Zmw-l#Eu@f-oYxe#oYz7q>ZDa?_#qyzAU0Q$A0^hqQE2rig@z3H&FqIFM`3uqV~gI zoXN$~Yg*iY?$4x>3Em>cTsiz?iMKr(+NA9s79GT<75fs;A9`;6;S=)D#in!ip$3Mn zWhI+3CU~^TqnGCIsvHCq!4>=5!Mu1^Zt3GdV6C8^qLCO^)*f(au( ziJP;p;mD?nSnK7DX4hv-ZYwPc#R(u7<%beyN_-jAsgqV|OvN>4a-E};-zL?{U07mc z_;vf8BXg;Qcr*V^+JlE#wHT*$)^D=p!ScmglcQj@lDSgo8$pv8dGzI$+pO#PyF?LA z+s^JEv~7far!K^{G^Z|Dwgsmygtlg z=$(sdZq0qqV_%f1anXD%u{9-byP{Ky3tYocv9 zL&FiCh`XsTy=}rRXAcUq-7Fwt`4=*xjj#3)tOtgw)M{H+iQ`?mBz5JQt$sZA+YA z<}Nntq}e|C`)dxq@!qy z!SI(JFnMh(j_rVeloA|>A}mT{hm<}??G%;Rk%GF?c_Ri*gYJd^*@cZ7ce|o*;)^@h zm3OVkYOdKmwrcWtvz#vGx-+?auzP&rbOqn!LA9JN?J zT1*%!ca$MaRQkN{CN<|8ekdw86R;U{4bv}l@7GQG*inV%vxW!}vXkp3HB6bm)w_YE|=0*Yd(_PS86_z(kGH{FEsV7-JHLJ9gQ;*;Y(kDoKc=Rv3~;(=~ZFX z5BAyQzN~!vWOHI0LQDBg#3}Ac_Suv^;E#dz35n!k(4yykVJJD*5JOP8SJu&qmzDfr z2-Ts8yG{|Jw1Cdg-TR4QKX!B?`m9|CC_<>v9y>(feb&DD3)#_jW=L(k+tg_B0<8!V z+L8q{^sgToon6|ydGN1%^=*jmU!++(3U#{^ZxKMURqR`&SwD(9tN--tL%i+Ro9}#k z$2v||HccMr%dJVrD^ji}1Pluo>h>GS3ou%J3{036-2NvO6r7HWm7dL&o_CckiW+TV8f``zZ7LeUT#jeo4j@VN zVr}nIBl3sW*YAui!{c;i%V~`=g}+J=Aiyc>5`Pt@VDm{jjgdU0u->(jCTgLGHAD{u zc}Qn|k5LbVz)G>&(Ot$!S3zKrQO(;=W7h2G#eT524Bv~Y(?Y$Ca#CZdkH-Xz;$o^w3DPB@x%Vzz-;i}Rzy3%vJ(zBw{^Q6*KU!x5G z$5sQL6f@?9609*f?h678Nn!YWVABD13wHJT3`80=KMXnMzi<2PLM9`H^|7tp$~)7_ zyVAg800CHZ$>%8oHdF5bR1^SmFI& z%k6r`yJ0Ka)_*J`uK)AF$`+>GBGaV4LFB&|zXqE3{-iPx55ca~0CxkuJ*6=zTS47a zDt2xgV zu$LcFj>~i8TZ^u*@GROIudm))eAT;e{`v(E^kftBW`(va^&=ag_ivo8xSHLRqv&1?))QK{63T0J2SB#8>r7} zk~=R3o!9ho<;Oxyy}KA?FX^+I2p1juNAFunOMUwH9vd$*topXz#PF|P)oPXo!_pZM zM{vt^t&%m?6%$sAmh2`TB2L!KmP41-`Bu-BA;#P#A$UQTihj{j+{A+=%E&`gr9O$n z#6#24%GSt3zNV^m`Y_|DSKY{iXz^WH-zAgy;&8vcpwq9;FX-djz9>m&Jtw-!VXLjQ zW&5to3cV+~pMeeb=Z$y3##dm2Qq7x-dU!T;$IDV{=9g|t*iI`w&bWv351R42dR6|D zMU}Ib6Y1VxZEQ#3J3)cIJMx6xFW;=5mXmjTT-m<5UKMOk2zIrqb!sUi={XDDqBs4W z)w^C-JSscwe6>+q|d(W$(!oqI869}%YUv|LM-_r3#|$;6OP!ktJCrOtI|v9 z76$>lQjYqX)%u#5d4-ywJ{Kn0#T54XIIXB7Iif|Gvh)d;HU^xecB;nq%UJ+X%{YHvZaQ#%;QINbcl84D+LbrKX~sYzs zd}eOC(8U&S)haE1wJ*QX>R60)JooKGdH0 z{oogegi^ZqgIcyaCXIMxEG-|7da0d4==XDW7ihHW;De#1O0oroW#0SwCdUWOkdrf- z7_cFR$Z5L0MIjL^qXs`Q5MH#m6lN9{($0gyl{wkI;Po5>EN5-@eNJY2T6%h97gf+< zA+7#2%u!)F3)H)~ zNoA-z{ht4U7A2^&_K($>MBqM9&MWM|B&SUU zqw|>4snmM)HUfrwR@e@zzc>Vjzfpm)I-j^C>`sXD@G-o`b>0y7+gFsPgZoHRKA)V0 zvDJ@5Hzip$zup%a?Nqps@cF3m9^SKr0LKf{+A$M;ab-I^;`iG>meTEve{py$y%@d` zqThc<_VY$rDV|xP^D>Wiz6}JodLzV3crl@?s-*g7NPzJ=O@A`V29s?{z)46*bVh~w zli&bmWO$M8Y5zG)xXr(86Jt)&#)Qn%gv=v<*`^8A;THc=bT_zbk5O-m$oN+O6mBlC z_>?!@>WQ9Mr*iQ0v-a!7lPqE7qn>>4tu355;$_of=%>|_K zYW!AAAv@{!C)~q30~=uNJG`SnKl1eXp|Xnp>C96o_xrDh7|!u4v0#LqyOYE`qwqBPH#Fpf38Ahl*SB|AoUQb3jZDjTM=MS09ZL1&afwv+Z-9_em zwiEK+c_;8*K3L3EoxIQMZX*l$*0vflbVUTd@us3*jkYIV9shFvP)fHtuGQ}Ow3%K0 z+x{y9oJ6BC$m)HV|B)>C)a&VNqOjisECT-H>iSX=omUSu;yGW}{tLh>Awj~6v;V~T zqo)uj(W>HT-xXI|``JU}iJo2CQ|fO0>8FoXl@dd_StygXj?|5c~J%#!SLr!)yNbVkK#Jz;9Shns& zT)o;StX6H=7q5uqZ@f92E+6Qf5ASBmjaDmUAzni8_FjO;op5EJKS-*!k!^CfWpMnw z(^P58sPn#g#Lg-IEk2&$pZ$Rjxt>9y(44ub+cmr9_BG@RA{5(Te?ddz|J;+ohJZ0PoCL%C8qG>#yc7A&O4b1 zSXu782fEihg|>PDCldvZ{@`ClCm>BbS#{)JyQ3jotq?d+vHmq{wmMz~zwtj#wm*L* z#Ib*85Kgg*-gIymX?o|KvjA{&=n7D^6F}8g0ad&622|}1P_?_rLeGEt7=HiRA3{F! z@?!W(=*_-t#&#p1YX5Mq`Eo$juAW@k_kI2%rDC+CSJQuE_9Qe>`1GGj7u0uURCD$) zZRP3nKRyPxbN3WK`|H6+;o#=+KzZ&;FV*Gv?&zHBS^gipK-%g5C8#UM|OgijAckIUg=%U^*XH_Qp6OEIS`d)}%L2Snb%b z|6H2+zR*}QUFG<`IVzpca;VV=W3G`1%;QQ$zhfi*bBQHtb4gQWES=-$lIB5~Bl_Tw zmJpi-SH|9gyi)wKcxQbo?Ys*%+^Uuk5VAG@(|JzIE}kTF9xMJ6FWlxjn@1E~qcXgP zIt3S2%XIeHFItgx#~oU$X|px64Y?Pk7puy1S^?CDBU(l!MrP@-A1+o^>}Q^&d)1!U zj!sWjMOa(feGpPi6j9@j;|dghTuq#rBE)rTqZqSHz+c!Q|UYrGGl?)M9w6B zfA=OUNmG=$Do|H<@V=rfcP#IQH;x=(_C%(1;C|w@frFA6mhqcAfl%(xv?Q}nj*l+- z2sN5$ikv=tl@*S3XxA9Uw`A0wF8JQB&ZUqHgxq}MF~sqF@kimwC2L-UOn{))Tg#wA zilN}ii)#sd3+EVNFi za+OS#QJi7_>t1T6;oz@i(Wp8KR`IG<%7^p0^HnmMhf8_)MnOCIsIGLWd`zwjAN2=c zPwgILFdI93#&`G}EFyqyJ>C;jX2BeVScOa|N?r%oc~-;sL?|rkbZAOpDh@>O*}xp` z6I1R0HDgmqVEEoKR#S8QaR(%~Lm&2)01bA`?B!?__*;Wc$6UE zL9j$HmT?iQY*R!ZKDliC=38Z1IxpXZT`t|!7b^M=uu~@AdjgU2hhW2Ev1(quekYef z&ht}Gza{NfcEAY^DZMg(D(t8w;kG=txny??MoDRf)YpI79SZsL;hSyWhfY{zLtS~W zXkig7nA(Y+MJ+T#HUtL_tmsyXo^2|NktaA*73W{L3{iMz{eJPiY0U3%@q`+U5!&Lk z-b+*P*AJGsJBEK?L87n4gbkV%4-Hl5n>`^?{ zm3rL5WrwD{stbc15}!mIQhoz(QiK~=tr{+xqK_<=klmXlI{^*On`g$l@aM+yV}gWb z<`yE-apF+)=2PZgzuFJ_+hH=qTWLv&n$qTJi~e{8;4vFavV5INW_daWg>!irSs?yr zY!LrO3pBW2r5#!1Y(MD>Ivr@GOZ&6PD@Y;!C?3SfE`1$5(-(?YJkwU)S?nBcRp<2& z`S1@LN~XBssbVRbj5cT{rd4*_pa|NoEW1hyv>*~D2-d{566FtKS@GU1CyQjUz1A^e zSv+<}+6OHYG>O;`Xw=0UO4;;(D$vNflB6o}P)L{ZQ7khh-RXN3bEi; z?aum)2;rqU3KL6Da_P-lNv>a4f|B6V zgBUruix|0jm>8LL#s>{-+7^N%-PY(qv~e0Shp96v~$O&^lohb3p-*oII1u^T4JjZF$2hOmUt~sd$wNGdyGdH8 za8kFplCoSNC^a<3kPTDW6U|xje?wFe_7_Cg#QP&|R=W;{Ik|>^)GJC}wmYkG!H(8~ zlel|_sLZg@Z#oHrxqqQcaf;KaM>e_xLhHu zH5yCgLnDUkzO?vYSn+jzF>++S4;a+hsM+GRBJm-};(OC?Lnb}aMdF!0!h>(?hRDMx z8_8u=;j+cm{#3NdFxe4>!T^dPtcLBv)0P`buua6Y{-6CRbLW);K}2CO8v%ti8-FoV zFoc)yZvqDt&h;6IC1C?Epicz>>;-&}5F=<5UL0KW0*o5MS_Uu9L0plb-$1U#7X2f3^`T(*-1zBoWva)7P@&veBA z=!!vI3=Phv|5JfRUVtT4$%g=d0lJd!FL8tXm=FNj%LHT(M9~`z$R7E=^WU;ZCf+Le zzft4r`G1O9B7j=?AJp>xiCQCv$aI3a0LDcVmUwF$EZCM-WSXeNk9HmvC0m~sC7Zk% zSXCYnz%hcT+4}du&T>NWPJRYo+JTWvXmE@MfS4|T7{R45=rF=Bpm5QX6)L-r2qUkm zlPIC_h>LR36oBeSduRtZ8RtKcqsPco=_Z#wafSysWfF+* z&Cv(YhHd%Nf^kBy)cl^SY<;v4)@Ll)zj2Tc)e>UN_!h#8Q)6OWe63}`xHx_;%4<osIdVYc$N`ZPc^0{lXOY7I zL{1nGIl$oz(8ZrcE`;}&_6rOSuz%rf6ms_G#}^qdxaFMiROIHy_COsAM?FUY_+ ze=6i{C^9PgH6w92&xm>LHSEtg;h)~6$pB*a3J^PgP^t_dc1X&exQ+&Yi=7z@UhiKH zhRYXj&cWDcm1V%fM-VPUh$~iBt|>QJiEqKd2!BFfnS7O}QS60y2JXc#7kqXc(Wsb;>dgo)`|vqdo=F9TKyui&?#&~HOK@RGBFncp@e zpTeD2wXVI~nhIc!QABSOHYK_CFYBzrdvrgpT7BygTe0(%-?Uhq`JJok@5xxdc8!G* zZ=!2&3JSLH-pIfa#(FL+`t)sTb9;45zEQO88U{g+46CD$t7a;0d=UvYu&Zv4Q*w>je5+HIheZ+-w|bzBV!D6fH=%98rIIf zTm4s<2#d~P`a9rWbMJ@!Wj18k4}M2PY*Jqtw^>+Q!A;M>O|Qw#jZC2$kmK%~GYKyR zwxsC>pLWxDEhwqO$m*muD$yUn%IbV}hRb6bMUJKC z_D$$?La_7;wxYn?^0A=`*`Yug5%m-qkzn_ZT)T$gSdbZdCFr5=U4TBkT!DW57llqa zhVOI(s8dCyTu%Genrggo2}cuj1Eo?0TdAkqm!n&|&lOvl2K|3e<6{g>aHR5=y`y~lE+*-?_|&e}D2PJ2LgglHV-5ZNYw zCEM{2hzTyxSu3&5a9JxULFB5k8o4TfMwF6$~4`?df z|7dCrpsDY?08JHmc)@`$s6v}uoUinD6d8n!HMIylrb-8hLyl66dbFsBzW_4ao#7g2 z|3$M2F!QHUm=Y{%ZhGqS)9P*%cx+Zd=XlT738ne z0Rj{jgv>e&Lav^6MTT3L0(Ojpkn@Y_Kyo$ELH<2f%*b$`E@(ly&mihYi*~l*a|hjS zrF$WBEGp5CqO9bkS+}Q%w9`JPk@(jTezZ*i*x!2clrlz|vXwH1o{KR`5OT6~ z0cf91Qvms5p_DY}_QPw?{;w3JLS|#pthQveY^BPV$)cS~$)X~rDWbq5v&}o^M-%{A=9-cRU2s&f~!pD8*e}&`p1k$vP)V5Tz!W_?qjZ z`ZHii?)PPEEYFC@h3i4HFE;0kES7uv25n&Zd`CRSlwS zRFzPbP!m`CQvrUb7ExFjhKZxbVrW|=E8X^9L!EI$%$^iV2Mt|V<_t>Nic~mk6h`_? zvj_4wZNt+gwot?0YJb$|QRt)m94w(!Vu>5eXonli&gif8GEBmg?f@uwO2#RHyA`PS zO9YS(^?rQHA_#fgXC(h?i#|kX1YcNaZ5$}rt>wFHi-FhY2=`^}*A$_i@*HyGU1&1Y zTTr3%x&tp*_b3nsas!AfXSRuo5fF&Kx>dd;j!Gfs%S?D{r?jd>Lh%w4tt!)3%p6Ye zGulaUX$R9UqJys29aAHCNI8SJ<|wc1x_WO|Wq;#z*r$P`e&$^CK`T1;b$u4VjsM8V z&}FnRM>^cU9|`w$J0ghMgOPSZV`CtPc(|XB;2kC=sV#ixrXdo-i%t4|e}Qw3QIqeA z-$at?(UGHF{i_2-)_v8)#X_^`NY4k^N5rshF3sEmpN_^0o8-jBQ$s^OvSDK4V2jL{ zP)e%G^@N0l;)7W*!?sv4!8q8MYAnxHmOdIbOSa)Z3>M=;1Giwh&naIc{p3Pz&!s@( zgOk}^y+WojAjg14P^0j>GpoVMK!~@*#!5v9R1$?mKxr4|rE}k`TwnFoT@BXJyj-fI z+4w&hNI9!J zt{R1V_p=yVlWOm^#guE8w}(h67rCFo%$%vt8`I$sPp&u#nAKsc67MoA!e#DyL(>+w z>#G{hxtXv>GUIB>HAu?*Z!#0$L%$|M;?mUy62V!I4h$3$U3+p;D<_ei%l2V+rzOqS z6n53-VfR8p4njd=;&F5Jk-~rujd2-v^$gOd{lI%CQBz(Dn)H}Rg#j^|`kOap_U36~ z^-b(kc|lQxJJ?*eeVHJay~;Sp}+0oTuV!K)KcA`q*3q!dyF3P)fA248@XAIlghUsr{6X810%} z1xlv8?0Fgh+Zpyw3F;l=wCej^#h&-+Nq4V&!^d}mn?u%z9E3~MdR2wgGvk793{`dX zti*qY0~5zpmz6tGNA?D(~1#^>2e#Ji)%i zaXUy4sOX3@)bpe1eS(E=p%ypXYz~T&w*7-ydK17+=3gXYRG zC%>Ao+L!9FE+h1lBvER)Y8nz@br z-}n+y1t&;SBw>F)LT?bRXBzS5v{CpC^4a?oMh>W7qwi41qy_xJ#CB}x2Kpsb{%5HX z(M#MRKcHYfzk~`XfWv^{{ERuU#*M<}ow`u+GTG#wsidw6N(sK8OIJ(y(^ef^Ov~C2 z=nS({;TtN{x7fO3P|fjkld5g%hdy+jQAZMK5! zZzyFP&_#B#y1f<+uCvi!K=T(GirZs$-Ys4~iz+dtTPv=TnnjHlX+MNVy**&1 z`izREl>L#)rMBYR#C8fL|4L||vVPb+)iCBzrk-=a7@LG!3wAuUM;I-**6Zk_o@37F zpIwo89iS>2U!S&P_;j+)Nf3<_H!G9*twac#(*v}`TSzQpKtbf+i zF!`2T*7yvTze|tbulQxCcr8V22k(Q4K z3M|D8iiQ!f`Jr=}RL5*=mx|rSsA@^SNLdd8X(IB!;=onzXh~u3FpgzcLdM|u-V#ts zp}A{GC#i65;9r-RT^G<17(=Y}F4n1*kRgp>XelxGE4PFt%@u>DDBwXx1d!58%`L!@D-qGZ`0jL3zG-54wbx*}dwS4Bs?f z@O>bo&pr|ZSX&q}1j*jNRidINvk?C&2C$Y^!ojPsa0n7s?DbXBx5Yr@hDjm)>b+Tbw1gR0b$kz%S-f6Lpvqt5`XE#IYg^qv9#<|UeXZ|@`d z8wIA~CJmay@@p$2=7q*=ke+x3H!e0jX?1ewhvS~2Pf2`WXY2fs`ccqCeF`Ogs(E#x zRldTTMY&eT1=Ia=!&I5r52un+C*9>OAWIhux!0B(KA^vH)3dprC+@#*5h_BTWd7IvKt-snV0*ixmQTyllF>oN zBZNc~fRAK*Hzs@58r+*qoPnP|H306J3vP^fXa_p>K}xLn(dR zm1~ncSxxbbdvZj9p(W-J^UW22@{K?JX&TZEyY{K^gH3RwYakSsYZhNF3I^1Ute#77 zZtdN@;CSF%bN9VlE8obMxn?n@4JRne+`j&smk&3e^jrBr84W8Wle=ZEkm*kc%M`=+ z37;x&1b+qKwRY_w%zkmbprLft@;+5DIlUc0m#g$Ug~2YR6gu6~>KtftsHCri`ik!l z7u4BlZR&S0F~9B)jcKh!o{fquu9_)4?knOqMiBtribnw2)LgGQVa`tp6mz%QlDa2Qe;7afhOO0=~{9&kg&EF9HQR zI1HlwoGk?=!-G_Chvvgt!M&9o0r_$9GR}DpWrxtVXG>{EqJVY&0CRRYN<2V@L%_&w z*~{Hux1CAQK|UFq+E87(oSA{Y+K{?!6=pX2SKKB*^(^=AMMx4#ZNgu%Z2oMRTnxrS z+i(=bM3huSJoT!65C$W%72LJCG=(ZmflNg>%BB{w1S5?1DhXqsF2G2(U2)~JZDZzs z6}KV~*K{Oq7keETg_=zjg&I=}Zj46@E<31~S$ZKKyAMAbyKe|+9y!=jxQGhK)GU-V zA@{x!FmC%eaEhm!$o*f|x3I%p^%a5Sr%Yjw7*`k^?WVajPS^$eP3w1shSdjwyX_Ao zl&1moxeRyio7aNUgJttSFHS!b9aIpfW`3=5*}Hpt=Nlsac@~k`;@3}Y2D91eYuV$nh1@Gj` zQgX^u^wcuxcjU4YOG4?5H)*1|zM7ax-nN69Sel7O#nP2YJNGLTyJ9TSqoU!~4#_N| z$)PS)Z8LAD|9sSF@g51dBSO#aHkU&-A>#!KJ>Z<9&}*AmG8aEbmureCg2WORAK}W< zv0B=L<BHT<%JyX$eSDRSeiiG0-`SbK!YyB`%tq^>8%L?Zqn@?LWpg}`1s(Xjzx995 zgHm(?dC)CuAP)*LXy}Xi??yXxY%OiGhvb@_$s-yk!zV@79`JjF&F${)+05*j#cQ=b zJ6I)10Z?FzRCl&=^FZTxl>_!4<-sI;NHpxe-%+Mcn?sl3s1>rl{>aO(4egFc#Yx#=<&#eZsV z*ioid%iDq{?aVTtzKgW{^H}Za$FZwv_EGFc%o^9 zPVppnWt38gYnmb7)^;)7^3w-*-by#{kEL6U!7R0}{3S?jGaL@t-|+j3*xFFhMUCIl z6;FGBn{pB%v0n?1cq!;u-&ui&J>n~}G3DHH5-VcALMpSLK%G1KE3okULol??`_`>s zK-AM(4!0-f8-db~o>z5>4eMWh<$!YBSbEKS9rH6&@|XmdMG?Vn_tpBEUvB>->w^iF zH~tP$JhDQ2|28&+cdXE;My1WSd5sL+J5E;{rZzK~uc&6;5r($saiG*uIX3E|8;J_r zsSpX-sdR2SwT0Et&17=Ep2@t5ZtPF0))-8a*aZ`)ullwZwmjRz`JnrwTi7LOb!HZ! z)g)QrwbA^q%q|Q!6;P{5cI&8fd@xLVS-*hO&755O=ohF?Adb;_a@-}d=MFLb54bLq zR5YJXexftPbCND;W#TTA%+-yO$+eSQg)%Q1c=G?E)Xj-aiZS9djG z#16~67Q4OSHdfy2XU4=W)*#;9e!%Sa% z9x%J(f#=RpzG{QC@!8@a_*t#yb2&z~Tw zQUzPC38fe!D2=ay1J*VP156KgLIrX$4uN#3BBwOI4h~p@;18hgV}t2f{~=g53L^$Q ze;tcX{-z11q9Ps2nt=?g-Pp%A+tIR7Nkb@5FXF= zo)a(b+K*>nP(<)V?#>xPOG|zl#Oxdw=*|8ZSR`MZT#!=b8mat#Twvysdd6>;%8p^3 zN?g^^D9M2)dT04zj=-2Gf}*yHXx{55?dMuk@ZOPzr%5+XMio$=`cq!JHlMb=)>M1% zNKWSuuw?HXB~%#yA+X#zx;r_#9Ql~b!C$-X{&U%IsbkV(Df`dF?lz#TcrkdVKxz-u}l0 z4s)nlRuX;ON+*?1+|HNL#GGvB$2>mb8E_BvHNpgJD4D8A!(($;O5N5U=icv3e3Fq^ zm<@35`+K>+?avAiHYTLlxhH6Px?{j&<$MEk=I(sxn%uAGKr{lcn#b9bIpJjV3mRx6 z8kJ{aw5+!~K|Ouz`+=}UKcNnZlSalLK;0*HqGJtr2HQXrmW{$qzAq8k%wvU0WnI!> zr+;nx?bb{BTyFpE>-_G|&H4|2@eax5jpPA)s5kE6d~aQ& z(%>=ekWl4CeBY>-v4;kyVxR8i&&gF1ZfcHQ{ZOIHT$>5L;3gq*xey=B

-nd{(;0nEZ#aqI z#=)uix>!2XS;2Fx{WrY}rQ%mA8QtIb#JT$sWdQF!_D5fuBLdydM1N%=oR1|k0sa+Y zYYsx~pjC7n3b=_U6e7rotziKt4nG1CiH=A4VQQ^f_zl7XE9b@&T7ilOspNhFb#5d) zVt|Z}Rn>eb$RaFjn1jtjIFo?E#z3i_gN>!dhGBRV^dHqF*V&r{|B;`?>*D>(*>70p zk-pS>32%>?V3IkdK z(6VV@cZ(?K75!0BsJSTVL-75QVHWnK;l|E3sry-4FRA-??cq_HI>lvkIwfS`@SLcV z`#@yK^xXX-QJ}LSWcohmC~8=*wq(Go$A#F-!T~XrIX-j6Lie#`sZ+TqP)8y$t~xyt zE~Jtg;NKI#BDhlnUOhc`aTo|lCD8lmtU+b6tn@WBqX4fSnrca=s~Mp9+V-!->2Nft zF7Yof{yKS6;4ikOBjTDjhJrpgQTO-JNH0jiy%b0;3o>~1KPT<_^FTe0Bkh%6S#i9&gJiXFFE|~t75vncy zJl0R-MuTKF6-gezQU}JQrclMC#!L(6I*`FMUk{{3%1iT=h=jqj2!UC&3V}(p4S{)Y zkA(d)2o<(dEC|Nt;2d7Dcod7;l~OW<{IZq!M-D|m;3qqzo$1eTCs4DxDU*VgP!vII zFY_=RYovT*HvLgYw9ObAb@gnrHCsY`qYw&CdhGcqVATo8Cj!b3bYk2?a$fp5{}h_g zTDm9@{&*y)m{pOwGVBSY`pFf|0Wu&o7>aX;fU=JLta@&bST{Z0f?-$?SakyONrl_R z^EW$X!!G}J7A5F?wElygvSGH){WB=QauYZClT;WYuDWB9OjxNx#3q|>_a1`T^f#Qa zy1nlgaIah6YKGMv{ABKbb^*h1ZOfUyY1FPB=5io|(vzlF3`)j*2S_CgEPXZ$>{NGf zI*i-Gs{}x&aR8kLS~kv;PSYy-#~@R4P|}8=`$1qD_G#c0&&;v=F{1Av=Ec&W&#OHK z2AvXgPbv+0QfXR1rRASgnif!LY(S+UfJ);)?CAiNrUg_Q45%~&P-*!mmBs;78V6E| z4XE@J04j|Qs5C8ivCNZ7(+Wwx(gOssikYPoh;`^G)t|7kNYJ)X|IInNu-3olssQlo zzsobqq(RG0*sua*;5q6r;620;a~j&P)BT^={l6~!Ae(pXaman2y<(Arl9yybl2h=I zO@(&qFsg$v6dWmKVjL-Qc~G*sJc#?(BMsb`k|-Fa(HsopZUu(nvjxNW z5);#gH2FYacn^WAZ=#W@`@^L{t>PmJpfn8Hx%Dero0HpkWFSmL{a-BmMvT*kM+bpv zC6NIwZ_xtLAux4u0y0<|0z`*!fann2Q*;Oihz@~)=n$B?QU(yRKY%|a4U*S}0IG`v zM28@o+ifP1oTIhHrN^u+EhY@ZEmfu0kj>P;r}iXd&cDML0NLNQ7Ruqs&=qR9mx7Q& zX%GgjJ$Ang7$iCQjV7$%0AQKGe{(AZ;8yPwxAXvRnLTkU4UM)Hg7p3qvU+9_|C+sm6ME>*Kps((?@X zCgI*W|24_s$768nSoVtlrB7k?{pc#de$an~#JIhlJ8AhWd9xN^{Gj=Y*HRyr;{T~% z7u53R!-$|R_r7b{|eP=*MCk>xxfA%J3`SGgHPsUjgg3}KVVl&VV%+tq0dSC1Rb!T7%g+O zbk#gQVf7dTq~RdqRqARU!MytChB&L6JBU%v(B_bOj21%fmi|wPiO#VH_W}otv;lDW zX!A=Cv(c|&qfH9kGu#J z3qZqtX_4WQ#}u||E#}`-2MVIEv(VNu>gV3`tOFN_5XI zj{;N06;D56SfDDjV;L!*s$Zh*D|<*F5ZsjjycPv8*&U<;nv{=hMfFyBM8eOG_iBD` zXgQj;eOW;}G7#`uo&X5$2F3$qI0RQbmc7u~=$%v8xjkUt+0u1*#rlnZ+Ijt~!hcMx zS2y;5sqpZq1XbK$jv@atQZB|-k!^S&Vq#z_;+>RBcp&L9Ucbce8TjP`;Tq-TgZ3Jj ztI%Es1K2yUI)YINDSDAXiDkZ3zU^uLZ8rS?d!MjN-jd?2}6w@!}Zb2|LYWF(4sFkzml;s8g_ zJyXVyf}4G)`34RJqgnBqN%*{kn>Hal!bOH({0&C;1fE|c(~l#y!;H6cRU$dT!lp9$ z-9l6&`acdNckoM9Cz!UCLOGdO*tft!EbJEfe;sb$;>XJ=8Q`c*^mu7XxQQ9w2r5G3uqUYKEWzcic`J4eTPCud zw61oDSRS?`sZ**iN)|vKx31y*igFJUxsmV9XoCfaDYt%qG}kt{U@Ct82yPlLfW+Px z9i>Xrv0m7LZ(Wg`8L=q?B}7|FHSX)(@LBe2?SVYeU0JT# zn~2cNIPS+=L))FY%NV3S-%w$^vFIM)1?1T%AHzuT<3*8PGx0khFy68okn`d?=WTZf1NcOMW6Q~|Iilk2mp54z3^I$HC9ZJV zD){OukarB<6?UkLq!tdJN!g*mHh_IdrJBGJ!lP8-J3w@+d<&+Q0e#Z`b5>f|T)b^C z0t@o2{V9!jNhy*fl^(K7Str}q@2nm0*_qgiD#*nQpgG(yJCxAIh(ZIIK6V^^FCYe9 zp+7#QETN0roSL4|#W69J7@RdbWvguaPFLv!d+ONTRSaY@;>b&6K5lEvzA_chkegF) z&BvFCI55Sn>uGy+~|NNfJ}v<*m}x<*J!f`7e#z-{eE@Mz+%)HF#J>mO1PJX~Il!*yI5HH3T*%k-gg_T%67 zS5d*Ga}LNa*J63lXW;GJ!^)5-%b@mwmnN z=oBfND4+5gE*FjnV*pX3GjMvHvIm6X{hied~Y0U5J?5LWJ!Ki;ud%4X2sLkzW%=cI+O|3aCM zde*Y{nGj?hJI?nC7&na=JDLKkSl)coV6O)zPG3|;< zKD?RNY1RS()y#M968Fx} zJPX}F>x9QTS8qt_d)TAUSHE+W0!fyuI+t5UZ_&VK>WbgH#~;+h;SpZAqvNZzgvz|{ z7=L*6@0@1*<^S)T&RsiQB103KCP7oV(pRs1mh2beIMr1W~@D#F^+RM zTQP4N5F1{COl*>BkQf6&UzHT&aJEX`wqn*lfIr34Ca?VuL47M?>sq;FyqQTf=fRPP z^3jn9%hg9u&Eu+XrPpkvLH|+Tp~rv!pY}DP>TIu( z+O}ubU~31B)+mn~vMm${hx{L(xK#j-2GKNP*hZspi!|fzbyO^{wIbTJEI<5&> zaVSDm_aq^4!!*$zjkh#b9{Z2D3p>-(+%<~Z;(M-dB+NcKL1|#Z#B0% z&)R!y|BXpsb|2sUJCoApW7!=Tt!XEaV6Il2n{6u^0I(Q<-0YrOS zm)B3+17!4iv-=N&*I*^csk)i5_S=CA%N_{#Fa;l6yfq$oINF(i$7C9(|F%})oCvPe ziIEEEpvNv?K^d}Bfje*ijtn;ZT`V>g!Zk72bq$wwAZ_g3fxT7QN3&1z?UubH@hj1u*OAf0MZsgzHvV+)3Kj7)osaz-==?> zm()NS8>q23*)x3321*cG!|B}kaU1;W#U6^pEaC=y=M7q$ZN#q7Ph?qg%Y2+iVZnjh zV8NyM}m}h)rs?ieDVru17 ztW^J;pG86}{&KaU~voKdGI${l~Z99aSqc|E29fgO;5!1nCI zr5;M4JX-wxr^AbYzy&L_0o1_%})%{pRI>$L5MTs6Dg;ML!8Gql`sm-!M=ZPKwl_!^9vBh)2v%pL`o6F_e_ zoPBkvjKW=SJCDC8Z%VFyeUYiw`%&m&AlkTGOshAqCuV`J{C8G%()u%K&5Zmq&{}l^;w7-%i^Ld* zhF1KAlX>$na&Ge>6{r}H%DE>1GEEr78bz>lto-IfuqCiQ8gj?NJ?l;4)$e-N>w(HnJh-Bymd*nTw{8pChYlv`x{RR@B zPgt7i&Aq%1Uy&K4B0TO08#;^jNWP~zIqwLIl6+mYg*8QW*6w*DyMQPG^|yjKFQ0

iShIxqFA-x^TZ&m@Qw9 z-Z6$GlUt&kR67hrdEd-o?8OKeEvEFfROTFzWw0ERO08*Q*66_u#6uNew)cS{f=A{X zpoE1OS<-34z=g@ffD)NN)X+f$Y}(H-GGH<(NCiwpzBoKGFgQ}c;J{db5vZ9{(Wt>X zFf!T*PXOo<%m4&c3UHy2>8=Q+((mw1mvKfD>U>YyzB>1Lt$iYc7k#DaH2OUD_g563 zJ$cKWIK$C*OYK1Y_@4Wp`tj>@c+<3$v{FWQmctjjJ*(9HN=@(k-ckQ73NWani-Z+ zE<+jNpp~OMxBE(l^qr*|n7!-gD$GdPXEV%5EtRr~+cZlp*KG+_XF{VcMgzh@OSm)V zcbRt#nAp_}+3@>g+3*br+2OK0M|ZwQDsYaid`ZFaG7PH0{C+6}{QNJO4eKNZYgenG@;5^9xue@i5GUs82_=ENkS z{IU1LR)N4aQRtS#RhCHlc|Fsk9OlV&R7I>6q*CY!fTE#)U9}imcZ+{2J)5>@ zaqKNn1-@UoNT8SFdMJd&SmBf;n;EZ+sv7V_1?{?Zw?XXYaxW%jeLT;np& z^VDAvn3kNP9RuG{JCnb1U4P)(!`b(21M+6XZ*N-LOA9{qh@2wPozCwc1!&&MbW+8y zy~ss7iP?F!6+_c&kZl3v)Y@zlDQcJS=da$-em2zt?+N@wUv@kqZ&>=^pVIxVmhS2e z{`k)~#jJHKl^0K-)K6aL0FWhAbiKnNt6OoY%MmnPbSgT3^RssLJJgi#wH~W1maRqF~8PAOiP*mQt87DqB&P8FX=Exo2ufVJFRjEotgDTzW><2r>EZ+O8 z?gWwIH zN8~YkwW(=?S7T!BMKy3T@IE%$F;#k#ifWi}9fN@_+JNfzw?J8YOl)P|69CRo45@~& z1|6`q^3cgw@FZ%8a$>dU2<_6h#P{D%JV!sVg)UK~S=Na%4x3$~3y{Vn>{VH5$pJNJ zqz8ZIS%YK8{9KnzM={T={0@Ul<9RKngz|Tv`oshzykY%c2E3AcfgzdU?0G&G+}vS! zc^_PJ>zI$XWIecT%DwXbR`J=jEca)JUP4hO52zZP#N7tR`O2&Tt;Ais3S3nlO-km+ z{Wbf}FS#G5xtUK|ESxe|)vOA3qC&&Jsj-T_%15kw871s>(uKF=dC4ueqcfe1?xMp- zKWXqWzUJiD{K+$k%8b5X%klE0oNRG(rGZSbMh@R0e}%l;ftFqW*7M*P$A*I3)kowF z9D93yT)#br9}Oyp3|VuNz+OIvypl7@N5;yv0%#3d5BbBfQ&g|E+1rCmzq9*(H<#$# zZk(Pt=$UzUhED9*)4Z0y*6%U#MYgA{4o`VNmEQFXF`hZ%8Lq){g4GqFSIH4ACXVwE zO>u${kb09XkH(3{A=sYtoPo&pMv%AyiAq->Lm6Fx`UFToqVnl7kfQLu(Mw*`NfG1Wc0|!D4LVeTzJ0=C(MI1OZ;= zGf1edhHWdC<2yPb1TmfXn>WLYT!rPA6YlDji?NHDW2qsnbG9)0^lxiWefm>LON)JW z(c%tF6g5=rU2#x|7XR7&x?joVJei0Cr_j|8h2PXEM1E@{YfK3$akr;s8AX`vZz=>M zYteJQz!1huEqYmkPK;R+KWU$*%5VPq%)9p)!h#9V#L*--S zitVi)w2XkKdP@@8ah)qZB8qZ+_UXBhd+>VuAVX$LxJu^i;}&V4Kd3j!25h&3J-S>n z{TeOY<4r5;0I7A^*16(m_FsqWRxjHXdie+~885Am5yWS*xA~GIunUyD%kHg1_n4Nq z8c1-Q1%Vn-Td=m1{3(VlUq?mG^dS*zBgq5hkW& z@@!vO^VuNuq473=KxS2bD9GIbCk)h|(B5Q)BwKR9(hQpg!Ie?OBBI;1cd+V=0pH=?sa~($Fyq~iZD+@( zqc}a_8sJ`DFkGc(A3@(A`VZq8kX%kMTul(?3R`%4?s|;iHcO2Rs7*+ zzxUWr^}GK1Q3WK~Z5y*$-k6CTB;p^#UJ?4GkIjKPOBx;FE}91)J%$H=HTC#7G8OVyDkJP4^s<5=1H$Y0pD+U}Z}W~;17|7GUtc|Vc?SE}RZ5iinw?aNz;;!B9gcisdSCW+R+uytJ#Izo zbA(&dhx{G#=fU}NiO26p1$amC@>|SyQ4S|AKt~YYu-Htd3VQ$&nUYB_BdA64vB9Hw zVTV3&qQhd+I-_{no_}DOeH%sELyQIjczUj)K5&WGGVjWUM%!Y2Gi@tiwKHslu0d)N7_~X5n>3!s$e*V zX(sdvDYjXL{n@;tcRHRJD2Ya0jayt+NIDlizbE*px+g1!T?^jS_B4J&`9O-)qq*x*-S>lZtr9txx^T`SR_Ct++g!rop2m}sk2n>@KX)MYJfmhrJp6JKL4`T3+Ki)7o zrI=oVUrzOFH<7E#P4;VhkjH<>4o8@Gg;I-P5`stjms$4BD@8EhRqi~&h%F8hTJes# zvtGI85a+Sv;j+rjO5-DOaEB#!bG5;BpJ&E+F}G05+HrB9iG6sq^)8LUB2n_mtf}Cq==i>E zZg5oV`cj6V4|%kl&6+PW8{KcU3Uq&YbrRNGX`8#otR&BzX||D$sr?Kq6Whzm^P;wD zVOrKSnTZTje;%Geem^`uReeg8u)%4wi^!4@UcPmI(6kQ|dCr@y@0}qWF$ANDBC`b0?2#G#>n7XKqPCWnExIQ_g&Nzk(LUQc+^dwKl8OXj3$o zVInL~!Na39kIP5E0RRr4rj;cjSYayc5MqE$!DCgPo&Ej@l2e)Z1SGj~L+p^mY=n87 zM(S5cJcFYxQZn_q?rj!BLit&X)^@oXp2xqx2bWLm3~8u3CMzBG^A85wtkxlPLJG*rRnD;XR-os|r>dQ@0EC4qV%+X^eMK$3TJxtSIXGLx?> zd<~=MMizOGg^K{?Q+Q`X9e~0C-@mGC==G@+ll0!sVdch-m&0F!ihhn6v2T(#nSMcD z3fI@O+=k24CDq4(Unxb9SLxzNZIbgV-}s(3G!Wzs{!G6{Gx^wb%rMwTp)hIsxv_9r zg0(_1mz~+*3iu+~yTZiG?tos!$+?U&n-4gPyaXH^TJsCM1QZ-}0`F|3frl_5iJ1uV z^SoZM4!{^>zCW^mf_ek+WZ0oSFhCq=+g@|L0ZwQ`u@dw7`sdMWxNMj8M3%#`47$~& zP3Q_f{kfPyr_%*U-<&0Awx?r%+LkTwzSK1wnO2@;lsEdiDlW72u?0EIF2e$nzq+9p<{hvo#%D>6q;$$@zgt>Sm4FZ?P)shs9)gK z_b9Z_2I_z`XVZTP1^+c7eMWeHKSS`QyXFGlh76kqI zJk^aQ;0$&BN6wG+d!FX?{cyHFTITguW%?WNV83V{HmA-z^^y{;3Ubp4i;CJY7Wa?V z3LWY2S_(93U-UF6urN^L&8tY}DtM`z5G|#WIK*axz*`h%&2Qk~JAA9r4>QGWr0OV{ zUNj#(vfuko>u=4L|H6Ol^2!tYoPVcv)OhUkC3}3f;U-7COtOv&?V;!w%st8Z-YaU! z=fOvpQT3WsZKWUVR?bK2?_JFjJzjjpqU?|lo^ha;37iY=^z)zEj{*5$bter7#4Lz9JBYUvsqQmhyHu(4x9e z`&so*7S}_pM`bi~2KaH*+r7H$H*Mzi!3|b4@6om2KoX3X?ATQloT%z?Qh1#r{B9dl zzO6z$g~-}1BxmI_;brx_GSEDSjKOk~a@tJ*jLIn{v@2p$5TO+B&`Nt4N4&M=%`5C4boSn72?Bc? z9px;)9d=YF6oTJexM=xmFvZE?#YJ4 zC#R%eFB${!>JG2B^J@?L2CL~m$ab4-bZJ#6_{PNzW<8t|J0=Go=!f5Tj*#wdh*R>9 zmGCzx(mg*<;G9byZC7y{E!Ac4CTC7vt6ybkFZq$WrZFZlPLULslq%Zn$Js?J)MDnd z1PqIllVo|qNh2R;%f>Pjt{^G=c>{BPto06y(if|{=Yb;)9z$0eVgxLBFW#4vud1B? z*q7b@3Mh8ncVSp3s^?OrQ=p3Syq_v~KGQUKuR}JQ#hF4*N>Cu_IWRweAc5*ct>G}S zyMlU=k>@fHKp$}6;RraW)#u^h2q>tj1rR~f9wF2aOl;6RESy&?Y-lAWcEu6Q6F?0> z$YF;t(%2N#$lLNT(w$Z3gpv~2jnqV9umcZHR< z22!hx_QuN(JR)ftqmV+mOs_7x>ZTnsj*~vC_jsQ}AJe_qMb||?31DojX&r?s=rTEy z&K1aD#d}pdlJ+#0pTT2gT{)7H?olEi1-6ggjZTPfE`<88wUn>HW9{I6hyCdlc&WAq zADKCfo?XRmEA>_E_jRBC%_!37gro$&?&JB^`TX_hk)yVH(coFMsx%?DIck_R_oxmr zNFmuAn;bbz8p;F;FRXR5K}B3Zi8TKRZh&mj?L_h6LHx~vE_@p1P8Gyx z7IYEyptVSF?tOznW)#tHDmB?Jl<=75NGtcGLL` z`f1j#1?w}^W|?$?t_5aOnhMN^4@gUd2b8x(LbB$ZvA; zUFv4nsF}-)RQ9HgTmcA<$KqxwlI;lrc-uA)1A5SF(`!`SYcw<80ik`i1S>W=Q!nZO z1T@5mI&*}uvkayLw16^;mIaSpRw@cUJqL0vl5RQp~=~hF=2n2?nfr@EH1`E|A zEZMD__^P_EQI)BM5H4qGSsk)V_|Y{;5t%U-)1t+uLP5?T8Or z=C+ncfzWE$riU=&B7h5Y-!tKDZs1{2i`MKJSq<95(5}EjtnpZta^@b_g_xL%D2%|u z2K2dUat%c$rKHt2tIN+Of1ps5pcZ+Nedotm7GKqC@lqZ>Nj_9dn>D3Z}u4e zO!^A~tW?bYC2SXrB>(5R)S(}NW-e5_8TjM z5}BHsaOM(@Xl4b265b;W4g2A7LRox6S6*Up|L>}$ig=&ykk{#PBNG}>4=JIE;hpRf z_Tkw?v~SwbIiT-83S8KyHYj)dCe*4{7Q;1jv)0!4g8n?b$UM6wqJ(|JAuj~~<@_x^ zC0Fl8i5Z3F$};Lb{JLRqR5Z+HlxWgL!6;HU6Z$Zy4^>2x zDVoGlyoUAQGJ|?Cxe*o>ZEhTc;;?SucI7u;e2cZI@(Au4xex3aEawF{9J2yKHR zeh>p~ob~%q#hflR@tlt=REbDwyPi%s81nQDDgPLe>2LHly5#}%lyaK5PB;mFOu;cT zcp^Q*kGD!og7m+Q0bp&s0KrI2PB(aaQSXEQ$Eu*FI4M0DDHbio;fMeqjmgq_rh;!=jN%Y=5d>V0UU3TOkqF71*}sk`r++|AW9E`4iN;S z(kd;*1dFU#La+Ds0APBhkPg;Ti!2j>kvhW;&51;Zp(;8^o!Xv%V3|G4MA`$&2Ep_~ zIQOy8Q>(4gV%1Oa7CJXCt5w5scI-oKZXvE#cYF?&sWCN=G&Dljtq>sAM+sh^tkTMF zuG8LA@K@H!?*>lzzP}e-`N%?a!lu*i(`)k9tx$VU_m@!a@e#h*j-GD$=2nk|=S1j2 zMjZwIq4J`O=fu)TV$Wk%qTBp|E1=JLWmcB-@_C(!(Yr`_#Ql}<- zcaNW$7rg%^k)P>6x17J5@D0DlP!B`mYo+`lLXCXm@0$7{}9q z2_arl&@%36wwO+%x1qhV`=6lz=7L0-r>W(Moa}!Y=a}Wz?+0{O7bd_`T1AG!wM%z? z#F4-mfMG|?9UlrXQC;}qwkNhpmu-cLQNOb2dW97~ zn?a;d$Yw|`ooYnhA8YbYo2cbVtoX%RLlSgHq+lOKRYuYy98RR5{tbQl>;XW>IKKNR zB84%%$g?jY1=lPjlu5UxF0hk%o`ocjNJ>#=u^VKg!jvx%GRTw4!;}+$TjcHpdnhKl zxUtcZ&YUEy*Z#Oe>R^Y{aR1luf!+TNX#}h9|MAb{!|q6c0Fian?-iKzu~ibi&RJ&#P}&-7%L(BAU|p%f2tNqbx~KrnluTJdJup4W0Z(N7RLO?!_Ejy4NBJX67L zCGFi5V&5bZ0gDH1m3-PJawkU%vKZbb(w!l{cT*bXVuI@x*UZqCiyAn?cg&L+>+mhUN_tkk|# z)f70eIsMWr52~#(v;QXH;5jPk{jv%Ob-KQ6WwrJi#M&`p&NQAs-ctpiVG!z^# zD{WD4Jv*f5LA|P5SN=K~Nmi2N;MK|PVtrVV=m;UY$pntkHMVJrsqkXcH_?%a$g{)? z)m+i6>B;NB-6IkwJ!>#s52frUpUpt~gwe<2+Ir55w!Dp*>Yp%X#0+f^!*Rc)&QZTC zJs|?$d5DWCtD`tK?(IOmWT)LqS6H+Za!hMyYDU%d=*RmV(#O!cPhyDMDtC?kqj1?* zc9LXh7M}|q0;(Y>q7z7PN+>d^K|G(zsDC98h&;Get4Ie4e22}h-LpWo8`xyGn=o;q zgU>>sWq!|&O~PV@TN#mq9DsX74^~!B<7T8$lPQf5+JbZ@62QI?@~X9y%ZxXtnn=Yt zMr@a4SI#L>e|F~RvP<>sJPGt%+&zHZ{>b(*#Y&x{=%s`9WSt@B$Auc&qFb)t4$2jj z3%IPmh~M&ssRuS|<+<<=SlvO)Al5gLiey_vyC2`G2i7b`WV!c6;q+pUU!bK3j&qGQ zs8-+xo&S`+8D42=+c-2db|NOwqc<{65i~GvU|)omwr!9%wIy~1Ryn%XTWA}nIJwGw zwsswFK=SQ$p4%3=xH@?d^EDzwHCd5+@c~Ec83Bi<*1YC30t!zcTJ%cV;{yjIu>)aV z> zW=Xva`Sh1n#9mGys*pS6f5}Dk%{k}`C=Q@dME$abP)<@Mk$= zvvgx|cV9Mv^+Hh6iB)oUnpJYmAxN?AizRTN$fm-DUqhF4!iNG2J4{dhn`_n|#ej7z*(b4TbcQtq$Ad(`*w&(QsyLB>nW`p=Pp(@e#F%Ny@dZ zCE{at9U`ydQVX~TyV3^eZGqQ<7>8qCWdA?Jy?0PkU)wHB2_>OJLJ^P-p$I{wO9v?i z2u%U$NU|~r-*Isv7>%Q)_yA+r{apPEa+>G;)#=&p3Z%t>2yk;pnZBiH)B64jE6VM6QwNaYF z85bZg27lCUoE{N*jgZRcdW~>#w|W{E;qQ=ukE+udy_ogrR$ZJZM;&rgfmYIh6oQ(r z*a~N&%A!hqf1ix~p)&+k^7I?|OSUAIwr9c5y)@Z(+@wz4By|dY+lpqIX*}h0RzZu= z+2}{d!i)OW!*jmKId>;G?<7+#{i3sbu7;fp{X6aJE6;Uv+3i{?P4&lWzEIcr}@CHYbq`aTImiT8Jc!NhQO;N4A<_7!Y<+&mAlb; z7Clkset+P-gp;O*FB%z_45jcAKqJ9!fz#Y9cMKO5!aFLUB6a2&FO}@sS@)-m-e>ld zN6lY797&A?fnBlUNjLaMtOotw1^%y+vw5+>4^mf|Ho7%lh`zcQx#kisaCdhfe7s5uXdllHYZVBoED}=RM-hX6Xm^cX~h2+649-;It6i*Hr_6i3D3{XxY1qBQeP`N0snju0PC}2>R%#eTr25;OQa@qmXm)mx-Q`|<> zR0==O-@U#()V*i3vU*}&2F_=+c{ezBC?fo>;NcI&!@#!z1D{1yebmP7k_DHZ2iBh0 zQ|A7S+C=a?$|Wg(B@{YSCD{Arn|+k8%|#x*Tk;{MJEw0MCQqdcSaF5Zc`VFtehmM0 zDCf{xO!r>uI=TP4`G|KtTXc8}I+P{pOZH>vflvXnT#NwpQi<%jCt}vl72df#LRY2u zmIFs}UAO73c~;}U#aysMMVSf__T%<$Obo{F`b*PF@d7Zr+Jp ztNY-FtH+IN?pbO-Hx_Jv7xxu;?&X~dEA6y*vFpeeb_I);+UA?nU5SXHD^4$`4-Tyl zgpK+wc?-Z-4f64~x|nK>uZCX9%YA2Wbu(-9>)=4P;ThT1;F8Kc1dHK7ZN9I;Ky9x3 z)fc|t&ZGFU`92X_Q<<0U$K$vGRrLsu4{)*i)#5_zB5x}FV>3{2ya-s=8Jb#pPy}ZI~uhr5Ga2y z>C9utnqJ<8PD9=@=ohTK-QOh$lg^mWPRJQ$>OmuJ^qUXAL?dkbX~%q}N3t!Q%D(n8 z%S0|Z2`hI?n^~~QSor;c4-&W>4PR-QI7wH^hcEjH&hvIjIaAzM`#<;MKaApgADoc6 z*8lh$LATl6f?pUr{xN|dYW=nq*ZauoA+K+LP(D>yk#yAS7H-Tz2^ z%ddet-awE6+E`|oieI0CGT&W?GDlk9;gvQOd^L|B5lALCNZG1=ORia}s?5s$HN-ZE zZtK=}**8C~U*i*CWuCjB(<4{*nX~BLCBLMV)6X3a79|Sweu58Y;{g9HKeeQT`a+hM zKZm1q98UO&&5l6~n9anNd5I^S$Uxx+I{RT(eNGp>f8FeNCvTFGNEOmDMW;) zc=OjQ8oUDz)Lr(>$w$ieh!>wXEDj7bYYLFi_6&(%V^i;IsI{|x6fX%coH}gduL_H1Hbqo;2Hc1_;w>iXu*Z>DHw)Q*&H(j`&Kn zonY>ti>UBdS~bT<5?VR4989e@Rt<`3l-6gypE2cthm6FLyjlIZN#bsW^mTcci_xVG zGk?6Mt3wlJ?zl%9xVS(LZZ+yo>>CgO{<)t)y?}2b{^35kVR8w(y^Z0sbfYb|FzBa1xk&2#9_=JMV zBBaOS&C(+;dZjO3j9Mbs1CYKSi(0Ofj4lnZ+%vkFgY*mf7A4RgxAR_XW9w;rdU=C! z=GhkKlG&w#46WV_TG8`GD0Qu(b2cH26=}C|Wap&duxP_oJEV^lo7U9iCOa%Ptq1cy z2}=Mwuv!HCH#LQQ3;*;MzlCf5BY!-|K0ScZ3fcp7JHSsNGlAtpgD%O%lIkEtlI zU&r^=iayow82Uc$S@p@MDeMHj*VMmAs6>%g{@s_899p|qdT$6(bzU}BL^_@Jb&=)( zr#7N>5_-)a1BmU_OOgilN@1Fk&dVCU_{0JybXisB_*yrP!oHeu*E_|uTPhkW|Leut#G!asP@cWmpG-8m zndfrLy0lo}h)DnK&Dg=9ycEJ8iN*)N$%{Pqs$L>?3s95q%qOY7(~^g7eLO`8E*YeU zC!#~Xa*D6qekW*qAuA%}{dL63?VZx-keQ8ov*8BZc8gm{^=eDTZcgR-^^gqq?Fh+j zt&maXw^SMYOV>Vk2lhRv<*3~`lfGjNUkh<4315w5p$O*5MNW3sXryy^8Pd&WY)~&A ztZ8d})fI;At16ki*?FkX_9m9#qQOJCtif}&2&>vpR$MEpuG-(DCWgpRpxx?Sq4)`& zaUDZXT5QPsjNT`q72o!&85({Y7G~l;?FkzjbgOt9D}O(5`-*e_y7$art(fWK0&6Lv z&W@DKL-hOY<@QRuosF)aOgP3~$&1Xr6MY$_Kk9y5QR#{PewUc$2&Hqg>AQ@NYaf1F zI(9R7Oj~cM!)V6Z+OZSG%W+rFACX1;BqjvChPyLMWd)tfQ#L#Oe%5PHhG9dY+%ZaK z-}xhd%SzHH`%;B_S~8AxsbVn|lt$k|OSF_UeDy@)=$F9q*w@@oPuC)r2%t1t%Ay_X zi(O1LVwA`FdV6QzF;*ys@kS-fGHC9u)$?|7rIKk{KIDzMV@t*N{dIYecu@waX7Vos zr34l4vjROTO{bG-&&7LDY9zwlX7yf~FzN;{dY#>M6e%VLJ6KAXYi@*2=kFBPPlYm0 z^6s`vo!Q^j{y;9tTOvgkN*I3sh$@2qoBeBliFb9U^1iBhvehLiX~oRNiS&3f!>@UD>v zY_5?+qo+t?PTh~f)cmx5lDq>+SFCB0Voc`qA$)u-K8k7LlGJ-e;y)l=O?G1Usm5xf zG6mT}gL>PkBSnGmwt6Ls-gBJ-PgBTh^yDUSm&qmdzeKdvD<@sM5>0nETs)ob8NUme zKKq@1kIGHd^FPh+BCMt2f=fluD}E84dDH3h+=!C%Tn1CG z(Yez8%N6BRL4kG+y$V}vhmmK4Ea_=&Kim-=Zm9P zh73DW`Qsi&R?#b0EY}gC&MJx1!quSc`uGDY(2NH5j>57`#*b&c%A~TYc8+26}vP;_g)r0y2A@moCs|fo?yDa$D!RJnj)3T9P2g`14>RQwp6twJ+i0IR5?f;k5Rx*V)3a z&0d@}DcradzX4yNvWkyjes!A8e9Y%gtx@Lv;2R}2bKh9z#DKhgN{l^sL5!WSAcnm9 z+5#0kC5HUuC~uv$_o{=YUw0BF+zhLkWR%Iaf6)8`=C=a5Sl5^C!uB;^(ATBNgFJM9 z?e+5=VLG6lnYq;rtTaNb4`DBEyqifrJm~Y#1pH7 zTk0>PI|=c7hHRLruYP-DhtW3#tw_b};P(FYSF8KZM12={ER^1|a&8i`?HkSF^d$I0 z!lyJIdJ)VMvixG~{3OJ$%TrT_M#TIv04kA=A3mNPt7Z`_zde~1rWo8KSXSVjPz&yS z%vd`S9O|i!K){XXRZ?K;N@|PGUwKAKzoqG4J`_Imht85XC%|T?<*8M zmfXf)&v41LHSI$kUbAPA)&beSk-P_zf-#0em zH^M&&zb1d7Vsdh=Abx`+aiY35bvlqW`~;LHyr?dG)hLr<(vaSblsyZ43S3AZGnm4| z)5s~##5#wcV;8C!l=0TG&dnCmzxL0IdF7^B9*O4IZDCOCt zO?{J-`OMMj2%G7*Z`;<@@O11)W->;O;?rST`l@Nlcn&uD@Iu+yk|36Q9?h!RO`I(! zBDm7;GwCH|G~ncJ-FF8ddF!B8Z{w$5=Ir;KQo);H68alp*Z0=_<@AOsNg~MS>GUec zN#3Fw7Fy*k9Gor4;K|GmP^eA5YDc$<*{qr$Gbw5|NOIh)DqQe*+iV~z8~rwf-#@tJc|9D%UGGx@vqua8x*ScJ+J4 zcF7Whuw<^>ual0OoxMRhTe=x&;a}_8GE2t7x%y#B!|0$M?bD(+J6G|x8!1=yxT5cL znPgf`Bg;Ypxw_+mxw_lXTsNkB-a0(?HaPKwaPN1sI78oE!`OwRw|Raz0@7jwo-3g0 z={i}ux20y7QKmR-lOg=VtF`N1WJHE5>XY7ET32%6fzd=C-aAe^To3KlUgl*9M> zdB-!Y%v{~aGkXUNOZ)BIbcWt%DptAaG+LO=OP2Mo#RhPs32!W9{T@?soRGDWa-2|! zHxA|J*w)Y4L64u$*)cjTy6MJZn4?zY{`#<6+iX50qw{9tskziRC{H{dS)+~aKUj;4 zpW2O!H+g`=vsY=O;bk*RgY%X%LRTLMYrb`6Rc0v@oA_+}&eS?{ z=lVMHU2BAtw0V3svj0kacExaVcVF1y*Ru7EsXwDR{eN*ZMJQV-J5{eHyXN4xXZ|&s zjB+rVa_ug1o2C;b~eRN534iRHW5vU_2Y^v`~wiq@AfQ zmz2fhbyFQqDGT5Aw?pdF_i71U=Udr??Dl8$riGNd*G(%^Q9%K>l+_Nwx{QGM$pa8fmQ1p zieNlL1zGgERRwm$Q?Ava3E10=ww|D9|3jm?J;bN|SNe(1#@e1?m-Rk{ah$L%Is6##X+V3`CVfcgcg@o8 zf0t+GekyFFY^1fiHJ7tq>6_3kYC8WITrOSO(fcM{{$p%>=rQ8y!F@BecjHoz{fZtp zMMf$=vCyV&F_MnmxsM`rM1A;*)L|^+g=^qD98r!rQ2WzQb`DxHS-3+uEMuNs;i*}B zG&i2T{_R~mjnvKcj=4k0Vp>CnpFW;4V6B0vn43bmqf(lGU1brTBRV)fV=>O?)yt|w>B z3C!$Y#l#{s`j)PmGhKG-iVa_*=3^uijWVKcWR&1LtGO7Jnvi8=)1yfvTH{9O5-Sab z);@~}`}|7HW12@did}v)h3kR4)w#Y?)^CTI6SE@WRxi~KcQ~@VJX|+$Cfz zU?c?kof@pp;kzXtB?{+IP)!othNE2^v=y_Yl@h3)XIq7#{r((`?Hyis$CoKf_ipDxM29=|Y$>Nb9W(qH6L_#yi@`4oK7LkA^6`92?nIcqvP~ zJTaSNe`k2d*+$JG*{jpMm82HSjtmR@`NN!#vPz7R9HGSJ`7t#Iz%g+8#oi-Db zbur&4JffpOgT(nTk+w~tmW-B1UdoT)zW7WL-bP*j{zf>H>%&hZVDPs|D9@Rbu%CI) z)mEI$?oi=Q8iQkXs8}S4kxC+=ZGA`KuuE=D5_41yYjY?E-!GHIRM8WGGEav@AdcPv z2-FgJFNB+ro^!tt-aY_BY2GJGgrUURipPJDCUTbRlCjGhra(|0g{&l$Z+&^f*O!-q zNM^v$NM2qmhoS7`EyCCLE6Eb&(aNN>nf4@l9zd9U~D)4Ygk#tVLc!Y>r)vFHK7WIl?;Xz zVD!H}EVG-hND@JBDj3$>{~yDuAr9*~@sX|O{QGH55Qh~)9M%DNTB;~8tadOg2E+gL zVI6HH)3ya#Qn0If*iC5;zwz=x{@46#AO*(vlY|z$#UABerx>omE&b1OgdCU~$z3vFZX}VQIVI`>1v|pQJY3_!7jkx< z!jn*k;LAaR;p@X!NF8_$cSzZJ@@lA1s;agO4#7@UBr_Ri6zoO0Vr|b{K0+Oe56Ms{ zI4OHY6$!2RqGx!-)MZji$_AK&Ll+qe({YM~9r>99g8KcVM}}l(N`%DWOpCh4h=llM#LUWheKemgJFE*~ekOF2yOmA7fK&&X@d zVvNGx!uAgUw||q-c8#ut<^^#34U}KsRJUj6$?nFCn?&C9af-ZIKiA&yVPo>s=;ZCu z$%Cb({q_bcwJo{mwuG%Ql{M4*0TVaI`7R_Knrw)%1@0G}weL%GMj!cn21~_Mr7d&cJeOKk%TTI%tEaKwt+tlcJ{%-a zQH{7b@Lt){D}5|!b%K1zW$7FbOyBJMNtpiC{Bn!Ox2$#Y$}N0vDVYz?U9q?B9SL19 zDEt|lJ$uC;xB0kA()j-J1`+D5GRq?uH+&gw3+boV=a>9j?R-41PW}9bKRO}FaKiUj z??lm&Kq6%rM>Z9m{#~_LtXg{2p%!xYb|u(#WfY@-eHRs>RzLABt7RB*D`U2qs7V7& zAg>O1ELXq1o>;^+>wEvX13GtRfAM|`3HiWjn&8wb?Aga z!k?d;HW5j$3m#fJfplz~V=K=R_&lig0&dyvtzf1i_4`g`A=}aN*4n1CDYK#!Jx>I+ zectjdul`?*PH&K>^rRy)X)DyPx_CywDPDyi30+qABxT3;LuS?IsWf@(DQ#1&snLu$ zUbF_Xqp+K*pt0TbXg^b$G=Y~wKy_E0#u9>J_u?R>vxLZ}zK!6nqYg$*i;?ra`qV&M zECe~F_G&mfOZ2fI;T1#S;Y<`y{?TL>PolV*WlR~TO&cek2U4H37U?ja#v=$yOI)_M z^8JeWP$Y6(Fg2mwlPMG2< za*8UYKX9Ej0?Vs}VbrFAVHM!WXAilohY`^i(rw&bx??U}xbE&EL_r~c=kI51it+UM z`(c6~)k^-YPh3$#vR}k7PE2V>V9T&%<6_8);_yqKV6a>PGwe&L4^X|Y|nqRCW)x63RTp(Hj5N@VBVdXRbCRhx-o2VP|xf);WgvCL{ zgx^b|Jr8_U&})%PLHNno5iVi%rtb;R&@;9SEQf))?GUvbG2z`MbLn<&vu+3oiH>BM zIw;a$F#K0oDC&q*niTg4#^P`S{Gr$m!9Q{!gFE@)Nuu>pq*w6{WTU6rA;|T4R6E#a z`#7nV06qEE3HwV1!E&a>J5**>bz-T=Na@Vb=I+`^Wb#tX}?f+4Q@Lnc6|1<1}qpWhS= z_b-kfEbg#o2UDt!areu4S1IHD&~I*32YySdspz?XSA1E2IaEi5vXEvh$w5Ke%hGY3bmX#hsS1d4wru@vI~%rk&93>B|hb1N)+Rt9QWcQJ1n{j5olU# zR7UQ8@NG$04pX%yhf6dZcAKxhp~AdD3I>7`7z8bamzPdtIyBBnm2;S`-LFi?+8b{l z?%XU3`hCzaVpL5sm%90NjmdKDd1c|z%jOhK8uuM)3H8durJJL(so$2QnH0&Bm!QgR zrP18Q@cZxEKfW6|3e9z-Qux8_A1)^B)@IT;ORIpB*dn>9hEmyGDY~~cIps%a9(up( zz3$-<#Mm#V$$+)e4N3)@soSbY$!znO_R|w@ZvSu@Y&FwOgo09(x=sSr+!x1-WdjC0qlY3@?#8QI#6r#e{=j>Qj(-f7o(1BCM6dnuzN~W*Zl&*?b_c;}W%V%a z4$)G}|HD#uj)gl@^xBKRpTX-IXKWMZ_hlz+nG^7O!WDSn^>EPK83#y8-h&4G-9~(A?_+PDQDd0k^^1U?IqOPvG-J)FYx<9Da0q zk&Tu1lKf0Z3&nmo$E$(*L@h||+QLU_XBiIJDpb@1>n}mfuqNn^0iZ#qMjioq5km$& zw1ewSrU*npR^VD>7TkiEF%2Ss^qmYXZ1oL%n!NC3NDtew%;Ifuz zMGIy0IMBqp4UR`bhH_na+w0w6P{~|T!DoSGsgsKJMyVu1OnM|dfQUMEvi=YSz3|*> zECe47{xIYvb(KgZ@q5oDsBX)w7tSo4O5$>!msG5oRF8M){?X8Hw+P67^ZurkLit3l z8m@uIYstgGfH>cXv>`Q6-wdH^9b`hqJN+hP%W>I!uLD)JHmY94F^lUK7$?=XATn_`p9uw|xX9u@`|QPR-KK2sJm?84yqUC2=0 z16^pLT7oF)+Z$>I>JR*Q+i6@m^wv6ZG_h<0aOP$rb?H_jdf_-~!SEDyveApYq-Cm% zg6iq>Z23Mv$a|7V z$O6i-WKg7Mo|^>Smip6r1MP19&%W4 z{{gv#_h?4&yAo#^4KCckXVZDeB2Ix+KbfV@Ns&}Mi={68QI7Gk*kdZUnRDzpymnQ+ z^!Ic4;3qdSK{uUl;v#cnv)@G~g;sq|O3V4pf!5_k1N!mVVxx=Un-yjaSH96-nR|mq z`^C+bnlB(B3&Z6<-j09y*raQnqUcxqG_>qN-52|U{l=%Ega-$uV+C|yDj&=cw5NWF zNmVtw|CEQ}K5|+-uGX703AntK?(+MEZ%U@0hxz*3xjw0x>{{|vJ2|s(U&U4$1=Epi zKG4ywdWnI*#c8ie{C%T~{>4Y0h@)q@VK$v=spzb{X7956B@3B3boOATjIG>A>9hcD zmX*&Sdni-J5vf^(v6wd3_8w9=1jQzP3FTaK8E5?|LTe>_hM``o3*jEWXeCN-W0e=lN|IV_fiVL$o1$u4|+6lI=6@O#U;S>y@a4FY{)O ztmS#eLL1ig4p`|eiKaGWB9iKE7HKXe*h3Q3=9Z2#9mXONrvOAC!J^g#ENbI6s2+zS z!J?M2+X#F=7J;gJ5xaj+6{6Adnyc1R7a&KraVQ#u#iv0Ibrf&|=Ah{B{u z>hE;6ly{ltcq*qI^6>8f@#q_Gm93z;#%M34i6MnavlWF2Lfh*;wn9tY+(!`RHzxra zc2(iaRUgujqhs}4(I=$Ymd=;dnbgS84cit^+btwjG1?1djfMu^4xSg zFtvpU6uel^o_0I;LlFD&llpDc=r>m!!A{MLb~`4=sX~5G$q~6i){bGvIUvn1px{+! z6k;^D&&G7DQD`|DiV$U86^zU*Rg^jZ{NNZReB)65zdqqOzB^`a07+LjOXqVB-8LhApfB;Bdv=J5bQ z>v!qzbnu=N^6CZJ?g1RZpIS>6q2+bosRBnZB993gSTc(3n~XB~0F#b29ri{dxMMf&G11TMG;kLV&Oj5740A+}5`e7(}Zq*)xhPcvOGrQg1L zJR%Z8ZT^mk`ii5*$F_i;4-%I5k+P~&Tve4^IQjcrRo+Ms3wl55*sIA9f~ryi-)IFx z)c*SwJ;vpkdakGw(yU7~C92Yw7_>vYpPr1{A4O}7o{|BMxllB2zrUI#u0xWMF`7Uf zr|CkWO)!XzM4AstrFX1FYdTgHY69y<0_$#0C~Y!Bj>-}+$ZMJe%w&|Nqy6=K%`XS> znVMtwxA9PH5KX%bnD%o+LWjiDSWR&3BTOf9zyt}hy-Zw|W~DoTWX-*| z)X^KaZIPL3#nGC3Lsb~0KTb5WDp|8~8A$}ti$TCHB7tv=hD~GO%gLviG%jib`__&^ zoCo%;9d##D^UJX{n!f0$?eSTv`Nj5cPAlQK+&h|H^`;dD1kL-zt620j&o0@!F8FeN zy?{x@B{$s?Oi>;J3CHW%!?yQ61i_bSh$hbFW}p7!X1){7nhqZRnWcibn729P^8&z%RnO2Mh@QahkG# z!9Uy?kw@<@ujs*-uMw%M9fHAO=u)0&;1?N}IqSE1N9EmMgcq`A)PA4Pe4`C+1-$T| z;p!j~^TJ``j4+)OteUdY;T>-%)E#qAsypJiN#Ho|CKCA7ToPpF8Bz?=gRHoYM~GwCy4oEU$D29mjPy4b|$oc%<(34N-xG(QlkK9-e ztw&}mQQbSyVg>)6IO}Rs`qIHbho;Q@F#xKvj?e&y*F3ajWrtpp4zI(aD9{hhXv@s~ z91JX|h=3d5M}gkZGVEfTIb$pt*Z|RD_SH#^5~R|%e3%-ApwYL~Zy&8a&K!Q<@NedX zD9l<<{T;zql<-yZ38phF^kwHn=+R8cRSs3yN?6&mF;4Ws)qW?rv2U&>UkkY7 z4MT2bIhz^UOFrqRtKV)L{Z70vxWdXp70giEcXP0nJVQzml|}tiEH^N-;FBR+*jT zM)%t%xXP}aO*-vsqo*=b#~rBmfiVx1cSsn?7a7bxaeb&FUWzK=O0=eQKe1xx8Vx2W zFCewV{4oHk5)inx!35<66O^n3Oi*<&L3sh8CFXvA;5}%e)bQm6fm<6)P{!?p({PQ8 z5FI^l3?Nj;@*xHUZfzA0o2|b(vh_7aXO8n67o_<&&o1vY%x(GZy%gcnmPKfl0fWCpG=UehI-=o&MKt})|S;?vw$+T;veV>@t-Sl}8VTEI1OY5i(BIul7) zjt*iv6FB|;+$RD7q)`9P7yo2H-@y$NQmtVJA9kFQ6Yaf{Zj6{UfKJfs4RRhkHrhD` z_jb(hdNFof0rN&lYXTz|?7-;&BNyy2z!3_M z#}esLvtzMDG$!ck-xU78$&XkJ>;aA-7E{HJ3!9{|VR5cFa0U5dYd$wr>(|u_ZJ2QW z5b4ocw{MOWU;D>9F|7rnG5cT8(xaaGQUXlDrPe&KiL5wF! zAfEVhYDI&hX$&|um>ox%*NFj=%zrX%kZ^OyfUryp!m@T}BF7egmAJbJ?j-QbQkscbqwbYZn29N&5I>)joBeiRM9ro6kedA#kZ=G~ z5OuGL+^kCJ4}f|{B`^3L(LE}_@l6%9`e5zHoX#Z()_%+vBm}|Q&y4N(E&ysf|5(lg zD=YkuT>zBDDjU&?(Md*Jcz!O6c5@0d1lsGqJig;d*U8MdsONgsyI&=e`8@H4D$a%f zxW><(FHYiv#)DN*+bfOO%7l4XnPj0jK4`F+1t0XorX3!`?*OwvprT=PG-ahhy}wFO zzc)7Vr z^R-Oc!v7t|c=$g##+Kq^DL1x)?f6bA<95m4B)_hStZ33SNHSK4yDAnpJs<{NAB($$ z(B>v9$pt}sVaORKyR1d9L#Z)G2Th&TC4>vi|I!~#nE#em!8Y_xz$Cl>j&{rC8o}jz zK0+o^c>(+;?O#KqC`VlFY7E=`Nj#W7Vo$L}f}PIUs>XMr)7U8kGq&GBHl&;QM7%W)(dV z;CVX#tfYy)kv^JWXL62z5tmA>PyY{RfI6^rzIc41(Qa4$J<&kakvztvIjs&@Nk6la z9;J7zD02c!6an`!gQy$z-z>kvW9^yK_xP9eFla6`KN!h>(yt)S z1GD{z_q!FGPAN^X$Y^t1z0S&Pn(SK5y7#ZItMb$m%*!anYoLQ+KZUmO|C({ z$e5j07MFWb?=%AhpxKf3G(+I>o#jLdY~b*5Id-HamyH4HO|V zZMu`4dGk09)aoFfz+<19`?%p3Q!uZMMlrcCe;nxuOJcY_4NhG&N`d++;~IGXYxx{a zNLxqV0!K{vjHDxVg;NJ3rZI}I_*Dnv+RsxY?(6C_4BqYp3fQT0dQdW6IbUCV-|E^(yQdal?KN@s9La~b|4aV3G$p2h} zZaO~Qp*6>MH}R7(fB%SB#`1U3>4u0vS>MlrYfQt0T3+OjoR04s0!7Xz%Hw$We zs3+tC5*@nso=S-X9t5ixttH=zVQKcM$)B|KnS-nrii-peHM5AQ>qoJ)=_-)IB=Sjo zc#>I)g&(o<(Lhl&5fFSHD9~8)k}lW5m=lu`%*B#WL3JpoZ#@!9B|%JZ3GS9(1XYTB z7&N%4WjOkI1mC}kSey}%_G)>-HX54L@Cg|zXa#tsvzWDBaY-~pOi2$2A5wwvftM(J zfCAw|DiA*C6<0-q%Kaa>&iYRkOPdM`5&^+ym0Xs?2nry4_@{~midjO@kmIFjP}^Gm znhO)X{r18)4eZXqyGY1tQBNw#)Ke+J-L_y* z*J{5-$sFunlRDYy6Aj_X5f|KDY-SNu*M~5->GA@)K&g+1p1D}~KB!>*_qv}P6%>;V zYJE|FtPh}~1-nVleE8*EdFmwJDZ%$@W_&;}H7DQMz4fjsPSF$1;M(G>WM>(-v{l%M zH{$j}UmSkvi?{)x+gFV|e(6OF^9X1#1_gSwTe(8n{beUmwkLv8o{luA>6d|HY_@n$ z-b4@ehGk{jJ`1r`bSM#r;RPGRdvSF5>i6Nj1}8l1?f+)t!?x#h`_3DIu_rtNPJfmY zk@zfk>7+;A36I7n7E?c+H@7>!_bgnC`Xo&Ml=|{7?5QU~3m=6uuBb`BLm%`uUbYSj zz&##aPIWh-GI+ct1$~SZqp;q8r+(|HRhkRu;KL+W%YMvhiTRdB+5}TU4pyCn(-Kcg zsLwy36SQnh{T&t-=F9g>Kr%3}C3Rxqh5WPkGHX^pfM&e@b9=ROu!l@HXNoVMiXFGD zp0Vi;&64z*O^L^rW>BuRH=jzSSJj2#va5(20PZaV?R8bi6(Ng*0`ba^Ja*|-A4HAD zs+!CnRGlZnfU8LreaZPT4c|(oxSqVKPrvrdyf5fn%(T$=wz`AJv#@$-b?463>`vaX zwct|kYQr(+U_nOc(fdeE~Fg?W)Rl6uy_ zaO-L;)iryAqq$p@CnqP*Pm;dI=ac9zrL#;{JenkL{?)cS8Q&nh+x9uORx(}JH7Kty zfP9AN@q&TJnYWb(&8Qw&)?UnnkCe~P0wpJ-;*vRFxd3K>=B5K^ZlZ~rn|z?T z=>VFWd0?_e;QR$50L_gE(EftbeLm3Kcwrl|Wq{_U-yppYXm0vn;|74{CV!IqSPqoQ z(tLbJ-5VQlY)9R{fMrx?LnMRc%pKtE6gB3E-p=R^7YB2&%ZmwqZ0#VhUi&p|;Fu=3>VC$o54`QBqh2hHMl?fK*)4gkq-YFl1K3VP67DTsBfF{cyv51N&if|ya*J_7^N`Yw6 zljZ=9wUh?uS+Z0tqlf@H`~Ha%+``n;82qHl+$&rS@=^LMKd3=J0`ePiaZ%yGo5xd3 z$g23?J3v6v^y?VCI4D>{lFEw%sly+=(o-6iV7C`1g?E1h1Ibd$EqWH-FF(5>UyEvE zp&mtUsCI^V95htnB6{s^qZ5{A=zm+SRK98`tZ>`O;P6jpAa4)`{g2@APvJEgM)c%0py*oFX@~q)U`DqYNeg4f9D%&y4}i)RIQ#P- zWfvEWCAf~uY8asd0>fpO?sGupaM?wu8^R;@AFOm9V+GC5v$V=7H5D=s_v%qE-Ny`GH?Rfik^4+H(QW=K1byB=kq2xbQQ#8vNu0;HhZff19Te zs!ed#INHIZ>7q0#|A?;b|0xMv%ANm70yp!Y%#{4i43NMXAIsAJ2MOHT<{nVLv2AXn z+Cw(~ErC|P0G^@T2fmxMusX7*Vvh|fCS?Q-2_(^C2;Ejci1HD!n?SvJPf&;A) z0Y6!pV1y@eVM3Ge00|(_1@?!jqr*$8J0SQN2Qst~inL4u=mg&bx*eI(;U|RgB!1^n zqz283=ruJ}6i`)K5LJ~ABq!(+iBcKVzu0P#1`4A#qQVFb6h{Bp9?=9Hfx@UAD2xC} zc5pOM7_|e1k@LT8511fO7_or9Qeejc~7VhCE4_R5b@+ul7J98?jn}2tcwUIh`_PrF=?0x{*&C^QeAE2mBY9z<`trCi`{SI9e3@VlC(E7wq@|mZK6iQb=g%#r z_a_DE{ARy?i)S~xh%$!O(+G z@=gs}WpUpHLHqz9O=jwR4jc*v&WC0PF+u<+y_hPN*@4oF2tetT2$Wt- zkYQ;ouSXQq>}*(S_Mi6Ot?|u45t*%IO6rz@H9~_GBB=6->*77uD{w!pxU@IQRfOzI z+?qE>q_<2JROtwy3E|DBE&|)p&OnQvG}XqtKn`d6*_$X8W$v)RIpZ4{eJ}dh4ugs| zS0C-=h4i*hRBJK*7U$q|I=3&b7K3viOyA61@i%Is zEB_oL;L*AMbn5yi&zc(wqjFsCoJRF@x*uHE*bhGRXiNX(W6R%pLzT=K|L{k@7hf&w z+waiChYj6c-$KQwFWhCKk)o+g7#yzBu1p9G@e~c5Kdij5EAVM;;mH)kwa%i^c~9h+ zd!V4yKu@E76Ys%y)eIXWich*Zrh=T8V+#eXT$wilrav4GFMn`2aF^rnKByXjT&`xW zUMe`EbB%N{qr2t4S?Z@PF%ZREoHAGJomY%aCF84r28d~#)V zbbMX=a4l4J8<>-V#qzj~_gQb8G!| zyO*`)W?!qf27hO7UgE-%*!d??Ps04K>$lyJu<2H-ndf=8#t@w2a^EO(axP)_T4V4< zHug1`E;WR+*;_@^^Hd5(om6a;22Oo~k)5|$T|Gh_!Uw@pLg3WejF@n!eGhJpjEmx3 z{aY%|&uwZRf6hY`codblr%Qk0u^yqD>XfIuhGv2QPH$?tN7(J1;uxREAe6bJvx#R%_*1n1Jj^VB<=(b;2&^zKZ>4z%>=up}F9VTnnUQF5Tn}39`ZM8b~n6aLkPx?Mp#K|}w zi!8{nuFT8)^yzpKx}D^35>2d+-DI#f>eZd^3u~*5#A>d6QxG$CAN=2QHWq|mnEQUh z?KZg05=j%4WFffpL+Gd6;G|Cgj6h6DGBRg*3xQ*{@;odK6g3vH*h5^=N#^>E?DS!a zy^J21Q|ZvelTi8}o`cZP(72E7 zCI2l_2ru)b7VfYs2D=u9HcMyg+D|&=h9+w%W)l;AP>kj#-&d5KTAT-dlBFbXAXAKH z3H7KI4fU8}RgB&}%t4&a*-{UO(S+1S$RT*_L-vlix1x!v<=mUVDQF)}hCBy6Oj?6e zJ05O?GA;+9jLbz3aLvMUeBj)o5uXxtY|`JGbA+@!wAN~Y&Zyn)^t+IeljiWqhCuA( z9DH4Rvs1eWP*;bZpWF1BS~>4sfnB$6-u@6*aoV&in$ptL4x+_5G)$$48}f=BGRbbM zX+qIpn0dT*s5)LgT-mQ{ewHhrUP^fs0OvJNY1!v7EPJdTKDnkRnO+Ci%{@-R*LdEi zT^&PQwVXs(%q1OG%r&e2g;^)H8h1s@*MI5k0}F~Fo_`4&)PXmee<@Q6Av5rUE^erg34AB_a^B0n8PJgT8ZL8W)aKY`i2>?#G^(`g7CYB%+YA z(leS@WYj$%OUC2;n?ll2>Ta!h{fzg20g{`4N4Ng{7=kR0qf#IBC!(P8T~}PmV^>_- ztAF7I&+roF@%7&{?((o_AD8{#Un2}=5dUova(;3uh7_El#tW3K%8$H%FbA#4=MZhn z=XBltr0UKP``nWu7B66bzy>L3AnMEDclhY*abWPUmlJ$iuthOT$n+I>{T4M4iq4n* z<&VDzU`6Qlyq5eQymy4gNnD6wA4OL(bUfet4w}C`h%KTGl%Y>irw7UD&^saJX;5V~ ztG&kRt5=Y6_LeTP+5J@XWF%Ac^tGcxJ4%86`5A{xg1r06)wzFl6V(wn_62Q(t{+wq ziazY~uWNripnX*v5_%|^UfdU;X}FsmEPMLuQ1UF@G~JK#fmj zRM?7^(uU}D+0Zt9sOZ!_dU&!N^3wB+2lCQML!a<9MZ4Cop44qB^a8Fc)}xVq^5dOe z=|z$s;Jme|$)4E2hSTtcv7sfTmLl4PmOKzYG@wdFq z0DgJk#(Aj*|5(`8d1>wrDC2Ku{TQ`?N!xq>L{TAOG6FJ*$@76GZ#!R}gaSD^S@j5$ zJ%9RuvQ+DLp;lW~DH2X{a(%RuO$Mz;xJ8tXKd#N8q0p6m|HSKt(&1cJ$fvKdKmEu| zV!TPl4F>;?q~DIa70Je^-%sAJ`>rgL#!K>NWYU zA|Wo_tuFPVr(`RaQkQR6a*RS8HsR!EKJejDmtu25%UJ)mc$WS`hH^Xo1MGdxEaL^r z4gt1D(0L>>U-xZ(@7kA-O~5Nm%0$#o!R2hP^f(0dO$3%o(1(H5Em%siL-kFgD+h;n z@NM4%aDRT6P0!>NK<-CKTiBEwgsHWAaj6|2-9VDW^N^xmu-LUoZiUm>T{E;2JAvgb zP6757H|_QL2g$ckasINMDIMFLc`UwUL|&79RVtCd6El^@!%I0DA?eqPt!Rn~HidFK zQ%vf2`bCu8MCs5l>xh;AYm|*)=p^xuPg~tE=ay=`u8o>-=O;XjEzpb_+sIe_)aJgt=vEpR#$C)mKn z6Ku7^f1%Rx>0cgZsV&s0(nbH2cpjBwg(i_L)Pg{sR`;yMHG1SNR9hV?SGR?1TG!5m zA4I2WKZx|-FTOmXSzJ2`PvX(%SwnTJvqNb8)CjZuRBIRPN(bWM^{!=bb=whcg4s^r zLOs!fUVkmz)a{8qNVMFt`-4b9a*RlU8+u{5>f+0azD(PH1R|9$Ud(wIIub@w; zM0NG6vO|zjXoNw3BwL>6e=WBvl_MtjHeX))D{CNM3=fU4D*pb+)s|n3E!)@DU zOZ`0x95FMK+WS zz}`)Bi;1dlZXSI9QArZoJ1lPbNRLkRmq`xcr{tQrv}VlZOdh9g-av@m|$aK z6^N93cS>HsxoDAmJ_yl+a}xE%l5p_F(y00uN}7-U?Qd+6bDZbloIzMkLrGTlc%fUv zurd)YR_#UEZRFra*(E6Jx>>XS(rpTFlb%E*?)6 zcs+Q|Wm%oyyuGqONN|`gfv=GAexUNCDj8JxD}gOX zNH6dMRow~zr51(?Cd4_E-I%6<2E4^cet#e@Iphqq{SLLSlS)KzSh*U@kkv_$5dJTQKx@MetGq8iG<# zN0$MqIU9N;8~~&blem&V2NP2RtYKAw>eqps>V#5Tm7;}_n8IYpN}sA# zzPDIx+DzJe_#@ZR*cEk}z;p&`iLOF(TXY7#9eEGk(D9Rw%b_27aRUy-NR+1sH7-^w ztV8yCvwF5zk;xe{bg1l`oS_?zzc=)DA?b{CNCR*%=$o%xg&1(--X}6LU^aP~XAQt9 zQv(uB=@$XIPJoa$*cN@J03D`JT@1MX`ZzK+Ooxyy(Fc>Cq&Ohmm?3rSFzxnch0OpW zC1D1LM;AjS#$mwhmn!7tH^1^Uy+z)2f5tbV$6YZf&6^-dCycFPqrH);dGeNrho^GD zo1|ZfWDwzoM#tp6klChGk5^`H&Q_rV%Ju>@eE`3!ITdK?gBwJ5=N(G*MCeE;y!`#b zqC<=Nf5s#npwxO}6q6mJ?&{+p+eEb+7WQW>{&RRz4Ej1R-Gb}llzla*keYuK=0UHF zj+Vft2{o2Xk&v2CUPBg2z)Nsg%A$k&{4I2dPPCd%lx#@p6E}Q<@QI41lnFHiH9qf~ zsR?y_o=Sp}CQ{vxdust{&7fZu^q>MS?=0RechW8DF(PXD+rD*$^niwU=>a%M^6pO( z?nuj;ZiAdS&?tR%RHv9$47_l*04UP)!Ug?MX7X91ZlDiZrDl4Y4mk>hV4^6xAt90R z!iiv}L(+Qvc(+=@Z;%Uis8>Ey$=^N+yAK{AZ&6b{BBck+BFp>7pJKN14=JD896&H5 zDj*;R-Yv#sY4^cyt#lT?4Y$F|El@-`)mv!z#ViSqe=3(fXlQ>;4NK8 z?$F@?bNHJ&X{oX2k}NYLF$L zO}G%Xy_4^;FHleP9m%AP5T^hDA3uc}3n&8SF>2b+-=5W#b8#X;fHu2a%sm9;JP849D$!SB z@56eGa}mT|`JzX_;*#MaK5WGxXE9M^N#GViKPRCF0Uq75FcC2WsDR6!UtmLGVI-x` zzUMd_Ov+;;#W8*MmrN?_BpX)K$1tU3LaZTzr(pNs!$dODpA2zNevy~KfJtRgfTZxF z96QsgKN|&v|LpX^C+(%AAp;ZQ32YMEI?;lVY7 zDp6#qNrE9bTP>p`D_PdR08By$&F9$_*Hkv9`58HVzV>w9K}%2_ge~Dzu7g)08?ZN)T*m{nxZb-vISgtCK>xBhiaf^dlOY}_BL1=Ge1u)w4* zV~$nB$?qcNfbhbNzd!>lS4nUi3AD+*JFg5~W`apsCPd?(6NO4g(5xq&fH@tqNeWkV zO;c=+Hu7|nmhf?`Bf!6?X79!Yy=`qz``6UWK^gQNR=IiSU%o33D|svrOM3;yXn%k& zKqE^4{g^_`miF0Q_U9ai&$uA z11AUbzNmCp`U1zhlUr-nGoLnVie==5cZmUAtJ%T9$GdA;JRWYlQN+a9a*^k!!WWG; z?Fq|79=Wc;AGKe?U(>a`D&?%P$H|tB2>9+i5Nt{W%+w5?nrA)`OY3N~4qB34_&%-2 zXq%#WJy`$Uxnqu_=6L!j)Ko`a0FUWV*kWdlFGv%|f`gI9tC?vzMJPJX5pJ(8t3*`i)AfD$f<#p9JyYSyI0o+I%C!zLe zBuLI^#7Hh35uU3Gm2fCM8QW<1NIdOxd0WA+AA2)qP6yA(D?Tj*l`>U;W)B+J+jRRw z!I#|RzHM?~y$WT>>;ZtCv1DbLO*}PeQv$s64^Szc?_VcQIzJ5CPjMwbC52yUI^~jC zo_yya&-f3tNQ`_x8rmDdj1RtAk1%{aoCqBI52)wH?=(0FpA4vDPk>agMZ;*qi*E+A zY1*p`2aDCQhlnV+B@W+Ly$b!gj53ZvcGql7jFEGvj}S^kwm%^yXsCmAa)eH{?)xso z^}fZrTl59^1K-1A{o4A$LQpv6)dpl~er84U67?|#e*&!t{oj>wk^Pj%xI48_o+g1R z3>*}ui4+)__EvX92A^?yj6n!RO)8qBg;qTK>CLwhgEwA7ymwP6CA=PopQVW-i7i@G zc(rsfHaAStT^UHns(k)Z<-Zx zoTk|2WGI4wvNDxh4UG&C4_Gg7BG-FTS-mM2|#NsODk_ zrlN0Y`q3yCh5hV9|9tdG8FGY4edkiV-jP;(V_QHo-P>`!{olVZURC@8f|yT~?HZyh zp{h3Hz?O1L0bf)a`=Vee`y~t^scbg3;`ehixXTl zWV4j!4xzO0VpRQKHJW;`zHVTsdokp9Ik>E_BtQH5DvF+OEZ1t8`RF|XaAm=wl@b%8 zl{8NP4J=>uQYoyFld*m=WsJ|cRP{9ce}G5y93eTIOHSrB2)H96MLa_7&W2OOl`N11 zFt&~|(fud@4p(~9ASL}KSpWn-Voxh~@lF}uBz#lI2CE2ughVb!78fuoA0$y^8ZxQ$ zbvrs&$Wf#x^isq)oFrR9I&xcY%$H{ZW9=z@t@4h({aiCA0*7C)TZ0M5Hpf`&8c0O$Rj=K~L5S&{8) zTYQ$4YV!fFrTh=)!JKctpDYtb4>$bYTW>yqq6=VIshMM8@%8u_bGt1oVmieUI-D_| ziuIi_*>CvtUC40dhmc{M!~v8HLlzd8lhEN^W-(JIW?B}~BKv^|86)Gqke#o?xR|kc zB-PV`+YJvZ>;s2aNIVYy1KPTKPw##6!t*z!)N^;G)UkZ?O2RrORYl94o=HjWgKvMC zNg0M?_Nw*v{{~S*ap^f<&|fbgnad{A!$%SVSxKwd>|ezx~Fu*&X|t z+4Nz($2bIJ5ky6ge`H2-gkxTdDAIEaeoH-#0!=4uQe3hCz_}+%B8~A}2V&CpLM(BSW>|uM` z)a*sRcC}8{{5JcO?(PQ#G}n!HiS9&N$~M-AmmZ>ebgckMeU6e{3@y=|?(2)AnQzxu z(=7Nz?G?856ZiL~1UmDa3%k|rb7G0tDZj+*X7mZ*T0~!RdUSFi8PVmWmwzal7aLw& z%I|!*p`$13UBF^`p(QbZkBuQNL4a7(^%py6se!(8 z1ye+_zrVn=ix5*Q>JFR?h4q+ynBh35*%dZqyJw z6(S4qoz;kHBXdF%g~ajW8B0;D|)6&o)>56*n#zB=+?y+4}(c0HOW2Yy#JtTa|vDSlTe zAeLjn=7AT;_}g$AFe0W2Iv*h3(%vpkfQJ7_kR1eSE|4Z0xk)Rz5*xGDQu7G4w7ePWXRc}?!RQXlC;^pI2DHc8tVsEBUX{VV#*D+rywdEQSRQ8Y zoG7u`Kd^8!#c@wA!2Wbeoxpk zVHIR+Ze0%-rb31axpLTn|Lst%jFCB7O~=pp^(^Pk$Gv!Vzk~GEy6YF$4elC3%3Xxt zu%v$cry#DSnll_%!oUWUhD;IK=?(oRE@Eg0BL{(aQnlggf4z1!9=SrehV*c5E_vY7SXQX*x4QvtS(P-cDB{o`XNVs zSoO)xc*W2d?H686{Ss$Ws1uG9!%kqHv-43y08YC#*)Kes_9adQ#cHE{3fgbs(5{pp{nvX676Fi>g@PU|bbnK?&$6fmYGc8sqqs7V zhg`2KZ zV&evgZcOLyj$K4%pIIoe>B@#ZSqbEsVU|lybpE0dg-Mf~cs;NC9hX^hg6g$8HU4dE zHhTLs%h?X}Zb_0#7d!XRdfyb2NGYIC`U|-knKHQgO7Up>bMHo zAe5*knaCwU(0BJj68w{rTs{N9PPy|F(v(9DP6LkpZ_vrz-h4P7-Z6%1l3|!hJmix8 z(91Suz;UePcpn@X&*QOkwpgluavoeK;5!H98!J)I<+9*WytT5W=915$$NDx4MtF2e zjomR~Bln_H>gg|b<5%lFuc9F$88Yba_h`JnbyF`M&RX5j?eEXpFyc!Ni{3Kp_o7>% zrAH<~__`tO0pE{vjS|%{&iV1laAozT-pBMOS$mRI1OvDa=OQAK@eq%|x50Sh+>@Fh zC)O%LJuY&Bat@a$HZtQE2Zxxr{swUf!`23?C+nF#6NgWhu@RXlhZu#i(Kkv0qZh1| zXS?z8Hqkpqt+bm)tyr5I3)1|3p6ve7ctP=|7r2fr&2Qyq+{vhnUJ>}QR2K(0FiD6N zmkC1>@315ia<&XNeIbJJvDX)EKfaj$qQq5OjR;^H-~sWHDnlYwz=XQg%@#Vq0?%}D!)cX}Ozyi8Jo75mDNp#o}f=69%$j(3~- z`QFYFiih48e%KeGyRgm;;+^X zUd=EIs8;S%{?-WfMqO%A320U?b74Psl6GAoEWp?6F?3x;y|NNdyHKu%GX`39bm)lJ z>vz!10hDCw2cUipXj<>xbmQ1YR&UCNIof(3wg&9_949 z_xov|yj-nTMQD@<+==XlB(pQf_fTxSNK&obIhu*(I*d>EdVGrHTCR?#NCM8ctq-cK z$-olR*z6Kh)d$rYnx|q-tR{#%{YQ`{Glyzo~T(Lza`8|g}#E(@mcl-Q&^hRoG4 z*ul2|`*DFoq37gaE~^b*shyI?v)3pU@0SN}6+%0b(h+YKem2Csj#xH?6~hVW^f_~U z_X^edVhB~TbAZh!okffX0cnOA{p(p#NvThJO9va_a7u{4sf@?Fvy@8dnJ2-wQ3(QH zLO8qoTVQ@TJE+Wi%WGBN2g5U%(NG1h3QPVjz=;IZw*>aoh_JCQs3mXZefXW+xRZBN z@GmU!FL>}Tknt%}l)EZr4cRM5IyeQW&7>#-ff<-mK1x)#l3AOSfs?`%qK53(FP9U` zS+{e)MWFeehfmmdf`$=ZAE7A;Cl@J1Z9ndrMd1qIr)ES10VDd}eo=ymIRiKvO= zaz$}yGefji!l0q?m4)wbg98!IY>|=IR3jhNnRd3(lL4E*GstkICY`-kZoS7uiHuJC7r=jB^u1E*D7A z$tmy0<|n)kW3oHCp?Sh0*~K=^qWVGzWlbV#8`yNCFpQu{Yc$m^#2an=wqMi5#_)f_ zPtR_~t#wBl=>a zPMaoSgV|4#Cao4{4#^}iz(Av%P>@BN%MYc|q4TIY2wFiuiPFo_Jl)^ddi0&$^DUo! zUd(*%icf^M-7H^aHf#*qym7bg3zxp5zP_-IeR(yUa{{TGH0jDe8{*Z4E-Trkm|M777{>UqcpW*aSfZ;cw9HX-P`z#wH#@~wd~e-^cwAcYMX9wG zNMpo08Ew-VpAAd%XMWaZ_%WzeRs_r#U$B{&qKpBw@l+yHb4=MDbRrji7>x$@J0g?WdNLpPz)`jwEGT)Jmnh9#O%Oe+pi>?LhafBsS*euVWW9X+g zA6tG|RM{TmdE|QrxjBt7VKjf)#XFwcJzeuj`#O{2KHJM=nuT7IYZ*kQC?x4FSepxBYwY~>|JA9K4z#N|9;_swQCj!EU5B z%7wh2%v@FM*jL4U9nn3P^_63P>+A^^M|KR9C*wdy``u|*d+=Mdc{NB~-3x-TB&Nee=@Fa)1LDdzg{Bm7Kb-N`FYMm1Y+qQjBa=hQmht8r>4h5S<8NiHx zi;^s8!#ZS+(fbb3tx0Ix{|Ji$C!mK`s7~_-&KZDnG|o*8RvOTsk$9TLJC;Me<{k@3 zSMNPOjPJ==laX`AaRJrLDzgAPIqcxs3Bt_|4TT%nD0aRw_D7( z6GmW;d0WWwQ#O{SnDQ6Yfr)e$gv~-MNBx3poHbNpE!aluVCqW&14W zs&91$!V}XrB8ovDK}=Fe3u36`+vQj$+fTv?Wj4M34FwKp7|Vr7jT?MRZ7DJQXF>QH zuJ_W%3#660l$>1~pC^Af90g(Kl-Q?g2ol&w02#k4R|DOt5&O~mKUqX|HEb(l$if|< zlT+V9lezw@&;L;<3BL*e%gYL_N}+T52PQo!{{Mm4gty)Yn-A9bTi<-x&?3yF{1kIv z}*J(S?f$2lV-74I*E%H~4x}sr%|Jqw{2Olnf z{RX>Zg;V1}Xhr`l_z@R-w*HCJNc2KP?UR7_iOhv%V#Tf~;dr=UhI1?zr8VMTzqJdeDtL<4} z2EKR(GpoMheTpQ1Nvr{NYB72UBy{YGDmzTjDmhI+ZCtymy?PhL0Gzgo{AiNDZQB?; zG`zmq)M@KI-;$`@4YM~xLxGeOvB@||0^bXip^Pf_ib_%qO8v&YQ1O2KBl)uztW^6) zs76`+qyp6_$^W6~|0$tLd#M25Qw{TPvFUdgv%qdkO9pj%QG?&c*nZEcohluBmI=Gv zN{Bb3fgkIky8Smu8frT+P6}f$&4S%y79H*$C#!b@RnD1ddlwKhD=(@q}^~GD|sQamp(+Ub)AdU01 zz3RzTKSlO{ODcEuGW}MOMYkIj~RNL34QuZ&xrL?4M z2ZOIinptMZ##YHAbZ>{AU$bk!ZBz3uaLT_NTB3AA8lIj`^lVPbXn)oGRxfLg z2GTelQChtHinXB2&uL?cf!V5@zWWiyb5m@YZ+@!+zf+T(@TFC z4X@8-2SHqRni?9iIOq7YPRulr*)mJ_r}gjlIyh~;&N@ynpO7}LTP-!l-<;EI2NBtt z&SDEx>EDBW0Cy*+sYv-~?a= zzcOU{i&Xoa3|KvbTJo~#NHSJ~h=mb{CDAgcWvK%d&3Jk3Sa14n$1SAXf0;X|+~_D@ zyX}0bW3zDKv4nUz{E0i_gsB~Rj%z92;7XGz)pI<%$ZbVAbfdwP%J)QT=}PYd`CVK? z#IF1jOSXXhP{>nmS+jSCaI@Kcw!_3#;8yn0`H<)W4k*07#M3*Fi|L7~5AU;@C!ghz zMa9W-_58u!XX~SDtjU8qw+zOQjC3RIzc_1F^Ct1#ngnL>I}eO3UsO2Xd%-!r9#@75 z&U8`t+MGEGTQTk^7k^H~7g$T0ln+6wrah#b0=vJch>I>xjMjA=cM&I^A6N0i&WZ$f z;3WbnHKo#xsojBjwQqs(8Y7ZdqqPj5=O~H4U^;e(W^Pgj05e`o`2eWsjR9mcgFh{A z<-Ex|-b4Lw0s67oa9zbW|H9I{fYs{a#Sad_18?5W?GI-K3<&r24Kf4n3H%b#Vsr9( zhybo3rQ*R{fLVGa3c!XhI{CG6Xcf$seoTaS-fOUaF4SluwelX%?~?@liOL7}i;j-E z>A~Ho(um`M|5P>@veni%BIn7D9#1V**hJu@r`VFG?l@Bd@h|9Zdtf22pKUH^LPzoo}`RZgpV?(Y2EELN*z zTn^b8Hm9HwVrDCk7Moue35%7nJl%=ED$#$Mh;@ranX+4njZ|EX)ZSW~&$p^2bNoV% z$bWNem}!3A@~ak)y5r=F8fU96H%G?;gE}Y5a8(y=no)OBoHhXk9W6l*ZE}Ho_b4Ua zM?#>sl?3W&zrjL4E1?yL!IEI6{^?7N<0%DrGN`WX+5T`4zLlH9<#e*RDu+mR=g5wD z_hhv#!5I}u>B`-&B46!(Q>2kO{Z-z~gw)B4nT8;1gGa?Z0FVC)+1b;Et7`v>@Z`8W zBwe>2$wodD>3T=;r7R*%2xe9Q4KbF=Sz%^a=8qo<+PqAWajf`sql~+$DDsjIFPOZ{ zG8V{lzvFG05zEXoUo(;dGFtp0Z4Ti~D)`syRXqyh>`nX40MB$38;F zw|8k8_ZE~WpcK%=uv-=rW10mbb^Ev!=5H%1vBa9HAwyA+3T#y;#LJt)vS3Sc8_c7W zZ8UK#kQ-%Wq%Js)eXxB4^;zOWeU|u8pJgP?Q-fH1F*)S&uMw=?Pms7Bt{uhLql<-4 zkZ^t@U07-m`!y8JJ=&$|fG*ag_S2@BIz2kqysGr4`t2btfCF0&d36}U5qskZX(2ks zFl?8uOYp_pmVpV_u6h^4fK8I)sHLGs2RJm?0*-^JpFU@GYUNpmj9*!tLzs+0!0;sLrq@HEJ*PD_HiFlQfuB5%Uc05f*vms81p%yO_%qJv=*3u~7 zZ8M(cYzu=iIOU0OZQ70W8*fMbrx#b)B~D`8TI?zAK77e0armd2S(uPdU=lx4C#J(HdUB$@+K_j1MD()^CVw#l z7M~sxuV^Yc=}%dQx)I+70mX5phz+RRzo8d#Ie3?tM}1@l|63v$Q_PL;FXeU*L^LZS z&4e1L{rcXdq5(c+XSLpt$kZn|7X0u*0NBmqxx!XUfmT-fkDt(!gER7r(`|vFmtf{DCVU z3Wi_$SdAEY#cmnGYM@K?&ZX5r6H1MjZ>Y;t?H28W@8fdzH~%=>2$8&abQU$jUv)7& z+xrN?wmuXXmzYXRhWI5u1K7nuCo-i-YY_l=`#@knmeiA+&Tl!NSpAchyEZlDMaymR z+hkyIjD(`91eP9_Qm=ZTisogp!gXe0RH&&@wy0WQM5xC`Qeg?wS)oyOyiqn@vG50a zM7|~ivy0%;3autAU4p3{^&KpI@7(sSz%+4F=%=7VX^F|(Op-fdAegmC^J4j;w;P4v?K&^lq zqNZcPhVmYL?UrnT8BHICFTyXw75_}q@Zbrv7iUY6lP4Ilp)H|IYI)83KxrbWsF)*=^t4nUD&xPTmT4Df8n$dWVA^i)Wb%Ajw?*)5_Ud85lZK+x-j-I@ zFOts?8f)O(Dcx!U6hlugUk~D)Q-WNIO8HRgOCfi8hvqF#^&H&z_$ZBUey;#Mh>83` zuh=BuwICHe>@~TXBibgyt)!4LGfXO`)RT{t)G}`NX5@$8#!IkOJs&kjNfQF3`yn@z z`uqQ~X#O^DB7esWt>bonh8*20ws%J7=XNqGsjRB^;@qg7!TG4>cKVL@KiTthBm;i| zM5|QK{($CXFC0Nyh0?|NEsd;Kyl$^LqERBj)FNB6SG!chEJif9o{4|E)RL~W16zCr zK)mdR#u=G7f6nLb(7%ax2W41?t3mtPZn<+Y{v4tHcr8GvPglsdR(+i02Ou}wa+p#a3aI&-TIZRW~g8zZ23mzTaiHp=o~D%`tla-0uF zEtv?K?rXMnBMhw}uI9oXUdJ4hmb*XfV0oMj*(OTyawr`l;g?qjiDhqI$TUe9F>{Rg z-Ytd6Zn$PIdyWy^gL|}iMyUjWR+8Y^dFop&o;=Z+dGg#j<}E7UUm$=%faQ>Eg1#O6`9v8{U2&&k(&>T$gDl(*p*tg5%$s}W?9Q16K-*f*bw9+E=( zVI-YK{B|hR7Vh_B&5vyZFG?iEOy?>frg+If+t=jJZ?ZN!K7bxLzX7k4sllrYKH+3D z`$;BHpUZPOU)*)oIOs+LAj+RWv)r%Ld#_Pk+8jO2CpPlRm4C*Hf97Vvl$*pd(YtgR zc(2h$eqA-cz^WZ}RT&Le6UvXRkj9U_SSaH0TCE@&?sE|TO#D}F656ET!1i5(EEo@s z*J5bM=zZ2Cqx@zDI_yly$Q?W&Y&P`3{S8HOaSQ+la4Z5rsl9 z(ZA88zgIbf%?f+lgKFd8!608~M)MZorE|lc$(2l|+aey*5*07T-SK2wBgQ+2V!nos2_SEqTyQgz)^3{&OkjB8 zIn?&(1`*N^55@2#Caes--Z*h?_R(kPdjsRhY(tn7ZvV4WI|2=Lxc6rKy*KVdFhV^5 zfoNhxP~3@i9DNA|HZR4WzX^cgJu{E@G1vuOSCR+jabi>ua~>~b1dD4I&8KhobX$lv z9iCCfjs1u8TV2<032!1|G`$^%-nZQ%el0L~d2SwH(8ZN&?ms(I-YFz+sIklSlfFwR z>Wyu{{vM?zMFT)3HSDtNGr~qG5)n@ulGmZjzADqY;X@dYuIxjYQI+0cyI{xq>7qmR zr%0TiVGoV6WM5yB=&E&+`bPshNtYN{B1eQcExiwPbzuiNih`6c^4ZDr~DV69+R2=5In5NH`W4AN-J(+; zy0I58EId4gOwN5%V>hM2Cn7@Nb4>CvWzd5*bR8nEs@#O_`7|FJ`7~c__I^4|dvifp z#c>f}y3MS>fA%j+R#*@JuF*5YUpC%S57nAQ^UUrsRDtswyyPR=aSWfk%O&@R2#Yu( z9|lYTPwA+P5;yhdg?@p)O!afSgJ_h}pqpKvuM;FEoVaa{9bLP!_dh@13UF8cSnG#Z z`}lCvi4>fHi<|1>pl^3q)P?(zs4k;`_Hl+cU`D+f2G~gGAzC>C*)%X z?%VThV}7CU;kCFe2|_D%@t}E5s~_Va8C>%3NOHL30tO(I%CAS;A03z@AJ=TO?#go@*uCYc;FYMSdd}z=>?uUg{X3X28cIK3BoHY`2xCN z1^5ZNu52t9z`nD`dj6l~D*Yl-=>^o1*--Ph-WHvbV>&-A)ENZ)_u{F4uc8vwk~nHi z^{Z)!diZMg>MC+T662FJv(K%OIWU66w?mvOeCpnEvvmcLC4|>7@oWryPM3_4jzzt$ zsmeQ6yzZ_RpN+4RI4P7d*>npU2j!9}fq*ppj$#iiVawk{z$7vmpXu&O+V6seBi zevV4Otx9ZDpc9u4`1NW=)Ynni>OCDgfxdJP{0Q-6H+RoWTXK^ChKn7=mln!|rls@= zJT8K?*qYy!f6YB_PHaTvG5FVOslGm#iGg2wM09>tT!7pAV;7g1u940K171rK{9n2w zxWw-F$Npzmd|#1lqnA^J&I2H|F(WeT$t=}&*ZVAiYC;4V{_qR9dpoArg7@XVig5pr zuD1Y-s_VOlr9nzk8i|o^knSG3OHxw06cp)h#v!B|X(gq*b0~un7?6$urQthxdq2`A%>|_$@iiYdcuKN1 zmobV58{BZwl8NrXGmxjc0r6saWh9`eb7aQH1D5!=K*&lI8ONA;MVD#?nsLT8@EQ1w z$&t9&v|}PTIRvoLmoof!pGzXGe*o0y+UP}$(!%UXq&3{RR6~~tNiln{zmHtBzmM*b zq!>U}(CEP3?Pzl)J>?h&UE*=G7{c z%_({Rl%AzXLg92P5ujI$5}29~5+KC86gX*XGGu6*s~`{tS0pVF8Zme~ZoY1@jvLgn ziiVU+Aqgt zA4GYnl3*(+Au}YtZWdL8vM8SNG&E^!!QwTZMwzh~nSyn!kyj1bBvvs+QC79ysnt$vc6<=c*DEVIKu?Ljw z@kMcSR*uOFwW`YlqR;S8MDd;@MEQ!k9LbK_OoB$)ZbnOvQaVU-)(YSy$6R<)I>cOG zV~9(w1TOfUu(av3oA3WkO!;B;klaa4;p%rnr~eipw*Z7gJ9sDgyBlWjq`ANKsTdyM zn_n-%OF4uNbSh-q@T=euk)|QzK(^yf06OxKj}8Q&qt-H??*McZ)aVzegV73@9Rz-! zL)J~5f9Z%siq+~-_w0LeK^Rgs6$jSguog0Kfwwc}cKtK5MJjI~a;Es=X(z25gWBe`SaF?)VD zpYZNck9ktxw%dhY0mXtJmx_u4YL^WZ}Me~+;jfxRR_TlehUE?Hd z7C+$dL3?1oVH%IX)lm)SAYOR+wam* zW>lE2HzrsV6X$3e%u6xO?k zKG#5eEWO%{cY=>PL^|pFQ2t?D^g4Pew<@Ie?qCcvk>=F8IEDtax~eC`EcCQa#><$kYFIB~1j?hcTU_*D zB^Z2pr<=*kv~p)-?N+FYZ&GHppyp94l4NAz1;3yI+3TlI9 z&oir3qep8D$Gz6PyT~poe8y+$7;s|ir!vY+JVsz1v*2pcqT&60ByF~VeQ%G@S=#K# zS)qdOk5|z_=2%7W&w1LsODsT_q*PdcS&Ya0_mchpAv?7_q3t$S?LrJg|4CT{ItGOs z$@3;m8!>zkKbCW#3=4r=^)wOZ(RCTK-#j=aAV1XjL9Hy>y%}XbOS*l>#jM>Kuy`BV zzlV7s!{YSx*@}D!M^q!cwxarpg;z@YW%^D^8#ir}-aqSl@mRml` z^>06L3;eufhFy<@jYmVFw_kA!u3TNo))|PjC3xQjA$rb`FY#5HZ?%+9B{{clnBHzl z#LnY6&;4-Zq1%rLXZLC3;(a{JFm_J7@Gjc{^@7V)H_-phPV>+EuxeM+rjFo~9TS5+ zbK>b++K2k@ZYn|3r>QAhBju|a@akwFM}Q=T*p#GvwK`hw$e^!mP|scW`+TA!Iw(fNktF>Da&h=r(S~)@=mBN!)e2Ib}j6@nCfEa=HY@yeo zlf2J9?cd*;a`^Nk?XioXiHQa_3Nw8;0ltCp^En*9JXm^=hoO)pIVlKh*fOdv)DeBy zJ%HzyFRU1D2QJ*S1C!kH6-D2^(=K{$ypZzO1Jz%w+k9Cp50kYs)>Vty?hY+;pu<=U zw|OxW?Lem}`h??EB`BV({!h|c=`Af3(9Dzy zkhu!Aj$)*3woCi|A z*Rg!~e1-F*Z!@`r;)|_~Pc!91Mln7mju<-(TO*5#I`(YJG#mGGi+r1|AV_L{wRpoQ(9uX5vh6Lh~1G_)3 z+ad+#k*5j-?*2)4h{7GTfSfd{Qn=xW(=G-Bb&<MdDL;MLJL4$a>8J4t@spFqILUMs#K=f9d#~!&K3QfPtJ__tb6HQ=-04!^cVWG z;Ps=Co`bPIpmzi%CFNff-`AR9#7PJ7kg>0Zdv?>Cjpjcw!!gF;SSBAArYEFOqoq~5O6FHxEN&{7m%xErDXe2{ zMf2}e)=^Aej`#cf7`3`RqcTH)<;ny*RIFALarYrcY0P)0>W}{H zH!ATDHUam1Sh`lZzFO%bSQX#cf2hCd6QfA&>fKtTsM2r3w8yt360qolJ^z$?s^@qv zuF=d~aH(6}sh}y$ogMPcsjF z?*3N+LxueytTPlYQT-FaZXjaM*;M?+A0wS}N2SgwZ zReFKy6zX)t zjVG^DBcfYq@BNxB0u~uXJuO*@#X(R2OZJU6+!*2Zjm83KmAD&E=Tv zjz+bZ?ByyZvKCj>@xdVkhn1IcfggVy1BvIG{tOn-n^pNThNJy!^e%fQ)HS_O3ojK+ zg~rhD2?v6h3c`dB#6+&fZ@l_gDVnTExGV_}a3H%vYBL{G_yee1@@d8aMDM2lN6y*m zK{H-_pAwD>x{~eEniP4$8!Kr-d>(rCH9Cb*8!?>cIP7bxoLchC$b7Hjg2)%|r3nWF z+Nv)wy4l+5`SceaG_IGPRg7Zfze{&`3XPtSU*G?xbE?t!SfEb*%k5^+eaq?-v(Mfh za$in=un?%BRX^}z_MOaQ{GbSgUD?Sz_-9jX#15zYb9FfWp9GixCiMfkSwPOf)>YU4 z{k92bNkabvRs74v0;DYcp6zvgW@^N-TXZq1x_tC^T@w6~G9Mv5`S1MS%y*LhVzlW$ zGRS`4`D=PCdXDMG@HZmXOgFh#Jxh-K3A1k;I*ieTCD|7MDiKjw!?*yQ=QWo0^>I^a~VXCHzVUaV?u<5 zkw?P?YuY_~T&&xyfFS?G3o{Xy8rhmJMD{^{3kp~Ae&5{5{@chCqH3Z&aF6PthcU$+B~|GRkkX9?pc z|9t#1L1Xlx9pkw+;=d)jjw<%HQp`1J(2q)r;8b2Qhe(?bW)wHdfP(HcRl*ro`H^6yynp~!!us- zDW~MEgJpxB6a4*h%Zu{Qj%hdPKjnF@Qv>vDUcD?qEIqqmLI{}flN2gs7cd&$g-FHh z0=Pkl4x+q^<$U%#fcsn?tpB6b1>XAKvk5!+pPk_39mrd8YaO1YnDuWS6Cm~VUt;;% zntBe7`RM=di_SZ}y@K&cD5Js<)9*rv-B4y~%pWso?DHy}QcQus%|D4ELVbum)MFDc zXvn?2bkM{T#}nD6U?Mf;LjtEr3ClSc4R&99FiH--Tt<1+ z^Ve35j4SdhacJxakYK91?o-Szif(^ZO5E;DY|j@ae1w>R~y zpIb!Xr^EhJ-X27d8?Ed@7*vWDg#QLAcA|yg2M@K}gSKKn()NS^Wa$5?I&BTUdHa8k zP7Z?QTizZw{l|B*kA<8KX<{|3NFb%@Ju8iz-qEA7;j+|-7U%b(bxy%4i{dLSF)0AK zW6z=}s-PllY*hy>U^fOwyUj680YZ(xD|F99+$0F`|2e*E^V%iN&aui zuSS;*xMT2`B%QE5AATMU`N0Oxu4eYV`v5Lfp52QUt2!LQiGDwC(!pNxK)OdLxN1;G z{z4C=O|GZ2=)5{t1^yoy@ zSO`e}9a+lj^;Wdh*Eu5r$CFUMW4Y*dU%+D|oAoB61YExmlkoI$gCE7(mkXqy9~Tu< zely%G!)-QBewch8*N@2u<`OCr_9S{^f9rkkC=$t>zs4Q?MN^^4CTt)dy+zaeq0@jc z+tY}xg|H<&pjvKoDkU`swM?4Ozs#$0He_)Vb#kqQ>n`2edMyz{W z^+blJ@Empay;Tu(+=e-@|TFX%arm?p;-w>fm*KzD`NEUWG1=MgC?S&VochImIy7NM)60@j`*1m zUgG@81HJ>o?h=A~rGa2Q2_WD&=|DnutN)GF-S3Y~^$tHf_@*#aoqxxNG3xXz$OB5+ z0G59Ma%Hg%^`T`XsXr*&r6_%+`gLn&U+OV40G-*$M{DYnB-E7oK=q2EVSiiUBauloukS}3t8!1~=DSic*`{~`u10QsW! z3bCZ9Id}!Hk-HhUVlWh@9^%FoaV51&nN+4v|D+mw~TMUt7K(MP=g>ah;TS3b)r zzM6_D&oo-$99TJY@8_aXRr7VpZH#57q{i5Qmt(sgpnJ4&9!s3A`E)S44MG!KN0{^13a{xq^`UX z)D%-EB4!L&p}f5`rXhhrbMuu5Sj$$mv`7-70d9fy#ZHr`E>%eMvpLU~@4w{bQ&pm} z4#Q{^E&6Gz1$An8(-lfS31S7j~~krrt{NCczhA<&A9iZosP$wo$V zQ`p;cknD3-tJc$##1+;JDB25h4@t6So zLSR|%W7yVXF!{lhzE0qiB^AN2mSQM1LDeIIVVEI3&O5YEskm`y2m6%RW)l3QtycEz zXF+)%zbE(9Ih{43^oKN3anrP(1|EH{#E8W>dX9K>K{XJvnIabHGW1VVE=IO75S)pZ zg2`jfPGBbB6oL(7QwhzHuyFGEIr-&*t5Q6!ukC2WCeMbf7m@_d{Rm55GTsb!s&L;R zOHEds=ul~QZf0zBw60uYGGe3bBQBJ#$c3}HL;XPUkm_D7M6ys)Is@wH_3b!tgJBj$AO`v zOs^0xDg`@gPK1&5)n?-RXgPgGY-&Ppr5QRHAwA4lTsD9Hb-0}QHaVfG4n3?ML}>bu zL5rKJxxh}yOJl-q(^0%9@Ad0-6xV?j&G#+BJaw^>dDq%xww#<{i&6_%(IR=_o^Ie# z;mv+`^|TasnE^qDcHcwSaHLzY<<_A}*M+A7?JQhN8p4UDTVci4MX5?KFqhudpK4uX zperl-vy)hZ1`8e8$EXV(6$#v4#h`uM{8bc>zanF$mtMp&3{st;h4AJBx4S(4{mpT1 z7(f98lY%gqNk=(w{jlLDv zw3#*VcX^>{axy9HO!9nS=Gjk?m#M!+sV+f{h zzx6d6HgzmsP?Wm<%@8kOx)!lq+0%?L=T?1HY?pRYo}GN-zrd${Vd;v)6eezb(Nob^ zB&n;*1if(sKfRC@G~Jqrw}qz$9G&(A27o%K0=ju#AG)Wk|Gaz`$12cOHSO;2E-7GCXTR0K=>DM{(aUxoiR zxbjwQc&a+LSUSh^mFzL4PSx<59+4hmnMMR_=kbQJ8)4a^b>&Nlt}_^xw8s)a70k+B7dJ@*}9rL*w;C z^kD^e(a4-v|2&nY{Hjk*|2zh&cVjcaDr%QJ7IQa9lvAA+$Lp2-`!8{3I-}sUR^%d^ z9RSiEi^!QwWzKYthpp^GVUP*=!gz6!U(EQTzb1R)#bpL)s9$et4barqC~EFwTF1lW zWA}^>8=ploFgv5p7kp2^q(Id4#Pcnsd-Uh1!DA&=gx4ym?RGQy+CA5~znk}x?>A)p z?1AtNm;a2hYcU=efs}iLZ=M6&NWr$Jye6DJMi6XJ4glY=Y>3yc2d9FXG!adaGg_k_ zTBENNdF1h_`(pFb_8+IjTZu#`6_Dho363i8C{9T66x?289q1&bR*H;V)k1A<7WP%n ze>^)-IX{Nl-x$3zAC^4G4Obk?-N3ys5O8q>+;GYLhnk+SBD*&3NC} z>Z6Bo;}+{P^k5h2v&`%47nPr{l8VYYH2;Dx@Q_Dx7?6xUZ1;Mn`>Uf zeNIV=gAK^*-ry{y?pJpzV{NT+0ci~c7yt=-lMjE{?ee;laO&&>)z?d%GZFg5b6h*( zb5AJL2`a7lJGnlse7C=;^7=VM@sg2Ct+9kn@-1LR@vIwqWe0)Ws%j&0l)(znBOha5 z6UM?k58>63DS~5Xa+|1P>)$!n=fCr&85x$onlOoPNzs4m5TjPQ8Y5p1+nmDT^Duih0WqU;K^;S{zPs`EJj%mwjEj;r(f*!o36Bb|Ee(xRKd>kG8L3MV1Rn2aZw|C7cx}4&RTr z4N}s=ZjMEHgm1P7f9!7hie3mL+*}Mk+})HHWgWlFU@)&KNZs2cp%G}*$gVFqCu5Nh z;4ors12yWtil{8oZmhjtE>8`xhm9M{0?G;nVC3 z7;Gi^e$Tiwxqz7Phd28Hk{@Qvm{Q91awxt$pG_bs*8`Q5*R_;k_f$o59AGqfW4>CS zqf2nk0M**2jLv>5@U&I1%bw2|%Cf|15;9tT^`}A2m{o07GzC&2{_p=kYbKutWGZn$KRPeD8MAAd9^%{3oxww2UD$#H(_0iMhTd!P}b1zK~!6_*sImuAPJ_~x#BjC%2suo2~ zuWcO;BxOC&YbDQ*PNTamN_gY^zgRAeH@iE3>HolX$U~NP$XhFx*2rPqsQ0t5X5LBF zW=MI=L}Sfl#9%QhR;Ed{wK*ZjrE}4w5qSOTjLkj88O3b2wosT9M&S$Ir?8HFl&Q{u zSu%|&6Tlz*AWY$b)p5f*U-(*9?s51AYL+-Z)8@3SXRricotm|z=+i(FRHA782NfK~ z(r%^pXk9J&B&a>*dTh*cPc*r)H$7!*10^K+u{W`SPP>rPbEL4r8!Xr&cALmNQ*5JO zA_WI+A^J$fxoXWDpD4#abPbxmeZKLF+scAey$_a z`O_CuN(UK{9-9JED7U?ymlYI|6c{)|!l|y&~3z2jk3V zIUh8J=4GI*9CAZWd6N>~Rg{iJq?ibx~H-Zzr)wEQe~>G}3=z{>t0o8s=qKo47OgzyJJ(ND9NH zl1L4rQc4F=nG5eAfo|MXCi)Akq+CyW@JgiLQbrcTU2~OS%Tjt*e=6M7!n)a?{V()e zSM4+4D)c|hW0T9aE~5TI2y3>BcWAwe9RbF%xxv0UyxVK<-4?52)Ydmg`{rLF(|YkQ zy1e${1WawH7YQ?}YT{j@)IKjor&rN=KXs){UwdV;^=ZjWq^c2r%w62kUQnpY2In&u z?wFf+fPMH*YJ}~ld^?J&A2y3?rhfZkLq+xX7wV z^?4G8+`VYn)C+M>`vx{!)hb!Wk{3@uYo%4=I1?20hkoG2_SwNJ5M(P|!=ncNr3??_Q6NYk(xC=Wr zl&nRF&rciW$;YhJJHfW0lLdW>Qewtg_~~_L{E^D#1tlsm8tSW4@!!I+8ys%8s)2<< zrrPT(N|lTkj;GGw0Jms`>d;t?4LTGxEbB;O>2OvWUfrX_Jn-zom9D=YQ95CFF{6-L zcQM>RKnqcx&it-K6I?S{>Kq_#^=`}PG`zJ-W4Pq_Z7^V0b>~|1Pg0KWDxgTe3&w+m zO6;}tJCE1xC0TNm+tQ3vS-u3i`}62faf9Bm;H5!Eb>~r13~kS5afNDTCFbor3wXZw zS`|`>fn3YAj0-Ct(>XfTPZTk*IScTEa$Lbn`UU(iP1G(-BP;cpejH4)m+T*(FF)r8 zbz(f6gJ%q_TH*|tzB0qz3$d%l7f zJ+Y923UZL5ij~5U)?KwzsCQLZ6y@i;ANW+DV4pIbJWRl6ZIs#USE&7TC2VJ(HXSJ} zzG)R7``2@7=1f_P`!QHCdI3c!VSS-qxgUZxshCZjzht9%8#zeRiE%K)6|wKXn+z6t zW6yR=L)Ne>cdnj_GxqY8k9|AQhC|Iks9@Q)C|b)oQ5*bCiRKSs zwBw9KhU>?0p{;R^_;ebg@Tum3(3R1Jdh;HB04yRqt(SCo6|CHFrX)LvZAFE7%K5*?Dz-jV)4O&D9K z{lwcKf!NZ+*jLx^PK%#wD6(HPaB=h{c?Nq}Ct_c+%OvoW=3^&5w{PVUsNH%}a?>-? zkO0W&?Gc{@Q}~+#X6`etubk#s6e0>h-eo*{toD(GMRnd^5I=k5DX?T&D{rp?mc;9)8N}X0s*$(KY%>M zh)*6h`@;d^0CFr2AjdR-k5&Zh%}(BM*+YlZmxX{MN0L=Cv1~T6qe@Mj(nmo7mJ2mA zL?KzSv8eX0l2$@Z;ip|RSfgTLgBxuv1IMSIp!of-yKeI9=u zOv0+MOMaQxn07rGrprRPr#E%@Rc>^O?vWL~-GEea5w)dQg;@TCu#?0*FGKqktv>nD z7>GfE?VCXm!4CZW>e;LqYF=q4rOX2m(nBEJo)Ca##8C0U{_l7|mIT2ON@HyC5mN%S z^$+;}HEl4*RUN8Ew>6uxvM_q=_7ZGK>5T=I2ILbMA=(hJPpkTjaWCo;UT9EMzW8Lr zpP3_9VC^akuuD8Q>>>UfxW=NrfKKe=W;$R4jyg;Sj!}sD@N4OhUHCs1wBhwOlb2Ju z^|I_Ct5=N7pj*!9LYQ&!x(DOw59x<$SepQQQpHN+rFWED9v!$pqh!WZ&CQXjk}hhz zZ|_TC+|ax|Q%5CYoSmNX+B0u`2{P^BnpelJr~|7x^kxG(o<-}hA$%7~9?1`T2Do;q z*a_pU0rQW@Ckp8p*QD9j#dnMp_O~Q5XBB&M*(_~tBh#945u1AWsg%L=cq;G7Fjd~; zJDXtrq?BdLTcu;-d&Dn_q>z9YP`B(N9pII=dV+syB>Xc&R(6|mIHF^rJxTF-wsM7n zl9j+KG<`ls7=@_~EImrS+i}B4ewVxYKHD^}+Msc~E$R-e0+&>NF7@h%UXB0AeFO6y zcw_~w(nl+*5mZ?$VE>2>;RTuJ6O{_HNT!we0k)=Z5iI8|DlERkC2*FUJ9!_Mcl1oF z>ZRuYJ%|9`xB=gg9087p=2pdUl~Jf))H?_l58w+FQx`GZoB($K?(kkTF|3L;`-R?7 zT{+lbF1ZI!7r-Kew$so$Yg4^tG0Jp8%Bc3pbl2^pr1bzjxbFIU63s;|qsEfJew)sF z{G2HL4Aj8Z+#-JRzQ4`8(~p+TIAobagj_;zPom?Ms-?`P*s}eA&)LWXTqp{PPtjxJ zBR_6NlEq-)KX?WsP-)+^&!(d6hx!Rqc(B>OKzG4iQ|U;+kJID%YCY?U8>iPHK3e-+ zDfK$P@zY66s@*w%tfkIl{;I1Ob`$ut7DmWBB)xdjo|1sG2G*SRdiXSo%1FsN+R^9Z zhrRY-&Pe%a&PctZhrMNI*j9S*;7?en0Sx$3_TYPw5R6A)e=a^SO(3)Hy?jQv+&y4S z;FnDQkG?>xRSRJf|GPp~xL*Rm+_B&^hIQ{o4>S5W^PSPm9oU=0Rg})#R5vq|NUK7- zI*h#Yo{yg-#Lf!p_ith3A+?k*E9H}vaDU~d06_!gpn-Ma4H)mHh8K zUQOzH_5bToV`Q}sRT`1m21rVp(~as|h0ZRBs{7Mw}xoMx5e;2QGo7U)bI3ZG}NY6QZ)nt7&V_8hGq%$4-0y#FM zEbHD{;0xr7ysSg&Z&(FA0E27I!cCddZ%O%qKiIorO2jApFNy$%b)Gk{6HC;+@rC;-GXEqxtMz_oV6TSQRa`rSMnp! zt2`TJK$L5FrRlmMN2I@htjfP5;A>{Y^=-|z*eBDfCn!1kZMTMMC&1YFZZgsKdgpg~*p9%&y_ z{5;hb;rb6V|BobPq-_+C5c^;)a#TgbajQDQk!6U@Kv6>Xg@p7pD{gA=eFO|d?CXhJk%!>K~TU;R;YAchS zZ|kd@2^Ki4ATmjDe(sx_$1>p>htgk48hr->j|8H)Z;1UI+>#-s1oAvjpP16nwlT8sn04`h`A zWKL29FU^45qdNw~u->caNm7d2k*~0+Ws%s64QB$u^R6fI2K^qs!%y-F|7fJEg&@5g9#xsMgmCl~Ntz8h zu|n)c7-jhcCfYsxS}rd|nfQ)(s;ytB-!%*`pM4N8+--07o_7;Gzs&DYIlHW6qFT#d zXlsA2v0wGJq)PbnA7h4*lbj-|^w+FB>g=B)rR0Zd#X%FlprO6+h|)eLVwH-G^|hQ5 zsF6e(rJDR)p(+?T2FNu3k2fadgZ&*uk@5hTupV2V$A}r_v(Tv|(bwqqy)9zRX4fH^^v*sRU9lij9d+I@>hYjgCd=7GXJl7=CgsDU zL2-kBQ_YIsQ3_wFkB?xX)#IXV+xWhks^$wEII*cXR6q+#@Q0Fi_W({#__wSRJ%GD` z0!=Zzyb9_!n+zEx0=#w1@2e|+X})u8Vw>pkM%*vhHjXF*-oLW_2YSvtHQbJ|WtOE{ zdhsH!tybF`bVuAMgq~>E*(Tj2^>Sifi5J~ zklN-2)QIK-z$gE4w@|-+eTaX0cyq5YB?@BK;sv zm2bYB9nl18L#zr(`FgQsXMgG-YkwHs?(tSZ!E+W)<|ecPfz}EOktqt0WpjfA;ODA1 zYp%gJ2-9@)4=#Z^b>>}5g9p>c%FL7$J>?ed6@=I1@Rzz2dQwi>p7Y<^i0<$&U@R&r zS{m8zyAph;HY5lf*N{;jeY*jiP1961D0rHiaIO6pnr8H~eq!BBpL+Y{dr6}0h~aN` zve!$%tJk(JeeJTxmmo4@{%Ct-=_%Ish>MX*@Wrz^iHIZY99i(?gcGzLj$3dodjDJM zXN}{fmOw9O`0&PdvMl20_<9m^%GG#MS$^Teg7h zq&q%u&Mo;=@_9TTyrQCOT$0%qwZ7HjI(1H13Bb!J&km^3GWsd@%KS;O$*J6*}mMeE>i$j1@oW8T1|9(B{61#v=!zAbWNj>Fr3|gm7 zp^h|^JBXnxc$5gssP^SI$1Qu04J>ulB{2Axet(ZWf8ZCfBs|9kolDbncqq%j`H)@g z6#X?TmeHEQwFI@A%doo@4^Dh6@F%GNUFcQ2s;(KtnH>&?bEr!To>Y!6o@Bt(YAO}D&S2qtil;5O^9lPAf)>i-0N#lq2_p4sB{5qxA$};H@`tciC zz#}um`2EO^Yf}ql)e!bM@Rlh?CRO2a4T)!fIW3p+Acf*Vofm%-%Rp3Ao1BCHFNl}n z+Gd-mnnyQu?aF$Xg~MYQWF1%V@|8&X0(7kxxH9HCT-3AZbu#QL%y0_`)`n;alJX_# z%g+APLE5CjCt`emyTVN-ZTvb2wLO)e753Mtvvs1#YwO)7uCL$5lxEVqbWKNZyK1UmH0gucS z_i?(ZLeEJ4b21z_`e~wUG_@Q~1|I$65IlN?x%QCAt=y2a`6=n%r<2m5c^r88cv%VK zfsJj%G^;tw21gGPJX^wD_Sz#10Z6T){4Imd?(W|1Mv6Tv4(FjQ3zi;4oMnh6*;)gf zUB+@=BCl;c*h2$Y2G{Op{{K0=%=!g=Yq~Rt;r;DTac5TXhdbb9AspGQZdQ)MDLF>` z2RH=muK(Coa9C?IrjYA;zn!W9>8hWd`{Dxx0|vb|Jm~$ZI6yF9e8{%b@m zy-!B(g5gbjD3GgbM zqinuSzol6>4@Qr1C|s9bw<`=`rf!gvsG%i7v{D%l4d64q>Fe`MnoZ8G;{x>!K=9rwvErEZR+is8q~Lje*j=Mzkz+w(%WWDY>yokIcgj(v9M z9K$vF;9IhoU%I5zYRm}$9KJ4monf0`Wp&_nP3t773}Dc_u#0$D!0Nxso{+~&{1 zr@Kg4(ip3hq+OmS1&4m3M;ij%%|+wwzkaZcHJ;wc;&)bvkX*9sA8P@xZPfMmZwel#t;E;Tr=4~j(#t<&dxP7K92LNzIPFwQOuD{w<$i#V zeB*oCzenF5FwrDvurB>T4Xu;_l?7|!E^rc}viP2cLmn_nCr-)iuLGRvVn+EL+YuVi z;wu99oO9gmNPJadrexSTqGlJ`njZh*OaHfzzj?0bo}3EyA9qmTZ!S#%^PGx`OuD&t zJfhhPT2usRu~dM^Nc-Dd{mH72PaHa_iRo0{RGMb;UhQWZY-}haZ;%%I9F@a zjv+?3gefq$q=Ip`Eu2(}9{Ko`FK$VD&y9JW!rw2sVXZyv=%mP-McxV2$HoZ*ERs5a z&QsKn#=N4FhfX3KoAK({%>~oJK3>L?)SufsMN8|Azr%DZN#*ipgPH4$Cuu85Pg3iR zyQ!Q=QFF%-`wXMD=f=xUDFYFRiq#eDQ!)*65V2^o4Ln zSoM!=eoXlmwCd`rWJ}i%#@%)J4tD<7#|GVGo*?$ikZ-po0h&KG7gA3kDb|GEE59Ki>8D>;1q)R7!>k z%p#-rfbepjdB{ze1!|ow1cc!EY+~T)$TqRuJsk;PM*189xxLUtnA3u9c4Qss%xR(6;=&j@PVuobOQ1&9vCt%D%80>Z#)tvCP66;1ceE~X z)XJ3hm?N-Fv{3G%6BoP0w#u?VA2^;Y6{+;L$>I@8GD&$A*7n>KUu%t}d*v9zD2Dd#KOz9AG(iqSlb zGI=M@EP4L6EC|ssCG~Z|6MZ-Wff@;{-B^5)3*o@H#3TjIzQ@85dJnL4Ls{?nkENTx z4IbzK0H%O>`!yPrw1!vFN)d z%2sc}8e88{#VLYRSL$@gZ>C)pHN@*PdicBM7!)h>HygIqg7PR73&StI7-z^VbS`VY5Aht&}5mD z9E(|4KyZ25an9MRs!3^~3r(LlezL@bxevo=`eLR8twi|l)3T*&4ZdUam+=4kD z0}po6NtaF|6uQ+VIcamN+3rO6u7*5%_(de=JYBO>gxgmb(y?SFSB6}%Q+R$2b^gfj zZ=Sv&Zn;eION*8Zzq(&IR4tYMPb2bzY0@-m(cS3y*-O`2u2IHnG_7dZ6D!Q1FL&W2 zoGEC3!%_NaVFE17_~w0OQLi|KxeD0wIZI+ykyLXE^4C3ZEi37Oh!9iBm3-w@!q;52 z%|=GvYyC%yu4q&LG|d^7tek{$WXmp&RNcCayaJ?3pA8?GJ`3`SLlX(-Ci#I%A1mp? zMwlKiS4@w*6^&WQMxR&}DfO~;>yy$0%PTC(UwCSY^g7Ri{NgChRg%t(FUCoP22%EazqKw{trm0IhAR}D<7CQ`r#z+h< zQelRAK{T0J=<_tSjb-w5r-`t`+Id4|%t<0-FyI*}Z-h=VWQCyY3@ekaM!$f-5h_bb zd;hx6bF*Lxx+Q#R>G}Yf`lj{rsW1-s6_^aln~3lC)`R$dvPh}h`5_&j*4$iA31Gb4%k1BP4G{NNw}#UA*2;wTPxTO(Mk}% zUC~0NwX9weJwB2kBxhw!e7ub8fZ(`mwtRA=UV@eeY{n8!+t^%*3CRmE3*+b$pF~T2 zV@7r;!1OYR?Y0?PEQVo9y5 zhh&|kJyy`y$&8z&hubufD)uC&(5{^t9zoKAQK!&&3b8%zJ>+Ks4jell#EVk3j>u!K zS$jbJ)jcwPSI9cno-SF!p#0?6H(AMA*NRzth|U-kVV_LpQH_y7Q{Gh!yd+NPL{87+ zIljyUS`e9!VLmz1!+ny~4)n$GyB_1QzXN)AH4E~m^WV#h4j;B;?fgD$_1uMZ6^Sn= zWguFoSACFx^<&DEUA$HU&htnj8%6@AVI_L?|Bte-jH;sv5)A}*O>lPz5Zv9}-5r9v zySux)ySuwffZ!o$aJS2SH=pdj-M2sXokMrY^iM~0y%5|GNiO>KQkHMi-&{o(SA zF`UfzG?$c~_~QTlB!(I?_ev+1GJgCDB9tU|7i9(=uh1hOhA1ozLs^B|nnA?7jmG(Ld z6c9&`6d|_2sOOF_5wia--IvE$>IX%}D3 zlvhgN^wAc2~1acQ952QR_Z>V7%on=l8LP2 z`=UN4En{`!5hv+TZ7Bxgm<<=7LQ!?#+;S%%D#S#Iv(kTBY3EScH&Td+;|FYN;`({6 zYJT>!(mAVea3~uc$;SC?XXRae(gHePK(Fm=U$##F;kuNaE^IEE_hMhxP6vt5$ZvBj zYr7eA?2^;V`SfRV(x}o$^`=Ozfo#9mj{e-fCLP^R<)=o3lNcQ8+HS<{8YDL4l z6P{(ETB5|#Bg3l%`nn#8EPuWABRi|B6MBmPj)j(EjmQASgc!J-$ulPy<=0?{90|qs zU6Dws-3YoosN7T?{e&6W<#Q7!^p)SiK?<{YXd#8ADKoMr@avl*IiwN7imNORRI5=- z0xJ833Ni44tn}~8n+{he6+lv0zKXawUPr4Sd6?X$f|Il}k8^TcZhJT>{!j)oIAWAA zhKt24A)R96vEUzX;@VjUjy6<16)^&?kJHs~!*s1PSla{ zgVf~xH{{Yyt|2?hXH5}iMvF~$$ zNGLutMuTyfh3gqg&*uT+M=oyP0P`_@&GqY#1F?2d@a)X(_1hl)67(cPP7w?o$~F{Z zqIXYJ-EMr`h;DcxNoo`?{mct#o^Ut>KzMj^xz6EeN!}O2VLzAeP77%{_d0idIoCRO z&>ueo3J#v4D3N&|7%@C5Ds~tYN$TXg2Yev$ z#F8+~57Y#Is?kqF=^r6M>8HFT0YlI`vpek3K*MH8Ef|k-34bucFYM6>tN;A^=(66I z^527^j_cj`NxP#diUjJcf^zFHP=W`%1>-gdn_tw~xX*#vwBI6={!?!jt=e|KNYP-kclp|2fr+yZwJt zO&DHHQXyj`RuYwP7}jSu#k&ibyA<6!R=o(AF9G56i@bQKKYL&)(NML(Q430xG5#y` zq9IvM9ukZ}h6KD7@nMKi+Qby(i{De)FP+20AcOUsC=!AfzLG=Sp~s!tZKsk5>^zpo zeOLgXfxWDYpNHAv;(q8Hs@q^w{9rM@UlaFI16}NI&HGd$e*Zm)I~*F(<88b#3KlWV zPTSR?VDCme4WJf8sQqwV&=$rE#ov2oEW(d!ByyP^i;7sW4Jh|(2r?4+c=JPie=aP4 zX+Mm=&JSZGLc|G^77g&r6iG=+Z#f@MVl%wCv^`;2LGP64+jtd$Nni!mV*J@m9EC%% zpzHU(R>2f}{SEhJ5Q3Sy%C`Mb4y5?9BEP-iepG}e>qtHxg?s6>eEB7xM2@4>`GI>W zeNii%TJ#9CbAt@*z*tKK(bF2x3 z3oPlbF$6^htiR)eJ{ZdQr7JR5BLoEX`*7|T^ra1Ac`3tWR0&$rc=gh2l{9i9gX^*k zGh8Oph4c&y;`ny~P|W$*0B$+TfMW1Q2PPO3XNCog$Br1CHhN${vr8OC$o>Gq%9pw$ zAXNBO{%erH4+QX&`AC6IbC_cO6cQ9WG1MeRXF{9tC-+?6r15#XP?DE(6xlxf!jm@P z3zrvsIfS~quEon>-#HJ?0^9L+b2bx3Lw?kk8TC-#+ZCGyJBl+(p}yzIdAnZFCU@+B zEW=&t3!;>3$bc)K`L9am7`q-CNGl_T*bIr|2*Jp8Pw{6xfN zD%Eo$cb}*QXU-`}bM~w!s+L@29@Q6p$FVSY)-opJewU8(1}h>dObj#i-6l=*wkI5{=l0+ZN%cc2a^va=&e0 zg+XhKQQmeYSODUynRerAdYy zu_HBVg;}Ot!p%C6I#x9=;AyAuoyzIj8g<-Ub{chhxkl*H>S(5VKBh`f6Pb1lpX55F zUGnd1UZ_K-z6P1Y)1}>Dq*ftmmz;$h_>PS`Lc8r}^WOe6J>ppbY*6y7lyrrhDoF~t z=sV2z1zoi|jZL|v;eEvDmBxYJ0nGHf`O$Vm0xwMG-29Hu6rXI9JlOYYj(FyLW;ExV z{3xz;77f_uN;bof*mCz5&oyH=lApg&tNd&$K8U!{J}oW--S}6ym3+G#_-{A*#+@+H zVunT9BL!YCEd$aWVboD2ZnXng`Y=Ht^bpiy`nO!6q9Vv301NfM3yUHVK$SJ1`2u0) zs4Z{y-{Ui=kc)VVL~1G^BRh%wa~Q}9WXuu~a|>W$2XUPO?uM)byz^jR8i$>J`fa|MmndU!$+7r?wub@G_9GVMs8I9aC5Pu4rW#; z2aTR9$BnYW2if+RZ3Fty95CLchOar@Brz19Xca};^|fwLU!>UM>Spt9?^0|(y+~=o z`S@&UO6yaT7Sxepyhydd_>_P)U0t5a?d^S}E3BKzQBp6Q64H$bgm)qTM7wus>CK@j5}tSrTLb^ zGI_Il*xvqaKm6Oi#iMPD%lHP5c4r=`gDX7JQTdI}bf^lA#!g#cnwwkkh`b=LuxWgR&R% zKL>wzUyw2Voy&mEYrX)t5TJ)o!(1Ms>>xL>HWQ9f4?~9 zsHnK_O0hEKN>NGciAq)U>9{VZLzz!%vujwq&vyM*@n_$l_ypOYcpuO@Ax=qZuSg~N zN^z3)uqb)P=2mgB{AQu=c5z4M>G*UKFeZ+gZ5{;E>%SL;OkH_pH)qC)5P=YeD&d3` z!16cv5M~&0q$AkCz@`0*Q8+i*XjwiPl?td6gWkSKLi5|IhJl#w zM-w>ceX90InYbC+sm!%(a%QUG++Ihsa#0z_$uzF8e zGs!%m@@LGuDPu zB4RjIC|tE=q6vR(ky9Mz9e+`!_`W$N6J00w6@9;o4pg{JjNGnjP4+F&N(PBs40-9pLx{GhmBjt$xKD5%J8S+V?!a>FIBOU(chAmi8Gb zW2!~{+r21XffLMFXc=Wf;3uGB2}K%j#`qWTO^PdcKKo63)0s5>_bmc6FSY)eXV{%_ zYpd#q>z^YKUX7@X^pO_Mrie3rIExz@daKW-bom6%e%tavSDi)G(Y$i4oc*TfhyKR% z`m%I|5*?mY&tN1y*CA~rJ=h!m1@`rY*-(GzU~KlO%pf_ap0QI_V~sYI2fhd|I7AV3 za8M!)IyD3^fju>-$2%}cCOJJ!mDSZhT;3p`9MN;(%-jGU8)4J4I}!T+>?IF_uC2X! z`eV-5kW}XkGBe6lLOryQmtTkOsZT%U(NEIkO+S@<_a|Q zeO#}wn=$#&dw?q^>~E7Z#nf|p+XB6c2Rh7@CwC) zKyUW%{-b%zjBv5pl4u6so5#16v0rcr z0~tIwRM`%|3Hhfg`IjpBiIo^i;IuxVqYq~ZpXd4W`zZqe^t^1vhYM)$<08=GI#kC# z)io&ZzP!Q0rqSOb78ad@2B!F}$cI;6DH&FX$Y&il&yFfDvgh6=-^m``^-fSf7Vru=GeIL0`=H=s z#C~|?Z{KWeZsN8Vq3h!mQ%6I{rN%d`UY3sB)BHAUJJHwiok(rjUF_~8>`k~cZkUkI z(#)ZNn?x|il&|dEr@0hQI*za(5EcP#FMD@Y6TowrzQakHB6ruZLCELq?7En}>BL?@ z2D~H0k!lP_VyXtMZOvftL=t+WkXYA;FU8cpoxIH>j~3n$&V!FGHHdbF@*CH>pkt0v zY4GbeMFFBZf&DzOhF{wrI3c@ILNu@rX~-zB6?ul$WWjX=L}*Iy-cX=Hhuy0pfwi2^ z9iS*A3_5xeJ$ehmevt~Dk7tM_nC2m*E9TTiFsYxo?AvwK7$@w>pB5sE;L$1QZn7@U z0K^QJ3J7QJ7ZS}>0Qd^6FJJZF8`ig1Yd23UNSgAT=G!%K1dYloE37`%BNbJMa1#c3+q70)F(h#-lG+WVFtl z>gG3oA;2@tqHmkHEaTcY>mVFGI&Bemly-j0q+5r~pu4WMW#X%zR5*5>L~Ovdque9O zKH%YPoG1Ys8$lFga8DBskvN1fmC>oRlub3QkuNWfuxL<;HiL?(WWMGVL z>uKqPu>;w;u7?-BE@^Q=;@U{;|Q47tTtD6XZ@l)^p96x>s9$Kiki?RieSLhWW-2VA(uJi12Hcgg9 znN3*nuMb!vY3C^PuY|uF`zZC@IbF#+Rx@zO#3MQJNv>#3?Sii=`y_s zX1F{yn`igB&ny`S6C4P3W8S3{3ps4Gh3x>vL$Sy zRzvD@A6xdSlx1w5PO&Nw^|#WNL%nB@Hp!nGglv3C6|&l3TP$tUzO%UHEV8son`fdI zGZAKsn`WZte?@$$k1KcPA$&wl;i0Gw>6}p{Ol75%)9k&1M>N&!YVq{L`~BG2%`8o_ zKh1=}*@Uz(TP@to{5yW>E%@x-JdY>rAs9)GXkk1!oD?!VBmRm!ApHSGA59`Jn?9bO zvxoE9r$~ovjr|2m+jyOw%R=(c=TOl1)5X6(rq*6BJfwnL{pa=~`=K~i#59aC6^D~ocmI|&l|d^xzSBufOgSLG%Bdt0fu5`(Rvz6@}ZefFiqZ)!st`kI}0 zZ?4I21wD7N_XbwpwC@2_0k_ayvQ8XqnE^8qHl=+oVH+}jq&)N7-)%~Z=iY2eL9^k9 z+2nJIYlc!x2uI&-gfa0fW%tls6Q19D8Uy;f_vr1>j6hxyrSBuSkJuHpX{#Lo^uYY&xfbXgvjwo?lWvRw0xXh zb<}6{{+(WQ@U=~f=PVBko)e~|H&(Z(CkLTDpXINY*xQc`z2C2T@3nCa%Z`+`PN@I7 ztJ>#25_beGP*SX33!v721S$DDLnc zCn#!X$$BCB?u^03lGluftXctakV{G>!*mg!f0aT^#qi~_!y4|a*kQWZp}P3`GPcL; z+4XoFAM`u#bl$50L zO=(S)H^rY1_cz4XIo$djWbz%z3LFp$9E$TDc=8=;{gTeo-gbJ)eMY{OaJ^IiHACJ$ zaJ}12aKDo=#qtX$?1KXQ6SoNWJ5og~zrNboj;`7mPy`S7(uk?AI@ZVajzraCy^`$X z=jnDB>;oKq0qi3+ANJnAn%iJyT|Ow2=45XGPxsd$U1e;6@84oJ`W&m&^7I;Axcs>?*E*5xp7I>Bx zc*YiZx)ylq7IJU5RyUdb{GMeVvIhI#wcL4mej;NR#us3w@E6+;6TbHxAny&ClQF#s z63q}oEdD1rM5YA5ai*z8Ojwdr+@D`S2c>6kZfwO@ey|EihcjYvTAy0jxj3wPab+)+ zF!oKMQ*8{EPqaC6>*ezvO)62R^Ju)gA(v0>HJnH)LC(20IZ^$!&aMC0%DL3gx4)H5 z6y&M5efi$H;n}BcoBkZ@rhKS%dT?=g(YLmRv3+WLksX_JHMMc0aRj|>NATNv-Z)2U z3hfCzl)=ay>5EqtfXnG^r8a<1F)|?s785;uJwxGZK>8n4B5$2E9w2cWq41TyN~u9= zWYDz4=%VotMuWLuB{g#4Li61n=V9S$`c!_1xk^6RT#XQZuKN8Ki;q=R$BxCCAD>$m zOSEk{OY}QW9Lt#-zlDW{-#116LdZYQwc&f5EN9SP5ToLx@ypRm7+GY9WQQOY{{}`l z6xI<1lc{pF+Lhd=1PHCpg_|%51R}AAXvF<3W{w0RX|aPrhfOK_dsHbIJXh1dN|v}! zapq)>$3GiVI8#hJT_nmyqMH3g4s@U#*<>T{P^NcGlNgu0EPefjo`evTxV+_zOgLxD zMU0SljVg@w{iJ^JGfN61)<32(d@7I}6re^hM3F#;3Sjvc7?h)hN?{F}k|SI+qJ@_J zAvRUX5mFel`3A2Bnv#M85>*DTt{Tun?cS4#5%5t%I~QmJ{!W=uLJ3=giPw)s|C`i{ zNihccDyD>`Ns&{rI_RK??@3uJP6`*a8`MyK5`#C;bvfRbJUpexcq4xi+5X{iVEZ?(503%B- znZjTKJB%bsxJOVtL_qp~prJI9w0uLcm85NEC;W3KS=X*(VKgHGqklL{a)@n1!DfIuHWT za6*aV&0xSS{{jfAp$gbS?bQeax@w{GDj*`Ts}f-k24OEHfY@8v0HzLv;?fGY+k{?` zFEKCr(GW3cSBwy43Mqd+q(1tmfA;%0RxtJ+9EG~uktnNc-y&AR<++V?Y z&Je~fZwiH~!0=z4L8|vFn8KtG2Tx6+Y?_+F$o?VPt0qxm`%$)0um(X}1i9OQRIftV zYsDT+lVygGQo{E@0p|@MU7TiV(;&1kFZC1$OIu}ffXo_Neokr{Rc3rU<;YT>@|Yyp@}V| z{D-)xWC|u_432{cy%G-~eiJl+@zeOrFgtnwX_&WTFawzT^lu;jHOyuD|K2dYWM@n- zKkKKCqXy}V(pGfE+GbGR4Kj%p{u)t&P$EKZz^?Snm>m0W3evyti*v*<{G4e-3PQr5 zA%zemlKle$3ZzhI1Yy!rh}x&5P%{4z_Ub7_cmYIjR_?)9zxPlF>w?8$2+QM8Y_o{o z=5NRZ^T|?(c)WQa1PO@WR{EObP=35n|J#Kj3_oicv4YUhzg`1!o`8Z$6dGYr7sTzL z5zhQWfVv>Y19^NU_fz6h$s%B_X+W#Cg@#F8yn33@B0K}U|xr;n7|1lDT)L$cmNBj zK{-?4B+Q_xDFo>^n4%3@@>r}NN(wwy0vw0<1L!{>!k>VkdammAGI7oY2yJIF_ zcV)M2xWrOs)ol|gmuet^4cMH<*c1Pu?j|-$9 z7f8RixG{K@BOp>Xa4y67oxh9==!z9p2~MkNRHT{}uY$cQotm*E#d_ z4sN-VS8)2OzQeDhwgXDhcls)N{q6x&(d(%$CT#P{WP(2 zt4^3iJ4|DSmRJ-Y2cYENtK&PV<0DsR&wXR|yFYrjl5xBGrTn+#g(ftK7r&eveCIo6p`QuCoEXu~jc49gj%Vs?%c+bn8Wb~HA!V9E5v zda446!I)rb*eJBpJF!P`n5C@EJdf^^*UdckE`B=)zgUUV-W`BoHMM_o07&kYflCIgpvk9_0&nLLf)tF#y}wtot!It zfT)x?ZRNvHmZW~73nvUE<~&b4Ga!e3Ka+|upWU&bKYXYK#Rw|UYUS@GTRH_>%}ynY$N)WA>I{;U3uZ>0vs}R0d9dx z*6F+Yy)di3=&gXb+M@+p72*_yiN~`1TX%ntf zs?O1W$u3DbWS--3m@x(n1}F|9##IFG9=bAvZD`vZ4a6JcP7(|}d^dtjUJ9h^QiXos zVm?wCl!J>@`5aS7SCZatU15w6`PE24B`y**q|ivHCuJpm{gdEC{Jv+RJ)?q-?fz+twP~kMXDxfvS7!CdIgn0~? z;trfHy>_zr-`w=)Sr8#n2uO^mZ=Vz8+nc>FiU?IJW*?6>h=&aE>@MweIXAmJ1%T%l z{4Fg_UhdD{U5yUD$K6n7vb9@0?GEl9<~!`* zDaN}V-7wRl;8!;(S(FT&yJ`Uq6*FZT`EpEbxF(tlUa(K)9;`dWf|mY zq&cl6faBM#&uWy)GDi)38AirTBgN!hlG3h8sdzl;CRW~7zfX;BdI`4KjhBNQ>V2Mn z%rckA;ZT0q;*t9RC6)aFYqp#-2R!||nhTRCe+D>9uq0S#)qg2pd9#+r^CT8!84S1X z(7uBJ3sY&LOqH~;GtI;unpo3_N}?rnfZ0q1*^;YeSq=9PEy*LUW3SKH^Y`gCDdPJPnO0VkMeIQMhAd^~(oXo!U*LrkKT2cUxsdY{Whr}3 zIo_IKsXELI${cOPlpyU-cXH5*47mz5cupj39c1)jFX5{x!v`ER4xNqORvL%TnlDP7jpk!oun@q% z5MZ2DuhDQ&%5sy+vXjd4lg=24Wv*abem5)D%u6sc7R%&pV!Q5`5E(u$MW4}Z4_^T( z%WR6$lqDmwY0I^c9TN4l1yZ^e+@bqK#OX|g#}347#XVeQ6~Cv?vr}JHx76Fq(@vv5 zx8v`U_p`mhGhHzB6sMA#x>XGvT%>Qp&B#9!qd0~VVYlR!noq?)!?6829i;{Lh^0p) zYTKBWmA_`s0d3Kq#qovZNY-LDYd)L3fYVOMX?ysvJ7UHIJ?oZ&?MT*W3oRi0Eq$o{ zr}k^w@!Ww%5^4#F;Lo)e(#WOJ?}UogBGv%Zm>T}VhI?_A*7xwy?~g6x%h-=KGC$Xz z2tx0Rdb257&rkOtLkk2g1@ecnIS5opyI~bAl$M^wG1yYDRY)UcgTJi~^me<3zdrr6 zhy3|1%m-@jfY8-;m}AzDwP?<&baO?fqbkEuo%w*C>EFNdO}3G)6q$kFnt194yvkRe zVhi^&BWz3uwkDHX(uQ-6oA`$1HZ`2PE_2i&m9CAn? zh>g0l9}Ud-4r|LbB*^a%by&R656_y}Krp+eqHt2W3t8J1w(sf1LEjLzLa@*;$AY77 zFJf#QZLwLe7a;@5TyLqt!@^_zf<}Ntz$W?=b>Glo0eO_N%Ce#$NM z+Ds*23mvgJF;phAm9C4J;9g3#5)-PYMr$*T+gKbbmH5*@wAK)-j^j_)m}5g3-5_bQ zG6|&Ck$8JDUzj~`-7!CN4wjCivWdq2xqS0Zc^#7|R!fJtd;9AAFj)%sGk1>f8-HY- z^JZ7XQln)}{vi;0Qz_6lhY4njL4s!w4V9%g6L_s7hl7SeM|nPIce6Dco}R1Wzb$Vo zuZG(8HMtm@|6aVg)>ZvYrCecP#6*eYIrT0tB+zpC;W$ zpeDJGJlPed6fM+fb6)r$0;JS!ZkTL>d&Ke8zYXx>zRNt0B6Wr_SIEu{s|*a8F&g0f z1f5KgXf^ziC3oq{{n2a?J1cY`DKkuVXjgJ(sFY#Xqj~5LnUEp%Q|@Jcba>$y%NN_BHoov?}LBrpe7k^A#us(L`)7wi*+SLwjSDKp}l8`;asMCq?72~Kh zlqU5!(=~&yP;yTN87+0AR(uVn$#2MYF~QNSEoP=RSw2bxJvbCsa>IH=7Y%&yeDSr8 zs}H;{1o7xDfu{a)^oW!_Ww00q0-3m>~C&Uvy_E#O4-%9k)`8N=yGpEbA6lNnJ6)1*=&Qk>mkHasZ+z2gq>aC>( z2k3kt&NL8%&)1xH%M7fZn%W&^*$$%^R8(6;mklneR?#cKwDdoW9wlXAplc=}DALog z5G5#%u;07`$Gj0H%&_f7XKk2kArhA5nVj#(HZ6}3*OZiB9xg&)y{7uZWV>Brwi32W zEkt3h|6B<5AY_v1u$*s(V_KdehHX`<7@BFBoZ>7v!!kKoOV3mRmq4YGK;u8U03%xQ z0jKLWQUQ#GUUq@nf3(E##y?M}0tHRLVap!L!IH)sV!oY4^!5YJs%!#J2u&&6=ygl@_=>{}a(7lk&D~%(EL5%(^e99{su~H4Z<-2<7 zPtdF&=ZoYniCp@?azRn~6%5BMX+AkZav9G=)JiSwgc_B2PHXN|Q!`ym8QXxtQ>x7> z6kAt&&5mfC`E%x!Q{kYl0AvfBmSXx?Qz6d|aUBOGc+JmMhItx2D6Nqxfhu=w3rvP7 zW#WevCRK&n#1^tDBfHzi?sEIj77L2Wb_;{`0$;cy1)^d}<_n8pn#=1PCsfQx;Fd(+ zKh3A>LE$V--5lnRPDk7zh6*7SQSBH|{bG!S!#Tu_#?$kN3D1Qv+5UJskZ=BZuZx>|we8^%$+qSPH(429kQ;XQOlo~<3Mfr1Q52s@`3>F1 z1PO6OKFB`TW<(i~*Xi-xI3rXYKVdXPk%o3Frfov*qqb;DUK5A$a#LrA2t@rj7PyJe zVr&T3X{CG!utgt8M~yibNSR*>}xIp)PUfs8J@Gv=mtXW z0$=y+=K)+SJ$b{*Z&wsH7`U)~m`@ZV zzrY=5g!njwf`A}wUn*gM6&PTs;b4F@8eoVuM-^1&Zbpd$-IHKHj|&UcldvmeH!N26 z*$6e7R%BY3dfOJ{(pP)@o&qFJYJt)(24h&r_;kORlf8V%wM?NlYnVLOvHDHcVX(tO zW8o1nBd@c65}Oe}H|aXAM0BCju#WCuhfHJc6Z=uCPp^17!O|asSB9ZPigDX%P58@x zQ+qLgbbEX}54=9>ZPMpK8uMP!p29(5`0$OTo347nMZd6|tyM!~IhttoAq$&_Kwa{qmaBYllMG@?H3d^=cQ2xg`j`wQ`^77p4ZmQRu3PIr1n&@#Ie8U5L?* zCPh4rK5T=1Gp218#T24C7S^sOwQ~@MvIzfb#ggHJY>S5==hgVm!I43XpIyz5Bv*NE zz?ft0@OzYWrX}r!)A;eiF>rWF8d`)7<|D}{`q7U^*=Y0BOq1*2ChdN~C?({U794Q} zC0bOn7BrrUlwHXh2>q$uh&m#7r7r{Mlu}p25jeD|14%ED>jG&ztvMUW!S2tG_M1n% zc#j^)K^?IMjLc}!uRQ5zZM%c@qSF28*YE9T9i7oosB5^c)5DZU6KDm7whI==5je8m z&^!H9F6mAzIMD?QjQ%`0ZBh`Fa!Hr|j2;#>Fi16+0|J7pT5Mlc8*UrKPZBX6_`IJb?ibFi>BPxk#n5t2ZZ}q8$t@CZVuA zwUOatLJbQRP$I7(ZyHfI@Mq1yc5&QhT9&lIo)wIr|06Y=%B`2vvU* z?p4f_es>UI3gheQ0-Rk8?tE3_-fYl{5AiXppmR~z=y1k2;Ly#*zJP~JHZdgCrjh1i z&hiiBY22XeAI({>bFvjK+3R(#TpqHn4pW^^ohU*g2X= zaAGZP!F7a|d50r5R4Om{XorWOu6+?#^Y(tR#GRJ6PEY;glUB{LsBoCPX$enPpr|2j z1l@7sq)?rVX~|7uiNmpOPz*H`Rq1EI`rXN3E4%a9@&P3aggyj*rXiK{6aO^VJvKGE zXdnqzw05B2Dkaw4u+6DB45F~K!d7`jl~kL*Z6{*a9oyOahK`4SX~AbE6{%&|5F5PG zDR<2>u&>q2!qUYt@=NfUuI0+Xay4)XvCUxO>1#C{6XaqC5vWDPq=H*l1kUy=lBbrQ z*>!UYvYR7Gy+Y(?wi_*}3t!&(r=c<@85B>(;~)ID*qK>Av01;JU8vceqql)GSt=P? zLsei3ErkbbYLjvxeq+pr62y`VN_1E{$uv0kG$8s};ew!c)OO+Mso=ZmZ^_F+

~ZD{I6OGWStHG}{KuM<`UyU^RJ?>M+0>qZtHq{;vB}v-GJ%SMkT^lBnXQjxb^`^(1p*y~Z4g zQ6x`n*$TtOhAju&II_S236LZG1vn7xn6jaSv7v^-4H%`nHJLov%N)(?c4$|WrvD?N_u?XSDf5F z$k~aadJRDi%DBP$5(1bCevD#!vj-&(W-m*kgKhAjHWPwC3?n*Y1+f*Gc@%_2-5vNP zFM}%%v_UT=S5(-xs1@XdPzAsz1N{C(_rQps7Az6U5-5lT^<$GFg?wpX!S>0~LL5?J zh7B?t1Y*S5Ok%`%bVVpgsfsYrQ&ho21GoirAweV3dj{8wiMYzH^y?jyYT;}qWkM&# zr+^lf^a;1_mUM=*FGVO1pmV%H=k%Cjd}@J&r|rP3pNf0z_ho{UPO9|1g_AVozgAk4 z@5XpQXOR806J%J}=^PWwA$Mi|ShsL8iX#4ul1ujzNGTV%8=*|>-i;9bG`kY?O`g}( zjZ_MAoL*!85F(v`byto$=|zzE0v+tdjXMR5C#e*j_mzjJS;e zht)?mVJLAM#(KO}D9>wqlIRQF7(g~^%;$PgDM1xIe_TGODSM0$adY>p-D2Zgr;l?3qltgv6rIMIUSaFyMim1k)qI<{UQ}r9iUuTxU6Y6Fz%&p zsFZB^!jB7jcGIJa#3)-C#MkPZJoHn0;FAUs~ai|?ORrsJz;(+*2>-&B&JJ7xZ12>lT z$yvn>g~k&lkfDMu0*~v$9(zbK#6(XLfpj3)M1Y&;L;*>z^b<5?&*FfjpQHU^9$vPy z6g1_>fq{rPG>5X{j*?bRTC(3!yAz&d?o9O%`ZLbBYa^QRPtuCKjx`z0nL|lmw2oPR1|*HSI8IQJH+cl(#5*4r;Mhl+LLVN7i|=}n`MgzqfX0GhS|hMr zS@}_D^QfvX?D&+JQ|Fh(!+cQ30*+4cZ6uuLCFv}Z>EFEz;WTts>~MW8!sRjD9wi%fOftfU>4Y1 z+_YY>qmBE$y@c%q6`rz7x=I34rV6x{4t9TWea-L~l9)d$C!yzOu(Cb_F_K&7AbRyDz zwc;ZroD7Bw*q)rUGlkza&==?S=jS|28}!>7pN`Mz7H*GnLUI=NCwc<1_1PV28oiB$ zdToDgtWL8BQ(WXF+R6RuJIsOc=64u$1g{GCV(3E?WJ~h#n-J|zHjTmY&!4NdJDszH zGojBhU>hs29X5`%bF3#+b1UY_8!s9ji)504t~7$6m$7;C4SACW1vaxgqztag+|$;+ zQ4yf^OXgN6)q8vVD&JJ~w+5Jx7&?aNE6%uQZMmUe)1Hm54{!&YFKl6MDFKHrOfNZA zSLp>k{B-CSZRf@jLb^Kp6*oUPI-WZU{Txm2<|?{LRoiJ^%xf*jx+u;2bvB@tQx95g zY<8s06tVjk~g-~sTfuJPgS5=+sI%`Rdm?5ye1EEDST}CGPlBA7h7(MBPGH2 zZRQm>aCV2PS?4wNzjTm-x#u4Xp#eD@I?=;89cI@IV|8jc1_nPh)?3k4qxaTlGE}Sg z?kbUpFKdidE@cc4hD`m0}<}bPiiM2HWMLVoDH*F4ZW72TjM*M?`OS1Erl9;I`f z17h^NG5Yf!GM?Cla>T0jh4~-7+w1L6c`W81l1L_opnh;|^t-Y&w~lOxEELyKwyouE zQUI3OJibx>`u>pkeO9X`Cs2!9vc(n7>RjNEv<<;vlWGIbyEYB%$L%8P!(3a{Z$su9 zS6pFzMJnE7Xezl4&<>!ATDwv&zRWW$YZJK4>~ zHaE7diEZ0HANo!( z3s{b^+^~`2;Q95G2?oK8Vu=70n=M6 zyv{9OO+9gGgayz&wIRY=*hmE2`@K&dS`kMM3tVHx@Xr3cG=1 zN-I#%LTQG@70E$29UCF!9UcEEiP9V(0cJddD?&xD1I->FFF0~1$whGmvb!qAILhr0 zb_>E5Ag^&CVga#oB0mG{uzOHy3X#q>vMho%%E|LhWCDiGEb$8tQe2EJV1f|CVFZQ^ zYZq%6Y(rv%QH+PxG6)@anCH@3T!bZNyvPuw&jp%0F(=%SXbt2z2H|?cH3eTs0E;x{ z?|1>>9w0n@!2$vh?o|On7XZ!K)9L}5*-k#-l-z0{MvywL!s>t5^P7h$HI%By%MnC? z`_Zf3AL!;ZiU3>h@Or$+f_Rv?`4^9{5Rha{AppoX=5bLVPik9?0gZ=bB-tt{QeYjV zYT+AkW94Hmcxcy!A&|KIH;q7T=VdGgmc1J1UqVDNG6^zK#~!fbi0-6cxwDTi#p4+b z@$?Kc!s>MG!VBDI7`+I|zI+M~J8T{bu5cDWr4L`=0&kSV=Xr~oS(Znunq?oc6D7z7 z_a_8*R|_3^*xP3XqfBvT!BI0r;XguZq;>$4p|dA>S4*qv1`Uxx=Hzg3^HMD+6u8)5 zeCWjZHX+?p((A1Ne2R`bNI~0sjp00Nob~no^o8^e_H-Obr@zm$hPOjmle#me0kM4- zH!1)kF0Tr)bW|Xf9Nu|+#`*D&Te1S966Ge2@sR8d|M06?3&6&E#WVmqJk+F9kl^p; z-qOuKsv84@j{rBF4ZeRg_kSV9Naz-a5c$7pWH$-BMv2_|G5>(4To$mWHSTwtYMnxm zHPOaE%Z|(a#n%2Q*+@-ZzhbAh_a9|iFemyHJ{*)36WerOVY zR(PR!5?<^Jyw6aJM0`Gp&08ZRh0W|X6G72o-_Med(2P=W)|GEe_7GDiUZXp6_MSTO zckN7sK;2<*u*Sl?4$3PpGVK91oN3#Q;=+K?a=?Gk7Th8!kBSKRSQYeGw*Mo!f%7{A ziF;g+q6P~$7|_Ec1#Rl#9VjZhh7sPMQ+EWmY^o24=g}Gd*UAKH z`2!D0k+k(nHk16+<-D4?~nq z2#yq&IC#d4IJl^ZZol4#)^)YB(X#Niijv+a7T5tODIBWAy^wwRqDAKYtVt?b)?5T) zxWO5oCWu$za>H!~Q<^t+s|w1iexdJ8y+`mlW;GA`(TWx!Xmxt`n^|v-vN)(4Znf!8kDPdT9nbfq#_sS!A7>WPjP%-*vRK+OJ7QhWc_k$|qwXwcGf{y^lb*(0z32_FL_GRIftT_uCsPnW<9Lf{ z08Q;Dt}fGc`S&`i7i=@irPq;-2*;cncW>qVM2j)hq@lp19ne79Fb{ze<_Q6gj)EZH zlZYMi8&(U`5Nzl-EDki4Awin|O%z{l6D{wFCxpXud72HBm4XNUhfhxOD;i4}H$r;9pJUXN_R#Gg8h7Cd-9slhRN&}guMFBBp z=H5_U^mllRk&A7^DhQl>9ijrTh%An%^Ig6RxeZ~ZFWmIpvMljs1Ce-f1T`%3u-IyU zu6RKIK;yS5!o9n+I$y_q!|TyR*xugJpq(8cjBu>xr!;fEpv9y4`J*!5`8tXO0|h?e z#YNy5v>f;7nJ0DUanLhu)>xpDlr?+&+dpknKBHpdJILqxmiinAZ}tW%Plwqe(~%1) zpbrAxWex9U6Mr)xHHp40Xs1Iijb**vh_hXb8?B~UPexsZvmZ_!*JvC?oh>{UQEZMY zaFiXG*TQ7b>b~Dog?KxeC5=|Y|5hy*RY73ixIl|D96TY(m&(#ESn2>}Aj1aaREa?)CX|H5F@=2%!RL3w-O|ExB!oQ0O zIOPj^=4RJQX90mNhHa7j3Sk|?fbb3)5gWZiS5de@DnHDaME~J^x}8x7sA0Pv7jx+& zNPAu%Dko`TXhg8=G`mVo<7Sn(G=*aI)9$xw#D^VXizw-&2^=xP8HIS5O$^Dgl&XS8 zj^YkFeaZ+*8~G?|U?PYKOEyD~K4DZSRm9DVIBWHv5g1W)FVIY(7OykWW|<$9(9|)> zf#}>5z5au!2rCr5xC(61(3$K3fpSIkWu6V(VGZg~$e?t+1t7_Wb4vRN0-ODwbH`|W zxcf5RDk$=Id$r^^6Q2+kY@qb;y`Hbg6w(ET@$1EqP8$*wrErHOFEc8ur+cE7b62M@ z#TG9J2kNy=N+pZb-}CQU=IlvJY^#Exox=0Ip+)J=W&4^b=2SQmYL@j@mWr{Bv7Ud6{gQ!}HqUBgL z>|jxHdCZ**Ia1J2kdwHA|6IQnjQ_5DDwqZ(kK5EpIgSF>6mVO$v+=~n1lvJA%(Eo1 z3V;5|8Kl}1M*)85kyX1RGbX}L<`LPaULrC!0Ttr&i!RHnQ|#SSjiBqPs=2HJlx

    bqKow9y0z*Z$LI0d^voDIMh2s`9v;ZH@l zsPqn_s7KLID(-laR(6(WrK)|>2t?rRreIUsXq6dbq7{YpGk?k$?gP}Zyp`zSnPm&v z&Z@nPPELf=#1TBpg5%>^b>u$j{B^n(?S`LE zbZw;2>g{+@R$}{Oomrgw_du06x6!F#dy$POhmdqdNA4tS0-3mf2-~hq!}v%qi#;of zyg5z_4h)scJcSR1M8By3Om>uAZ=w?!k8(m(j;mt{#pSogXjJoTd0`VD(`yv!S-^dZ zE0Ph$X`)qEVN=of{X^%Tr~k;Ch&ehqK8$vNp&@rbqDE5Oq**sNe_zXw%wuyE?^Ucr zGO1A#muoYA4pSkBw_?2ksPjfFP#j2gDMP&g%$sGn)}7_a0EV)haR*H9rDxO46OyQ% z;z5a)LUOol*B`xaQ3`X1{fOi&?AHtC4cMIfdR9^FE;0+)1e|mgi5MiW)D8YShPR}G ziB|KIGBmuqH{@C+NDp<5!vxoDFy^9km^7nBM~$G#W&|8$`=d$6jiWs>=zKhCMVRf+ zh%6r)-A-|{R3<@N<_DUy69DN-a+RsVE0}CW!6>;C?kw*{jsj6*bZBZUR~9@u0rK8I z2V8Xm1VPNLnB<1!klTbr6Ie)WI z#Y^Hq+eWdZ%Qe+%&30+cre*3_$pBPGQp4p${s?g6_lp!|$YRbKK#I;alPnqph|a0D zz9!Pj(%F9ZgN1|1bCWV+L*p$@ACM$br;7MsTfshD@OQDF3}S26ub6fe3RJkqGZYxoL)Lp7aEe8S zB7IttBB|%`Tbucc%F0-ZyZUgt-bsCsJ{TdIp-FU%V0m-l%x6a{M1@5xs&FYbozj_d zEf0=Y)*W{&D_qOoVK3;}8R;sX0oNUpM$5I*KRo(u(Gwts)(ibv^^@b6RA|G?;j(8U z>fSKrtG{U^$S%H}m$B?Wc%J6d3=-1LchAiv1>Qu&Qdic=79pkGWOiUotpl%F=W{)= z^Bns-6os3;u+dJAY&ESNa%09^f(4EX$;W#WU6xI}jYyCCY z_hy9PX}afDEAT>yx{H%kJ1$h5GqX45DsV!-g`j|YS@I<@jP+;ZZ0qJWBwyHVKHG>u zv2^C}r%d&iZ>?9yWg^-mD_uR~cq7iVgy##KuFI-K98~)Fpm{qWF3qNg7i+0u^7&1v zFExT?apPNmbm=pGHi)_*UUG>6sV6^Ik{?1^_tsn zNUNwM@-62`5qrSN>mB}*|2z;!B06Zg?-v)P)C&l-S?2A<`MH`I=a2?gnMsfaWVXf$ zxf#m;uz@{i)j=7MFLi(Yxn=4Z+X(-8rK6m6NMnJx5hFX)pylJfvX-@svS&(n} z`;Q75;tHDShYb}XJhNlNFE(NsydvUI(drW2m!Y7IwfV6s!K(i=zU4p7^AEHYaiymT zFFhP*Hm+Fi+P0T^)ZqT`CQSK8vxmX&h>-P0|Nc||^VNd*%XU9!wzH%11gL-1ex@^+ zK<(V+8+|VsQmkgI+Gs9HJ{}5TYf`s-TXOqsG+}McDzJ02WTK@$DM6zczc)=+txAJVX2Um4@y40*?#GIr)C)bX0y^6sRe9%-smno*#vmGes= zSw6}uz=IwEG@XA8Dyr`}3^OHkniy^NO6(oiBiGdLyoh`>N4|jZoI4>2V8%FYR8=fJ z>1%Dbx8Q0KVyA38G-qd-k!2my!Si3aEv3O{SWQQSL}(e$-SKYE*xhWdJjPS}zA%#k z6DzJN+0{}F%cokn0wtmT-3S8M&yjDNqhZJ9J0IgBXK2NQ+aTXSy8U+l@Uq08njJGKcsyJz+_C>HA#qB$q-B+Sv}qq!|Ptk2d^NjrKs zE}AiP%lq}QeSoMcweH`kN3BouX3#yOc!=pr?dQL1sBsJ9?x$S1Sne42TpUJ=#+?P* zbynvLNl7Du6tado{J82|AzQ$&*hOL`JlFJ8$m}`7=4#A_wjh6X`dA+yMJJ(Pr(Lf^ zz4H30u~DEL{Cb1;8DwLEz+Ju=*fjD(t`yPz-L;{|FKM?2Ll7%Rgq5j7yN`X`hkZCo z8!G@pH`6BIr|0VX7IJuw&@)&$|F5sr4}lJEyRRLIw{|5~_I9t2*UO)Z8(=rlHr)N2 zz+8e1?3RKwd1hl5@;K@6aEcuKIks|>ax?W|b%I6KNrCbL^vI?%Na$Y~B{olY*F!(s zeI8V?O;TO7kZZ9F>2ncQTS-ZKlCQGSFRxYn1|osN?umgH4gmlW>y9 z!E(zE643oC2Udi4Dl@FIenv8U9W z>+y9rYYmFl%FxY?b|K<@ekL`6aFs%iLS@ts7pqo>+w9zmfC)g{t+_GK_4#n5#X_eF{tKF-~89u8I(4fd&^J_Bei^~&M2Zy;Z(@&SDg|Q z)$4(=aebblg8oJ_zXJE{A(q;n>QNwIh5Xb@S>;E$mR#>)KG4$~(>Sa$lW;0C4+NN| ziu|ZQBVn5ArRRXIIUK-HWsuQ?n^RD2w`|y>NmgL@a;hsx^ga8W6DIenQ}Ikxm-%sy za_?D1!{nynF=wjb2FIDC4qS-<_;ijQ#^y3V%6S~5=p(2(n4^P4e&E3d0(j7L==dEL zC+oRIZ|4X^ZdF4my~_F%I#$jy4mGY$!gW=CShvK)icMm7>AOR`fXb-+I9IzbETCap zipqIQ9VnXM_kPsHz{a=pGj27=x!yhb?fLRpW={J!`Vh{WM)dXXvnvAS42$e{>J&&@ z9#;buG^bmzEwEiNs!fF>9-v%=b}HKo1dQ0M-O7vJs#e5zESym=yU8Y`+Oh+u&WCf4 zttNI95{=3&l6#f;s&=oib436z4sr_oVRD2fC^cAm*`L0|RzJ({0a@U3IaZ$wIrg+#H~c+r~| z=|h*tB@ERR=K<3bWf>Qgt|{&-FAGBV&CcWBt2UtSD>J*Vau0m?b(b}fP77D}?A-5l zyoaJ+rE69C_DOGwue+h!aU(R<`{rNCKXjT3XnEOe zXp;XLT{`TPGZ$r%!2;}7?PjlSx7GUq+8bOv_m>tgIs=Uq^+(5;FAUo2*OiJ-sMH!0 zX)AwMW~)8a5{``7K{wu7Ay(bts|qwVDG}C^FmBu6o)u+ver)2mL-$v<-c4Kn#J&K&ws(icF~GIp^OQYz$rII;pC`plYY&@oKlh^%V>(c!%8{;AwS%ReUAMoNEE- zq@VMDF6jLsK#c-DVm>GaPVhOPZcgKF9Ka{ZESrw7TD!pKzgK)95dgGyN7BnJfbZez z9<60cf(~GVl+c;J!c2mqOczbxm!))rrR}Akx68YY1_yc;w;jlf3RplDBJZ}|)BNh= z_nwv5-FW=ijn)$~+JM6fJ>Q+v)hRof`~m< zEehC;k@LX?rA=M3EIk9xx#R2czS<`EIm^AJW<(rBGhdnh3M_`j9K-hN>{U9)C>9WGg27S%9kM@=5(4=E7Wh?IVAp=;LE3-%^tNGX0(X^WdMZ)=r&FvlwF(*ezRyd zU6`SmB`0^)CU-4BoeRJUmek?7P#t%p9A|V+);k#LA#<36^zBnu!jq z`UuQemiZaHc~gofJJvTa*4UiKo8%~WmMeOvidfy3sgB>ET9u*Cs^R>!7OnkMGbP)U zmRz2bT%M6!o|Oz&6?71xrGvliceqCR3L&K993R*iuvvzA%V~0ii(O#AM{n8i>vZ+w z!Z_kDH}gf3nsT(QC8I+8>H26FtjqCIG$;erYI~bUZ6|lhAllO-aQgna-nvkS`B4~Z zF*4VI1Uxw&{}(X96?-}yYx2w#Ln3_&uC;gp{f(EqW?F`W{x6e)~ku}4nbV41B7kam$_&5_@z`;33d+4=N-KIfxQU~cIej`e~ zHAI9V?Ai;@oT`q%>XgAM{xtAax6!ff-kwd8_l9*>#nvp-&VFm9+x6n?vNw7{HS=$q z53Yp?GdlZ9AS+a#ihRKJ!^S&6LJ?Q}@f80JH&ELQvP6wvl{ltj+oqLlM0F-%`h07x zwWD2v5k&$ax~Rs?o_K&mN%^sLUB>=l&50oJ6O6x_VD9%Lj+9@FBgYx66nTK7%^K>k zVg$bsUof}xL%>Ju?eG>7p;`2fyfuaZp5gx|WQeR$L(pc%#20gBX(FXkir%ctj!TZE zXxVCzh~N8Wl_?1nB7$o2W+j4n3urQ-VW;n90KRD1Wsa$3EI+7Wr`6MpjjRU4r1B$X z?<3);IwWval&Mlt%UO3voP!Exm3SgySaIwh;1Y0w?3VVzOsiwN!}PJ6 zOJmKUO3jPPfeY&UyeC@o_RMV5?G=}q%IMQFjQUC~JO*s9?2CD#^%DJ29$ms|c9NZC z&*1-at0N%N?8#?$bFhAUK&0z0^fkzQo^gOQO{v5OzI(}!iK295_PT`IZTN@E=|1L7`~MJ??cSg2u=$8h_T+ThDaJcpOk+XG1^0%5==d->-% zOu@$KxGRlEpONkzKeN^2d-4_suOC0M`Sew_zwJpAGEBkIiKCiFy2hST3`O}&s=P|H zw=B|baCrC}mk4KGAtk_Llr$!4X8zfqg)QI>#{#Zqk9A^O6lC<29Rel9?6&>I>c}3P zWxyz}@i$&y=?rAIVV0?)6mwp-tS1{YKY;>1ya3JSu>1T3dticNX_SwX;2?Xy)o*Cl zUjsao!9r3Q{qZS5lLXA=~hX?cW@u0v*%0N+s zLie6tdD@$nxQsxamnK^3Hj+z@Ep2$@15-B`??+v_ap%jXBLBQKbEB(G-Q1zaR@*JV zgU9Zjo7c^3H#MfzlRGdG!6el~_;%4z1evKgVFkNT1nmusRoa-iEP&z>(kgD?GD9(O zVr1YF9a`pG=!PTQFE_im_HAGu!#&6IPhXctcCj?qP$0#lT@VLw=V1k^bT0f0(==JO z&F_{Dz+BN&jp9%XHJRc!3c-|2SvEVpHAwd4Le7SqUwSulO{VP-06(_iO@#R3T8EoL z+G44}z9F6mxm4WQqRxuewyP_9JvN_|4taMui92FO+#8?l*?DBN`RM~(I?lU}B#zig zc`E~k>5@hV{$sT@&2M+K7voCW!s9&xznG8q}Y=SZ`pYvUWf_D~IPuvHlBYE$;JB2Rg-dRtw-FW0v-n_MTp z_%Ek^M8=Oo@ltxyL;g&jCc@YytUFW;R*;l>!7{o@uD^v#e})RD9m6qn1)c;H;2{Za z;O6#Mun<P*%57(~3=Sn$W51}JmPfg&?y?3ss5s!fHdweZpm zt_r5Q$a-_Go!*RM(rgS9RcriK(-NljzOjN^J78v!r$XoxmwGG0WsB{pdhnaV#hy<~ zG@euII{f$D@pE_aJwV|Fppa1Q3s9H^EGzE(PRZ_j0q*W5O^^A%g+nyD*G@FCKh6pa zB2SjB?`;@gn<^HZ1}k!gq+O|E@mS;e7GM`@c+3i?ilnpT;`vhZ;kH+nyX7;Ki(f5l z&BrvpqOPLZi-k&mN?_(~Rs7Ra<^Obbxq7T@ZVa$asm%SoJ0GnyHm1ATLC*kIFth_g zhjEB*&cO`%wd`Ev+wy)6{>`*PViRLIXE*Gv_oLdl6U_dv$tC@N1t)9K8h#MN4AM>j`GkdMAyb=Frx}=&% zz4l&?bxeMaU!{b@nxTdp^Q-$iaZ z7uk`z$-c_HhZfnZv+LgU1WjJ71UJucrMi_S*twoR%L_U{16>Uy8J(qZlZ%ju64Mm}0MHrseISo$TlgUBLlM7JTfg%PJ zwS%XS7rO=WUq+2{s_H*UM2usmuvl}_w! z@?Gxm?A_^MJZ<}J!8ltB{PvGj9nas_605ZxwQ+}euHKTA?P9w?CC2V(!2qCEc=<1K z@n7WUzq(}3zn(UX1xnXthb^R&g`G%GFC^&eW3|8I;dgj!xP54HU+&s^@9&EC#jG;`k6UpLM32<_JtEFb)R$%Y2e7&))s6 z?l8&d-5gNd^{q4Mk>|2Q+>JPPq-!FsptFmWRIcX47u8DV5e*&=>(t@8Pea}j$8+s1 zcWN7*!Z~~NTB8aG4u+nD{WduIgV$jFwf()-b7ZySTr+rC+^O-5Cye7!w#hLZ6Jxht zxAbMWtJW$@CgTT@I`pN|2CAc@&ooO>wpF=g-CkMoRoJfD%t^ync@}0~dat6{_i$(& zX8IC8efa3dD@KO(Elhpqj5Jc19ej!LMxQ%(Dj9weck5=yGs3xPDHAS4iGGoPGYsrf zMYwK4=t}QhX7^0()ntDr3w*-upv)w|k8}Z*?x7?B#r_TP1Daw4t1DqP#i{AfxzFg) z?$*3!&BZ*gV>x7#Z>W$P;+0;q&%Qq1!QV^$wVRZYwtjqi+*xatBzjvr8U{_+ghRSI zECl7GW4ij3Wp~CJ#&oI@#&m&_$=9If0)dhXZ~gU$j%IvFJDHS*i=qF;B=y%3mr|OG zsPc`3>tvNTjlvEbZ1n{1`ck?p5QDpl4`^St zw6n^7JNp@D#?@OtsaeOu)?3%qu007mRPt9$%igcrZBsE0sr=j-1mg z5Zm=B^rnIy!`_!M(Hn&Lt^8ar9=5<#Y2wk1aI;{y3{wEhYpv4}6|ST*)g1=`g7qJ! zF_+FQg*jdoMcwrLhWgBA>wux7(eEa%6B>>sE*|C-=`m+RmXZmr!_jk56fUv&CAcz2 zMBJ+E37JldY+(520Pb#ChGLCy)?QhAQmrHnSTys8vpd3Q(^jE8ESHsEo8E{G$%nwR zU{i`JlkJxxp=M$xji&Laqp?$Iv~_j9KuOhKdf>~iF9tMIj6Wi`qIw7pj*Y?A`>Bn^ z+^=~ZU6);m@zqCt6UE*vHN()FfPYJHR6)ZUZ+uncO<* zN{KS_S_fPmnma^+^GRj|Of>&eH{9ByFG_LF0h@wvr+GZfR!;Np@|pN83`Y!xav;O1 z3lbo}YyBsHL@8nw7#JnaQxu7#mKbJOQF0CTwK!JdFgJUdnj*HC7~7L#tz-CEi8_Ak zw>d;@qTR*6UhBdDjws?}0{_Ti2m+&4?DbeE03woI$OJMk!2jz0&z#EL!$5e=rJ8}l z+-?Ya+2;z-E+O@hPB-Bk>}D7;_RRUbfadgi#!+kK7*-l4Ffpduq#Dxb=`i6h8E$~V zrW_61{V^<4(am05B97yFfr$vVA_TNhY3VRue!)VW1-Jc* zgp!R6QHQ@1?{7RTVzvqC9S8UD@3@#Z2mRf34+7&)qU3!5E*wWKSP4opg3g%FsH3OT zh}866%WT7_bJdVlypXq7wsAiY08H5KI2fq+EQ>I^LBk&3D`HJa4#BG$^fD>~$Kzgw z5dpuOKX@msYc~(7Yqt*i`)~CTt$KOQxn_Fp;(x_$p2=MYaP{q>``Y_?8Wg&M+A(_zod=XEGIMXa(Ll0&RoZYKe+yWt3fd*AxcP6vlLeeS?mo zQj6ua!06*d3v(;3ZwCLN1ehbwX_nY>h=c+pU6tsOa%khheG*(3jo z)4v*7-zNObbl`uIxQ20a!En&m$*ajpQEgqlc&;>r_{<&&(9bGE))Y_B0)RY zh?iL8syM3}AtTuKUwIvsOmDR0*Orv~s|Vc3>6Qkgp7*=-F&wSwtdbe)b0@lYGgGW6 zX@;7P{91lirS)Gp+9Iv2c;oUUU}_?zgXIR!l1HWey`H3f|#m+!QttX8vAv^o>U zvXP@07YBxasW307q1Ovpi!W%w&787-B971O7F7_4^KWdokG&B{t*-6z#Z}csS^9iV zMfWR^B=Xam{_?zQOK#)mM&|aHzbw2P67IU3dgyOD&pIG2;Ll1juJ($CT1Fo^nCev- z)irYT{4LLcw-N8FK&zhGLISx7=SG+*uwbuPGPp|@H<}eV-a2y3|2z@w1I>PTAr2bT zk;H>q-z^PYLgTRCt3AFY^V4u^=)mj9PQJ??SkXJS>+nu%A$xg+Jni`&7wwZmWs*GA z?U-L!5pT(9@r_bmp(e;GgU)D;Fp1?tMmEh|nNt3(8LhZq6m}l9DCiUdCCCti-iVJE zqJi2)422S$F)ZN|ReUrFUwrf(OMKK7Xnpa-M`vJ@p~$6R=YJOjownr$o#v|cxysWT zwOP^_wK35c@$DqB5IV@FDe45)*486^m>T;Lk7a0ewUBS6g~aP(pjUapkoDt7iUoOn0o$r})H_0` ze%v?jB?|t6gdDr@ZK?58)Rdg^vFyn4muX_sh`*_X%wsXq9}Oklo?c4lB5M!yKhc>@ zU#W{hRh7c{?CTT}9-vfPw5UV8A%*!La%1BcnuuDCI*@$_L7e8NSiD7>;NoW4S6nc-7Ug~_VleiLM+cQ zl1$)E>VDq-mU))TW&Z?T5wV2mE2b{Y>Ixae1KzYw;=8G$<>o|2$4i?PWiz3&RHVzgB zHp3w^4~15Kez~*2lOBqj`WybnLhaGOhW6GO@$mWC? zZjflD``lj*A;e5k(FGMN<;B3=kfmP4C_HiAdEH6m2Z_;#OGxcN#z$;#?Zl|IcwZk| zBrAyp%ywpSxjOV8Tvl3XC;Ey=o)|OW;&_fz!n&rgLs9`hkKZ%aTSDA-KLRb3_nroa zquQj-L>UB2(gL)rt?MeraYKUyH|4pCx{EnWz<~6b8@H*^pOAfkvOI z2%C6g%%l-Pa-<|n@C)J1o3svfK)q8>OAQ`&IKA5<5KJEXyjudMuTJ7!T`S+ec?AhL zA-IOwc^eA%f1n_=bw$oDwH*Yj!4(Td34OB`_Pbs1{}eyE$|jT7FP;v;Q*Q5vl5co8 zB*D)Xh#Q^D9ON{l8W+j=;xyFoV8>+1&4$BasVGSY>Ul19%$c2wmU@=Ek6oh|_ciXb zkBf^#Y`cwuXzybu15Rso?@adgjZOo7#W$p$Fr#zlO2ozeQtA)Un7>TJ-&6NDDr`0J z#I6WU^g<$e?7b2EtR5)07>~=H1Y^p^S)X`Du#S>DFIj&);Iu{aJ>j%rX0`1Rv`2Hf zfA>8ve_r{1v#5N}(+2bpMRwtW7`5w$kEf}#m+vH!YO=b<LNQMOU~z%SZXB;8YQBU3D*|7XCn4xEF1 z7@+0F*4WkvQ^_CWaeec$pO}h> z@)9)C;rM%`u2?-58?)?n3`RbuvWpF@q9&^6jGnv}7;uHkrLAShM~slzmyC+o2nxgh zL+9Ld)xtOe-ZF7EqZHN^Gv-#%nYRsbzwSpJn5PEG%95M8gq8*7J@Ad}^);Y$$VK=Y z^gRtROwfQ_7a^?XG^l*O7>A&F?))yuI*k~I6a||c?FKHllondju(qT zxdI)Wd9cUVkfe*-NvH3KT*{(a)kiKSc7D(uoS8db&c*p;^|sE86ipj)e+n+GF3a&c z5)1i&&vx?KvvW9%<=&lJp2@3-wZYk`O_qwj6_kZa*=6RIS;#N~HAxvLI!2_mL;k(? z9D)DRR$4v)wOpr&Cgm>1nD^?R*~=D(se>4*=v%4Qz*+Z)Gl2&#G4RWsheheZ%2tGK zql~+m1ak#HvcADSrf}t5lt{rdJ`&r8@sw z{Jp8>*b2K&JR31A%Oi0U^-{K6E@?<+%^Hd6&uyH1YmjRhf9=EL!T_g3FD|TPw;0kE zf_ajbFreH6#c+Y7rGCkJ=`_7n5Z|SM^+=N3k)JLTn-Qo9=&^ZUQIiBPD++VMIB1qY z{@jWySAuf1M&#miQXhCtf=^PHp5V+RlENa)lB+@Rlzl$G`~v(iq%9Dk<|nL}sk(69 znC6})qZ=RqJ%#~9`2U508LT`WQ~gu2gIe!`1C!%;>8kcNY*9kc&e4m}4Y#InK)*+I zi|K^^5&;PJl{i9ig73h@6%((h78jL(7ze|I8{F3vrbC09s_|E+z{oTJEt969bw{C~!*<;^6-oCAQaxeGqt1epg1r*0l*)C8|KE`s<`rY$ZMnT!3>ToLTjkSLiI zrxGp>BEXbjzeLq>s>cON-)u(#DqrO-{1Y|XYJ_fqaU<6AwrW$I@~&bzL|S9uMT5Im zmdtw|ee)5dl)MlrPUq=K#yZ-wFygz%hs=_Vcx7k@MIDh*!a&=xGTLrrTxmA2C?{{t zL~bd^Zz=C?D|2oeCT@|DSyP{RhMh@1G#PZN;QfR$9N;I%bTYA)*xT4c3%a^7@sLnF zQv<#FohKx|PhPlf%olAFCj@cNnyUorhjeo6Pn47+NiW?Edm`dzWgBpx+jYP${Mz)Y zu6HW(c(5SsO_GfTJ3a$`lTniwUHz?5^Oce6Z|rk2!9r6A#ry$Yk9?vHfSue^o`|*e zlGvQ>ViuEsoIZLg*L&^WE}_4Cop&h4D)n%~qScxCxSun|eaCLuFC{~0yvpYVhC&>F z#z(tKcF7F)mBA!6I7DK3&hK|Lbh#r*>QC|-_ww;MhmN}J`qCy0YJ7+b;dF;~2DQz2 z0~ai6XvnIsW=!J3BI0?T3$K2 zE*hV?+;7h@TeUQE+`IEM5(*P+PDy8rhZd~kS_wgF$TTOT_=nHKd0S;{m<(B#V~AYZ zs0MCum%>rL4O)3)i(IN{1#Un$LbWE}Lj{Mul`dpE&ELSaI)Go~;oupsny=q)h6cj5 zUe#UX0jfS3+waL~u%IUE0<8zDPP#(X2mFxB!rq8fQJ(}0$?6D-0rlwmseTMLDW0^h z;5F>mT;MlkXHYS?Vc7QED9kVRB%epM-RL#j4wki}=2AB;F@%E#(e50K->(-frEXH= zfUc-J#xK}am3K;0shcH;*N&ll*T>`Rl)IdOK?%wC(B25Zlp5;tF5Mo3yoB$vz48zH z#wg&*1?lRM`@=u3s>2#!Fkyp_zZq##U=aB|_o9LM_~CJwE-umsrM3;fQGKTvV-FlA z$aeOe+I2xPIJ|a4@;KW(H7LO-mXzILOFJ|l*XZg3d8YQ5N*U^ zM}gK9|NqatMk=sJODDk}7)< zKleWVJ@N~NXeK8bPwy9(wd==f>Fk3A`OTjHCvwj8eXH*^YD=zDUp(PYc*d}dFHQ$a zaYgAJ;zL^ksQfnJi}$eDzo%De(MY=YI0ndh4vrUOT*+|3&nD zg6pOYb~_GCn6PfC*m+W4(jqC-Lca50%dtRKI_3{{`jz*#O~(}TLjM)w|8tMLr52w{ zF$tk?4(bpyGBbd5vlW7%U?wq^p4-Zi`Rz&UJbih;Kj!_;LIiA}YEOOOB@ff7LITr? zULF(nudJA}KhJj4cTVxlVP!KsxtNa%K-PPNpC3z7wt;J72F#3$KIjif5KcOX z(qki%1gr;sgrKXL6sZ(C?*Vx>NR;oJu3Rq(EH%1+pXlB)%FM23&7|&t(~)fE*)~mg z+@RVZiDBE!2w|sidCUx%3hq@JS&6dc{B=)m%zXfi#10;z>#wt2zMh{XJmvn)*ZX(S zj__YM1!%sN;L5Dbbbs*$@ca+HpvEJtA41N)LV{jH@F@A{1OA*LE{#UpJQ;8Q@*kK6 z+%%BcPi&%^KvSZ1g;v`6L$r5%N$`&xMrcy1;gh&`Ixacmg1y4dk0uAWc9N`|EQ9JX zV{ogeghkwKXJNuM_SVx2`gv`jPIxQGbmX@)u!`E?{!KWh*O~rs{r}T}2>X&DjN0SFpL3E2CmY*wh-OSQD zQKXPPgm$CUAg2ZTl9&C=u^EZn*F~T^^vlI=UYx%9K4|&_<+n#SPXXnWF080EyG@F3 zzvxZoV`Ja0$g6y9*(a8XfBh8de82I`gG}^jyD+`m;^@J)HVUeN5>KD~&We)TZsn-| z4kHdQrD5;-URoLGv?=r@w1wX{iUuVIXbz&6xr9N& zZ7j3Y)8rMC*zg8B{`@>1PPHwxweBS{xRg;<1~EM&>G6q1A*mK&@Vd%(YE)@No)`Bh zc4gPY|81HNs|fb)zD`dV4rkCdG2Fk7+y-HZITRZ^eHFX?|B&?#P?81Bx@g;+wr$(i zv~AnAZClfx*0gQgwr$(5{`1bg@7;6PibzJ~j#_J1R%YZEk^203-MTq)oeKI?|GYqJ z#)xcod4xb~xAm|C)@UZeAY!&iSGoF*!LonZz|k;Wt`rj|Kt7xbUHda(PQ=!v$n@6x zVF#Gbu>(yw*#iw%@!qPg19QeLCNW`h9C0ZII=^qK2Bpr_LltQ&CzJ1W43gZYH4=in zvEO0JfM>Hp>wn_~M`B;D_(K{LdVM^NLg6-e#cjSBF;<^sU}YqB=);kFjiHk(fVVF{ zq!iZ0ycW(N9oCUSB-@<1^@GXmWJdT+iVBz&5}-_^pC8-K)6!saz-A7$YC_>9{Td2} zukeYuW2NscsbyWvSi?CmjHK@_-kyeISG9iP)$7L?Y zWp>4{kLy(rA~(>Q(hwA*0L3HH#<9kCPezJ<3Wt0{ir&I?qYT%AmzWA5fR5&FmITI+N(hlMxx z-G0*i(X8Ubw#k%tGV6`(d?$w_n_bfR4(XJ1-kcGw8bv!e&+vY`K80(m-1|4zh0KY} zx{JGKOl)V_Z7};j>g&xyDv7S=d|Rat%>33ueELiLjX+*5?!(er^0+#q7`1gw)M2!{ zD*qd`k|vhoP{%W=0 z%&@1!#VtdHDyA)*EZ3rMaNU5zP(_D|||POh9p>W&`VadmO}l(e#ByemAL^1n8S$ z5f}Do{NmW0pdSZlO|JC%$I;5JUe(Zv`*&8J8A}q32spOKH$AjnK%>ql#IB5J zpkqjzqKR}rg8nxtqG%^!V6xScy*X`xM2nCF7SG*YSO{XX0`UMe3zG#XIoEq;QRl#i+h`obI3#a5xQ7m` zr`fQKopvrQ#jPs(?gDM^i)KK4V?d%Ak@K-d{;&zIZFxGZZ3pu~?}o58{^bFOsYu6P zz{<;`JKUxF0DQiJi{sJi8@`KPMTHxW_x-M(ck>388phMRRXc6u<-=E1Ca`B4UjQGo zss2@rg6$8jUl);Pf`Fl=L3=|$`GD~EpQ1o=u@na$@u{9u7sa+i`}l?3jNU$fZeS-yZukAy6jn4RdXJ#gNWw*AS51`rMm72^lyq! zhaAhXAoQnZ%~==O4CdVY#2T@oVq(M^{Znn^R!ynNF4OXLDIF_?e+ z_ThaVnGCEIg>tI-Clr+@d_c>Oz|xB6A%WX)9A+|ocn9?)RE6jX)c8I`2?PkSoF1;} z(z#N>>lao~-sCZ>_Jtq>(^E`uDiDxo=hD}uZGx?WX2*LiK}>)rvQMz$RF>KoH&#J;_K;GbTq){}yDP zJcQ|X+HdfU;0@j$^W>zq)f8Q%`CVn#Qb?>VxIyDP_j*7`ib#lMoTC- z)Tv-vtNZ8dgK`{HIdQYB$om{le}pH55G&HTFn%(3(mR0=YYk@m;&2Ql?+I+EdtEmw z)~lc)q>LUVkI>a*I}vnjv@%7Pvm3WFm_VU!d=~*Z1;I^boeF#mAY#w!?3y`l#`as{ z=-3cKA+gqcyX$5YC2!F6F!Omsh&gF&@+2D>Ys{o1wz+$CW%k?Qrv5x+>Q0o06PMx2 z`+2RN<-iFFH*p`OmG?CtGT%s#bUN}mwe{Bnzex?K=>yDPNSMfT;eR1xwE;f4FpCh% z6Xkxgcx{{f7l|C>X=typpYJUlFX1h=L8b0LHb_&3*VlRYx51`S5K+BXQD?s~N#N}R zx_+-OWyj*=6U$p2-Yq6;x*}s~#v>!blVt134_DJHK_n*<$E>Qv^Fk0ZpTCma_&+-x zZIuV)jEISbHH}O^ML<3zO8AL}Ee+~noFS5n1SU@fYRN`ph*cEW7??E*;UpOfz5OL6 z79pA~as1t_Xx_HnJ?%8ab2tBWu5aE3DEsCd?GI0$zqzJW1gzbq)imh94Nu*>rqQqo zm%p8t*?bmJ{UO3%`(&Mt{7ZRVVS3KLf}PA4~f2zW`JK{LBEt*jP^fIY<3~ z7a#Cc#jnI+fac69q-TIyQq*A>$K?Y8(QonnKer`b+reOCqiVN$6!N(M9md*ya*l*e zNRt7Qg@gYY>Ft6xor2`z&NU4-+3@Pl0PsC45(O z=4?|M(=S%EYCh~AxLLN^t;muhKYV;WKJ+?z!ox77m@CC2Veaac(nkb_AlT@&woID& z{pHjO!M*G1&+CXkCl6^SELEm%1!c$ol7jZ7 zVMssKo_@QQprWkyjKXdA!GGFIY5Y>pjM3x5^8bu z2|sd+*(`HkeaH>l9Z60F9fIhz3!fg%Cy29xW&2ohuWB${w;}ad89W@nvPkC{$ z7_!%$cKx%p8QUTJ?cwR;x%r@uWZF<5mW|dPM}=eIR4fkbw6)Os=NzXH~?2c{Jsszev%Qa$;2vf6QQ9J;U6BIV0^B7+TBM zIpE%~3aNNaBg~9>ADJ>mkxM9%x6&o^gP_SD{Qv9I~gt7GHsT951X zGO76@e_S&=%@HYV2a_M*WY;YY4G^KT8j)*g2Q9d{FjvRm6_HUv3neol^^&A#WokHk zB_ignWWx}svYv#pNKGvEOUWPR3S{d0eLNLOgixxmJv2##8qoJyLZGvnkQkyuC~Klf zE%+o)kA7DO5T$XQmbTg^NlG>;V0-!GF83gs{0vZt`w;_$gP>>fc1b>dd{JlK-L(~- z&)IgXvVj$IgYQoB7`%CBfKV=p_0v4!s!io@Y`Y6lgJFuGwbx813* z+iQiXBE`?p%v@Xy)V~eO7Vy2~oSq)DJP2qc;rWmX_PsMrJNY#1` z;~ChF4H;g+1_YO2TEVg*a7%bKx~6@+&Nb&A=Cil+oU&KGZrrQOlbvf=VCn6or=Ns( z9so>ZT;V4=3d$qrkM9$0D+ecf@i$MU&h`$RFA(7m8LQtnFE<@mBbkgdSrfj4wLQf& z|8gz4+{NZtW>0bh@x9?r^qilf9G0xBEA*UopJHB@7O4(gA$!htTNLwNU+*4%Dm@-w ztEqGD<-6Q+z5lVkh_b$f@PQXMlNS#8;A8TrToOjnxgTn{z>u$q#kU8)w_{P`Y*F8=t%~J<}2x z6WskLW0A~3p>^{oKxuhna%>?OlO2H((v6lk-HTJ*W%{FL_qy8W{T7brJs=|QGf>0r z!L3pAUtHPMgMTmKJkhzIla}es=`sn6+x`UJJ-ye?9k;g_tsT0YRtxw2K7EWyb8*3= z$?)gy;^W}K)U#C-;{0i4KX%KpoO& zSGOq64Wbcx)=c_fcKn`&^lpwqTpC2@T>323t$HC{=|OH=;L;*uCbi0k!Hre@*Y>-; zWI!|qvBr3M^Y_Qwv%}BB$K%_lojzOe%1kHd(wvT~*|w~$MdRJ{f{$P0*nd*gpZSGP z9?IvE(l_^8Hyd@tJOYbtnoQIF`6mV-EWZ6miEUoOg3nA_r5dVZ%YLtfed{LmIA2xA9IhEUb2D zA_vCgVZ++*@Ub)Arz=i$v}0o#ABkjVUuZJ(8|)b_P4UzGfs4702n_T?ex-C!TN<}T< zH+d5iH79hEvGc~QKkTW_$O(aDi$}ZQ>Pf%I{sgXL$T35>X){CUb&O%IwyPnZ#jmoe z4O(=I6|}GsPqej=6;*o*Hdb2!vF@NrT&u)59bSMU@`;D<>?+3$$<$522(C!EC{=iEqY=Jige^ZASs}25Q1l{^&^zT zTJ`m(K>N+WVx7x(Pv_pnKP@~fs4WuL=^F~wV2GV#rd`fwsMV-nXjA)I`h82$pfIWo z#>eHb=r68w&|I7|V5rp)k=xqUQ#-2^h3gNtFO)Py*YRgSy!outfVT2}K8Od{E8|<%F20I0g82d(7!WDTX=A-F>t$h=h>vsk zUPeyB(*+2&y9K&F*IRO};wC1xHoOn+rmC@LBt5;oQ|qs)Xuc^;zz=b?BH#z^%jnFD zd(hp}{ajHAwYmf~R_-?b(oQ_DPfX05AZn3xYkzloxAXVAxQ3khgjn+Tv*HnbB<84L6iDg|5${<4u}&7P1+GEl)?@SDD=fu-Xd} zn38Wv=wd~f6UZ7l0I?f^r^e3mb&l~eBDE|2+kl?qt_v!=io>p&0Mcr1(vxenS@T-I z%uwiYkSPo2B41R;Q{J*(IPbi7Fv96WG;unLy&g}-(hVXh>M)eh^SMejts{{WNN+T~ zqPFk^kI&Oeg{^(pzc^sn*}2aBsr_no^3m7t$?#JX+~D-heFK_t0mMXwmGn5T>kAoi z`gdyd&Ae5(P9Q< zJ+4~Nniy3>y$wl2Jq*d?$kn3inj1v#I#+M|cY1H5@mkmzU=H+%s%7S-^-ri3Oeene zocc~g_(NfFdkpXUwV|)}gBPs3vx+&Y7ozJmK#<4KH(-zGzjIL~{tf?gcT0jIo!E}2 z$$_*{TI^EFIN^1ccpeV&w}G^*EF{%hm;Ci~07ZM*Hwde97qCd~0x~wm;9_Kw1+7FB zM}N6~^IvXBCx<|^Z@rR%8RSYIT;^Y%-`!hA2d!kiJ^|PE-5fazY{XvDTwM%5nLOw3dX$KY zrNEM@@P2-*y2I7wOh;}Mj#^P^Mz{C?ZX%l}gsg^z7H20GN^i79{9subKp zSPNbeSmwISYK6xat3{z$wA{By=^!WO+Si{fxR6ONd-99bzlN?seBq9Vp&qS4+%b>- zG)LFdmXL~|Q3dQ;!b8x%1_6><2~Qybd?TDVfeODL8y)Mg2{fVRy|w+{HHloHZ<=gu zr%lTJu)#a2TZFYaY+W{3hg@w17!Sqj9|Pr};-oQrUe79xtazY;eJu@H63Xif5O81J z{QMeN)JNAqkS~m~CR^+#H^riX?hPN2F*%*fguSh{Xl&bL+~>XJsmn*{@57^6e&uec z9;Tz{TU(DCmV!{ek&rYfJQOV(7a5DSd8RccrIr(D4mC{`a(m=z7z_`0Ohv59aG-`c z`XGQ6a$tLikTgDky6pJ`X`tJPjgW}l)8De32BG5~fMwQM#RNMdW4zZ_r@hnHE+_s` zO~?7DiGzIoA3Rx@CFm&}cwgIo)~P;lpj}0k^puSXHCzY*VroIC5C#*Z*luKyQjLjO zOamc)7PW_nd`9ZA1`l_C%bt)m>n)4Z>g|zl`nZpHiXNHWQZPETL3ny>i|O+48#OXp zeK!sa(jWw5ttS!gKs5|v|6B1_AN4@ta)h95gDON&k!l!xv>F!rdCOwS9<|6(K&ny& zoD}hffih40zdHza(42g=Id>P1IjBlwDL7((gSs>XPo6mF|HW0mRzQl5bS&wNkgA?w zHeB-!&N`|lDtm!_P2AtKZ`93^Pe;OzXS8axi$X>s%UubkUrOH{U%kVoY7+@1e|p$r-u9t^Ar0px4|4vxrL;8WtTZ6hnUc=HcpLoNxe7?e@uK0{YbD zha-nW9X!Lk?{o96w{gT~g(nm1x4qT#`DTEDe!4{STbpYHv;LZ4+%tmU2KDlL@9by= z8Q(7D_LS)*0QH7XAdq?#{O3@SpgVAYgfk0qCqS4cAb|h{YL4lSZCMP_9ec<20{;n_ zSa31!Zh3*cJ9uvPwOQt+(t89ds5)N|!>8_VfujSug!3FWXG$30W=lX2e0^QF>On?3 z2!c&#F}Ir>&idG*w@*&iQ19^4z;@Z+K0af0MZk)>-boC2`aw2p3Hh_qIr(Nt#!wJ3 zz*g)Ju0wIh+aKD2rmtc4>Sh$LnKKz&S^f;CRxJ93W)`@!!*icJuW&_0Z(g^>TkUJB zwHisVYyIHOq~@v$4-PF$HjL*{-lj-KLy5CAU5kP(!F%C;Bw)&Iyz94tcD&A}cvI;| z?)@A@Z2?Rs5C@1bXM=`Nw6P2$uB34z5c(AWvaPFuTMV$72bj7NNx3km34Cpb{bA zfZd6uox1Q{bmNeWcc9y^fyCc{T^4{}sX_HY>?~aH7Z!9hIm04L+g{=Xk01@w#Q!Sg z`;IqxY58oLq#cI9b&_YKvn=#dU~z19Mqtr>J`_*^Yrx!iY8(3p(Ppe+#~Pvd?M?+aAJ>Emq0!R z*Q~I%LaLfLMXIWB1)8eSni?oO+Kcll*f}?cj!&9M;L{S&5@hvfubACL7T+QPo2zWc z{h$(RIG$p_KgtNKBWxO@sN!{Fxe)M{wbhyZbQ|7|4bd$6{s`K6>fgwDe)0~`JHimr zD{dbS%=*Pzp@hE^XUrECn(G}pjhb529-`@9BR_pO*P*2H22~mL*1-%t*T^Ztl1eDg zEHV@x+r!VmFhgL^AfJc0vF`iDze>n6{t><=qA_R=4?85UyI)Fwr+gSIs_=>W#)nMW zya@YyS;vMLmUEaMGNtD31t{FHNRUkGA!o9*Ij<(~qU`73>i*WVphk|#gV~ROY8stw zwbk{I*cL;zN{0-l_B})b4x@XkYJVPZ4eX~*kOm@r^gbCns)?s$J*`HHsgUAi&)SoP zd?v2g6vg;z+M|S9P&Cj{g=Gks3TD>rjtg5``5;!EYy>B9;r)gCzU5E;cgco_0PaVd z7})x;VqEliQv>RkDs6gNV$9{42W57WUJe9m$0rejeY{APS?S!#McjT#-+@GaUII;hKF^-=ME~4HZNMlPF<|V-jA6 zB2&iVjR4v;Gw^~JxzR<)g7o=Vh1t|&bluA01|h>Z+mZW?4pJ6fQ0J|HyS zA&JXBDj4POwTzs!q((vpK5RF()0BDcaY+QKCAYG`5+y?d1<)zE&#U~r08SF4!sbRn z4D3>pMD~phIPSkJVQ6w@a3oc~%q-MS^^j&vo*7H#*!=~7EH+`r;JD($+S`R93FE<_ zWM@SuKNG1%P?#P#7Hm&myCba~3>O}Yu@kO@9yrE+>&TRcl``OLjrYuIe$Of( z0C#n-&t9}X4^r3q;t|Kk8C5I;Llh>b&fv$xC3UeX60YX(dJruPGNIk~3+DpWO5mww zNz60gtm^x~(Sz3)!8RO*Q4WL;mr-kz(x_r5z2keRHPJDErmm=>gp4!yD+~NteTXHf zPLmI$d(>1ZRECROVu95iN@$`5$uj6Z4W(xs))|KZ0+6OV{(9Q$a+6=ZOg(OIFP|~TgN+-h%J`RPC<0JpTqqKFAOx5fD7-|ZNQ_p=Q!H4MRQa5hK2emsW_GKCcSqFG z&B+&vP=sopx{)dG-veR)zJvaKUSxur^M}<2oCpd&5Io3OXWp~K%h-|Ju?i46!$)CH zT~VuWr4l;?-`QN6rv4Exgz7hcoEZ!hCmm0ljU342nhK zT_@XlyDo9I^j8=V0$8IA3c)C`f$1nzB(E_f|sIZ~sms_8pi6Q~|udatN- z1!KmRH@Zw#I8IAz0w-MO49${D0~v@EcEh1iUl~Di683D2x~BHBaRF8{8UeZbX4hf2 z5l{ddFM-}^JW22x*tqk$+3c*hzqdkF#(9$0I+r5uW*SFNS?jifbVFg#dw={Hz>~#x z#%vFS=XbS=i`(mPE8i&xL&=P|{TD!-2SV;U8l}q@)hjk=>_&*jL#ycfEAUAYRjp65 zi2gF`a=;t>`y1xLFeRoG zf-E&q!Rm+3YVD{CsAE!}P+RV=4Qm5cT|gtt>1u{D z(#>^w))Fy$@;p{(=4B;QCI8d!9~h>uB_o77>If;-g%XOW5YJI@PTi@} zVr6f9;>lG2a&uH4U&#Tj<{k?~n=x*m@9K;Oh3N)qfYDx98ED6?af4^o}aO$c2u^xLBC}-i6p^2f|lOc?%B)(}^4i@*#K=`>NZn(MT>8m8p~(?DQ%kCFAsRb^B$Uf5GZzj}y4 zZK9iL`pscHVxmr+3N_|C-fhRJh1iAy)8v87ImM`;n1Z3MKI@4rX0gR;HIyh?1Gbz~ zS>ja%H6q<`McC0Bb}2yn?9O?%MKD+#ErCb>Wq0=68Ds#$R|o>UO0$F_5ivx}p}%da zcaO`^^m21gm9c~?+WXCEsKd|#qFmtuqJ+@%h1L1Ep>@G2dEeU#>_oD6R|kP*Ks`|% zo+giaDv!&k<0f~%geFUH6wKUH&RFWzo*`1hQcCD{jDD#+Y6tXh!J?skq30LwfS{hs ziYa#yMI*hOqN3)#^Foc3;GwE51^55+Vq8+OR0W37E-kEerMCKlGv#mw9vCjS__kRu z4{uaPEH4{YQ8A|e$Aq>NAxgF-0=8_5aij-@q&MDbI9D74)&aGgK+~S0$hlg!=sFrH zbYV(8`(6qIH>iP8gQOvmkBRy&`L~LT}+9!%moCwqE*E<}Ci;zxCUVzKi2m zTv+uSus?$ujR{wIXj)W1sQe#;{PC=9CW}ahs&xaOye))wqTjHo=IXOk)s!x~G}v}TbPZ$5 z2(SYoy9yXExOSSfw|@rAgNhttZ1kBkXwV0qHx}i&Nu_!$QcXLkNvC@0Ma$uyr4>@9 zQgN}C8MMahbd!yY4}&;6L1C1p@BF13BFw2uLe2hAt`Zx#*yEoHHX8n=9OcGc5OXomtmrE2#H7?Ae@ zZ1*BG@pT+_&!g?O_AvkD&hSm#DcMrc`fj24UMaBJE=mc$J8m>Cu@Gtw<@#*H3gSFX@UFR7X$iPW(=((2 zZuOaTMWWdnTMn0(a9qu)LY4Lw5{=FMcS zw+VeN)-mny9ibI31Qg2?+oROn7;<_vJ2=QwT%Ezi5vNlY!o#8OinZHLFmsm7>8J#k ztx|!OPr6P75l2Ae?yXGx7`URwBASkx-{ zPIy8i!Z3X8nSnxHKu%r&;!FHih}Anj^B~HWuMwtYr&A0r9V+8H{WKHtm306L>SjOp z8r+%Y3wrw|!Y|)GPtj-1b~B-5l9IJ$XTA`-^gDZ3GvBCQBl~h z69l_La)O{hzU9S_)D|+fK3A~sO1+f z6Pbj~yaLC!xlFhdw@F*v@0au0i?|q&g+w89ex=(A5}AiYH7;gG*_O62+&Z!aN4H<``GLd-)^D3_>1k4VlKDk5F2bIl zIJ1I;+UKu&PW6@9Eax)AWhT^nDY^8c%8#Gm$FFh1F83;xXLz>DY5WT3%IOoM6X-SS);R~{fE z=RIq*Igq3q7Pr+W`hdDgaKFm$9?%?}SDAg9)%*zoKYV>gFzOL#|hF1j&jaTn4hD7R2sj?^&x5&&CMnz~I=oQ48eY z^U6ZOvabZp>ar5?`su#waWe}FnVKP2Bs^J_vcj#s!TuU`dOPr0_<-jWTkx_&z z=#Bc&_u0~U5&FI(hkNEMx(I)nhO8JZn(OVi=X8_{QD99gR8;I%s5@;1=;_h>+^W+2 z8;Xb)#*@(Y{XTrW-kQ4azc+lU#cD+HZ-WV$*nwV;U}}xFsSa@g%V9%x(T1`hzu-WDP1+x8<1m3!IY_se;})dSwI-OF z!Owx>LR9#8{CqDKDBCmy|u|$_Qnn20O+@`NMY@+6~t(J*skFAq4r;brB5?gW>$D zq>dV~Gd_h_CP!XVh08H6dzL{2$A=M;WCB49&9@S$w3Dwjrr=TYbnbR>jQW3FokNo^7>uO3{4^R zMWr0&Z(IVmAYh?rx428FuCc>(BiTh_7n#1vreCvV<#md1_`}m&V~n6rzuU)%qZTKKta){9Nqr) zne!4HIGbBbPLWXf?aC26nsxyQ^{3~t;1snog$?X?z59ft9w3kBvrR${{;JRutrZ4) z1FJ=LC)Kiu0S?Za3CG^LZ54f|Ad0i;*-25*u1?Wg!}BT&*>ffN3b4w1!7T-8h1vF) zo!mLc@BJHb*fMTCW7@o4u$)k6UQUND8IfaBDJ{kaKt`1f5SJnw!p~hcL|l`u3obC* zBMW4dO2IB%it4t-P41jLhz5_rc)~GlS+C1P@&3^bTzuT$>)cS+T9nIe{R`b|pMxDJ zP-VDXWa3gTwK~xYFVxNB5~-P)-co;jzudfNaGt5p@lLziYyh~d(V3q{wNs2a%O|Wxvb;zHZbxi-gFQI?DX+keg8TMe_QBah_1m zf{8yk6yBQ3(Zan2=IAzKpzdW9urb_osqEb|sqS6j@<|@R<0F#J*yrOb$Nz0P&>Z>c z_cPkl!eO@BkbZjb0Aokc>&6z+y49}H4TOyi71%wm!@E^)u1-65pR3Wr;{-IfF!8MO zQKolmn)IIKwb#|qZS(4cucG(mnAYOa4!_mOw~}<(yxms`nO<1uKjhRCk!seRQiU5o z3oiV^n;OlJJ0*!^*8|A`_X)tE?wUpI($oJSAl>3@5vbXLJ38IH0gH)sgi6nPZ~5R# zXeGa*Gsy14HnUoOyoPC~%=`~l30xE+^>ko?YWDyYOBcX>{UdE; zv3p(!J$Na;QdU5-Y7yREJZFd~A);QOsH}+%Wi2pAVNb$e9Y)4QM9^^qqcbqXV=}SJ zAak#~;iAWAWKQGcltRRKX8NGdh`*Q6`s%i|oGvAFhCX?8wDOSl zH|(5g^8$wr9<)U?{UBFQn7JT?MWXR|*4Uth%y>66IVRBdjGp-6D3b&z+@d=#zh#PO z;!TkC39Kp_7HEp2A(02Ih}8{nNI{LA#{z(!>6QmtEW^B^5Bn5}**~ZN@Ma}dmED~? zL_!Vk&+Hy1Y~vhhhablCWs!|vBw`KNPtVIHPhURC>49XRhO*}cqhg3ma{j8yhs)~NCvMzwy=w}cm#aOB5yOY=@y#D1)aAQzfo z^JuBi8J!Kz2ZHlvjfbfLO^w-zsy^**3My}Iou>~Mv6oBN?PwK6j(hK8VxV`if*-b@ zm`7ggJ*<)j&X_)WANwiP{a^1^_(<#|7JsC{CE}Mk_9Z5?RfVD#-7?Xdl#nuwQE~MA z)#{Ym%*)}r(XM%_1Y0e@mDSwF9W>o5!GmDXa^VRem}fpgW}sL173hv5~zW!sKu?R0JufLQyB8C;z~Ri-Hg{)KUW zkw-KjZnjx}yLEZN7!&5B!_u**4D#vOvbakaV-rQ3CT9km6;A}y}4mVNM{3rEWYg6}qNio3U3B*v>dQ|i4|A3(VyR&5} z!FJ^|{?}*y-vz~RmJ*SsivMKip8wo7Om*w1{<90Z-qNj<@v;XKUsl8ksl%{_BTCUm#RPocq|9$^;b^V685l=Jn^7IsaW)9vM zyKquI^7bnio1Sg2yg&>k7n_oOuWqZyrSO;(n9;2U8{iKMp_N@GsGVQsdO=~cag@%^ z4{A(2+ddDXXuZz{GmICw-X~H-QS&$*!X55-lz>o_JSyhX>Mk-`?BfUoUxwc_RT~UR zs(W%=lGyJ<1NFD=XhSC8s=W4zL6HoU*u z9352pYbUV`Klp4(wM8|V)Ej={b+{Dl0tb$kT1&t%G4SQ5T@y%g><6xOZ!8ksB^#YsZzV8 z5P*T4Bk@?ld9+yIGD#-LEw9+3`0eKfh0?n^wY4j_%&#RUc=6OXE|1 zPYqb4_u7>)i?ysm*bk^06mTqZTVprH<%(A&mnmKtSfO&37PcGgN#>u)q?b5DXZ5V4 zX%?Nm=3uNvX%@B0V?0h*cd{p>AZk&AyfpCS_o#=1qLm|1js{(cAdjLV8p21z-LC_W zs{88bs=gqX_VQ1Zi0iRSc^WhN{^A*IY6xAG4br7pRSn8Pv5~8#>QfpsLCoz`qn(ln zP;jKHEI~$naSaUWrdOC<0f%{S7YzJ{U0i36)#@ml^C}t-OUh|oB^u~(#$a0~=eiuI z%3eE5{~Vhr@GbE{_A?&G*xR@T80%rZPcvrgi;e4z|Ju!<*j3h-$!rtr zpwZu6GZr#yAOf2e>4I$xsfBe#3;oXhQc<{?Aqw*yvJw=o)Uzx#u}mSz$UE*ICZuEt zO#Ep#iu_rZLVK%e-(2-~nFos<)Zq;vE;)&#SLnHA|JJ_!ndLu(QTv&ybwM#8OPw$W%DuT|(N zW`|eDqK2$Y(K1!|wFZ&B?x_;XQHym7))duU)DbCefTwJP^adK;E+QI z5b~58mTj{yeN_m>tmsqNVj;x%%nZ1l`cSIv>0udb;xzXVd7AXk;3Z}?Sz4f5#AvfVJ8*8*OE-4sz44hz0+1ZD?^IO2V z1&+$)tMe$t^?4a;AiD}gp6B4(_ET5$g!LkK2KHBHhyU9{A3g1Cg?d7>Qyi1YmVU|u zlE60A>es-+L=6e=Cgt9o@ij0Nz(@t6ngIJfRzyDz9Oc6p2!zdO1p;-;6c~Vua;4@j zL_AC5a|?-7WZe1G2)#MZz4cyLIn(YQLx=<$We9AClEY~bUDU=2N0>7Bn`6c^D}8(} z0rON&Bu?YNqI;Ck3wG=N%mO!Ncvf(WoRq))WO5;(teS5uJ#Lzllbw|EQHi1q3k*DH z&oCfs%H?)@Vbj~6CI+r`(5VEJJ@vmv@G3zc zwP2tFFNmRe>D$YKmz<)D`5rc9-tjgcSSnGVHg2L!&@;_yul3!JWbLGHthZT41~YQt z`DP#tEWyUMCEUz;Kl9vLh=P=Hygb?1m)ezOH{ZQuq`?0lFtpf;m!QUg|3jf2X?RJx z+z_l8rHDfo>2u>2tE*^$$vXQ5^8KP{pBa=fmS*(fWKBE#W%*D77he}bL@=z8Dc@08 zFW&(2x;?tNp_9x-f6A=}Iq zA@}`>sSaia0_k;@s>T8m>#8$Ur>Y%Cy0GD{{`J+mu_0WaJ+C79CQgKgc3HHVk1KKU z?U*ke>OzCUpnt7O9m~d~CJo()tTwJofWLn@G5rd}DflJtWH}w8zS@G^;G1{>792yg z(5+9+!t`S9r*ET zsPj~xR>JyWvc?~uo6uPJEW^^ZPIG44r2xa*P6N-*#U6j;x$4jB!D_WtZY9-V3-yVE zjUP3m4RbE_bD2fXYU#`uGYq-D!Yv)JDmZB+d+ z%m;2Mi*HRYXZnZ*BR zA#!9mkW=t$gD}R!#S9amr>0^9g2Vpyf1(d;jB#y}qi$cp0v$|F?tMjnK zv2VVRnDb(QyxCEpYylNz*x`Y5RU|BsHU^%eG>zn_@k>dRcp|McoYSH)ZSD{=h`v z1Vjjji|Xr?kXkW?2MRzvj$8CXqlX;!CmEBk=F*p0bjYabehd+E&2dheWDm>aVT+8b zQWz&_(oXt6=aKQXk1}>GfFnOIVbUD{nKNEp5V~rJjpBkNO2V9LiPDWePB1lP%0UdISvFDzG}XhA#2cSrRe#{^5F1VUPT?8sv|&L}7an5!8G;ymHnm!B zTS!Jlc00PCAbOyU9k{w6DZ$sp!V$F=(Gu4*cJBG_t)3Dei)*)%EnS-9NKp7cIM?Sy zSy(UTpy%<{+GYBTEQwt!#^i9_$btW9jz1DRBF1ZA2+?cs-$cKlQX-4-(R;=9Fo$a0 zM~Pp@0Yi3GJIJ!o0p9jxa;oc9T-pGd%o)eU7zey zi_~KZhTcwsNKm*@>T9{hx^cki?#-H@=W}wAv?bgytM&C}T8 zUl5VMkR71bBp;N`OSKpQUR;k(o|Oe!C0b|IwQR-8dz|oUBUM7Ty3rwthYX)v7D55t z{QfE0t$snAzif|)7!T#mnRD(rxa+;Y9>M3Aod2q#vWP#TR*Pqk@wmhEJv&i5K(&05 zB~}R~K7+w~Xctff?dPKBqZzIG>eg-PNFoX!!Qlaq=t)2vLM(J5J|#uf(>E3hEV1#;2QlTd zQ}-HF%Gp3%zwF^C4W(6ugQJ&cK_Tro2Z0gd9IOj4SnBEzOQgkjPKB6Rrx>h`_9!)I z60a6%hl$(Ack^0!ioz|anN6rKRW4AQ5zRs7(u)HHT(9F}mPm9@Fc10>o>?Dh8mA;A2{Z`kow&qyizExL15!ex& zjGD)o>bxF(J@-%m&rLW7iI02=7B@OFrTV=ywsFfy`Hs(C-+D8;Lm2OyqLS*%sq=Ge zkuKP%XhGi~7Ig*z4Ehv3L>3?OC|`dWec21hQo$v3M|-vfx4HHpB_;K(_3Xvj5jQc%}L!z6_-g zT(kXfph9yMS7S4*ssIZOa`2yC6X-hfHM&)U)<4JNF@H_OBGxsICv~*^Tbd{~WLv`` zYt!7yDMeIoX~ApjXqmO%t8`M)x$0wD3UFI6)rwbwZCq!_?FbU4-IPR|^UxB`4NiB_ z)~27{FYMo*x*X@QK;or^k-5XhP)JQ9RaQkdDi&W>fNjH{feUA>$WMJ)2_44& zRM1dPD5tKzt{SMbD)f6uudBhD7Pw}5J}0?a7G19nZ`S+|Sw@DI`bdJRwd$C{L?Yxl zzW-A^ogVFyR7JGzG)a7xe1J8!d)dj|^mD z{X%G63VbzEGl4FNa)hN&M`3=n?9E^?;jplo9l@RW@s=`k|cg5Uw0=uxw^z6c~S-H$W7v0+H8&xuNEc3Et~tiHfXg< zI-iB6FjdBaM&?nR%ZNXeZ*g-cGB_(!3MUHT;lik~5C<{-^=Snw9ykKi2Pg_wsCg#J zO5q{v;K4xGB1S_o1b>8J{tyqrH0>{FiKz5KC=#v?wwkLVW_6C%k4N_rrAr3zCJMh9 zwyoGV!cQ>b4We2+F!jhmV2Z&%hgvX{8c+Ng2KOpxoMIucv0zW*DHAmpG0imnkJC1V z8~r8D@Y9kt&qeDpN64worLa#FNwl?VV6L>DItNJ&U4eoYuABtp2ysxESb~!ZXG%TJ zxD)!0D)+U-uo<^WAFYU?@a>tI;=>JO;<<8zDf*Bn1Zb)xiYE>f3zUZ{ zKC-$0g*0Oh^XMIb9P0@~jIJ!sjCOuGIup1swKLmYBHkCP5S@2qz z5SI{;BjyAiINe#$-}2EvxDh{?#hq{2(~VIQw=}UOJzr7>Q4jQwpp2}bt_vlOcp4Ci z-|>Sm5rxj6qiXi71q4xS2}Zb}k5PwRh^V!~YGK0JK*bDkjr2mOAEoLHSa-eAUBN** zRs)9|KaS@=;Bvwu7^xb_F&5873K#grA=6EwqTI*O2_O<|TqO3P(h;5T2^1gX107Tg zJ$cfgIt-i!qnO}Ny-!7GUHK+KuIX{9BeKiiI+S18We*BI4Jz^yiu=O}_Nn)NpNZToMZj0FS>!ungxdkBTtEDJJS z_mUUoNO`Aof-D9mR|0|Flongd-qDzeO{GIF#u*;T^)pi2TlUVMJxpm4VXR1QTXai z`@n)5oJJ{+6h3i>P5%XFe=Pfvg_xwvv{K!QEBmS=CG%cJ-w>9;L!^TXM31(UeFYQS z>EP`j1*312MlF;^!Xdge`jhjBnRQ1Ir@C?c-UmTjAdH5FyU_}LScneCkHexDEMkx5 zP_neAc}lLCMoujGJ}mmoFp+iaY_qud)9Fx~v>1APr-!(Drl+<8P2{RpOP6e1oyF}U z#dO*sK6rkGL97rj;=HAK{W|ifJpdhgA6aMjBnlhp8HIsP*4$hOm&I{}kj?OlnkwBN zu?Xg_DZiCx;)?bUuUB#We6tm~K8iR&DILi9JXyMQo;vtI2Bfh^_x`TPDxAkbMXWGE zfOJm`3m*i;JTvl)5A_#z44ULVn0#&PlW8}Oeay^tC_)uz$5Kl`1_8in1hN6=Ord~VGm(v>j$0 zsb2K7RYR4^h=2UG;V~=DR92t-7R~mf)T8A{W4w-_Y2Vf{QhHt2Bx%)rhwn=s+6HJU z2dQ1KUeUFL0ZQ=$Py$vrbn{}%F*0hY&FLRmCrna_i=I>knyiMHv2W{iMeWu}O$%V9 zpQ@WeRZZbBi3*U(BrBtKX%4$$Ij&Gi<*8ER=-#Ys{rE|zpWQ->Z2I=c|L@!D)CR_p zJkFzdk6sFJ2j9#(Zc$Aw$%KP&MBb?t!WsfIB+!C3GU(T%y@>s9o4>E$ou4}Gz?(op z>FDP3wqy!;J4DqbJu2=i@O4_7tRDw#bUZl7nALBaC0D11VQM;CVcDS)aT&pWr^a3C z-<1?gcD7E1zG1=>@UO>z5QRiWf6az}odC&)%@kwin)3jAyT1_fxbc>6J^3O<&Ta1h zm#g|AF{J8gtXcWib8g7b?&bwr<+XtS)?8FWCx%m=uKl@j{UGxWUJqB%9WO4WBZ5Kt z8*o7oFt_tT3Gde#mgVmH|DV9(G-??c8j&r2M(CMT`x%I3zV-UcrAQeOg|n+*el;1Qhg*r5 zrew<5d4x`0e8fkMh7`l%y>s@Un=1FuMF-E{l%!smK-2Sk9Va-0Hb2G&Z7j}=IY^#w z-OBi|dg#R%n03>RN}*hYZ&yUPJ}NPWnxbBp`Y#eQLF)TWP-7pQBfWO>()D|&RRzG71NzGZ*rJDU6^I?`pge!fj%Ixc}%7vK$JM`rC|Ob zf}~bI6y9-;ipWPa|N4-4_vu?Aw}?tJBkAfUP*nYp_?&^v@fQ`3jVSTeS8U(Kap>Y~ zu8{XAZ?@ih7(TdljxD$FmSQEc|veJ=ddLSK)<0iF|ff)Dr=%Dmc4^iQAeQ_dR<6Nwhz3y_-2){bzj)j!k&?*0dQ zMz_`ln&^H;3Ew1($WbNRg*SSY^9%e9+dblpAH&?%7!O^B2e>UQOkv@MZt7``eZcFi zmr;)_&Ts4+aah8pAkrFX|043Y`UfAJ4#ZNr=_|&ce5U;tOPQ?#yjgsEtaqdQLarNf zBxE;VY%yUL*p_`T)<#6m#7v`Dm*gwD0Ts-jwKHrT`aKcI*KP`&f4PR46hrvidICRl z$I#wfDrpdJ@|)R-2b`BwEnkuGS0SLIf{Pt`*mt!suBIQEKu?+{pyo`#l+wXJug#lL z$9fSKCECeC5y1Qi?WW!KrYF)Okv(xID*XqmN*%C_z$><`fo3xCcASaoNk1C6+#jOjzId0Ai3Riz!phgQxjrCF|( zl=`#}mld)c=PI!sYQ>Dp73Lf^+W#vk+wxAK8~p>a-s%LkbO_pJ9WX|P6Y=NZWO4N4 zfapkpWo#ll7bKU950uw2%h`2X5%i3kh?gzsj5}bv3+zmy#ip~t7pfLH#38*9U@dG* zmR2%8!L0d2ou!doNp4#y^NaI`v<4)#ba8V_0!5|lGI~8QwGA3si)1W|jQ6HG%(Vui ziwAw-HyqlR^UE{PWZHGE%(vV7k#DjmY=0uY8{bEagHz_K)mMTsvUX}1*~ft48icI; zq#s|OqR>t)Ah#vq*5+d^cTlTJi%`|1b^f8V)xBXaF>m`>LoPVxf z?jUdZvT0!)*RfSt(Q&C-$x>}u$*F2NR;zV8J5p+TMFH$CJQ1nEy+HGlN1pob%SZu^ zhjG90hkT&qe+0VbRx2!bIKdsu;oTAQpSIwKz%Ca0j`l^(-DL;H?chn3<&=Rw7O0PP zBc8r?ca5Sh-1&qwMwqIQcr&l0ZiOZw$rI);iJMt%y!x_|igu1uRr6=Y(~+|JQV<1+ znY~VovF$GY2%ITTCnk_dB+E47wWEmp8GNSvr|tV{zYh&QRN2AHy@IIJ=uN37Xa*7< zo%7nM5_;Le>NeDyQU#>k=yE9tQU(%7@=0L?0^OvgIVz5gg_&bbr&BcHF$izol;k5S zPFmn#G%;&UF7{5Fp4;Q$5{Gvv*+j~G8v^aRd}-sl;LsxUcxksL?w@&4VS|RB_`?0k zWVy(P=%)zK5W;3_Cio<9iN>%zWzeL9T|9?~q=RzY2}u8hVNgg1HJz~w6URlZN2(pU zbno4m=YE%1Cg;hmTi8ETrhZBg^o5OmW^^v36}-)r>NATz=k+a(R7_7L^0|q!cYU zLX^*7=;v1{ZTbc!%l&(GTW27aLQglCu7iPQ+UCi2iwsc0Zo2}ZyU?GOVsVsZBrBte zC*MF(dKOD5w-aShccu}m5A7gNww=LV?~+~~PzTptSuj()VoSA_g{&}Y%Xb5z*`QOO zt7O~rt;)_ln4uGckfGcvIF*tC-sT@4(I(j5Lx*q)V&l45tO6FWRen)By^>Y4T=gvU z*@b~mb?1Z9D|zR$3ii$)y<>UzA@kYeFmR|{!$*XSHnsMs{y!RrzvIacR+{65D-WD9ko6rT?jIGGM5k+- zD)yGjk{W11qr5ZF>OkYaGi+0A6|b9O2z#4{5lftiG{j5Q*PslY>cL#M48&7I>`9^r z-;%)zzoLd2$ULxWGw4fZ{10cpqbZru9ZK2Vx|W{~PxBOaRh+3o zMDk0-317r4j95$q=6{LhU`GfNRt5OPA36~+iz~tHa-tW#Msg&B2U91lB$a?Y;9#tk0NTe$G`d!Ifw>V6yIcKE*6ZRE~4-*uL| zD|60mC!MX?`^`?;T8)m;Y!#u3AsL)5eOwVM zjFnB88aQBBU37p#{O?Kg>0fa;N(R?CY>qdb8oB(tQCtA{G?j>BUx=A}9 zY3(N@$+G2CsWjVYh!7!Z{kGnBHa@OE0%0?J2r97l(3kHxB$%xalAZdhc(HR)pWUCE8}>%>>}yktQE2)@a4#K zO6_$yW!5b$*Olt@f2^No7(5<=GxOR5PvJ3xuLdr;Tu#Bd#+^aoEKa7xM>)P@q2rH3G*miZ%?TAj zByJgL(J?bW;ehy%dPS5m#HyE9Yw^Y=ye);5>dt~ix+h}%L0qni$>f(`B_YfgrX%LJ zr|676!xD=q^$7S_++h#^WKxT~M^`)9V<*3)fZWH{#Kw2x_pJ$8LREGAgI|}S=OC95 zEHs;2RhZ0;xmkozCNJBin{jMVXivLKU;7V5 z>P4-KOuy`fKKo@X`4Y)!5QU>njFJo>-}$^GDO^%4d*r9=tZS@RHM!et&%RsfR#$J& zR(c7RAAjX!y|~zHBXi`=QOsXty@>XPF-96l);WFDriptttT*z_V*%fGwApS{PO=q! zal_}LUr9>O`=`{H>PoK|w~~hyDg8p~q|grEO0rvMw>6#7DB&%$w`R#aXwJC&p(6*y zQ;QjWn9*4}Y?i!e!y*&cPO&wz$zVT0ML)1L_jp`Kv!btB!`DC8F$k2MWm+Ecjn`Qw zTd?!ekSI}Zmg1BM%ehK*e1&=*10_3=7>j(y#5bWqUEiSY6|l$nXpr6vjOT8$HiT_u zWfE`1d9Euf-D^n%3cEft6yT0ZOOy;`{+sZs2gW&RHf7BZNMVn5o2_6K;E#@)l?)U% zK11)Fbr9~ZHU>-2)`6Eec4L|Ciw2k6OQQXt^`c*vY+I@P3rR@R#>sRv56{Fd(Bez; z!cJ?JjLVk)HoSrI;!5?kZheO%T9;$@xdEVZX{7A;i|FE|k8#~iCE|;=N3Hz0r68a*qOq4XyMTY`_0^%3^RV#zOu5D48buUFX179;{*^a&Tq5t0;u7s>h zeDy3z#8xodx|ZxrNVIlFg1UjQw6%F`Lqx=jhan|>5ilg;MFR{Z0R_zvrJZ56 z*`j0Nl8LNZb`3CKHLwD(7X0EYQ?#JaF-55-9I6hh>}FThO=p18nOcKrlqU?!A8?V~ z8+Fq0)Bvuy2I}{kZ*95lw^!4ATASa0QltaL?I*YW#6Jn2^u08Oy4PC^CYj=lFKH>j z;Ftx5G~k;jx^1n+Kr>#{v&s<&BsmonEc!1MJ0wwEk9CIW6 zFr2dz-^#7$j~$WAxmvPwA`je-F^WLg>5F}qbZ<7YUU{o%_9K!#?e}Kb`*&3f1{sPS zgQLFk*EgeJsR!fWB9o((0DC}eH|Jzp-87)ow#mWz>pPJ#inV}yfm5nsyQXsK)5j}y zqRhq1U2bwMFI3(ub-mQX56sk+Pv32^QuVvZmO|RvhXYw@b+>b^;bD$T-x94-w`ZzR z$6uG zuisM!764W(Ka;~#N4 zByt`xaV1ta`){XsaNg5+)K)jo%u;K%i|duT`Hvu_XAi3DoLKT&wp-|wy1m7)WW+X7 zdkj?pZ^UP-%2cNyi%U0~nkfB5A8kOHI&IcJSuznd%$Q6|N9PJ4{xwI-q=A~rK2@c) zUtlU-Rim}eTKVhOFAM9IN3(T*R?|2OtaS-< z^Pd`Pu2{|Nr@72Dp`pVv&z_?jlzl2Z~i#M zNA;R30*OgEBCI)86#A+_k<<<|?j&(7f0QyM{;0zkT^HF<8#Cc6)(T9J zbk$WDUx;v+q{)Q$2+2ZQNx86{EGxC^DnMJ3DRryHVTu5sJp&F%PhpA-y$i4bMCx&A zD#=`Y*ZXG33Ez)r3~E&>x5^$cb6Vaw7xZEMr~zZh{y|`TqC|OJXDn%{^c^lmdyI?{ zIYUog5WW_!ZLG%s&9s420`#xpbB{fL68g!r`Z+2q(%E3?m8<#@VfvM{<&*Kw>(`D+ zI0UGO4ylQEhvoan^r(db=bp+I6S+8PmA@v>2s>9a=m;72Xi{`gX|heiDdHRmBk zu4&cZ4*TV-QFp4gGm}~wQyW>COOLuA>e>F@YF{NShAm5HjsNjevWR3W-zrnG@@4+F zP-O~dpktf}<>X+Tpm)xxV*?C{XZ}#8oc!UxUo=HOIvg9#s>?DJ zv0o%dPN<1Im{7&SI59O~F|5LxXBm0bM7_p4XqOjea{s->4>L;tr0wFa4}GTu;)k*Y z9k#2&QL3DDfs2f6wg%9j%+^rq6^M*xO%<|jGN^2VYv#Pb5Umzk_32)>#5nbik7j*v zOmx>v@hZ*>^E$FL_J7mPu=K|~<}kO&7 zz%~2{gCU_M6N0z=7iY52iQ0+<+G$Rbv99_Am~!bSK9>2P7~t)#nv43QR%JWf@;{j`L|^_0iF+8c{YPsr? zo6I+?C_QZ2O^xHu%$g|A0TMp-a>aoSexRNh)wU&C-mL5h0oD?5%t4RY@>%nXtKxD# z%fO~#Dq^8{p}q}^nQ;QB)kVemz6a_}foRMu$-DcipJKq<&3nFSpJ=fgcw@$<6N(>{ zN)~b&e*lNFH|7Jb|36OS4A_MWTXytY|lelMkRQUUFqJH04w{D&fEwb7yf3>9$$`K-X6Er^m?g+R8|LzR<_pgs9 z--DIodHYaF&2&jFXx~CyvDYL9=)0Xe9Yn*HBVvQX_Tv~Rq#%*DeE$NXBIthR4hz!|7ooUEI|7f0GM2(2qL_$fro)MSocv%q6!H@Yq z#2vAE;+Y^kW->w0jp*RDV4sDwpBnDCg5nSMfF^elqdYi3H8a;Z#^OT(37@Wyj2;TVd(+eV4%)Nl2Y&B9 z-H5I4cWmLq)1#PjaqL@O9K+WrlmHd)o6-R`Jp}HF6G#}S9Mbz7d~k*0N6SCHl??vF z)}E5R(j5l}eFw!Mp3mmaSEYQy*qeKHyN#&NJ?sUf1h;6=;5~3TZEN==eVVbhXkN;J zAgvV0b$j~W`HQ1T>LTM#twVu}?~+WT4mevs$3x(2#(q0yUPlaV^Tj0Kjfi$VpTsp> zLO!7gLMup~@QQ*kk+bZs_9jQ<*Z;Pi>@l&Z&n}k{O>F-*_uJOIX?4OgR5;MlbW?0C zp+NI9@~sai1jYja`Uh)Y)li^nvYw$WsT-uTcbkA5=_H(X)jbqx@As}8#c)R2Ea7NI zeyo}>g_HP~lgZ)fNout^6yqBVQuJz+d322ZbL;U(v_c7kow}3Ni@}3QmwGKxXgt0( zw3F^(1OLBm-vhoIDmvEBo*tc~pOinG9kt_*NBIT#bMq%>@mt*%qS6ox>m9+=z4JWo z6(Wl=@cRY$`tWy0=51;GW}uz?IJz@cX0Yj+wD~-{x_^{;!S_&FW60a~&oP``U3|be ze!LouhaQQ8`S5%-LXr5m7!=II84g1SG(iqcl|l|Zc0uZi!&NVUnV?&N_J*`q!keyw zIjp9yXpq#s;S3Sips=jbh{+M4?`K8#FZb&J*6>Z#{Vg zNy1L@YKlKSy$fuKg-vik;bvi!JS74L*uc>95{s`WR(A*k{J+`+^aA_?!se8)RRlY0WuP0CMUr$}NM+wn<#-pRw)_8M>f%D1T1ps4oT8|un&HVHJ zIis2TEQU*XB|=F=z8i)SII+?uSCt9N5G`xl`v{E?@klZsShy zXyw!Q@v@_eb=m`SnJpA#k51iC4aWb-{$mIH2Ba;c2BOFc9tIPs5;3sGzjMM*?wjSf zJQf7FBV=bSjN2sGHb}$c_mn~~BzGy#KVO+bSz1ihqpk3l$m!;2Fdu`1N1u1dMlFh7 zq2sX?(BMag9p4Oto_7eYraXiLdIjMiG4* z#$~&~@Mv}gyoaXq!@-$%n6!FhK^|z8xOt?9za;-o`&TLW^K2Vrh3I!0EC%}m?7M4r zh+X{y{@x*Z8~)t(Z_mjy3?h-GOz8D|DH6X)ouV&b35565;g9}Y?wJSa?y-O^dH2(n zQ#Ed$U>j03)KU{kr$Ezhhi1>lY~$n-hwXDqx&TK&fp`A%p4vkRs_tukLSiVsZ(86% zd3mvMbe72{740RcNlCwl-GLGMlY| zRP zJABaSAj*EUz>AvC|Kj+~bh|%!BbXj}U0**YlZfGMaK871+LN^i;iA1K;VQIa!?^p> znx34S$QBy=3ikcapFg*EpclsDRNBV3I*g!NW`<2o<{)X&&!Dwwm7@D#tNpMQRl$Fn z^O-Ep0g-!+h42(UAYY5idv3wq59UNT>XLOA)NIobsI%Qth!uNJn zjJey?u#p%s2rtJnG}Tup-!@t;yUKWTho!?M7~>I}`*uN#p#vrI1Al|Z0eY~E&Jv|Q z>6p3NFUK*@ex~+sh8O7cDYe#&oIw&gyVYUYoq-9N!-CMtf+%T&1x}!WsHK7IUdezs zeWMi0Ikp0A(9Qs1USe4H=FY9Kv^qSy;IcGncs#3Nga#cXt0m~kOSdNE^u1UBn=y4E z6!yjet&WO`VPtI|yCgLdW#d8-bTqap!RSzvS9_2la~mr9EV}%zyZB}hLrX(f|<~r!Sn{684=*?NeW#J9R#}! z*Ke(*f_e&4qHA!%SI+?N>kPI*`}X=(%oa;;RWBHPUwc8U#AaRT;(sL;!7zBgGB^p} zZRImCT7RbhXsuFJIXulpwEon2{dYXmi zP8U(dFU{%K`r{;EeZ1vuL0;=_1ZA@W>`>V?;(4`dx}fRJ`-O1ep~~P~Uk9G-u!e)u zRE3q|F(9<{Z7#0nnMk|G9Efx$7u@XeZ121-Hc^_r*SpaRyP25Q&5y&n5tR^PHpSbl z`slipfrbF^#XIMe*$GU?IqgIh%cF~TCmqY{XM4Yp?DvED1^;|L|9Ln9C;Y{eJM);u z&^LItm%Gw$=5zx9+th8f#WT|WunPmJ)5QR53s8rdQ})c}q?>Vn6|aPURc!rY@MKj4 zd@;BM*FNeYa2161Z)Z2co<}sMpBUm7(>QUfYQ;OK zT6Z|c3-mn3(6zS)lH&aJ^m>IPe47}RJ)_T>*1dMZx|c2%fUAC%Tx<$rG~5m-d4|xr z2Xc(?qG*br=Vyh0)6x~XQt<_5Sj+502hTjUdxpqAw**$z5@6b1S z`Iftxri5fcN>9b`y{NfOzjOg?aA9{43Q0~fL=m8GnNtOd2`xdh1l~k`JAeLnf(ri> z2C!(h0a7sE3d)%b^4taWdK6Tp)jCa=2$wJmN*#t}ujGF*7W9#HWF$#Sad~WY8#oUR zfV&xi>AHjkF?fa`e!&6no6G}o@=eptT|Lv=fOVOKnLr1pRz(0=4Ny4TCG{UEkPJiJ zy_gugG)KJTKh*Sv@LXmC6Zkd}Q5`(5-hWzU6zWoY{%1hkjc!SO<`C2Yx8jNoL__W~ z4B1aKJSwxN+r?nuBua%!&T>)@!K%*4$X%D2I{_t)?<-9iaxm!z9ah&DT*U?em z@6~0=zN0rf)7{d3AM0C#jyW4Jf*o1IvfZCa%t}|VAj^4yA@XHy{@+WzLt3#2$^DNO zgF|XV;vcyF^d)i>)A?q?G9jAtU&kLjvhKNKG>i)iJ>L(sN$l&&Id{ymHu6YGldftN zQ^4w978~-SEGH%0%8S?&ckn9?yO<{7x+N6DIxh)d>ws>lSBg-BZn;!K0K{lB`Eq|J zUi$%0`74F$k*H??+0rR9$y5LCTB$@PjsHz$V0FJ4b#a=pYO@3Gbzq${qRzf2PpjMP ze8)mdeNl=l#41t)OFwZ*ri~E~a@~0wN4m(=T1HrN#41wWjulOxdFhWD3*|rOD46%eoQ_wKD2lQPsQ?VhYj+oC1zG=%6p@D7 z>#WcXT6h!FoH+|^{&mVq_mwuCcdp_3p;JxQV<b@^5MhYf z<}k%L7_uH~n|rcLpyj`EZ> zLmHnbQrS4jusS0}D7_yclx=IcgrbAuwp5Lmlx|*|lao?enkG^s9w*v@O=H%g zCU06R*Qzl^tlYtZI1;~lxlkdeE`9-xfMrLlo?M6Dm&~vDM6FbwxK1@yl%Y}kure*l z%AVORD5+vTySeZoBgW++FOgh_jAFiQm3=t!Li>E1y&$r>Ju|HYR_h~eIxa5U((In1 zV@D2JnR4?nWt^U!GLM)x@?3rh)ts#&oQ+XTmzHBF!@6F*Vwy!it&F0>M9x-0E!AGd zM#to07;rS+d^CBCp`h$Sh`!j=g(exNB@`WZ0?o?opnVeA)!4_tX(lEj>GBOKwa}i-gp7 zEdxiO*WO|?b|)|HRFMrce0@Lt3>vBm8H*|Lyo8l*nXC=&ypaZTh<1O;#pM=fVaptQ zlo)HpPx1p;X|*|flx63$``hE(LuhN6YMFs%d>xa)wJz$T2aXRN(x{XPs}O9UMSiFyG~#_`(;*>pov^-QUu5*Ano z^;Si;D$bbe#V(FHsQEWX3tyUuIzZ~Pf>~?J{K%8#CasuF5uPZUU1?qs9ip_Ws9iW~ zoJ1>>>H9ZZFI5S)s$W$)LBHfOPwH2RO(!EX z3y4j>g$L*e;s3D)Rs8GE4~?Un&}cqXeNAk7u$JQy9K&Ees+m&_*(+rr>eSBci&jT$ z3eO5a&o1Xm|3*Ewwkw-!Oh2|}#40=j1zH{&GU;{1NCy1Y*jm@h4Vo0>KgAi7kFDtq zjSD3-N{g-egux8cim{7_wR!8XA_@>Au>y!qWvzgDeK$r9=L2gkfg4YyE$R`r{!lD1 zbYckZaHy)#VQt4?e&(5|tMrBS^lba*Aizi>s9*aFrkNcBu9@An5}KLa8!cl^4+0d< z28IDP&0J-4aP+nMbRP@j*xGmCvt@9MJlOyHBjX}RLFJ)91iDV&Z`@>J)23YlkdT<# ze;@UNv!8-v5Fub#0Z_oolR5km9vOkZ{|$KhsD@7Ux4iwXSm&b&2r7Bc7exnk@FER)zYq;G!Zg=TCG z=ASkCtvdxs8|*h?1(#-!HF`M^>~jU-k+Q_!;(b3Y9Ekn5``8)D)^aCsLGFo7F9MCG zfV%l4W&S2Sa$LU#Y_W(FF0hAACw7TV>9Cn4)#ivz7m2M*F}}AI40a?5HD6ByYYd5l z*YUG6n7BF}n5*?q9H=x@LNhxw+hb?WX<}1dLqt|iL@1o>aPUP`Gdtmt6^1T6vfE!& zAfCU!1#Vg*fH3)h_1$SZxEYkY*i%h0kO3DH1#&DPdVhHmL!w`CLI^P=0_*9>n$kHM zUJ?xNPf_ZH5ifsF-dv-h^T0 zq?qSrZ|VjP%CMCcI-nXOZTx%%8`G!}%kcO%gvw@bbL(`9I(T+St0`O(8kd=M9^7$e zL(z1Ko{TLheNmgztmL6_Hspb2Mmh{wARw@EswV+w8Hp<&0xJMF_S#=~BrW*V2B;}| zCBWG%nW?ETOJiirmGhtHFI+o2oI5ylJG=cyMfiwCL5AJ4o%$0aQ+Q55jOQ|eogIGm zgF-_i#eco_WW@P7JAh$l*FTbG^6+?^1O|zR=Ud4Z0~B(UYiq>2DNat(^_%8m4ULd^ z*{kpRP?i4_#~A~GmmSTG1H;J~3FA|bQm7RcQC5MXsVH04k2Takf@x<5i^$0d`${k+ zuaDh{>D1ZN41oJFJxdH_92U{9Kw@%UfU>GrFbkZGSrm_%<`Q}Y|3p{|6koQ3V{64j zVy6jYf@2wWhQpTA`;AOH2k^)sdEJzq7Q3r}Z-#(%fga@1YiN|--A>%$x!*AGFDG1M zpve#{^(D&n-Q;#=`n(*Yk`&;*?@t5=H#|NfqxR~6W>4LOP85OX)-^@5v%~BHH)?21 z%?oE$6LM-XXlM)q=^t6?>mP9+nigDJ^Ar}?02r(|qQG$iFyuW7iKn6Pbiu(lNcS3% z!54}5LaJrv?&|+-D#whGX~;_+>#6RvYC|LFoFP$JHMbv1NBj zZH!Q;6CM{Ln4>hqcdPlhdMD9PDB*U28B>DBroSEg%pXCApt?6!2)IfGqceXyo`S8kY<(ap2KN0gJ}L z#ngZSu{kVX$CiW>*^ah?I~kjX0eO0R`mQvN1I;l%DoylX&18 zBHH9n;yOaiNvMn1XlUAY#=xoZL?%|(M-2$ry}SSZ-sZSu+x2Oo z=@JPs1>^zNhFx15gP(`A_eQU+$9dXYgW7C^o47+Sr$hUd-lkubbQu)qo4sh#I9Q%Z z3E+j2Tc<;+4pb6%nU^5p@0SdaO$(c42TB7TSmUDOaHFb_BWUKlbSzqzPkqSqxJ~Q( z1E{rRzV`iJsJ=NHSy)7PI{DzL)S`CfTy}Qll=ersINW+sLp3D{C**`!~35hadSE%9~`0P+LN~JsZJt9fxbq&W29$S zzDDeq1n$G93yc$i|5*8w!E+uIP}=6p_sJg>Ed^DKylANnh4M@M9hf)+AI0I_S)ipf z-9by~hVT0ag#TJHh<(kGP-%gRHhW=dS8l<|3l*eDjDXK8n;-KeehET|unc`w*a!x& z2oXaLMMwN;Rsx1Lq+&^L5I`I+T@1aa(CHi^InHNA^3)|wYAW_?3cmUfiBR@ds?|)K z)LxCXo{>bCD9o;uhytRx21yD<8~|N_09p~y)}|h}jlH1f7IntO3k64B?!}R{Hl43O zc;zVRV^{u-6@0Zi2Q4pDmAXqBp8{fq_nFDDve~fhE=~=XEq85w68THe2jUKk+&xPM z90X~NTW`Uz$U0SEQOVeq%e-GJ0ewj+A>ZmBAdg-pZXJO=B4tV&#;O`U zaQ+L`ot4Y?)e;u0^U!HjcCVm)rwM|If%E&C%t-+OB|#&}wS8_3al-^9xEvfmLKCYc ztoV7MHlWTIPK4Y>26p8IF`#47vt|wL$|ua7euHU!PeKDkh6$j)K4fUxGi1yRE@xNv z!d}gSK23l`NHrp%kf!injUg@nQp9w-_`So|3wRPUot`rqDS6L&BO#35NO7%><<+g4 z0Ad%CXO$7tT}=ZB6+%SBG%i{?GM`>bAr3%Tbjwb79QZ!ji1H^!X6p@6jaY~TJk za>GNEqrABN&#{r@(tGbRD9=}WrKN>=9SoNG8a?kkRGjk7jk%8zh+cWy^7rLvU4L`>~uI0=HZI}KF|)Hpn;u%jU=tTm`80y zhmijiv2`{cVTnP`mv>+U$)J)I(I8&{jGB}wF(P?#o!^-|^4Qlf16M{XgkHeOk^R{K zt2+#Bl>6HLA{xQ>!N9wLJft|OZ<;e8%-RbyofCBI10cr1NpbBjn=n{h!hqEwgAD;DsP&lD zO}M8GR;RJnh5^Rw%ie`xcfBEj^K97+>#ZsYVsmL&zusi)!gKE~XMnato!3uChh=vXyas)V^9ej$+|6)DD~r1)$eykj8Yi(c+)m+Of>>+~!a2BTeT-YbMPY;mOFt_?sJ_{Xk0OB}Hn~7=B z zrfDbF%T12Hu&?~E9b?gqy(Yj+LQ%<61mfaNrFr=?sEH4eKl z&=%Yv$FBxPv8_#XEsm?TO;-v+<=U@UePqvt`Om-Fuj-vmM=M5nIsxYd4Yj$yPg?2F z3D=yl4wv@beY=`&dMNa%8(OP@5t9(ENxturWs`vsG9sYdegWaTAt^rP6$k(D^*|oM zl)NV{mW<6^gNj-s*=|IInk&|hrV*dHq7T1S%ha}R)O{h7;o9UpP)%lwu$+ed1+^1Z zi?cZ;oK;fWRh@X<<>rQ4MUA=`2sZ6^LdGbV5x6l45rXOgUA8cG!7tBBA`i*nVGZv? zcgg#L>MIC^@>7!>ff`zXV^dyn&IAZ-EM965pwRUIr=fRI`|*i50c%E|d5wbmY;(Aj z9N3tMF4Y!6pOp&ldA2n)AoSQ+nhnuA#WVPW|)U3Cb{ndv?yp~t?DN} zAeKl^Og|VHX$O$#CJCHkQvnWL;$B%dF);V~i-8eg%w-K9m?F`etMXHr+%@W-LQN87 z{KMsCp9ZLvS8P#RB7u7r&m^*Rgn$>}h0DuS;S4}v>@=(T1f&I0|AQt6@(Hf6$;SU8 zw1GKD2x1c*l{U}+#Y(X^ZW;KAAE^6s|; zJUG2?gA)fHoPePHZ-VpE?4Q9YVONg$Tvb2EbV@9;2JH?Sc>rwTz-UgL+7ZKG40)UZ zvkC4`snB{8L|cT{Zy$VDLTq~ns1qs@XcICT+AKcLpCyA8lyk`JTg?_RFaYYD^S=I^ z8Kp<`@qgYQ1Dl7$<-~~Ot;PO4wmJ1MDR8b$V#Ai##V!&PAiQ)rw zg05aN-?;>Q_zpt+Lj<=50))9h47@x(Ed@)!mhUTiR0PAY(%6JaINF`5JNiU)TjhiSBBsI`8Zf)@J+V9z(}ibYMl1f@^m zQ-BwW4ygQln5SlHS6)&E*-D87 zao?|Kz(V6*FBF2l6dS(-?m1ADX?z`uXAO?Wm{TTCgXAGc+-e6f@oD7|bIVtQ>^RA(}6%azSp!0P2+BG2}o6 zUB?J0_Itc~N-zP!rCeK<3|@*kRfCbb|9JK|&%QjTWaQ18o*}A@v5mf?DJz(o%LGKe z_Zojy(>5{p#G;MpeKM#lTOW2B2CRfZ~1nL|XOOtG;lG2*`*5P-78|Rb0J*u<##1 zNn6Y?6z$j15|ta$ZdJ=JXJn?9^1J`{3+VVU3v3wDe}^#Q_?f*>Y^1u?01^9NWE zivffszD3wiw?WJf4`S;79l~P&2ZZf~BP?KIw+L$r2oylrFo3XOFoccz4+v`v5VjPC zus{v|F9-|kD$7)$jF;UaET{&CusnYu>}yK6P=1TB?EfvoM*Tg)_TD0F7#v}vU2Mw&1DB1{T5*Xh5ZX*%l|@HcydyDi?E;+@L(|*84g4v{R?4xVF(Ktv)C=d!j#wl z3xuWqC&Kmugf#{T%lK0**|3X+29&r2?VS8^8mi>Q5SmVD(STF}c z;`kTBh5>}_y+znCIKoEVB5W8OVUK#Dua)E=v_=i7EnY1^PJ^YS>@jqq#w(&!)EmcqJBZI6LfD z2g3Va0`f!waK6zK5U#gihw*kn=2S7$Gr;&NzIm7R>Nld2lXn2cOIWnSH?gkDH!;1| zx9EkTnwDr767#>+l`7%7lG#VNu2c!rmF7JFp}7xKW1uT>*LV`cb*1p#yS8C)T}l2o zTvvkJ>Pp@JD_!Zq@IQ4WneqzFUpT%%w!6j8iMQZ}kN^=xMk9yer-9MG@DmEd&vyVn zA%BCPFzN{KGaP%t;}$==;rLm)e2bsM{BUx4i=UAH7C#M){uVz0D}~`FIUGNMNDJ_@ z8;+mc+)ie8y>HEI#)OBjAa|H4lt8*uytC*jC*lE&)Ho_L?1tc%+x}ni2|EWT_*7pjMzM#XH2{c|7 z=b#F(`349fTrZk{=|wQ=1N5RkazG2(+Jd5&3}AW@pcwx}FS6m~g)!+YQ1);2B73-A z1XF#1UIcbfkJ}ai4Xg%S4d_Kc#3YC7MHE0UQiSV8d$n-A2($&J7vcP`^rBjyf9XZw z-dMT*v8EPixNM0t2)&HD$=Y1D%TMdXFeYD}w%Ra>&wugdL3nx#tl~{TBSr+va{K_r z0l;ck{;$-P0syOxC;(QRzX4X*K!DV>4b@fvp1Oi+GF!%95Zm3RuDulS{=WrQoc|VB z0YCk_)Rp%ZSOeg|YF7?`732djU_~RZe*#A07Fc=z39L~ix2Y?96m1sasVgW20x3MD z2?X5oHg)BN0c!wAUEAQPD~z50UjXZp!9P-0UI47X!ob4$7qG&c1P9i=0iNGc$xIiZ zMKEAZ1^xp7R^bnT?!tgIuBwK2grA@B(@jY`2!@eV37kniG?!@SQ6U{PhzRTY6k8?nhfEAhb-We zl%Vcq9A_yuu!qs?ocfzzKz~@Yx-eD(Ka~{tslB&;Dj&>Gg-L=iKNYC}UPl;EA7Oqf z`+xOQ(K_K5#Q-Myzx7jLs~doy3R8V4Grn3U zky}5N6z-=2`SO3`r=tD`Kh+rSr$+t5Pp#C80)8s6`db0H0e))lfACY;fuE`Y^HWLx ztDg$LRjxsh0!-cdsZlUL)iOKfFF&;y2Y$w_pGx}Q`l*1K{+*v{4EIxkDhl&cdtrVm zxMP@~8iuV)N@4t$pKAP1KlSxrekv@rc|LXPr-D*=sso0*9k9wAAW3Q?7j6HqAff>jHD=cj@>2*TvnPX#r~f)zM0KNYCMFh3RWY2c@Vk%IZD?#7U(j~Te5D|81J zn_ zbs@2S21cq zKx|6{{`|S50RzZD^kIul0+%=emm5xN0~cn6t#)tg&J`Q(W^}U?3wC+vXFi_-S`6|Q zCtOz)F?AMDS^?V!15XF^WBSSdHApfblFhL75un|;Xt{JSI$Wad#v=vuU|V`;Q zi<*5`D(AKv6*guR61Am(RwN2+(yup;v}nW!9H>H!VF>yfQl>0c2KzKE%XF

    )rKn zeBYe~lOs$gmHTxH6@&=Cv@gs}Dm@qw`{{;bbaU9o-c|YU#J;dhU{7!_KpN`NGQJi1 zB%JBmmTYrGZvXiCvDn9INu^IlS?uaIFSFb*WMpOYUHDe^h@5wu)I?h?bJ$e@ABleE z-mlG>+1Z%tpbQE<{3DI5+-pO7xyRl%vsH|tK^v?6`4R?xX8$Yxl5x->P0Hj||wBmotU6mDDocrsXr9-j3#`6sV7!f!J2RdwB9zj<528X31T-yBy&Ip7RQ?k^|2-(H%)h^nGojFo|kwwNxYG<@)FK6ij0VagA8zl6C#{U1X~z;-!2h?b*xaFY33DJ!~6;Wsuy3D;@7EDDBcCjD|+du zM)=pWg!wtiIIGV`(DNfuN(7TC3MRFXTw+=IJ={WprTvDT@-~5N1Fj?_4pw{4&IBG-i%|HJ zcgF~iVSMwdgsEo>#sQ_hu?@NRnFX+rEpoBFlfdU6op#jJ(u6SLMP zc;0}{uG=)LP?+PEx^XrbEQ-{qXJ?6~jF)B`WEDQ*x^DZ067NyvT5$c~3nbypD(o?! z3M<@~*{yPyAGMuvYrbl}$do_qF=!q_dmohm`@EYK?pL!*i7H0hVsPwTx;rLIPC@AF zp8!nTZ3us0$yFxs)4Il9pW9s2mTH%u4+d{>v${PvtTPm(drJbJ>}PeO@de5k$`@%e zQb4`^(;vHI{X+M_yMUpS14B;-m(7)WlWGo@HjX(zT4C*Lvvp6kZJ!$oF#;pz7KLk! z#w+;=?e{mv7KiGWY;##9-8rC=bFcWDrP+qhOOBe&m#nvC0Jx%^60HN>S|bSj@t7vt zT+R2IHYBp>(#0~X34kvK=#BO#gE7;)OSZLsgBdrGaQnH2&j+7o2oH2!4IuC%cA7<( zto2WoDa%VPy~0Tm=34(hHr_j`$zb^(R(erFFH$01q=_gXB`Ce9C@MvofQU#3=}C|# zARvUQ0*N3+L_k2m(2MjE1f)X(hN_SdS{mWaz2AGz@1OUa&6b&+`OM5d&z{-m?1|@{ zy~GsJ0Bm(P!jco$b^B@N;q&%SZ0)G9)30IsW$fT8TznjE4-o*;MOm>T)>=MdS|Gq+FNY|aY#j|x|IptIqt92 z0?L1naFWIF5$*d3fa-ItCmzc)Jp`WL40L)hB>`;2aSml_)K^bR3Xi-Dgb#+jQr#~y z02Lc!?!n>M7Bc33`y?A^sO1&dNO&hJ{ZjfKMjG-QM(@;O%-cC%)XSjnj3#Ox=3o@T zGo0WrIP$tQ1Lxg^t-*H63u#0Di7ri&pE@xB9WiW*HET%w-i91A#g0KqNm4QHZjpsJ z>sVAMDghjx56n+z%M0$3CyptH*WtjisDna>fCk*qy7cO~7+?E`1A7{=hbw1^3~2jN z)2Wd`Okn#k2WUBrtE-&IB}u%7X8-;^1<=#!Nxb`UR1R#%*4~@wN=o{H)C4a7vyG`a zSP>y!2EJaShmWhq{`)`(#v5$L*gl@9h)DsPy-)v)Sm?|nUSR}R07!(D8~WnlL;!nd z82QRY-21@s!?^Ou8325}M;`wY$nvV@*cCuD0kUAF-56pbwyHqbfvB29x^ zp8k7a6t&*YDfuUrX95VwL*uQz$~lJJ6Qb^bLe0KLL!8M=e@klGY{>JRCFw{r@;l^2 zf4IXq@Hq0rnmajT*OaCQ)Da!_S;tB6kY>~D{VM%I%nUVnaqaB=bH31#ev6~KYie?o ztH2gn#r@jm)2b@%LE*npk*FofKL-AvIvNGI(Hz=A*(f3w= z&An-71N?97{N?oa(;4tTkht_8C(%>Ae>xp&wLM3spitpZ6*>zA{%2sQ@;>lVE=#=w4EE=X;!03c0S|>?cl3&!?Il_QLWbRszd|Gajn5OeyhQZ%6#kTN zEHa)w>UoalZq-Nf5YGg;<6{!kmOn`TQ3iVYpUZcDUe8X{a&cvHFeY?n{3t!~d zExOX@iX)3v==M#HcG^yq0f^@}m^!5#_zU-7mMaCml$_pjpS(icP4ujpZa5{Iq_bQ9i zCHHaWt1Krs`#$1Y%2|ir+tBIhPtR$i+jO4nU-Bi#ATFoYZk6x$-j@h|wKMF__02G1 zNPcabd~P9M%=JFNWDaNTH)wYI*AHjQ?s3`)LmaN9CnAc zghhO{Kc|{bIs&bMJg2W`8cmyBe!7@3K>09m!93wB?BUP_b182bfeE4MZ`pp{G7zp&cs^b98OrgO}nF6IvWP=;O4Y)uv7qa!Q3_y5O0 zk2D!oZ<*w;Fl;H%;%S-;?8Z&Hf}E>mR!_My=+e%8WIFS|s&r*w5oS{R8UMxYkh==K z^OX7@*PQa`_unynkXp<8UQ_OW7Wdu$4|Ael zo?hrAGeqG4^~!$?q#Hvw3-({92>;=@D z{7;;w@P8U!&?lDuU-bXi`2L@@7XR1G|G%Kpn+A`x66^oQeGcIB>=4zIci_sq%&+qrW`Ad!2378NwRx#?P}O{)to{~|OVyAZGHhHZ z%bzLQEI+ujz5>BSOV#CV%?4``0|}#;s_-5AY33|{hGS`jkKuK~eT;GI(Ukxz0t8c~ zNBVp|ebBqJL3c%D+vV0WA6T5kBKjr+#n{nz)ru+l?lS9<>Et@wW^hHc|KzppHrL4* zFwep8U{6uUZHmG;vXm z__fZ5zqs8WqhAC?p6{`6dsqKXmy6u=9mznIh|mqcL9)P$5t#6r*vd{^rcN# zVXgi%7}y0FET7MQ1J)&4&bvJ6vE448%^sqkdd`ww;$^YwK5tAxlVuv%`mUP#ku}Wd zX9OqQxQ!K#s-04;m%J7MZ$&8moOIF$FWU&@fD5W74`>Rs0nDporKT0 zq~$r^s#AACbKotZ{m!h{Eo)Z+hrLLS2UdbXIxWC=%&93v^)3S03s%O ztu3;9{o%INE%Yc~%{+kz$)@3%fvELh4dUEv7(^N1OrT@Atpn;lUa?e$a8*JIW*3{+ zX*j4FcP!W8Hq4KG-}uiEDxkZ__aincBkU&R?M2k=m#f>5r31Ah$$T039NZR zFr{mUb1vslEAMy>0^?dTH{vuUPrZf}usiqGMXAgB4W*)1>m0P0NYUBs`)C%b;34xj zQWDu9z>wgE-~VpU08MV?r%EAj3@MbHT-`+9KNX{jk~s0lSOE-h&?P2X>Xg%OEU2BO zrkHj<{5nYoe}MqNxD4n&?&$PR{0N%KjE;p%pPp0Mi{tkp2j}u2gv-fR>wapG4g{BF{Uu@7?ez2j6;OA1HK1eAm?Iw2CF(Fg1iG(2fe%8bwzZ;Gv(`; z5m@@jDnlm@C?Ce0m)R0$C21S80i(RqiF9+qKPMbvqz4&06JcQt(LYgj>O@9@3g&pQ zB^FS2auLr)c!IYhNMQb+oMFPB$F_B;+mBtrEB+<670Z8cr`n4CG1hPWD8&nR$R{!$ zQa(mo3p&7|!im1Y%a}xlS@lCkuFFztIAPIYvLu^8V8$C?&#{kxHlPB5!b4_wt$Bp| zj+57cRXL3F{zk)B)P-591!$;`8#)`)K*4%oOrO{L8_QgSGr-OA(VdFVgTFRxK`$~8 zwe-IhNo78CKnF-3HemdRicg8}$%;0b`crgUKtM*&Yx2x=I$@siveevlx9SO75}2zx zDUMyE#}jvx!XprPrc2~#w{8T{S?LvQW-R6$@H|0mfT2C<$TP+Y&v@@1RNcAd0{H@A zMF3VnlEr&Y0Vw;~oZirCw{h}zhOa6UdA9aj~bwNn~(mU?Ki0EROtDQT9gkhE0st%LJnN30#YcTX@IdTcDzt4O9pg{OtJ z^&i?d&EI`QkCCZK%~i$c-Bc%qp6|0AY{wc;Nn8W0>Lq5B)q6MKT1!ZD*{U6`SX;Oj&u!Xd^Uo8O75FVOFDdCVBLdLr+kZcX97tMNr#4wsC$5Y7{!9j=B1R__?MFZB6h zqrRTXq5XFlaffG!f`m9s{s6$9VspX0ta1Pl=P&CYA2lkc|7<4}_`w}_4tFRK&OtI4 z{gi`m!Z$#VgdI?D@3$6)MAY> zULI;LCz-8h$WGYxAGVY_yD+<6REzw9s)f4*MLL3S69LP!bLjFGy&0WFw)W=xtlHFA ztTe3>t&oQN3oKO&JBiak%n=h?MTZtssK~U!0T(+LD`!`C4MO9$(^nqrozbw!DqL46dkI%eL5=~=XfUHoBB#0@2LMApj&xuy?G-`Q`wMWqVjt5 zYLIDwX0AP_<4qMtw0S<0Zki+4-RBQPsm(xPR%nhRJGAQB`fPb_pIDTI*9Zxvm_|B6 zma3sa{glY;{kvWxO(+#Q$-cC9Eb5upNE-^@$TicB`*R*2g*Cz4SjNSnmD7;qEo@qm zkedAyz5oa4vSF7MsVf0fTB^3unwrXZX;K#Y?IICKShtZIp6g!chklg#!G36iDZ@5m zMhA8}WwCcLHrQ&6AlC0_sdh}SbNJ+&E*|l{f+2cDjd3i(qUMkh^ATs7?~DOdq&J{> z4wo^}701j%4}eUxT>37rGjOuwT&kAX@$uUFwry_T2Z}&xEim8)DZr5u51e9Kp5KVW zSe@L{#aB;-ok5jDm56@&S9qxAUL&Wf3TdR%UM%C2`UyZNld2qm1>iwyiR&l^F}23F z3WW>%zK%_#=rU7fe2-Xgh7jR!7Xl4~f^czylE}9(aS#x*#BB+7=;B5`<~;~~wqrGM zGscBrc+h!F0*ioENy2zNtQuwu)L$Exb9})c9WqCz`0Vz%Qhe-#eh|ie5u;7&^vc%< zG5V?b^-zQ9o6$74;J2Id?CJcCkj6gdTGYB9x2O3H&17iZrm6XjMwCs>#iO}JnsTkZ z^vpace;?l6h+2pFZ45TZb1Z=J^%2rWr_OK(|5~Kku8t#ofxi|fd(?0C{!@bJaKp?% zgOCNOGTw?0^mypK61a92tVkAKvHZDij`WwVK-IcMSIi0x*NZD^AlQKNV5DQ^uF;>L z>l`b4-L@Q7-0c=WK23QRZ_**rltTj7?HsbM>X9uc;-aoOQ6d5$l2lUu)Vf+x-^lms9*<#$kx_}WsqnU92EBSa%CIot?D z`9zOFW8kfS^+-DW?%1P?C=$EEsrm}sZ9jX{ic=_gRK2)~`^3ItA=pjbkjT6u)Jv27 z5&NRMv=y06GhuoL?ck)z|8xG)t*8YuZB4j!rj@1H}WiB0?1Q z8Gq^X`>CRiqZyi)~*>I$@}FxrCc_Lxv{f ztyk8q$FBa;7or)2C*WtI>iq;~y!rr*dQCdyd@K>P{O6wDOX-Ght%mJYT4SFJSm8$EaL^oqwlfZp(LcXjpn-bT zaa7=d;jEZ3AffNMoPnIK3fCRFLEv%AG1RYxzi;LX^un50bo#b*rVz311z>?8f6Lcl z)?{Up`RvG_2F{(Y?Az*{Em=bJj6_%ON2mbl`X=l6y4q%;UDHMp&;Vje&&(^|NZ0)4 z3}q2I?CpiQu_Fny26%HuZ$!GUk2HSIiS!RAn4B>YGh{%EjR}WA^&;RMD6?)R^)gX7$TYiNgv5kj!`gonF@~Q9cnxNt;7AXY7MVGK}2JF8_%V*tzeuE)tg zNVGN3&Y>0+N}DbNj`fnLJO|bU3rcExNP@S%RP-}c8koOYFZn3A2w_GO26Kr>SZ{fL zKmEC^w;i@RuRee<02(?!7K^CDBxKv%?^n!}Fot+KwkRK}7P;J{ae$e8X$|Tvi@v?> zE+}JLG2~`DBXBo@a_`yMVN$ym!SYTF6t@JSrHF-`si3M zLCp4>*X{g<@$!sQ2H-{nt#kNu&!;O+7BtpKogm4fxmYj{(K?8SXo~`}(9e9ri!gNW zc?`4jM&@j&QVjI+jwUnC0K^9_-cVOeu79yI`az}a4wr@wY`C*(fSqWCie>@5jbtWr zUgfPTF{V|=+GZ$rMejWhpDo3ar^_3f;2VI&_ecM^jV=1BV_*3`-k(l!ypwN&LFh)y z{75{#+jV}>_EnRVKg06tbEW|C(RQ1DT%fsArI+ zhn(=Z^Q{Vp(?{?J{_f~c9UB;NuSr42+kUJnGU&6QEP5LBvTEZyW3;ijQ_y@&s>&7L zEePumy@<<1{vb{KH0|8ZiR*je&=SUq9!{k~QAoz7b>j#d%-opn|8D!TNoNLqqsRU!2wt$4g|H_7k9RDMR-DH2qdJ#ObOb=g{*#v7424ka;))UdS3_M76%?OT&ry(jgI z5vurV5X%|Vfv20hMy@(PSz_v#1!y9{0(zq>2EG^p#KV?rV#@0aAYGp-Z~#yN_+mH{ z=^`GAjq8dVNa8m~UraxPGY2s_nzvtQl_lK^fDl|UEZCl|g)Gz9zZs@6e@Wqk^PU8r z@xPg-aaKoaUm&Jf=`K-NI*XMIka0QhoYm>UErKv!i%{DDrlyxZz=vNgW}S#?D^yJm z7D}(*6D1koN!UQl{s3R+M#jn#;_g1RPe2ptA6^J z6o`_oVh|bbsnL1_#wSdvo^ipDBJb0{{u^D0Ox0?E2ou#>WO8EmD@p`{fg(Z^WkT(# zZ}|G$6u&RG0&Gnr32!q7W%jZKFZlrP;_UPOSOH za8AM2DazN(0N-W_vH{BW1w?8X$wRGZG3$bAALbXKjtlCh%j?IQVp)m865#ZW8;xPf zSHPcODP5Ys*3aV8;2nbCxQ$h2 z7pvDzK4i%n$PXLrs_{dk_39(GgP?g`4nGTMcb_r!pVh)_&&DuD6T-y?-wD2!uS&7w zU;Mq{F+G;W6On<;82t|F-teAIC;nLK8*EjT>YO+Rx3jRE8_25Jpoy+XGn-T@)=ChHS?G5`J;7`)H*VM2?(4Qp55>mcaiDI z2SKtm@NRcq2oZur4+5BS7vc<7&vhlbcT zBc=yrbzkm=Jld+Slve4eQVVbi&$E)FQTCQ?=sO5`U!_}(=l#0`7WgCHA}8@6p2sn4 zAC;(n{!ts?0#@4Zer?R_T>^j>M^3op>A%e!pgEUZ-I?^nLbLcSTZ@k#1CQO7Kdo(D zimCp`HG>H58}AZ6Nxo6%bd9iBK72nd_`vkx6TuJ2Vwvs;9$0q5^NYnAoK4&JE=Wf9 z5Bw0jFW{vY{rX$PNRMX`puA;A{`39|Kxr)Px`^S_KXHSneuCDa<%OImRExiP{GC>R zcaQmt%`!{zWatrP@5)>zo43h<-{V`NMp>nX#De@H_(J$|rUPAH?(4Y;UZ6B>pI~}9O;k*2J8n(RClcWO}`z68CHK+9_J5xApnN>wzI#Tgu!q9l9 z2~)HqTPt_Hf4xs)Ig#+Uj#_Ui<#XZwjy+Mj*uI|I%1Ff1^@C=Pk)5@UZepm?Mr$V9 zgTJtpD>d{uXNC~z1|M=K6eg%kacFURwpPh>Yx0~FCd^1b*5#Bp{uwDKmHK>9sf6$) zlg$DAyYHujb~?G>Cq=4TlhYS1BeX(Gx;NH+iDxt~!MsW#`JRE1na2uT_^rp^Lx-XQ z$>m0@)IVN_vvafL*O_eUzVA}Fk3{MAeb*9_eBHk$RT9PSV-xwg^3Yepnms=TUi?wU znt#!nccpQrYyBD!kYlvDT_wwNA&SGN@%YMf5!Q$6QYAs&c$M~QSW3pY&J%Ptxe#+l z?|=^-#n~LHVhg3u*fSHH;^E ztY`yTqs)Z*#clP4U@|LMNrWeM4m1~~VRLllZ{|C`+;yp!0>2999`oi#ObAFkI}hR9 zM!j+fABuO3FVg31yKyL@F}-)iQ8X(;`z$3@A}BY7pXd39$voZcxxO+i&e9FQyJRJJ zl_DRm2{@^%{gCLfAohD$I>1&agW~ZF}9=+7( zJH;cAmoU}g`p1?4m@XfuDd&ep5F19*^pqCJfq;-vW1_-ql>Hl6n6K`(mvN99kS0n! zLt=E`#N+>FvNaVt@VfpC@13mC#m-Ng3?k-fOh{*yZatxFBaS!5vaszZ6=^Wyh^9gs zzuagr4DM=g9`E9gymZpNV2$_0u4(A+X>iXh4|op;^Z2EFZhxu2jeDgk7i~{p%)DDJ zz32fPhT;{s)9>SdX2ESvLb>tvL_>Sun;_aDDgW>m)zKx-=-u_k^tzA?=%wgyiO=(l zhTa^N!Y0o2R4AqWw33B!cvx;GQf5U9@XkU){p2Wfm2Q}Aj?wd|JjW6hVyR3wI$AmT zrCdjj5jhQQDb>~wl!b9&QJH441l}R)*aHv#e%k7MCR;D9Qf4CR$(FXI*M69J{Pj}@ z-W38f(#$fT`0&B9ja~F8s)Ym08e*jKZ0m*dS(mkyb);E8;lhv9ndu?p%WUUd>b_C7 zkZi#n-7lf#sJNP=8@?(Acr=TP$=x8Hw`e}y+ya!baHP3c$@$HKl!F@$!8~%#@-CG5ueJ4~5soG7UKuIbS0+3EfWN|1vIPSE4onO>W~MyU6J{tg zg;~{mS}tn|ZN&=4ML)TbPv2Uj_Z>^{U5&wwxid0CMN}<6Nlvs28+>&~m#FPhqx2S1 z^K9JoLBExvWnzGfiotKuKXZ+H+^df9>z}+)*0gi=v|hvQVA$Bkreg_1vz!n}EI@7G zNJ!pLe`Mi2zHr$zE)V9gA@zIGA8A%P$se-tA;eIi{-Kp{=Cg`0xizUfW~TT{R`zGx zU!@k^%v~>uQn6-x7}Gz_^5Db4b42>=hLrSUJdj z&uquQrYLc&uqH;_&1H#J@d(zxGXpxYl&lqaR@DO6E9j+=+cWfhtAGUr*X3TE>3@bv zh8tWI@~Rv!eCj1p&5Bs9NDhKME@MC&3v{G(AGbZP*7W&p+Y3X4&)V>zOUK zQ>Q$WZ8jrnIV&lWfq2Hn{VZU=78uSO;ixhp{LlN3C&GIn?3OqW)t=)$57I25PGpzv zXN$Jb-(UJMIKuS;#}e!}!UgtO7PYfUW2d*A8L4DzLV zIK%L*?_s%Q=3m?P9M$f@u0}wU$XIp#v z_c45WC*~>>W(7|Wd0?Bse@k#Ch`h^bt#%YJhQLY|n*)799d}Ja#Lq0EAibS4nW!^B z1KI^}Jz8in5ge*Q9a(>+Dudtz&2GHYI6lsPh*`lRG1^}ja;BG?5s3`~-$}AU6|E|b zVXsqto0WFX=N8u=Jk;-gc7ddUuUKAQm)aR!(|CP+9pde7pI8}Gi(@#Bf7yWZy;-wS zakf)F9Qg1;1>7&zd1tF72o|)?j(-JS>5 zbHt&II~Y;wjaR6>BjB3;zu?b~C1a7o;A&J+=Xt%HS4%0>ABS7mV;_l?iY1@LwP0@i zb)^ksd=AL;+u1lpSXT-c}Ltu8fiQ?OnM)ls~y??8-`mem5 z8(rAK%6(7(75DeRiJ7NwDZi>-5QY)gcj*eDWOJM zqh@~gQA3y3BYuTtQ>rtAoVoLRi}Qxm9pz9P#~)Y$KR=1%$td~*UN(7-Z>E(&Y^q|kpni-EE;V>wX}rB>MDG2bs-wzs|jq#~Q{ zZ*{avGu>!OZGtmIR^yZ-TAJE`59?kS-amCdP#`!E?;ohRon}qBHsDci9VSGfl|1YX zA4$}I=f z8hSGC7V%n>EiCW&ixKEgS-0mKi1R-k%cY>8nyVEKQilq8R~3zFlv`l|rFd?nzxc1e z!)>9ku)3JplJ4`RTxmxBO60y`7fBC4sn(ltbG};t%ewd5^P+lbd=WOjSP}XHENEs0 zMJ7Rle`#iszh{vBRWwcxmIP1Eoa_v%QysS#t^YZ&HwpSlQ_H97t2TGyaTyelN@Uau z7XhhlzSPWNl@rvQ46#xuxA6He5WFj^(Y7{^#_D`g-nj`RIXVnAII!oVtJC=!1tFp< z&IB%hMKYH)Exe-VafO3RgeFT;dzNlijP<&$^;n171Jmtqm9tc74=!GaRmAHoWAq*3 z`HIsgzpVJ%Er;fu4${}=C5vbBaFuO%3F|TE?)uV#LaaKMKz>_7B0E56*_3EmfoddWK$*nR*~!0&a-vm=ziZ}1h}<>rM}LMP`| z>VH0K1~311qrU(&AC%yU*h+AMJ|DS_Hus-m{I-@yic-w7$6pa)$W>TExH8k0e`SSmUNXUfzxPNe`q^jNiz#-m0 z2j`94Y)Xc<;IEN<$GA`YR-#G@DDmjbNEI0F09qSylXz<-F|V)`#*yi|OS#ira)^6^tN^J!*GK>fUZ-^lz-~0jxX3Kh`V*kR zq;Dy~35`$v>9utez^<4QN6he1S{gKz?Fyq5eZnMQm%1Jd8g_=kV0PL&L*9V1GlYL_ z7#*)TnGbU@2($0+msb)UVxuog$9T*q%t#gagqq=8F{2gIYEK^ z4B^@$$*4)uLHQWq0ppV{(Wr3Ya6W*fVv6BQE9R!Mx7b3j)dexkG2QV7FOkFjF8v1` zXIke0znM1l{+-t?zf$H1eJ7upP>zGa3a+2zrOJHWQhh2@cE`J3Oy=pG8DdN+Mra@} z4k+((777Q;hQ9{V&OzU%GA(a;K#5m~?u6?efk}G}9)V^A2amvUOKwbgyr?#nlsZVpc%I}DRFVN)hKZZ85gg;eyrse>)(cF=&fMW*L;4M~!R@=C_mKBksu7${VVnnNX z>A;ZY#6+K%;HNU%caM+pQTQ=z1ZGF~5$lgm!^TdEMH0m=H=XHdi{WbKi*gr|D(Grx~F>-kFeu+UyWz5%ZMEyRCxs zx^xPbdPBVRu8Z}Ly0fq6Ja{yGC9UBvJZ|z9Wu4Dt`(={3pj7g&rj9M^q*lqAjp``4 zGBYg{ne;BfDPsGBcov%~twPEA)vR#ut8m+=V8iQ*#$Bnj__QY7 z)H)m9qVEajB^T$FlMl|wn#WtNXR`4%?G=>Em}jyT>Z&C_wAKhc=H7oJV;wLD?wNe} zP!6VD3gPu)91)*~iu$!?sMb$}3XIFU8xk z%nBPqc)b$2%%pzz$IBQLQ)FP;l`gzqSIF`(ZSQ;;YboD6qYr62S#0}l4<;}>8AcZM zg_&mi>Ke%h@{Cz#ZS}#t6&_08`zF=@Wg1=n>O?1LTuOc@MSqSogP!pg&9=zHto_nu ztXp>88)?6%LnAb#a0CVms>~2 zCMz58(y_M9L7dU4^+B95Q1VdUVK?OSEKmjf)=|g$V85hbVXZ~$j?Y_eNUCn-gP-eK zD|_91@wRLT8AoLgxDvQ^w%{^i?z9)nntdoXMCFRp8SAFMKi4@|{w99MSU!m9j2XIw2ezfo^wYYT5NjyBj0so6Yck5&SA;2FEO z*9jk|b|hC4ducqsfH~UwavJF=v}|2ec>;n5YD2uSBGO%BPEs`!fA)q}Jli2d9`3GT z>rkPV;TNoFI>jA|=s#k=e5?h>CX=`p! z&d^rPj-@>7rj`&Ri#X559c{lVvj6A@1r^_((h z>fUe^f4z43J`yTRF5)Hf32vr+$UQ|BpGH?AYaRx79DYICeL*~ux06#Uo*g&NF0#C5IQv)5AO(i-dj>@)IL;c>t>e?bk6 z(rXhbnDG^*5q8$CXRZrcPc%6>`z43%pYw6~jZK`zWDF_2Ium>}jn# z5YbAy0hyU(%rhbLiV^Y?uCAVGS1LHi+WX8Es>P(v>E1(EFu=#^qOcUuS@g{Qg@l-PK?2w6w|ONQfy7CV6$hHF_nSf3|!J~A;sQP>OeoN^B0amPs>#SDx70%z#=4%E|5z1-VXHhvO z#N*Tnwwm6RM*AckuFNrm>A-tYg4%Bx*XuHZ#B@C+ThjU49a*EU*vL1QD=NpoT?y36 zxC)UKqG&f|1b%dXjIt7HIQo3;=$S<6sD3UqE>a(V2fEq_k8SZc8>|ep;zE+DuW90`92gkglY+lxIEa zoG-_SeyrnD8VKvQzqj{Yab3u8_c_Q0%e(I?nHL*<$nCIiyV^!rFUPDjVhpKIBlcsY zW;8nF=Y5_=5wMNxqN^*&^{6#^)sJ04HriTMd(!FT^|;)Wm&p$X&yOU&E%<=AuhRX( zN>cNhi$;+2ev!1MM)Wm8-@2#Kt}pEYw7HUmzOO${?shEr-14rq@X&R6+P=ehxvP%@ zY4#~dF2Yo_3AT`r=7@Ne7BiqTM@-fo@6*E;R=LRI-2TWDs;7Zb19hZDSpJ7}czOJ_ zXhndBMu)!Dq#KkQJ36YGzKA+f8g!VYX(Dq-ypb6IA3uZf8^;v1Ry#>EYgPe#r z2HuF!7j?)wZmPXXx+uD_CRO?%M8$yGkCkfZ!#c(jt%i(;5Xnfhi0dGs722O5m>>R! zV~M=3nvmC-zICbZCtn@o8-a+F@gwyh7<}WvF&>G?O}TRXAed(!8l+;d0An>O({7J{ z{y^NNv998+m9g)aEOAE#a2@{AN-%aF)~)fo;sot+x@DaxZQ=bksKe|)!FpY-I9(8A zO}w`9DWN7aNRA_&C*RVjsp73_prfRQd+)mSul^KhCAg2XcU?@~&o>l?yyNdDH`+dPedn`(7oF}dE-eLT7K9Ua9Gq}RC!u4c!DA=1z9Rs&_~^tY zB*Zr)>`}<&N1-M?v9T{FV`F0Qp>z z0MUJ?81R)Z0~JIp@6zY=LdAfD$ly)c0Z%T%d)78?vc|78!!~{|RldS=ha5!3B7c+l zM|fMqniyx+Hi<~a1Cz}=x;B9?zj||@He8~FByB`aou0?|Gez?qJS$mZfN&mEjW-#h z&qCx6md1}UcE?49i-6O!hl;~C(=z) zRp_+!UONBjzk4%Dpvt?N1qvNg;_4>_00U@*+El};K5+Zjs=ny9TCod0HJ)^h1Am*x z-GbjpEl0>m?YdHu+hrB{FO)g=;nw|-)2G;fVFEvn&nSGO^6_jqxP9g}JYPG&D;~f3 zB&kZdHjR5hc-6r2oRHfONkIoGRikBYAqTg3Zs~J@$+9ovKdb!|vrOf8E0I*GGpgcl zc>QCF*Sa^(L}c}z_8_)_uKUX*Wrf9EYzTnG`$ z$ilU|)N$v2B4Jtl@4K_EDzX}RF_|e;_3D8B*B%qm*A>6;1>L`{2yVV|dvc^~OU0`> z(W^N(B-Cr3KlC{_|2Z=m(QArdD4HuY<)BlGw@#4h)AQ5czEq5lq_DNQQ>(V44(}`N*HxO#OLn-vOvu=_ z$OLor=x6P3^FG5O@Td|;sW>bmkU8Y}ts>6P%|1}+=p2neTQ9ya4~@{%vc(SbV_Zka zfM~ArRP0IVilD`qF$D)Ee*MFz+@lXEpRpG~J|hcoDLl7t{J5L3b+Z+82&X&-I0|)hwc0u!gHn_q@Anu`X2AiJNOlbi+^o$ZhbqwaM4-*uP?uUwqBpyp0>m8 zg}uz_7MoU;>6W{#Cetm>t=Wfu-2OlGcGFgK9`DNSU2yQP5BHc}kaFhxyQJj2Eb&Kh z@5AF=o4w|gnabssJFV46ACOM1zchBlS3P#rcKQ9^tnKppe>vP0^#5?U%j5rU6z9BG z=CBLe>$Af__xc{=&hGWu<8JNsIp8GsUeEslDJ?hVMj5rTPe(m$O`eXr-D*A^<=9%F z*T=Vdr*TpLXTB??LB3a1$@v~mPj;F6ub%R<#%f6QF`TEysCD^pxqemlUm$|7W;PO) zV*9jB=%?cU$IW|(HPw7=qtYStu1FD(E}+tTLKRRt(gYM#nt;**!GzwMN>y3{(nLU| zmw+NgL19Y?N(l-N5{M8=C0aVz|0= z#X%z3V!$TttUR}olvk}WTfSzHRJL2%^34j-tEu7%Xa9Qv`*fj@an;x$Ct3_>E23&L?F@aWw{*JW&<526!Wf z4RaDiInjzldR!WiiBrhh;@OhOC(Gyj3JD%i>FI3j0u+wlp=MEWKi8;jd&|a zp`k{yQbG{z0v}A3$cL)~CXqEpIdP(_dK@raVjIAVlrgl9FJp73Esg!JWFiEEV>2|s z_8dSS-OP27lLA$HTai`%8S*7)4(UN34Jqk9@D=3-ZchwiC`}GxNAriB$F%|Ms5wZX zkrUVlseqa@g2rw#FR*l}gz_8Vog5`DUadLI59Hks4s@@YAc# z1byhT8{FX6tG7(ZmV{m|oKMoTN42d9y?i)NkXojZn-)$X4q;OZ5%yn3HZi!?#?4&V z;fwHF^(T zhLeP7FO-ejKMoYJGWueLO|d2)iyqEoFvTuvtK>7ZVP zjch`RscGEldKpyKfjg!DeM~5)1D|}3(Vd@t-8Cwp)(nai!`xzpvSsd32OV2Ott)NJ z{&6_Za8ek3yT&Gk(v8Oj<%TY~DSeKlI6=^q32KC@yJ%yO)OALPU!>6)sSgUzHv098 zhj!1d)?OII=_CR8fmUp-KUTv0FW5Xay!Xj3(!rXYLEfhJkuZqP8bqw|_+9l#maXS0 zxKAN3of3jv+}PyDEb!02vRig>b|5t~D~dEkE5+|GKnZ%3-XCc*N$D1Aj7&7VK}k5$ zKCZ1X;YH9>R0Ndxt#aG!K8Wam^8+SP!{D4GQ6@c6m>Z4-;6=$mtQ%+UeSJw!*HYwe z8~cE0R^17<=Mxg@%jX=oW{tZqtIEM&kTqs)8Fyb^Rh=VgwI(0j%qPT~(!VR2%RSM| zFC;<{CtZ<}{4Y3so%1Gd(u!08Et-74r%aKhd?P4OvZ*6*6S=_mBDI7nS|JzsUvQ=L z%gYM-awfH9QL^Kw-KYZ&De;$a`x+!Ai*McHi>v}O$*J;dtyH|9V-0&_XirUwDUQR| zH-rKaK^8!PZcu?yn{bM`b#S_*1oOLRbgk@uRlU(dW$$1?__JH5F13<)#RChJMuiF25E^pZ&V1; zhzkO_)9OiTtCX&Md0Z1iZ}G5~$;?JDu5H@%7vfV2@Pv6?9-yb2P6pJur`(WNK%Z4+ z!fr6{9Vb{(ewJHlC41bquUu6UVLUjNE42QR@w-50nIa zlSmh8dbw4Nk=CkPi?+}EO!A-e`xZ;YOdo)MI%K#E4eRlpqVS;(1)V z`;u1pj_I-QpWvPdvW?m-STX4D$D_78A+ZNvX+o}*eEblid8YC=%}!+Y1twYc#W0N^ zdjNH3`7VUS-WhXjUQ;1OT=FluWYMQ&Kz?n&Z)PAh(e&B64`*%i&6<6pX~ep3-8$); z^=G2O&SWsj9zwL2C%-!;cApTPAY|*TN#)PhF*xg(b?d5o)8T5<51id0vl_1zJ{^QR zCp?1H7n?9WHFA43v@&*rVjQ=5`9cVje`@yi(d7_fp7AOn!2nTm1a!VRB1}tq!eE*# z^Ud1(PNo_z@cFw0%O4lW8P&qEJnTc;=LhZEpmMoui^SmDVlS`9i8e~MCWo*7;xzL4 zR+GyyI;lx6MD61C%v0|9K2y3R;hxpU`toB(aaBhCCTAB5?lB*f!ctMYhjWJ7nca?E zC{**If;B}9?|O;~Ju{S$+1feCxA3Fe$;MRcuqUxE&bZ%&GayPqtz?37et2K zp9clu{4>q2r96UOYj=QMz+sR96&*TNlgVS=*NrI7EMW>pK3CSoMTQm>7chWUW(K7$ z(^^PXyXpJ}I45&y^Xb@G?VN2sieHbl{p2yP0TW6y>#j;l47pD^mNyGGUlT73xU+Pr zr$>Xk>QTFAXDDi{_QC?-l6g$=#%bkrPCMnTIK_kbTA9TG!vYJjR(2D$hPB`X%jpbL z^mo>Jr3t#Gr7v|lurVFPlZ|!Qsr1Cr!AzdJ?UD!1#jM$9T;MOZMP>w`8x~IRzW*a( z_401DI6-v8qk9N&naAW}Jfc(Oj0Eo04jG-P zo)UeWr)4FY!*VTvvrI#3Y#Cw*op1Ex)Sm~YBd5Tw(OcZfje`k16SE03FFNYCWs_R= ze@;sighkuJhO^N7KaFk#?)1K6%(-9mt}>u6%CGOnEMK^SuU5J0NkeAw37uJq=DbmSe{%Zz7whZZK1tPneFMvx%AE6{KrA5m#}x3 zugqAF3Mvpz4_6lha5iR@3!R(a^39t$u)oH zkCbI~k4yTO&y&)gU;Q*Va9xAN-n6|av9p0~bmoV-KCd0(Qo8wl-a)tEPII}__iXcb zx7D44EG&Ei&E_4p)m?*JEmpnF=EJttU4l$U#;=~yu#SeCMCL0Fd&ATj>dZU{Mb!K3zsnDLiF@6F)zElRAhSBlt z$$hP1){~~*%Q~R*BP4WCXArhKpfe5IT_~2qD{=)t(;G6}wrZcR$ZNd%-WYmd_@Uap zWFpC>2v;^ysXU zZ(Iq8gROZO=gZ?yXNMy% zS6P0P*c3lVoPlxHgy}i|qxWpb+d49*`dE)9d)wJ!Cc$KWS;bRl5fgNIi zlVE;ue^GR~E>CGIy`#n+@tB?PJg@P4dXJC&3E~VkRPMAak$1r!QE^tLtLC_6;3h77G^%V_oJW~=siUs4`I;zil@1Sk(7kAP*A4A?rk zj9HHb1|o+2`!MVS9#1Y~(NpjkvdDYObMLirr@U>WMP5D6y*gtTdD{Yu0nfBU1@0Ir zuSVl9`Kg^etFj4)DyasPLeuPAo>aoSyEX-EW44SdBgk9l@)Qz6^C0I`WW5>hefcST z&j!mjQVfYwkqu@Taf{oziR|J3-xIY)+_HBHDtlJ`H$;z75wz8trhfXvGhI4i8o0(i z?GiR+$<9(y3k><4h_u%x4O)ii0Yn=S=+Mf3KSZ7(!iyNJh*yfp`mhu2M1hD#@dJpSU`0{ph=B`o}+ImoTUal9$Vm(hIpG6 z?S(HZO?|N!ZM1QdHcWx0EC0-bMQo51xSa>-#sW0Zy2_A$bFVT) zx zcP}r9OOhy}YXY$$Qxn*ORhh!+IdQXm1Vq(Esld zE&MgW@rbIAD>irq?EfpGz~%BS?!us&f|$IW=sHbbPq24Ik-pX6EC=BhYhjQ#>Ea6X z<|6?6NH%kAGH>zbAS9Z?AgjmeNfvZsStG*yj=xufBw7u-C2DMKe|mOAZGkp;QjQJv z<9eNZS2h0;++iUm80rj)_dQWwS!_+ISK~UwQMjlbVuqP%Bdx^LEH0*a$1POTH-}oe z>bOjlV^)vjZ_;DAzH_Mw5gA4ZanBKgF|U$ynt|9J>t8!W_eCa=)RG|z(=6IKlF`D& z{-%v48420hMt83FRULEa zaA?bOBwZnw?YJo?1=!j=U#=KAmRvco3IR}`{BH3bmdo`$f0n?JMy0P+$D0-$+L}~; znn&6w5Dv4UP$=a~d(oUp3V~dQhq7B0c759v@5WZkmG3hw$sF<=+7guNG8W_lil|Ew z3-d^1Z{aX!O3PrIbq!Wl!SjP+YbkLWm-f0twQ$D&sEUJhUDn$tLI4&jbG5BoWF3VJ z4^x=CL*3$+5y&u=#M{N~-^ASl=T;U8v9{zGt`1NjwnoDFwRNZ<&~DTKE{{Gb|D&*{ zb2Ulfnay+F%8>^**V`RJfVxk9UVM?ec75f~60E3MDO!*j9XYhMD6zF)+S9qIg?mXQ zz!pds&<)d{xfSmxX*ZC-^G|+ue31ch5e~Rain%u*tRoi&qb4B7u)kbR**rmYY!0R7XMNp3ga+kmBG9}jo zF5hg!3@RD6j#IMny+b9#QUE{bYi6G&I~_q0uuEz*wo<8hf5hB!9i>36=owd_5EezP zC<9D`+^IPqv4)i!2GD%X_7b`PkU80(L19^jmehq7o46ncUv=7Yeugq$ z-2J4R5;ZQiKR?oUnV|To$hjij_`9?zd2kBi)RPLRFg&g+KNe_2&`)W(W@uDQjdO&C z*3I1EzvY8N*v7xGGYG$AL1D1&L;O-_UDj9gO;}z%1v}k0Vez*l(>KdPL|J+^#iY(U zuKS=n;~8arYnX-H*J~C#Z_QLbEJe0w^JL2Hh3;0+YhB!e-OjuHpsu__P^#9Hwu#A% zWhkHI0=JFV<%!gjwYc0nWgQ*Hu#p`fk%r&&DzJbjN}m;I1wXJD&3|AK~L zg9oBwNzQaE#7pxvvJz8eKfJaxC{_D6ie20IA5{pCfIOrSOONO|sqc+b*0BG$5V~O_ z)X0CWO%7)xfp=MOSWnzcX|bBo7=_)`sP8e3aTO!yG0UJdfO2(X12yK=*BVph>ZS%# zAN>gCWWU@K2O%ZnTF%d3or7LlO6hoi-qXHB(>TGv!}F^6uw>$5>65#9&**KR8Ee*b zIpl^JKV!c(p=U91q2$Gab8Z;;S=O})MT=)_K9Plf&y=pcV=?bCtZs340_w!K^qJ zDlBlm-K2*(&=h#P-@)m6RQ;PJyxtBclE1b>GJw7~tg3NsogftVp&4RHr zTHUrtX;J#nH37Kv)Jim1z9~4Xo#Ny|Z8A;azVp#>qV};;&I)X$!}!Y+U8xbBsL;qe zrmlkDlvKh5nx?L`Lj&PVlbBWik+N%ATq{3v0^zL_bhgRlM_F913;!6r)ho8l5KfqIr zIR{XRG5B(rK(LfK#psI@#=1Sjv?0(F3ET&^$o6|~eIJ0Ro}-Hmfn;r?)vbuUmbSeB zO5aEJ6L;JezqRcBeeq7vE+}C~c(|?CWi8MqdHGQZ%LBXy1)!Niiu1+06eJ%~eP&D; zapBF8GVdA|{V6y;qv(1EDd?C=QJmkWIIqa3IM>M=lh~XDTYI_nO;UP(O*N_;`D}w; zP>gfzr5`Q*DTxtmVX{m5ti-!*d(o6+GlHl(^)Qa6eo7jBqZF@~WJKEbO0TD&Q*Y%uM_RUUlH5!}*1T;npv(sB7pk!q)m#(|rWn1G$L^TY`UT>x z<@AIfdD6qQ=SbA$mYZ}`osf1)6eq>&=|{ljOXdY?d^gBD>7+~siBsWTY zAXZ9z!ITMVu0-jwF9>(3`ooig4l;D$#jLWqd7-NB_n5;&hf>+)nI^{B7M?j|Np=3X ziMqmx^3}hygv86H`W#x-C(BQ+`U_419Uew#Y&CzKwz0tn>~! zqlw_MFE`FnH~5BME*d;9zIu@y)G(hXzIMPl^a#q`1i-q}oxIQs&rYIwWQRA+`*f5# z^ak(`a=Lvcf@Qu^n&N%Y=v;)EU(m<}Y#4q+0n6Ja@~4n^o+MsC&P8zQKd62bd!$rP zu9%85?|UxMk?`y!kWb^@HBv*@+oA)m?Z)QrsH%xSHmFLlYx4TsE(5 zV_XTc+ZY#u?>5GbQ1mk94kN*NdvQK&P$^O(j&0t*RVIg>X+xJtVM7aabk+{u znV#2{S<^U8gf>Pleh>K7^Kvm%2>$)=5CX429^ARh5Fve?^GjE|s%R}>(OeV<_(?3Uc$gKP)oz~PtIJdOLi zRo518hu9~-jM@8q!JF;H=0h_1;$VzS&snI4VR0 zjXWU|es@xVnp&M<%0;N3p>#&T{u`DIH7wX{SD#Xy=Tce^J{M6FL>CAC&;;F4N9c4Miv1uJ6S*Pz^^ zlY3IhzqM&W3U+wkt+O`6f#ldUCn?*+c5AH32yf#P%nkZdsqpkuLvZ6eA|WGLsdxp) z?THEmBd92R&49ygdOF`WaY~bgg%A|fWES5?ORV_-l^iGH*kw$#UC+h?ok)uwL#4l?na>!#nI-)fOdh?M+uxYGIZ)B@DAI#}$#8!f(OAa-WcWILi|-qO6!Nv%)6 zi0GyyS>QBK|MoBX1yStwPbxAA@P7`vBAbSLDdwX~yOc=#;Cd6iQdna=S`oXdzM-=e z7~BcXMZDtfIe3Q1=IJ@eMTGG7a6Uua>h!MrL_;h#4?~&d-~?2I_E9@8>Ae6 zq;Pqf#t3F@b?HXiJ0z9YvNdI3_f>D%o{S>y9B@2iC9A4Kl+YhIem2I<=3>Ch2QNBk%e<@S0Fv3 zuQhCYEE_cBe))Lx7nf|=tN((F2Y%Xkq}PExvg^UN1wPIv9t~7J@XXMt!x7H6tG@2u z7LDqBtYyEc9d`5iBqH}&2(As-Sh_A%WN|tFlM0>wzdyaSj~Na@Tl_yqKNKb?GZ9*K z-GMBzmoruxJ58Vr2}Nl8o|si!8H*k(Or6L9WNh2!WgsccmLAOoqai+~P~A|Ja%>qL zT4d=tqaHuZ495bfAffSP%xFF0wB}|Ah0j`|n&K(sR*J}f{Dv(%TeOEQcJw+5)7d?4e=SQ?VVV{vbt z!%1X~A%R(s2F6IV0(epFDP?SMEw2tXk{!+xsr-9J=c)C1qQuVe_zlvveDX#3EYhn~ zFtZ8%i4J05{>KOE{SvSMd-MCRi{@3wJi*#JbWHdAKaP3CPqe`CA4lBh?yX}fjcbf8 zqg^=H#T#aZ;{Ya6GZ&KasNmRMZjv;v6~H5BjDlma%xD&p5H0}Klu(vdL`d#CM^eJ+ zpa`+OA|!R38!9-i_Z*Cscxhml>zD~87TYUE(#J)k2ytau$Iw_0w%-ysR+J!w0_Pb$ zF_e}Gm>;o0?;Pn@%C0+~gjw72zoI9)5!s9S=(XKZY{(SJTChGi zJjIYdHofvAKd7bad*3?}-_gC%g)0AUOmSS z<8J4xF!zu6%q^J7FDd%28z`$-kYuefvYT(6x%Ohb5OY|Kp~x92iCWZ=T_tA7r#4Gy zYKT~?{_NT9gnw_xWW!}}*?>sJtnbBh4O3g#;j!Ohrar1tz!NX>twmnVd7(`G#*|(f zk}0kjIb+zA;K7Nefk_gjaRJDtq+TV`Ezi2V3ku3Bn+j94^<3fn6^({dj;UQBTPN{# zd1Lpa|E|OrT-Q7zn5|a6&HGKO=V!eX)`2qV7>(@-yU}#n2eV3q^e z)JX?qk0Jib?}s)WTs6mNmG%2))b}oNS0F74tWl=Kwqmn zQkM^&*wO6Wy9PlDC3`5f)k&+611}Y zI~!b(SPDSFiyZLt)MIwwMK-k5`g3i|u(@dyCk;Cw^%a*bzr-s;W5?855Vxavg}kvt z(tngYUCOZ1rfn1?EzINXsp@wXoDE{2JWC8&K9~YIiAQjVN#|W}no&7a>qT$-(1-K- z=Eprw_{%It!-o?hpC?K6AAw*ur}`N!;ty13Tzv1qD~Fm}!B-at;4?i2vtve@<(!5F zXW#}zIB;ON$lIC(33>C8c$@QAo-Q-_43P{RKo(iyoW$KjFiQ9V;_Yej=&q)ovSDAE zik*<-=);4jwhez=RnH#qH3}WD-MP0a^})^cN3-n@y~G^p$DqYP>XP>J}<07Mo?EVUDZ@ zePx)E81Z`tz6WwYQ|m&1gS)ongfQrJOJC4XIo^XAeFmma)WvmD7oy`%M4Wy}+G^EU zgvlxP3-h5KIVAdR?ry=N5b?hcv_sr)ox-c%t!-BBzW50ZE6VzItenpCJ_mbNO+7?oXb^7^Qs~7oW6rUml5)SuC}0#7AF8<;rTd9la=}Vs;pLtt z``)36Lr!=8r%=R(VE0hYP_umo2D7kt|7x9pKY5em zw_?c~7Lz@h>(YbOeTBRcZ^|woRLJPwNwIv6!Lt-+$Hyc;46w<3WSb-`o@OxVI>#dO z1@enU#}1jp_aaVm%2-Q83P^$VOAn!R%ibr+{P8v4s?!SNB)Z*c9A*xyGHhCY4CjFi zgIb)U^9|0BY005?9uS`lhJWI{m6e+}Y(BDl=T4;8-J%yk7nb84VP0)HpvaQMR$K2y zQHEpPbaG@I3HPy7<6(54(DINYb+OoZ`7`gu%H7tJ?uuQQa6@1SA2#jTPj~jEjnx4u#WcQ=mYf9_@_lLrHu2gX30v%Oa$ac&Q&bkFXCx>r<^ElItheCzS? z`a&zjTyXH&TA`VSm_7-Bo$(cK!f*Pqd_fd?BwjUY#m z7~0>0xbkIkpjyQ~iG}zP{*AMb?UWlgjOZr+uUnCIqTm-GY0+`8Tz?G`d#gwu)lW7i!qdRh-ST3i6e)5h~{~%k}P3= z*%XyrqqTb5?rB-Q;*J?|hF5E`S-?nT#IElRHO<}sy6Rga0|hXo+jV=5JB5G0O5AvA zl77kJ`QXz~O;--7Prov^H|0}ad_t-I`5aP<5*~ZV(K=YKmNMbAeEsI~^&Qygvh`h2 z#VW>zgur%2%HJ6u_Oa{ija9BK@vc32Yyq(Ib!%hcY73-e3B3>jv->{zCZ9u6U#Pif zg?`cT+ELtG#z6uVKhu%zb^%)SeP6xZZR`w~TuY`r0jE zrmau#l_^&lnwX&OQ2XBh~Mx?g$ z9t+rI`M8lv|46^s89B`D^8e@AaPDO?BEtJdl*#e7i2cpwPM419i60?B=ch{N5=-V9 z@FC_RO_SA+TN+SI;2ab8%s7Ow(_A0dk@fZmgedg>tSKW7zUnOOcl~%j&*fvQH+&FP{Y9?V*2wN)@?o)uCE zmRSnceRah^I>%4iwWi%S8*P)5gtSER0t`T@bB){eY^{HajQ-E!dek@Vg$EX;CQ2^< zJfjqOT|&G*{n~og>n-l?rRq<$MP6$>wH{h^ner;j#LU=K8G!Nb8GZRd6$wFtSH1vI zUv$MA=1kZ$!URI?ui+!OCG$?W0w2-g+DyJHdA*zG@p?^JM=t}8#^_EC7#L4ZM zgQzb^AOhWY!ax#|>x;7z7!3I$KJ0I7lg0e%3Z3Cm3Vcr)IFKDSKpCWqwF;SiU9MFt zvPZ!Ov9nH(6NSr@5geT{Il`wbaHioq(d)TD&Qeu9WK-=ES|C(A?0Q>wc21c>W~pOA zqksySa$k>`X-+-1#`z#m<4?FdPtU*=h68m1;N-N9lXPpY&k}`~q*MR7qEgk}*9)B@ z!32oq$e6?v8q#msLV>l7)?R(u?Mwb7CY++}$j!CquoZIJRfCM#1>r2`-=FelIyOfF zWSy{SF!q;^99#cAU>lR-dMZF-Fy7*7YFpkbY3p~)mrt+y^6Q$GaGj9ZtD%d$=>F{K zkjE)1TZ+V8Kv`f&qSll?>iVzRK|TTWY&IsOhikla`!_d4)a>4-S`lBR6ZYw>y62_L z8!~s?XD(ocjBOI?)L{Igje)y2rWSU$JBqv&rB`tLQE%G5YAjbZnkcmv_4Bs1F@#Ug zy*4Qp5CK&sE!IQPS>K*Xn^fj4q%VAGsx^ArQWR&=)K7K{K(7>pesfFXzc9o%9jx^j za_CfDYho7jM?@}G+c6Jao%|yVgpcJIy1RPVyVGVjM+9z9$d;> zY{C@-dKWx0EjHV3hm8B&UB&T4UGH}FM0&WVid69KObU_xqQoQOl?uu+>(-;7x67eV zk6Wx_TwPO3OIcTH_DGYC->mDx;*MJ&F|Iu1DNS;rZrE(Cf%`e~)J1a5af^9Omqb^@ zky=={x6I1yjcOggtXr8vQF5=i+dCcMS;tDxJu1ydfYQFog(p*E!SU1M4T13apZ~fZnYZ;n>FZyiW0yCi!fM61z3dRnsu-Tvh@FLQP2b=w zd;fjU_~|BNKU=t6RFvpHiQ?vEO#Ov-ELM>D8iEpiTc~ ziL^DmTwb-TN6eaVDbO(D3Es)3@8j&x#2os`V(Tu% zhNMz_6XVzkjdCtk$|#@Es`RcVRB6_1j+05qzue`hH+-J=j@5f?N2KI)RV`GVxnJv9}iDC zhbY)&XA!Er3>4|Hqe~*eXr}?4Sy)p#G;_~`mv9^Zd0idK z%3v+s+rb3d!iEiJZ3IbRQEwM+-0FUZ)!FQzNa^Iql7DXRmjyT#L@%});6MM6CYuY=G|2=M-|_jI{P2>@dYc7 z!lkffn4?*DR?N|m`w`~oW>4q-*~PT>;*}GZKTck;M;<-iiU$b+%9x{XtqOQ@{deo% zCJ2KxXx<7!fN%{@mcVjjjvRYFHXOLUEoaU2K32lsDLAV9ZVmYuPV{+OUKQVVR2ZrE zK+NsQ7O(Nsw#&a3O)ABdLv$LxBYK>BJkt6IzPp_(76UqiLla=#sMxg9n?lmZnf}5W z&w+EyPiL<6cy3&=V=*`{;NjQb#Qx#Y!lt&Ez$qMBDuM4@2-j~bn{!`1h-vN~6T}3R zZA~8jYULv6jJ94sG|$*Bjp}W=HM`;Kjm9jA1dO)jM=rWHW<}B|&fM(5sP{&&^xftr zheq``U8j5`S=uZw^$~c8@NbJy7SaeTs1Rvz@>K~kz270id(vQ#J!jq{7C1Y`vd}F4 zMu!c48{Np#moC|h2WkpJyE)*_!~^$~^o-M9ybWq^zR{@M**aMz=!O1>bYaGvCH-m- zwBPz^!fM1N5^nL2b4$Kn^4#0nlpAxNJ-_xl=y(t>E>Cb=Ka{%M!Pp+LffaR1eikGp zGnT@}y^we2;oQaJ^gF`P)X3#OSKhH0eB=My>0Y2OM>SQ+^WPIa z!rG^|FHceUUM-PZ1Q#Dj$zB_-0)roA9P8GcYVh$)u9CSi(SYz2fq z(yvZyuivZAXm8lNpQ$#7aGwTt+%xU}*O#Lut-IM#^@lnRi0E{UWTCvB$N07yfT*U5Ubet*k5PHo}Ow$;P zS`xW~{y5-vcW&|5QfUBMazJMoW|j_Z+sn2%)cSDNQ$!K#QlMknqtXC<9qHpt(A>6a z$8NLqz2Y9$g!D{af>uPq>>D&$IoLuN^!JbxshXX#6Uikm%bTC=Te{9qfJ&3mqu-Mzap+EW$#0 z5!xErSFC$>FKH}pa1>pJZ_{ok|G;~r&J$+!qn}2i8=!akgMY-fWGsxOueOZSnN(=iUL!vNgm+(!$Zf&y1!;W#zB$Cw zw^Pu4UgntOvl;YfwocEic<|^eW>f%b?bTV#i}fPisW=5JlghX!}2ca`JiJ` z4_@z;T|YFrmio6Y(UWO#A&VDb%iiO|gWwnM@!>_7@e40&ZI`uUcUk)GvS3-476QV5EV8SGbT zyTG^DgQdW1y;EL7HMOUpOsdF;Ctt+wQS6QAfwI$1QU*mCoEO;LCv`09b(Zy5$-`hS z;`G{K(jW!i2wAJ5mYB4eui2rXyPsFOBWl+BdE9@9t~fa?%}7ZEzKt|+Q?gysm@`I5 zEiHJD&VhTn8)j^Jx?dOa5v=fPJOmDW)j;KVc{u4wr|~h=DcP08Bq%FP&VQscW-};48rat=4lHOgk z|F&og_TjerocBNBDD58ZCB55|m{iIvGc7oDfAXZQZGfPk2@{pVo{8Sb5g^)%Wl_cF|BC-kg1VUIgdSZ3bmdmt?;Xdf zC0}dwU!WM-6z9PS=Oyj|J1~d#=jH!(xN()bV?hrWCwk!+fEh?|JeC>GPK4nCP#WOi zxLy_#4EGh#0539ctk>}D*{6}}jL8lah#q}Gk}fU@_;>$^je3x_B||ac`_Abd~~Zk5y3(-!3iSEQxF^^7Yb>l@J-O{p1TN2 z2N}F}rcaMlyMKEtjq^EcGkMy4!~J;3Vt84FFU3%d7Jiv}D%Mc!4BCK*0FDd^bZ}uJ z7KnxrSkO$wO`sbh#tLU6exshHWr5QZe*r0w1F*<`d@tV@3O2iwQq(iA)Cr(O)S@1u zW`Ii*&jB??<&1E7q8z}AvQE}#AsOR%k%HjylrkngK@u%a3s^NOXCiYD!Ba88IJft= z=+G>r`#43Q7!@4f%S~d$;ZaQqy#ifgUeV`Hasg#XA2XSjLOaJH2CQT@;v`@V>2^_a z@^;|a7qDM3#YMX(NU1yz7lmv1Ms>f;CEaT?C8wLcUWyTOz3DmR{rsjJ;AV@Sf=%F$D?{a6;6Mc2XhmZ#kA=e8p+%5ComASW@FZSjZlGgU+(*Uh7 zPu%3P+1Rg7)3RAPwQ6DKeVyLvS`?Ul?kQji--~t^9JXk~=08ZzyM8~QplqW}!^}@V zev?yAA8{3}Pv=_Q8{nsH=zg#+)Nv#~}bQ$U({p zN>;#KZLEIA2-%m>{-jlD-GygQdCxY-@TJ&K-^Ha&pI_w+dKW$Vre$xaS=eVyT<+5K zuVqvlsGaGk^|74l!^C`-$fz|l;!G>26I+nQaW2_9R1Qq1%I2i*Xfj1jMT<&}RSrbu zY35A4j;Q)!Wuc<8GDG|X;zXNt+3H+64X-cU!`}=A^*wl z)Hojoi2lUrzP~N^z9A|$&OX*{_kU!j+FbtMZU0Zh?K9{8m)F0I|DMu#eXQC1AAWm> zn%8COw|DqFb{L#HnEYgFNdJ`K;twvHPfE5gvdB#VnQtZKBi_U^teHHKa6VHP&nM?a zKzq|E+;#$Mf7jXfc&pzz_9g%CnC`*u-(yeRgW|2_S;CiF_G2YlJ|pJ8|M^Gtf%8t7 zhn1DCAJHDLHdIdWU?kIrt6CU74cnTMDGD1!|+(IA*qnA2f1!~Qho z#is!YPQ;!;i^4>R=Yas!47gmWD+W=j)LFF|eB9J!aHD+%L*7X_ex^R93`qU8YUeJ! z0@J_|c1p0E`-=l*YF**Ilc)V<%KQG63dm8DnX{#=WG&(Wk9EVh7$eF%tklR#9sBw} zuew})H5)fm4*p5Wi^C=%m`H)RcI09rmJ!|V@QA50_hC@|Ws?d^SQ@YO3|X$zDxKtk zicp){vqd8l~zi%11R+_JZ05jU-TL*5-W>v4WKV+5vGCk+j#oWcypv$o!+{M==6&8)l z{`A}Ilj{-f>RwKim6_s6S?yp>p70-s1D}Jr9X#S(x-eU^K6{rK(E-^a|g@M-zBfV*K&!s}28L(EfO5?(hYaR@2c z%qKjM7Si-B0e{u|^jqmS(wvsv$+!CWO>x})RIF6IG;2$fp-cs{gsdo}kY&miRcTZk zMcs2WMfHkLNyJx!TG|bVQ*1_=Uq}PaNlGcpDy7Sl4C{@+g#pz`Eqx=T5x=%ZV&<|6 zgSIIORr~Y#*!C#HtS2h>V^QXEGkuo>%H`p4$m-#PIF6gROBbP?p~s*&4*Ob9=5xcV z%we&HHAzn%J#GY>DX3~v-0af7XapW;>W^yadm`a{+B8?bhGcun0SHhjpW)Cp18w+C z+;^_4*~)yi#fCMBl%`L?rF`o&zHJEttW##FwNDGGh28b^+UBT7wLkropqBLORX`rs z)y{5LWG;Ufm;Q~=&TdmA7IcGMeEG1IcF)O|QMi0lxX%iiX^8$c8Mb=3N4sa^dyNub zFc;1^$@F8*+SvAa25kt6wV#rc2Ft}9dWKRpvxbLG=9Nto-4GA*wV(G{N@U*{rAUB3 zu8X?~1y-DCzZPr9&SkW!qsnH(&CuaU`=^=)^o^U7g{~!=c3zssHBt^&Dne6Hh79EU zxnC=Iuyfn~EFa_Ls1C`lxJa4@Ai9rdq&cCc2TIDE>XUT+)Eg7YtL$%h(_0N_Z*A^8 zY^RsqrgbhHW2Gz7otLCZR|C`C?eaYP{-0l1-1^?tz}?FNG}T&#n;FT@LP&8l;X5Gd z$pg#SBEk2+e+knUt=L6^X;ruUXR$8h9CSt34Ph>npI`zDj;V|7lNttZPbx z?e@;r8~Q_?@m(KFWt;dF}n!Pcd}4V`gZ3G@n_ovGn;yY1f@|6y0`b+u;QIc+dJy!b{QQGg55?;G6)QmK7=G=2dEyU8Ty{M$ zc@xFkV0h}B)Yt?VFe5z_p0iap!^|$SOaLM6BvvSH~G5eIzRr}nhx3Y~SnpW_~DI4ugF z6zZ0N17i{&UlO-9@;bebHzvBX!>LW-Dl+r^4wT||fTEX;O57JJe-P=Hx7!-4kvtC+ z6bJhAB~g~IwgbLzpU6~eCdM7}6{o|U&CdXrt9mh;-cUed+!0@Kmk*f|JvKnPC;O17 z?7j9^60v*&44zdYpLJ-FE+#tXXiv0oN+2=rH=kn@MinfY7>9#$P^(Z+TS1pvaGd;% z_cm>sb=5+xRv4n1->lfM)K`)Jl()rhC_4gwpMzz-58T1Ugt~ zeS}dLJa5)i$O4<7=~%ikT-#8HBv~s1RyGAelpkj0n7E+aG-Wn6x!?#2 zH%M=#+zfa(`KfOI`+eZNGq85r8bVT=mvl^zg}{@w4L|$Qk@<`0YEb-6`~lH0-Qy)| zWd#Q(?&x0`nU}4aextpVi+UdqO;xj(6#g2nWnag@PP_Bu=AVm);o5vY@+tp#Dj-v;-Wg(m+v{1WF8q)ESmVL zkXckB^-72(_Mse_CLFaNbv2@`q)Q2CrVXKxPy~zR@QlP4DDs=W*X^czivsTg)9w1^ ze5k#BP%Cp#{f&=r_G{}R@~zvtR$r+N=|xlJyOQlF8pwW7+~Uv7kV?9C?@3wN%R+ot zp3hvgC!ah|PMs;RFPtG!$CM5bvDw`6AkH6P6Uoe<67yAVQ+zkIby@zGSV38bE$P7x z-S106NySE_T2Z7+KBVx6ER|JKyIc1bx95L8oNRkI`SrBn4M*jc&mwKzq@itP$sLbO zB3{XejM~qST1Z*#X?Ek4z$8lGN;^@x{>x~W-;W$JM44%)d?#P|$o+Y5c+p^J?3fX* zZYLYocy&_nLg~$T_P8V^?x$uZurnj~3CYVRn`6Y49+)@Zoh&|hGmG3#?AF!$;>Z&tCkVKI+) zy>2ch%yLalEtBgvg+eCRo7q7+TmkQWNaR0sOFqZm)SXU*7C?yA1C4aLS@X<`WbzNYUUFE<$&=(~e{M$Nd z_Tf=#?M_Mq?4)pk$Eq+4Eqvy%<(+TJ(Xo=}#v%ONNM@?GO3_p-)0ZzP+?2hgn%cpF zv|cdDdKhXeKjcZO^?+2rQp!|4!+NxW*|zfNP9@&ou)B8scPjh$$5hQq0s?(*N4J8P zT5GlHSlb=8L|Q{!F6UR=^RZ!AN^GrG)rvO0zZP&?RWu8 zG}5d3E!FjrdV9`Ev-U}Lc1aqQGbL_+)nlZsGBg~d9V%wZ9(R0gec#gZK6rZz+TVN5 zx(S=^S(ntqvfRH)gp(d8LF38tKsVzvIp%eA+W$TboS3L!`Y5KhY=5TS$|0SiLjhO7MPO~pjdjZkc}HUp3hpZzdBNUrcGXnuT6cP$kIu5aT%6G}rpo`# zSL~obc0^yhnDm~LOvB_y&uYEa(D@uv?*mlTWkqjxznV5ztuca=?gQi@E7=hcE0XfV zP5i4<8`Il1U1uhBTEiQ2(uV35P%UP4iR4l1H&Z09MLQ$Od)kao}}f3@29{&N6#9V!j47H0#+ z>QQg;75C^u*O)m{7RLg!vRSZxv2Ecbb|*6evq_V}W5Fura==rz*uV}+R&96YF{ies zhwq719f-I_nGf9vJ~lmc3Zd{x5OIp*A-zErE%VHwm2{qjP)0u?(m(KP1jVDGLJ*71 zHeNHl!QTl`(p4ZX`bTj!0dV~)ux81_;VF*-ry0Nr)nXTB4EGTueZrGRyPlmKQQbdSo<;4*0dn?8~vu#P;by)KlVlbX~h5ivqq3oGrzp>cUhhJIxzYA}QX$?;)}&K2jO? z3G#YFC{SoK{-jn$>ieeNM$;aVFB=8`8KzE{>U=NLggp4G@%zlAc>UQ)hVT{+KbKc= zT(?Sc%d5NkcIFY+bws0cCb}yBa|NBtln9-@Ndjv~uD}9lmM}Zh%Syo*I>!{HBRg;D z1?`w*3Pq$W>jzCfZoH@0wf}C zW9#Xo#2&Ly;zG4E5>R~%Ehf;$kU($Xos&4W``%3Vn~6`YJ(gHN*#@Xe;6yg%jx)V( zwh<~6I5h;&hz}$RJ9egv<;JyMTf#JO;&TZsw?AMz>SlZ5@_N{JH}81+9ToDBx6A7; z$dqoDC@LJyu1I(#G2(9VHfMrM0%Dn@{U*R1MKD3+Ko-l*GHjMWrSwTcce}hXl+gb< zfrrv3QeDaN#y~><0)k4VPe!_u(8e%A|2%?9#ZSQr%6hVRN+E%u;-`-Z%KEMwfJJ^n z2cQ0(6yd1;hSgoB+7wVf))7eCX$Bpk4DrBffyGqxFyyf+IA>Hi2tydFfrFshKo~%* z0?x(Xb$w~|Cy9fJ8Ok`+;7T^=UY9Wd$uYk{!0^Chhj@tuZzq@S%6HfcNQVp#h!VzmVJ)1VfB$kBH+(r070^z$ zf%sH#lmxK2gB8)8)4=j4zS{2nYQG&1zI#Bxa%Nm_S6-+;w5VKYwBuTC4;qsBk<9`U z$Ayx=M6Y>F=E}lN5P%T6+3!?}_kzO(gHAF>mKZ1BsaVJ?xHDc-3^v6}3< zY@P-sQZk*(CqJ{aqzEZE4^fG6z~)99K@BOFNWjmNN;CYk9f$+?I=Wt4N>*^zgM9Ue zPFt*@B(D}rO#GY8{0nqbUUl6``>8!gS(@G8oKi~1KSk+6Uu#m%3K~+qs?gOCZxP1N z6S9UUL1RnjH^K*M3M&~NgSJ6Kr?_{zhppthx(rSmPrrvI{*VaKfC|$(2%?xNmq@Wb zWN-%Q9NRMG-kL6FhW8mPCK4_D$>t32S-~$v!Xu@-#W)*LGgpTFuFbK8Ap=~y{aO$njoUz;ihj7l(INTy8wGk- zAB(0(+y$~Z3$ZCP&aaIzChp+}UuXMCC&u)GpgSu#O=;R znj}~Y(lA0qAwio@f+!)J=kN6s} z&0WI8oo%!}in8`}My4zG-!Res9{@_khD`(6b=Zn)0MVgHNP^Qx#(5{}<~wz|;&SUO z7QCW3adueI^0LQq9OtqBtkwOqXg641mf%7P=Q`KIhL`gu?XEt>$`0?F)^E&N8H9Jb zpBY0hm;gOM!oM8|YfH9o{$<8m!7JfU9QP}C?OrqHv5ru}c|cwfIg+Rq%6eLU1x+z} ztlH7fJEtKU$ARRyXP`!c&M`ehKu}OU4%g~)H(9YZ?LL3DuXC+*EOv3=sszy;I_=j1UB}&ZHgnysXZy*n26nl$8 z^FMeBEzr#d2Tcfe6gTmMphSw*gA7xG4X?itf04i$;0J~&c?c9Vf*+PHGFGji5&a?6 zWd~>&C7cysu`RzXI9{Diaz|064nM0MYwZ2pealC>R@ zt!k7Y+mcLZCE7G`K@3L^CF9O#!mAoQB)GIBYd{rK6dOvPc8kv{^*r=vyVK zt4>eLy(+wW+ok&>&_mBW)IhJh66m4pgiY%`Ej4{cD%a0oxKC^9MBx5fkYgav^cf&9 zQIMk_Ehz^?f{lN1Vf51v^{4|4@**6CjS{dsY1R9b!cUS^ml?)ZH%zICCg&K&);vwA zNdj909R?i5<%p5#SzzXXx5)eSq8b%a%TDQfd{5LXG?&fO6ZytN4Gg>A0*Q2~gAC9S zjKb$hY`@a9Ry8C8dD5o1CbgGM(&PBf)dbiBYLOj$<3R?g5zm2}399`J(F0Tks+`E? zEG(O>)v6S|s9Hs^re!*U@75!Oxl*MWHPWg&-SrxJvh&-eguAmyUp5KhB%a85Ij)xU|LTb5R&TG( zOAZX|Kl2JD)nbW$ysk+#?-!uWQ zMchfR)5lY{O`RBl3#zkN(#gVh81uPjLioJ&ofUCKKu z=>^z+{ofbtIhA{FAJ*6C%bGyErH$JOLEukMt6DSpa%AQ1i|M$WzKnD$$(KxO@1!8|9iVspmJ*cL>rE{*g2k zxYi_-+n5!4<|BTlZu~P6>icb@viQ+lr_HlZE`MWn0d+GIyo z?hh8ig(zvaFBp+$Zxpn)KbQ0?1JON}$n=+UdUg z=_rA_$?X>U@{q&{Hb>~VX^uEnj&*i1B-%d*RyC5vvcnN3>D#*I)pKhF?JP*4D6LzY zybXkt6ag%Y#nv+-OcZl+~uh2`g#{) z@4ZLxQE4Exmu-aJgMBums+mP9Qz^RO_)CYAN6d|9%}jDH+?5#nPiLvU@N^efd-G#3rvj1eN~se2QqdraJImbxFHZd?)5|T1uuQ%)R0&r0n8t zq?2-th{#XNlOHoZJInTawj^J9Z%rePNvFSjM5TV%Ls(oa)aZu%Fxe&*evt@vP0$!` zHPs|s9qc~8iqdK9aVft%VnLY&a%+-bQqx_0?+nGx&AaN<*)RUMaZK!Pd0$&2vtvuK zdaJc3&cS1m>7OTfG>n7sYQa_Kt(-r#hm_&7sv<{Ak7dnFPof1^*(o*^z5!#a zEIPfO%)2l2dyrS>fti7^Y#snPksASJ1FvH^%-C9b;%q&fSpO|?q-=mXj)RK7rN`x+ z9D-rs?o&3i<|llaM}*!|HK?m|{>(sW_ZTM6lN&f6VXZekBRjFV9g`cd(Ry&{=uZc$>F%5UOBk<7O!Xv2WD-+S*^^n( zLsQvfIEm@S2I%2bWY2vo;8*dY3y9{w1>lJ*Oq#M?P=Z16T$l6kz2~(VJvW~AG~IMT zZW_k8AgN|Id-}%z$TksfK2wc$>T@V|#c5nJj2=1mxNLtpkWhf7s&yPS%gb%x+y)e)i{{H?+OxKJ_W<`5nff4duG1 zd0-hHVKmBqg=vr{0bPn-g>HSyxBaGK&~J*C6luyt2Y(^J^D-IV>L;2k(!VW@HCZq_ zhGUd1{EW|GKPMLyG0OUWspqhl?!{{tF&*dJ6n(p_b|sBei{9!zPa40=qitCbGs=pLre@7Ff)Ygc*RKjYh)uv?e2pMETwTQ2%8pI`XEuTtyz z-KXE`bDNf=VHq)KExE3E23T~z@_d^ik$Pl$k;yE2H{;t<1z7eZqrL~D%#-%5l9B#c zueoFcL&O6_tHs68Wk8_3n6E`zIBJ-&dziiE*9cobZ_M%CQUA8ooxo<#7&N0?*FG=I zb5cMX1Jm@fWJ=f>2B((>L`!&OOC;?4EYj+x@cjL3{`_q5qg?bG-h&IL(b9s1ZI6_B zpBS`h^US%MegCh|)JINzxk;X2(dcBl=qjt_pRDawk368MdamTL3^+0DXH?L})=T!j za@i+5WLkt#nmBUoB#Kp+E`0hA;|bnePAUa5Z8C`95Q47Ds9+VWzxp<^M$~Y^&7{hA!g70#s5Kq&Fn_R6qY9u z;$n|n`&8LFNzduALv6}KW+cCuf7bxaeb?KRPG^VgeUp{U{Cq1F$S8MiRaDIf#oP#? z!SSOuD-*L4c}Qa!TBtv+sl3!G2ug39Q@RbOTy#f^sdzQ-P~Fy{pBi@7U2|e_c}6Nv zDNV`$(e$(mjC+IXrI(j1bj^BllTw--Z+=Sxl5a*Iy1du z1`X8Ak(^tNc#C`89}n(gv!j}}_2|@mHmr&eS%`+pWggBv$}$XPl<0E6SKP(O-EtFJ z!1Ew@Zc4AXGF2qyR^l3K2j#K=s_yzDe)Z!vN?ZjP#LsAh@`1)gy_0OWR=jFF`3(w*Pg00_RnDWf#7qKPnx5Yk-EjhOR$PzYrS1-NNs zNfrQqYgI-sFLx<{a-=+>;m_;B5HGw(d9P@-Ti`kjeul2j&FZC}U)8V}-;@pQI*7|I z!eK~$fGZnl;~0# z6`c|i!@B69;HBZ#GHm3*ky#}3NbXY73IXct+XNDAFb%gkP^vv1x}W1UPBO}j-2-Lu zk-rQkJS0A^$q^(6{c!pelZdbZi^XuP#z@d(Yw&dWgwez&G{ol}Ia=CygS=d~hv~zh z=mV~73Y;&0bPQ-SX(>hT%p5P3`MqF>t&C$^?<2TPOA)z z6isA_R2u;bBt!I0t-PVYMD5x2C&`w@qzm_;6O@rUBLwoo%Xgs@aP8+g;`<_i*xN(@ zgq(@bKjg#;@Y}3fkaX&mksc2krTC+~OMj2qd@83)7zgo^$G+X>4lhW?*zJw?#58ArsOjcL8sw=F zBc@rVWu35`YvymcgoYCKg zAJbq9 z@Njvn>dUPjmbbG4GY)SYGHiXH`$${2M%^c5L4QdMnOv>+ISr)`=>bSBcTQA(gw|`% z9eI+yk{_!ZDqLK`Zpb7pdggRd2Mu1(2dJ>GM|-kiPe}RzdkNxIk+vFRGcs47;WNvpt)Yb(m4R} z*Qpijl-#((WH!Xi@j(>3wlb4Ka)eH%T%C}N70^^8JnwycF0G%k&#I|T^(s)MEd%9Z zcm4nwZp{|HD03saAJ2Q;{`|~W8J=k8pEe8_anxD~Mq|)EI)p`W&EKCj7G54Ai=5MV zrs2;TQg73#vbvknE~?s7+^-@LtG=p16ZQD8D>5d}_@SP{?vLA&wQ}{)CU?=dZ^M>H z3aLx^9Tpb8^+o?Rsz|ASz676(5PF4n0B^%-gc#a{G5yhRi#{_#%p4a=;H;}c4)eg> zb-2CgN zJ>*8aL9XgOvLug3eL8?i9$GDi^FMu9Z>B}yU9JLP_{(!N&T-0B@m(!>4 zqR!Poo%DdAfR=Ov`5XC@BZlh$LGU>{arg6Q=xftb)jqtuB5qLU8rrI}<>yT>ibi~f zEVJ^Ta!7%+vhX!KYXw%YF8+uOWYf&}{_U?@TSCk|fdy&$rAb+&-}n32(v|2$MI%9P zO^W?t@gy=;?Htb?B{5fIT9}{#>(G5$J{9(1OxRlk%s9`E3xQ0=NTxbeE)w5`DFiAvNhwnc7M^y8sJ5>ojZm z*bDE6WY`x$Aki;m`whCJxMoT3a-#h=>J%oks8a6`)SdFauo;P8G<3sk41$MOdhNSqU z^#NEcl*w90-n_XNcIOOe)`HZe3{rI8<;es8l zWOC~;gGBg)2Vb1Y60aJYtg+Ecwdl}-{@sI3@_VVV;9D)U8g@ZNPy6n0m9LsG8^6Ui ztb&=fY`H2|?=la*#lBsQU>0SQYkA<4<=p1XQ;(L(p7kj-jCaI4qxfRu_+rEOVtl|L zK0ND6`RSGNvnv+E_`jQ;tIfH=Gl_8$<2I8zqJ!_Pc%kmlr+P-eT;-()8`1-qA}=tF zQM_+&XUe;Shj};(yfjt5MEK|LFN&>o{N*iNva}@=d0X^;Ri4?#_7`uuzt;#-k7nE9 z`5!q)kxmoItqMmGpA5eo{R*;8LK-9Fz{WiD@l?>?J-ih1jU`e$ccDa1H$EsVaf{PLx7KM_X76042Z!B_tIev3X9`inrJ&ZXeGjWUrLOL%UnDLreYhv3jK2G?Eqotwyr>sQ=+086pw=QzE0vc!Jz5bwvb`0dTw6fYE ze{;4p-i96*hi#UKbk3m1nb8+l6{~UX=wIk@MzjcK*41zC3`Xc4J=aFVgw{Yna^{zh zAxZtmF9J9PU@Ea_t7ga{qz?(3X`^99vtX1#ey(S*!~SEuX)O+ujYW$!$F87d=+T=9 zNXxwTA;bd-TWZT>M%N%9ujjS*A*@e#JTS^Ge!OQetR4TR?24V7Ui%2gX>lkLHq_=U z1(S?L3%eI@qh*-U%m_%uwOyWvR9F{#%;(hB?EJvNZyfXR`UK1akwi0 zdquiT+E&-EJl^Ik2&0M9ZeT_e(sa|%&817Pp&Mjjys?IzDru0%WdoV+zCY0ZKr~2a zskmPm)3#*oN4KA{W7j-(3~5V;R0rU#V~g0bJ$keZV&_(~(lI1F9b%6O3va$;yWw}j zgr1n`4GU<#)Rk5F!GtDiyWMk=0eKk^4V^FTpg||OM=!Q%3BfM^g#B)sKR$w(RUS*7 zyc|C|$u>s9f{6lx=PKPb+7k;0JlwajgD36tS;rls$l1O&X-2dh0utGLDW$L){b4>% zo@LRd|2Xe|Dq|E&y9b?96~;zSr!(n!k0}G1ni~8Q>@l zQ;0#oa=*~>nxB2TV}&_>GEaL5*+$L|pLoVLUmD$Lr|Ca78*73{!CX6@Kx~>XU6lNa z`)9vddSuLZUM$XnyI0UKW^};l8b^$cUlL~oXX7#uZG<_7&A+;s8`S7OW+X9Lb8gn! zK`-OQLL*@(wpnW%txb=fL+tdqUlfF_p9nTzZUysZ?5JRl)8`TUkg}&cju@D;ALa}; zfjou>Ty)RJJ>y2i@WXgxcFmeEv1kMkZHd_NZNB`4Mld)!>SPA=A7@O&vSw0To?p87 zrNqVmO`6~R4bgeJ6NWj)bG<9#r;}0tsqIn#wu0EPZ_Ye`^f03*^m>n@n=hZJ_-PMPYrpt2*b?#uF4kKZ))U)c>-YB*Cf|IyjRv}p?8nNmI)1UNY@H8^ zZ2&x-6p|KTmc9eP z%TlWkwq!AOX!Z zN05uO9d`_@aUOOa+EO-ue6SrJ-+bo`=I@T4YOCV>))@Bbn76rPO^5RVa(1GPn-6w{ zkd}lcB6dC*9Y;fccXU3#>{j+8OW(PJu@i*Z$DlvEbAGS6EeSh}LG!z7%(Zb#z&^&J z-Q3aGn!xsXg^=;w*+xSxni?W%%~k`cxprPGGiwZjt?B!Uq1EsZ{jlDKSXC}7ifcqzH4z9Qtm46t2OA}&%|I-uuOZ>Yxlc{`l|5rU-_0i`TThHgF8>cteY4+*BAd%&a`3m zG)T-)Ex&@x^fqmkSnB@)eZSRQ9LqI(p=#l#fD^%T3yP<>B*AT> z%Jc$Kub9pmvDxK1kK@?Sg|V#VuK(`W;lR$IM#>BIbOg$kQN}(ls{h!29os0jr{BW7{jgs5>g1P-z@{zOs_s)h-txkze^$akjUKn0uCeL8B zSm$eC?8)}7vn-#fL2cQ9kHlNfnH*v%>$Owt%0T~rp`+@0o?J1Xt6&45JtpOiY&L3i3p>2G!#pRgCag=}ZQ0PzinT>nY7u5*r0l*oeh;UKZP}IbK959WFfA(k! zFPqwx+-q zEB>p_Kj--;+c{YKdv*+kbfKaS;L+Q$cZ`01amZ+oInlUX-Q(|T6))dQ9Vw(yRUW6y zmH~Mnt?$Hgpd|HD7G-AGso#~Tk;yNi5d5I8V3G(9${+|0cK!}Xw37_+B!B54#6sC( zRNle=AnW;EA(TT(!}Z$TDwfc$d_;dR)6$*-_ZT#Fy#vYs%F6tT12j-mM-p|f+&`k? z8Q;gQs~1?$I#3>i&4OB-FAio~PLgo7#?@m1ZDW4L3L4l)lSCPpUvI+f(T9dJ|Fh1i zQQ5{zIY!Q{qP@fnSH2B)AZIVzWdY}bY(PV&A=$c)Oy`!T4xgBQ2m*%1MJE9>v2s6N zcn3N!qu*$!evcNZORF3-@7gfo$c;I*=<`SBcRGPN@L?VPB z2;L~!<2mVTn^O^qc5u6WmOf!Po>s&*BE>s(Cw z)w_~H8)XEwRP6B4J>Olo5G(?TnHTZ?-7H40lMies3JV?C8xSa`@hzmi155Q zRLUue`si{G_+fK^0{7bfMe?tXK!CJ8xaW6OamQB|W-MoD%tPe#WIxUUmwVS^iwW^T zK@QFX=eHB%hzuooki~kB8$Nyqn?o-@nDIV+SyIxL6Z9kEdF!N^aSAOhe{lB@C&0qK zR+4LPmCIEWC6y8-P%SgQe*_q_!?x4QX9dt>DO0kp4_g@}{tyc>Cubj2p=i-9hp$A~ zZ=U|Z#x{aP`Kueo_oXK@+*58*;}gzerJJu8hy!Dab?3xFV(?ioOjx6okB$5+=QiQ=X#;lhi=32~HexdOq?T1=EHl#E$j zEBTovFF7vf`o!7(PyIw5yV`KPNN5AW?Vys|MI}ydaZ)I;lq>`DlGkfx1~v~Vxf$@q zSdwtmqgL>eh2gPi7Z16MXGVt+{21i?J>uc!>E>ie8%T@Z1|MXd{L=<9zTP`L0zPmo z-^FM7YYH8v*{9&b))oiduvI%bXxRL1h`1lCa8=iRwRTMi$Fcp z>-pI*pt*0GwGL^OYTPZv>|@JP=YVG@jZc3FFGX>#sCfOqM3=H5w5t;-FG6p0GPW^4 zv3Ffd`O%2#(TgX#l*WalF#3N9E(LLxC>{O3){SEfF0SCpwB@J;!EVznjnBC;;#+h~ zK6JGxJrckMnA0!i7hTxe1}R`8Do1PEt1^j_l$GgRJ$bagI$gJ`b`7!;!MS-V z1E`-ZiUXNLUawh@L^+r9>JOU0~$ar$@(DW<@^17Yy8pech=Pl+R%o;{m(f~qi` zE8(AwG_gY+N*3ScKH?~jlsH;^Px}Klb{>>VW2cn6N-GLM>?N$K>_)i3 zA<^wJE#}ZScx@ub`z1x<^4$8uim(w;p;L$MUSv+}3+YEzzKQDKpuXo$8sSN`^tGnt zxA`CVD?y0Qjq`ljK_cOh%HHWBQ~jZJ{}U`}x!g|HVt>h6G`X#vfr;fzxRpjCkmpSq z;E`2y;uozU?dRE{R#m$7%p8P+;o%$kOtql$L;azA8&fDLWh*Ve%`I+X39DMoWOKDU z6w~+0%?Mk=<#xoaSg${dqEB*gE@^etAnm4Z~&fNW6?!Snvc8LEMl>ht4dNC5`0i4RdX|5w8 zcUgoiQb?D32=o{i8hCy4nOYuOu!!6bbNA7g|A~N48(4Phe-u=I#jQ>kqm84z5wH7~ z;*u4ILH*VJOI4C|8eHC{7l79k++365n9rH9nJ7-^oPJ|c98c6gmz$#|i9Pm{9T8zS7mg* z$cgAcU4ef@3{0B^*^mn@hG?Q|NoL@mx+XQ+$ zz{$Fsst@{-EL5X(uFqE(KWYft?vlUsJ!ebQo?1Uf z3Ys>X?cPmVuXHSg{lyLv!xeYc-V9&gI6S zA=PCwXeF})UWMAn52N=f?D$SO^#;W5!dpIMsRU(}$>4ckG)(iBEnH*=l)Ocu=;en? z#H}U-vG>ZNLz9-?dAsB z9F8$`)ky5ynV65L82>7*Ko;}MX<@jUqdx%AAa^5#n)`{6Fzg9(G$t6in{Mhykv?*r zMO3U=O-STNv0zxXy}OOcP zml5c2Yb5_JH?aD(3@#?h=qKUOXHKb_ntW9{q{EA;AmMS6<|iAtWZ=W3yE|XJyEmsY zO=XQ~k3H0!8<8J!P(ht`>7pReh<7?LJ2hFnhN9F`MJ!e%sOj}aNC_}jR|=o7(!iD8 zvgvh$^{Nzoc2`iRNz6i{=Z6Y0yZmSI4iD(+5Y%QjMDzm^N(o}=mn%!2&4@*Bt7_Rz z^UIWVKg;qddzxbd9n_oqgh_%H^Hovf#NFOODr`@l#n~d9T~} znesb_Ip2+{r(#uE`vFQ-FIN`aF0~o0UlGEo+$TUMlr}!xRnux$dDV&Laa5XO6uJMw{l9sMzU=KU zuDw2t3cB(3W>BjdzEdD>;+NY@Q?V? zi3`mYxNqaPfNw{?KAwC-TzOMacrV*xo(%Q8?9yasf9WYsL4jCIPEJmXQC^p~8RtLa z8q%}zHwg;PWqrPwyKa=zSIza<$?c1)i19keMuuSdYd#&I(bg*}c3beTayzNlF+dpe z#!qA__oj>P!B3%pz*io_twKEjwFh6mJqY5JKa-U2VE#S(;DEq0=t)3{{|7hgap7PW z;f@#Uel==(L2@QCq{D`0{-7VJ!|RPDB5eYBWD}zth{I-B9ruORsvd#KWS6K@J6vxFE$viB1^Xl{4^pOvZU#F+rK!3U> zewOLIdi+M*i})Z~3ALXs{v zs?}R+#@}V%n+%$-mwWFOX&GdD!J*KT{P^5^nHZA=4nXB?t*Fa)vk$xE7Up$7TUX4T z;A5oD&9?54u&=YFF~vc$vuw5AF8D#EMs8}Cu4~r3)RR{*@gf~IH*+zqzBH(2Rxh4r z^Yr?#2Us$%qgyf&d6U;&w2n5R&83hw85anSvhf9*#BNXAQs~es1!rDtZhJYbU5Utl z=Ic;-nRDyFJvShDoCc&go9c~f9sSeqEu!+hdA2>?WqQ>5U6SK)3w17QZcNTP{mU1d zuZfbuF?3S|elO1?U*22)1s}ZUajA^2*WX`|@m0=Zs`(IKB>ynk&GE3TpKcQTW>;h0 zB6DMT@Aoek!5K1Dosonu?XuUu3GoW3nO7@`Fdmp(EqT+9H$dA^_ zeV7+Bbg$IzQI=Y3P{FJFnLizW?_tTKG`#CA54dt2t#&EI!*=5yTBv^G7*z4?AQL~~ z+ZdNdKP?)3slobPd^Q4pb6`8#n+cxuODk`kd3?an+akng^#i+S=G>;qw?+L|>ed_n zdL0Q?;f)m%iGggj0=^hxU;4gr)xq8UdSR>IsgEuL`{KmVm6 z^sFXLZp`b=IW6VdP4`iHBlu|OD+@ZR3ubrAhs8X=ff1x?CPZE8Sz(%70VK+bO%~|! z0WuXeR=u5kN#1PfS=_)p(1)z`DsEsH&_@;xDRa{gxVf7H2P%hOMhpHuJvO{zJDoay zH&m8Y+q<;?@P6;9+RHMdWGTyFOTD<;pqn35bVeA@ifgWZ)b@`sOFUPFo zIcs~4W-!!8b9GnM?|O!}0xHfqC*`$s&dO^KB|_s3ZjCHf4>1VpJkBvO5|I#OEO?&& zWyrwuL4~~hZT6S7&&+NAJK8j$;%jj&PDBEZ53ZhC{Sd8aNVGobxrjuMW^Zi1-P-(H z`r^fziebj@o>R+Hh=R_=1i0+)!v&oitu>wq>0T}RT!CJ=X;GZ->Yo}dvtpI;Kfr>a zG+QMiEwknt#qz)(_MZ+ye)-<-P(P+=;^Mwwxl6@;A@e!vlR~Fa^Iqb{c6SzqT{yTU z+g*piaU=ac;eCovK*7IrCxQ}j+hVf>^PJCT-9ob5Nt!F_QE z!QI{60>RxD5(p3$OK=Uh`M$gRad&lfS9eugv(MZ8On1*rPtUwtwN0o6>je7D1?!ml zW(9ih>LiZwVVT$cL(EydO#{s*Py1k+l7$-Kn|=s2(llWTHR3i|2sLsyh0QS|@o67> z!>*YgXTp${T=&3TpZ2*9A)WSJ48?nyVwp#JnW~vb2{qzvNEGNH)fLR0B07i7p}{%T zUI)O}uJ}`#_j{RAn3sB)66xp836tw*&M_nF)?7cq2}>U9z?@cJKf#hh8~D@WYbG5q zVxtKjDNJ4O9BCD#VU84`E`N@+?jM4ytDPgQ`G=6|WR5YAZGZJ)5B2=&OB=fK-bERT z_cq0E5-H$E)we6)H*637EhN*-Ih1!Ayb(7<@@qriJXeShQ$LtY7)4){j1-GSdXf%C zX}vi;oOiidD~xxwSu6a}tByn;mn{EXU30PuTvojmRJqj5IMm|h%+wSuqzlhdHTgJ= z;N;=>P`cqj?i3#9w=A-zNocXGQ&?rit^HPM#Vxv4!fDYevbM!<(Yi`hWaX(H+Gyn| zx`xAKaUB-r?JU+LCgda3l$y*6vt~5uD7sd|X@M5rpTyayf@g$q7Msk9beEOP3VT

    etNmBIM{zvLR;GS!Kia8Z}DyhLxZ6eG^?X zWZU$O%6tB`kxA}EmC*!tyePE_TnWO_pJeFJOKKN#qi6zvvuZ`wPT%dH>$#Yve1qlP zTi9M)9U55OzT_QR`*rBf+O+4j>pc{*-h3KPw%kk_R=)7FI=~Nm{cB@(2*>-3 z03V*L7k>lEWYVQ3$a_~(C&ue6wT4~DN4V+IOZ-CpR^k|pa>inEX;Ra0($~AHUu$(= z#c42kp<~sV3`TY?Z8!+wy*TYdUU`w2B-g%vM~_uAe+x zes!b%njHQvaxTzV)a_&gcW4a~P>A58eJqN2Mo$(P0pW`>b;; z+IU3!v~!1O-W`zdkG(+v-pdYSUGnZjKcg*KGQK5_CDh^SGs-q3wMT7aIa^c z4cXWWGpVo&Meb0VG)3-EUjKvC^v!!$Jj|rn>J7oCJh>mmxi+~U(YZ6347S5~{h6;x zROph-Jka~h#QfRoOw?TOWaD{gZoxYU#(8k=`TYhg+4H*%Xzk%_auK>iX}b10(=t!+ z?k|KDHaiZ+JcA>9hTHHVd&b$oBzs2Pz%iLzA7Wea--9u#S_iv@uR^?Egw4@zn)d^F z-PVuw#H$|rlV9JRc?I{0pw>l+#XJK=*?(=!Lky%RLtwK?kMC;({w_4{t#GF&zha(| z_N!39-?b;x0_2(+y=LIgl*p72)=cmDQ8uQ8vd~$ItQd!cAOq!awTu1^L#nHs>`lvF zEMyyAWZz(PtE_Ir@K5xE&9A+TxWZKyn~{cIp4>>`@@v<#S#&>Vp4?i)bx!rI z%*Tb+5H?uA1QbndLXC_~j{&*-@O%c1y|dx7i`P!D&Us(VFq`6pve4=x|89$zTbRVa zI!DiaL*_G@G=$5qIc0$115O&kK1(%*)`tf-SWUtTBMzQhG@`&gD<8Kb-c={J!><9~ zT&SAVyiBp1QoLud&S=P-3hiAKs90dm`tfEvF^6_no0Erj7n@aw6mJE5k=C>(4x zr`;m%r!1gQx@G@ZpEOdzR0Vq6>w)^Bf8GMzC?8os{GcGdDJP(*{1r7Q8w9<99Rdm^ zp_iiU)V|!GDynNdqG$Hg)g)J9R|(NQ(R9?23>LfC#(+ouZn3f ze>O!P{0x{#uj~M!idXc2P~|Im09N^nIN${yv`24{ z=>R*$TFqjpiEgABl=0ah+=4+^l&UyLsYYg8P2-Q2_6r`q(!_q_*G^CnDfE^oCUbQT z*ps{}0PM+L@dC`0uDk(eYF8M5J%uY3z@G9IE5PcH#?K!By)==;pV)vDfd9KGBZjvG zg@K%(1pqUtt8##u+!Y&$o&FIO)Cllrp6UheN?)0R?$d(sr=Edg5?9>-H=0Kg&^-Mk z9H=wBFY+fEkX-T4ODg0CGGI{cN{~lOAYE>e7r;(U%-$Z-af8D3tz@XbQzTCFZ9LC|yC7PB7}HHfXT)W%=Db=(DN^($uX&gPI53 z6ovxy^^ag`FC8Q4-COu?3ZR(cl^Wnp`HCIzrg%j#{YDL9r}L+u%1#YpoXSq?OZfQ> zI1urZ8Mv!>bn)WNP!rufm+sb>%*28JJTPHliB5sID)^1#HFB>&APK3~ zAt1+U86p?ild=BOVa@q<&XTu9L9w%iNXCFcE5Dkhsc>E|iA5}x1!>E`S%P2+G%jIB z;2&#vWSbf!H6j(|+!7+(qaxsmrjW#=Nt{GR=TK|tqTI=65-LrzzYYkMy~+mgS1A9P zrVEH?4-{P@oY+uR8??wOHY0<2B?4p>M&mLZ(>W}kOmQ{w)B5;OtV%9v zsW50XTU2_m$_PL4L@4-f&%T7Dn&K}hP?v8Cioz`2reV^Q`vQvLZ<(frYduGeM9sRr zBLJ&nZWSdKm_%6ArL`sS6V(~ZA|T;(k%XUdfO)#^*q$affEuk}CQE^;$rT<*jRxxl zphnXTKSiIOy$ZnU6cs~HNdf-qvmOi56iMMKknzYYSd{+yi*V|f^_4T~)W3~uGkTUt zE+?l~X_X%%@4-}x!&0iBkrvH$)tYF`P^zDuhVS8(!;+_ZB?5xyNnT5ZI^P8BsT7|| zdDx+XW$X-e7jUbIkDm92IHRWi|6Uk-e*cE9=q>>n>TxC+iy}HpK zn1+`YlG`wvJ%f$=LlRz!%)N0`>y~2QSnDbO%3WOoC};%3ZMn%oxIk5E!Q7vCAT8kE zIx z$n}54U-DH2K`FI9=wpgLLY5tMlkvg^#6zDsjfLLC23!JuN$`a$%^0 z#@gJT)V_b6NFn9@sZ0OdhlY6-5{2*)4=nP%6gqk^3i1|x=G%%MtiHQ`$G8mS!U$EG zwTaT+gCg+~pWb)XU;er3*lM9ElamqJ*1b>Os--Stp`X&eZ^-+NT3wuOT@#2^Mut=A zjaS+3^LZEf#Bb`vuI9k$Tp$=mlY;8?Cv>}nzSDH0BKx-+#5H?aKi!o6GujL}8T)-} z{UEgcTTOz5LGV>ou)Y=J4X;d*>hBSGYp5!@jD~72^HG`n8y1DmcKs)>xPNnzPO=--_HX*%3|z8smOQwE za$O$SDWg7l(H^$V@V)4*&b~WA{g(;+?Z;{g+5eVzu+~&Xq4(dST2bB-E)iQlcx?av z1o-fZvm`d{(CyNNTsADVbqaMvAHgG|5crP?NR38y=!AOwg07jFiuwKo-N*!0q4ZN5 zbir54BX|rI^q_^ZhX;Dr7)rStI!{iaX`)fNRDLGdc2`7Zlvg19m$}50ho~n(&}jO| z75t@y=Am&nVreo{vC@uaZ$#TgtmrBbYN;wYkCKM1s45WtE4>(!On6IC&|LH*P>_|{ z`T>oKAFjIf#%piEymi4;U`khTYShXKf;m`>9NP%|8~>C2964d)tNnnzlhk|!tFw!W zgpdi1!Tg+9{!e&^aJd%pocztQ3Q8AjO|$^Txn|(3gdrP%A}w#L?1-`+SCgBXw-bn@ zz)DkALIb>d_)&?hCobCcbTYiEhSd-f@bPvzvbK=i`1R&Hf?3KekK-GG5QHUGlDKSt6-~QT*MAWf@&Lyv&y!L$krjhcHD}QmN6KjlHDh#@ zsq&rewh(jal%jH^#gtotw3M%3=Ah;@^2!=0!9{6OZM)8(p70E6h^+wOsEVdRVnkB;`rn5PpZeY`P+kuCaVAe9tJT2#z&fq(paq6-!s+!^5{Mz^lsR05& zh>~;et9J9d>fUr~vBg_GsNPjZXtJYP6RPhK0#>NN|I>~Exm-xzE#XT!9s_duFf={2 zsruE1gC;SL%v1AZ6{f~D@g(E@QbXRC5ndjp#C)H;EWbX&uYFAE1WHTxs3}Occ(Mlzw2l_q|MIla0!j~Sc(h;oz@duO?FShzk;Za*%(g`YG1u`bD$5REk6PD-| zQ3dqlm-zBrVQWBU<&RD6E=`f6Y>Yx|xrX#K2lLmP+3=R}^AM{L6& z-lE5gU)0q)5j0gYPw6`;KT$!?AY;|5KL9Lxf1)XAAi30)IS4sTB=sjOKo2Am|C0%% zM(GcjN~(_P{1`obD*2~6h5krBo*j+1MqKexi1ASM3U{R{M4?9qB(w#bQjB!Z2Y5H7 zYX%3Wd8vcod_a2bItQtM_Re&!ph=n&AK=wC@Kz_E<07?;TDUsA5esyYQ6?qKsMOAM zzy@@*qqlhupgC~`Iy%wwYH08@WS8;zXlhXm6kG350w*_tvpOc+t{G)(KAOpmctC!j zCbKZ({5md>Ur95(Ameg0*W`cz=$K3JTJ>uKz$nbq-&rzG(-!V2!xXH2Q#kTVA@N7` zjm9GXr^RrhQO%Rml2AgqFd}J}==J;cU)-#m(Hn{AdrpgX9G2)E#J9nXG8BigoL_Bu ze)r=AI|C*p^3x6jse~q}Ct&i^&I8$=TJni9`AXw1bAP$YSl)CJs|2f|90*w6v=Yw- ztGz4b@qIbW17^PFhHh@SLjOg#rLiXA+5NJ}fJQ#-uXNSJVWf=1&%!{m7G+3?On)*~NzhDun=jP>(wc z63q!V5HcOSO9>EV0@CgrW5_I7fmWiP`(PCUj6w0;^R+TdxS*BI99< z+hNvyP~a&;CQ}k9$qhL?#a#IQJNYGK5mG zX_LXDv?ITi6A#X=-n2=te|PI008uPFzsUX&sQ42B;5{(F6I-+CV*sl76GU;pPAGwX zLPZ2)N!hg3aRnL0UoI2%0M*R}+Geakl14?%j)E15f&dQF^fEag&8$35lF+m=7GcKi z7Bnv3Yz*Ncn2Y4asFyDZSkxCFHdv$4kmDgxm7_ULV-O`lqo*;Ny%t}$bZ1h2tIQD# zvvNlT?PioICXY`k1So-?JXz={PhtVLtyb|m8}YKEP{5U^-)#TBzECxK zK3>+gEkuY|MTccz!P1>tIaFR5%adh-^27uP8Eqy?D!T_Tjx`fSmEF@;cakM&wdwnf zPd6=3AL+6zeOjEHvbPwg)*+&zr>EXS5e<_>?%BDN)3HGd4n0o}B#W@ZL}8jp+}0R!CU{0TY+c zyQgLBoyiyroipakTY7si`~|c6wB@5w>zgl?EK+Fic&qP7-f~+c(2NGxHY|i(CeGl# zT{QCe#Lks+_LlRC{RNz*GhCj=^-Jl7bLx_>Zcqs=Pn_wlbv>3&U@lej+Y;(q{lX&Y zO`IG5$Nv(-e&%j{KRagXuw8KetZEhW%bBdz$l&0tlSEj79wpbrJgIxn{)|h=>FZh6 z+QV~mlN#Vo(z3DTeC|(hNpqx^Y1(Feo%^F!5?Kju(hOVE#IJcCpPV@nuI;woq5G(b zh9a%BC@-X$w%+zj&m0nfkOR}kcS`T*BY@79tIW2z4fP1pC>>f!WYMioQ z1gZdxIk#Sadc;D<{YW{BEz0*5oijmSX_eV0AC>h4&m|Ztv!4#z%1a`A5VRMbf1?JR zn@Be1GyhUg@R~EBDW2|T*4XX5{(`it^d7MOSRatOvkxYxLIuV`zX_>4IAxAvsu-m3 z$i@B=RGiZXF)%7$L{}4itKRsJ55sh|0&~>{v%RnuRl%=R?Ak!UCzXM#*j`C4dIh=| zhBm6L{h?r%2XwYc^oiL)!o0G=>bWbuJ+MYPce|pAKfs?70)RD zHr@w&+(EXRqA6#9b!Iol%}=Sam2{PV@Q@pO-V2;UaOxRloP)Z~3W5Z$!uW%IlMQ zC&~py@H|jLqBWF?gwiW);E}j->W{-MV}w$Uz4%wj_8Y+VQ&V6nZJa8U zsk*QsxixB_5L0H2!>tGWuAn_Fq71MhbTI%DsV)whm`pTkhYW z4t56wM=-B6i_=YtDn4p)Mx7GmjvQK|>M;+_9F{9Xs5*bs97$R57Y}$apBRk6-%%%- zNLB{quv+afS@6>+bwKA*rfzLRQixbvf%LxI*R-@*+RG<)b4j>y6}sU~#+*+I=J9K3YmR}~ zzwX-5%F<>=Re%86^=~Ce=O{q7h8p9nYwAqu2;%B;G>wmU1*g4tzLnRvYU45 z5x@@EEHeL@W~_7t2U7FBSVmd=L2_a$EHgVpqci#6#CZfI*#j0H{Lqax1?K1`rQ;s; zx~N=$tZ&QD)P;VLS4IQXyst)0`>%~Ghm32~*ZTL};}x09oMlo@?;Wz)?(InN($=hP znL%g@u0W50)}{z;9A}T58T4f!Lr!{#i{jl-V#c(j^fRGd40C`txt39DQOEVrW5M%m zev7^8>`qjB0rSyp?qHMcV#Lw_H^JInfp%|Qhtu&EqLz?ap-}I2SX!i<_I+(GcjNN@ zi56`o+nb82mJ{8)5s$CpEL`UDd+tim*}&WL=ZOrxqy}=)h8|0=RrSnG81B}F&EUWH zxvXFKsspA+5L-6~UEh*IwQT2WOu4N5y_=^U%5t^t&tOBTD;uQ*RWb8Bt2!zy ze>h_X&%e>#&^Rd}&6?=9es2S6ISRBcz0q;o=nN9KU)!k}M$fQa`*ACx_D^kYjz%X} zi8Y6=R!P!C>tRuF*SWpbeE!riN&TkduN2>V^J}HP$8Kuq9jE8c!jFR*dEF!;W3Zcg z#`1|czs=tWe{Q8M84pJRkMF=zxx^3PDa~j0Cuq_ksy=2mh<;8xRN)M-*Pz@)_)V*T zA#jB7aZD0kgn(o8^v@5FG5mEmsM*xb=c?#Oq}=gXQD)mXGF2_87hm-fXK@7~@1sj& zGwWR*9fJz3;&NI=6t>ESjFY*o{{D4^QC{6UdSAxR#^|QHpJKk*C0);k@eO1B;o9o@ zo)Y?w+!RQw^=A-q%f}TU{ltXZ86pXq`#H-q#;dI^A`^NC(tbR^r5o`8`SSP*>;RiB+how9{P$EV6UuVrf!Ocft-Gjsa`(NLKh7Bx|R=)-HypPMB?w!?)qDOgkzYi%dx}?EflkvjZ2Oh2m zrky&{xD79S7YQ+R#sJqHij0Kzh0w1tcy3BU{7|8QJI`#kg zD8hpgQ6aytAsZmMT&gXL1n`8J8IScM-ePihfLJCHY;4AYPvG} zY(h5rU`6h@Jr7ZqJ99G1G?}M))7c;Vo0*xq=rj{&e*u@`K2dBfb(T%uXA*#?8l$S5 zQp^hD5}MNAF09X>m$8=2+f^~pHv%$k;>WPl)|XWxjVupZFVA-mK6zS>9fvUcou4i`%%85x>1K4!cW1@%g!*{pjc) zc1V-#8AWpK&mUc7$Tjbn>;eVuT+f(~E$gM*|DIZUSJf<^z|3BDS9fc^Va<+6SonN8 zx(A;b2kPq9%;Bd;@2x~hH5c+|FM2J1-q=5tn}~6B3RpR~%{#_NwwBROx~H>Uzg!=@ z{2dR8FQ#FNPMm&hZQNS9>F~OR`~>0B_}e9Zz~;0&D+(z^o?tl6SmHhU_Wp2eC>EE5 zg8>zg4|AjsLm)4e$R0uTFkwHnV!s*}r{j~UL~>E{a&?So#d#;_kk^eQ{-Z|I&zN2_#ShQhEr|=EbKkzq3DqN*uXDy%axMWo;ce{nn3lYUyN#yM1FV{0U@hzzhD3$|b2&{SYY8BajKGo2s zNEe7J5x^hvTL;&M=b<#z3Su~_`j{jMafZa7I;;pY%S-t*avEkIa_cyIfepM|U(ey1+^#u1jsB?q8?^pDKZBqj) z;d4%U0?~?WvrZiyi)}mpIXB9a)-Zl&-f()s8j$PG!>h_Y$-Zqc%;*H~AM%oSruPo9dpXYQ#ZpY$x-x>MKF z@7qJ|(N37I5%2pBso6~EQV}}(^fn+NUnGh)5N17vJ(@DrUBjF~=RKkLh-n?HRrnsP zc5$w8@85N?YwFD(d+K;s9Vlme~ zNu^_hs^I@~&XGs7=tu zLMA#Z_e&JPy7yjC;`Zg2IHgr}Uj$Lo&YEI2)F3uCNo_(bF$zK*$kG@glxQ;YP;y$s zv8~go-;Z~&>54e(lfu9Yk*mvwCcEo;0CWN%6%Bg-9$o`xb$A>1KPKf3Y6s8+sQ#g| zTQ}lxQ}v!*ibA7PG04G!z(do<;a-u?t^-=F9lN1G=u#pgEp0hz z%7;6K#=MbQNmu{X`i}uAZxp5&VS17Mp?6h32OB2^m^NEi$T~CN!1WhZ;R2;ENSF+m zfBtTAPrsF1hsjsG^Pp_?I`~1n@Ic3dnbbc)>XKx#ax%Zal+RsZLQ>Z z3pTHJZ*LPAh>Fq5(WA;3g*w1H8vGSBk4whD*Ii@`p1Z46<<#Q`?QqA)S->Ue$~H)9bfy-wsHn zZrimVcu%m5O7`24+&sX2y5Ci*^YvhMSHzF1Q`jT)aG%M|dGBFxInRShGEdqz)B-C5 z&)U2GLJnwtc{bg|_{Vax-g!eVWL$V14leRVD2gx#;e(fcXW99T{cI}P?eJwarsfb^=Td5Q zMnHDu#Wl&Vo1(^Ue83{dG*5-21hw%;eksNrU$)bP^!@CD=%hDT-5X7NHYuUTsMyie zm^v>ZcCIpZ7YSTBIj$n|K#I0s0&%RWf$E)#94(BsP0(}__BGWEi@vZ_1bC2nvBxFk zuS>5kbyfAi)?|&#;u91n9=bF3eZbo#*7oC%ezLg`JHjZN35&+Dy1R~yTtH=Dzc}}nH^#yZBK7%FC2uHO( z`Sr6meZTkxv*(&m&Y!+mp+361TS&WoKg2=BTd}^T{Btm_>;s}#V^V*oV&`4=+{4P@ zy7g{AZ=Ui1!u?t|@z#>%t9Uty8ug&`?bC*MT;EX;4(Dn=mN%(o<3)K@&)6-aB z9LStU?MePO1nhZJX|mfAS=Yo@VTST=v%czYR^p{u;sdhp=6yONG6>|E28_PgwAg_& ztlWm0-M()Ky52F0)(@}@%oR)flMlGW2bv|(-NR|S`SefCj@XSCJ0>KsHpq8_F$ZE= z>fiLXBz=3yf+{auo(ESjd*qo9ULh4-8}q$p`~IDRD<8D=#>Zt>gCQN=(CO6!TM-iK z+*j59wk(Cb!D?ypY z+L#R(6(wLZIa7x}5qt$GI?JqtSq@8S#5WV-p>3cIM-*9>R+*zPb;X|5oNnnJ_P!m@ zv2q7uj^00tUHY=&9{v0rxI4=Jb{xEWFXk3Zl8#YYBTttiJlIk3ER5*y4<8)w{{cI6j?o$fKc%|VZa@U&ll`jIO^7}dMMbT`SXDb6I>ANFz} zWc3vM#hjZfMY0eQtu%$6)^0O@>&(Xdcsmp)}Jz5a7%_HEs*Q6blLwxa@zAVbRInHy{buyuoQ1jg#FatLiYc@^=sailHgk9lhA9g^H#x@<`wel zoFnU8cWV>38?za#5=pOIyl;moiH;@IDZ8!1a2N}~B6vYcy5bv}!@*+4%pm14xhAbo z{T3hCQ?IW$!Y8q@{(cR~0_D%h9fJ;)GJn}4Z9?zDLI=r~E8$+ROKTv%{qpkDZ>k#^ zc(O3hU8>zW&nuSJyze;;DlR9B&hPi4HiX9$>SyN(oPyD>ICA_kzWM_Bxpy;7%#V7B zh52UX`q4A*V?$Zp+y}D?saBLEz|4rZ)av&@Nmh7B2xFB`5qWBaA?k`0V-;aoKq^@j zT`>CAs`P&TOwpQqOCEROq|Rgt5}j4g1-`N2=9#xbtC<7+*X(l2DqbE$;_X z3hX!jhL-0ouNXqLw>XOfH|1gE5X47~KV-Y;dNJ!BdLe4?ym)JV&M}gn(ghl9$sP&g zZ@`!SF?i5PwE+md` z(4AUaF!bTz#d?)^ESAH{YM8Y}JDt3gf1bU=&l+X@LP)_h(fd)OzOXRGl^JL|xy0Lf1RKt89JH|b0}QKb(%YMQ0PWx zA#XkJJ7~4M{x;TcMs33yGiqKnFi!S1$_pDP+I+-%?zQ9nISoMA7{*T9g7wf>+)SfgAs_SR@CaIu@)oKRFp{0x{-)O@qCsI@lb zj|y?(xaF_}rMZJBiQ#?vkHH>HwFtu8zrEOoZ)2x};V=Us;&{j|vzL)|A3-#R0Gvgh z*{29}@xRzVBL!at@RFig3YZ{w?=O0i>>fFv_87i~-f^-i+tOD-O@>k zOefB{1z0;+Lu9jYqkoA;h8yHZe3R1;+VjNmM%1^0(p@7Lc0Y@%Z^UZWk+D&P9o?gt zwHw;h8)lwn)w&`<{3!0v>a&_xW4~?`HoVBn*=U~fgw(OwWAePs(u>Rl?~)y@Me>lA zY1yROhOg)$p$zvesUe-%rapP5_mGseQ@ZdXiRspbuzbE|&ZX&B`%Uj`MRam#mvkdH z-1b^@NwF^<;JKr9SxSJ9N9fDU4PY)s#nAS4mz)~Vk*+NXR#W)}e^7~)e4m1;azpI% zJ?<^gWALd$n`=uTt11EG(^`waL$yV31gO6>cz;m~w{jd=7c-XjNhj{{a*$bjp6~B< zFp|z)0v3^o!cC}IX}ix2#L(C}&#A$pArbkyg<@ttq-iMRJ2%DW?AIc`XGxR0$i+>p z32Skp{8w}1yU0JASddl<<*y+eoX?WdPs5}+gVHQTZNs6_mI3v}A;#2#)y>5L)(4jz zLGXR;O@g^xhL0Dt1<07cva3jV+T4t1LU+n5*j6{x`Ae!f9adbQH&tTM9fWDe65EU( zFQa(v*fVPWe(a(q;*oQ$cNxX5aA6tqnH)(RIK0PEeST7LTM8gI7Y>r zQfJeoJ$0J9*Fk&h)ZXs3_?JOCjW#xt4QxAIpsVrMw}NJACIvA`%eknWuF*M~{!`1YN3nuvmZoZkTII~H&6AuGT&~6 zVjh%`4V1D~uj*gWeZ{2cPB$m{qL;H zh;GjBz-$z)p}#|{4X_y$-Hf=Bk8$~2uo;Ej%#KV<5b=ii8ss}dRTetu_q>dQ@dZr| zuZ^`P1#zQ3Tqhbue<#}6Ct4`^7MZul4VzYNhJ9Kn;UsA1=~p6JxhncBCt}VBV~Mx6 z(l@Yt1gajzDqhV`fE_u!_4lGd%|nyC^)a5pbDITSI-&jQp;2PRxBTBsLV@ zs42%NRlaWHNa!%<(6@c*Y7aM5w=cl6t&<10IT|c1#TVE68|FyYE*2xqHu`U;mfsRS zuW-cZZgjA?SOu}x8==+Vj(G*sYN3kFgwq_InQ*JUIdVDk)6$GYLHcb=qaPPG|VOvT(s z-!LB+MXk?&Cs0>KVxh9}Fzgn@3K>MLGkW(B(E4%b$xs_G%Hc+7?oU&D@_;m`b8P>u z@lFMSg@EtL>6vuRS^U-MN9dju&aeU8`i2EdyYf58L5h;sTOYnOl(aKdtI(NK?0 z1bcMC>Dqb;0f8aEVv`KXpPQ6FoakijRgY0?E;t`nG_4RcYm8{%CP(yNxY#ql6U87z zGBr4UBT_3_7e-pK>I&#rP{%S%`%@?h8OJ9kP15SzqO+F|^I;*JRdldl1=Br8WA6T) z{1u+a_O;}~%pN>9jQfhqYWdfPCD5zbTM0SA<~5IucvM^sfpzGx(569Xtfi^Mqg_0& zaXN2)_-2o)D2q$wP4@h^JNJh+<%&q^1wq99h@J4c@S+UQfoM*IIr25_Fp{fg7hgVl ztsm6E5=%M80M``BKzOZTZ3C)jRy}i*zESREU?B)A-z?!NKllXm7+rg;{W#YrZn%V= z!(hdAYrFWoF=*=}J-I225ctVPy7WE>t8njU66PZ$bEGEA_YpEb zmMh)mzI#QO3vg6E_XR~rw2r@ESR#3_(kKXeL1G0lENetexRdjf!g3^`N5{oyp-En^ zY4gHtEMLLOx%ucE?!Fz{h`Dfu9ipnwCpMf7dBef6)9=-Kb3G}B1mAP7e6uum<-@Uz z_J^JdyXd}pOm@&}e7&SBF|+x_hg*I|JyvjX*`(F;;!Zo3_~J0necZNET6tud*Gg^V z5`*>lscgq}A9_tYdyX)iR6qm2Re_e0 z)@Ef{j52ATX8bd$3L6|mgq?pcHP|_X-M;#8#~4W0`#wjA9qYSPat_{D;+>cEd0#@b z#7vF}KygUtbXs2xxpa;LRuCb>{mYk|k6Y*$eR>mtgSHEHb3=Hp{Tqxsn-|N4Ky^dO z+#!{2oQ$}g3}y+3?`7X}E^dD}XUq#$1PV4m&w`4ur7@Lm^o;9nM%E(ZArlR5b&Ygm zd|u)WTUYn&2oEa$LmYLs{R(5O>G7S61j+7C+hnd`!p0Dfn1rx`8P*Fab;B;k*&^c! zml0Bn5k@v#Q{=P5o&5&HLsZvk-Xh~Emk}q65#4N*N_gQ+qn*_v<%0@i#A%(K42L4) zSrd)*;*yG9CP${G^^cZ%G@r)Z5e^NT^IZwH9~Ya_-ihx^8(3O@YT-GSsf1AtV{JUx zwsG0zQ#?9FT)7R}o^-fWmzhu~YFi=jW|5iwjuWL^Y2)Z$Eu!Hjxnf2MLF$Oi=VS%g z3>47mGM1Ah-!SmUgIyHrQF}3%z5G=O0^gEl&UeOq7DQ^Gw!RW4BVX9E*}X&dj+DDYwndra<^r`Pi#XS<6-lP;^^*{@PUIsblq zAqcT;XU^b_#|(CwY$s!?XlF{Ln<%5VOD*Z;lTQf$eL#J#G?%&Ay$@p>c+_S1J;S}n zE^8 zae9X5Tq5wtFWay68Egi1IZ3)|c4OBr=@JI2{)yw7eBG9{9k%Kn>Jb(d@fxfN!NAJ?DWcXvVWXMRg0Br z#aYE!j(3J{2H7>H4T8;NpGvpT=4k3>hOCxuAxF%W@pK7T>XFrE(Y5?TcFR-mYQ`}L zjJ8(}$Ue&oP+;Zo$8N;Xhpq}=3mVi2SW4CT*WqHAf_+$lYV z;2vq1N)z*p)nS=qn)h!kK1kiuA(ym;w}huhkbOun@5Y)X`|znhX$j(PMF{uoPLhC( z^?jJWVela2g^X-RZXkv0ySvs!IlA8tU+{anml>Po=ilEwvsEsK_nPC3&cPXV$@w0H zw6zBnJHRPOm(vB6_6mXCEI0+(tjF;J^M%Z)dv#aU|FDa(i&blrE~{llrFwGz0XIX> zRX*W>tW@JdavD9mcSkDYS;)70lJU^!!b3^@VteP1K#Q$&=a9VA*}40hsN&;_M|L2> z;lRAfg@s~9R__kAqBpda&Zu*qJbXVnwjdK?+tX3EBZg9=F~Hd%${*gfH;4HX`Gl}x zR%Y0|N#vlzSkZnW+Z}Pe`NVx49Nuq_hU?m>8-nYkIsy{Z(OI=VgF##D<2n~Qlbl-a z@+l#y&}xQP{=)DOgq&w?ioGp(T2#PsZj^eJ;I~e*db3*O6Ou#z`!#}k?YuGMS^ICw zg8tWX&Jw$zeceI)FgY{qy>1U-|1GoyJ#D~^_dxzBLHpgeUo9QS;hRZh*B>|vHaO?u zv}Th1qgz^A+wF>-C-+D`RP_ss9ie|C1xoSEI7<>mmWePwj%<;^|wpAzGD zoTwTns_k=K90>5+B$&~T6`mC9HXfOomGH)ER23n<3zjRkOWj`1U((v1d1-!F@W-QJ z{^SM~R~-|mzN(BF{Q3bw%pA#aDk@IW=$s4Kpn;DGqDFEvj8j%u1M;hDpQ6Qc<+`@AI%&&3Q=4 z=n6Y4!Daqi3O=TTW*=JOTGAH&_VR+3LTE5+Pvy6d{#yarOXVhXGt!T>n9!f{Gt_dA z8J2w0G{kKBOI>)q$f+7eW(a}csw`^=Wru-YL_+HeC@Xa`pX?(E4Cwy;pNb&@Z7=I! zEZo^P)uSQY(IzYw4kM6T=hq(@bnuNACd#PX;Oq-ewU@cz<*)e->3o0^Z~dhste$3I zp9Is97~f5^IStSyEgu+&n?G0*7iXcs?mB((|M9{2XQFz$oT)L^!3&7VN$Ff*MeU|` zF1VvA#~cnE^gU6X3yP>LA;0!k;bzkVnDWz8opp9^P1sCP5 zj1Y0!`$0_NLqsz@JeBErY%@#zQqxO`YGBN|E$*YKyMl}84Edu8*sI9pXZWm)#y;4X zls!~E^l??mxRhHIzxtPPL5vU!-vZ0$PlDkQqfnIXJ=_&fj&QFkNVzmUpz|^_|2oXQ z`byqKRL+efJpl4!aYT)hHqQ1n@M5b%p+mkGm;a>DM3k0|+2}#67X1(W;2Q|Ul4>iD zhWyW_$IoBoRvG~cE?t+7ZaIzFdKZ=X$qdP=#^tY@3VVP5>yI1eFEdsf}=#}(kNV#p#4R#T4B%DC0Qg$Ty;NG876CK2Dq)mlAHeF6HROv{IBbc7cMUT zzxEeN{LRyiT(op?@b)}2Lt91nKib)d@a+iWd3?ZL z2pi|c*$g^5y2nPZ<}bD5bsA>n{@awzrjt?@CL^=iz2@h$X3R8X5nht4eb;85o8mCV z>FR+6d5yy(-4#CqfUD=8;K>D6s{fkia{8d1jUB-*oid%>)v}%SHNu_JlRr42MDupp z6;=nD*+g%Yi_^vQt|~Sn;jpeIUf0qA17FQ~8fxHhQ)7M(`J0*oTVNB4J!(#FkCnKb ze!}fI$vEP_0HvZ-?i_Vsft6^)etFJRJFSQ$kHA@NIXp1O* z^9Uz_5~7=!C$x@}WYs(5d5c+vx-tBxm#nd8r1MS!!07+TQq>;OXsnp=)Da^`BhdAv z_8>wCH#CyQ`aL>L!-;{wiVW#O`X3n*LRiB{z(H#Ni0})ky$GQ#O`9>P^do3DjjP5A zG0*oX^m`2_egZi%orGbLV+*|-pG(zTOH^>H}#eVrh*I9b&M`c zY-$+5#AoDmztl@B)#yoVX?Y_lQX83J)AALgM0s-C)WD~M8Fg%UDB_EcBXM;R*?n0v zJn9^uhETu(|1^M4PIM*OX-**ebE`geJL$@QTPJ_^zfxOb^k%UORT!pb?IoJM>wSYS zD&&FpTk~ti+nb&icu;~P!PRpNP~*RZ7AJ$vi1V1^)L$H5iex3lU}`%h>89b5MLO?m z`@~+zY$b6}$=c*8@$p}aITpSVdg`uK z+&Z~?@y%y`=J4YKKMs4rf*Wh^R=W-l0xBu|bo?19`KfIo-|@4Kzk^>#JlgCXS6}}L zY8`DDKz)+X1!b-Kq+4C3O;phJ{+5883aq^Tn#tlBZ19blx$$uvhl(80V2J4Zl7WN* zi3-dnL}^K~)ofLY5yj2_`hinQ>sXaVn@IOMC`Gbv5DAW`6^6 zrVK2c)!(>mNdbFRzx-e&V)OgEe)>J~-(&1Ou6@^^zDJpR;#5HJC;^%?Qjn5jY=U2t+ z+O?%=ZVjCYmha8>fR8ukWAJICahFlS&RH%JZ2CWgLv)IJD>SlpkFF`XG-E$7*K7w5 z)Oq0cg$2w@BAiQ%>34}UO2iSaZ_0rA(uB4IZ>CnA%CyK@CZnU6?jnmBQk?tr zc6!s-A8+VYdd!hT+Rnay44fKAhUGSWI1iopWj%gZ<3%pp6bdA5eM@-x&y}i0h{r`w zoSmwPVbV&jS*L$W1eGsq-`c=`{dE6*-*w&5yD%W$d^ZNpqk8;gK?wY`}z9?7u z?`94^2hv|_)v0~7;3A!zvY2V`i&psM4j|&p@J;_+c9LNdH}#*oTe-bLdGTi(vbPaG z_=sMiRAyg5YyofjOdXImA4Rn^(z`XfjtbV1vc;gLE-mYd7PUY%Q8n{2xLdxlT_Lor zS%xtY`1slqpY1kYe<2l@0z;&m*;Bq17m0M1p6NdqgLyJWhFo8IU}1F%fpR=d68P(0R2PsZ)a(Y`Uapwx2lto$yT}Q#(QGzj^YZu| ze8(a>?l%(DAUPn1jUI_Bolx$?I0#_P<2Km<|Akz}Of@9Yl3aEddxh99%+gKH%^WnS zqz&(QNbOWIp2;M>f9Uq6;1z!z?*hbu?QYbJ#UlfQOGCorU+m;1+uAr*T>d?sU6@az zHm;;-iJlLW;aJ5JF>0k=R_K2JWwQA8s@2%TZ(L)^7)SKy)bWXBodr}Kz1FY~?rx>H zyHljNAKal7cPKr$7k77u;uLM6Xwl+U+}+(Bda(a=z4!m#UT$X1WU^MC>|`g|Su>MN zOx^kumaF>QK9UP`>Nz zs9Jvm;wv$(C_M9{wkH+fn1Wfu+GOH~qB$2FkgX7!*Xf)7H2}bw^c6(0u5}2j&vdm>fCmxwe;_}D87Cua`;{-4m`1=JuZ$- zx0Jsa?Y62F2tX7=>Q+hCq!W*OdaxZ!T|vLeptCgH@kBecnVLUX{Sbo5uqviXCWjOKDpUp{+xY#|raXlme zG8!2k|7a~tQ_+rG%;pgJ@!s@l#0-|;p7%6Vsy4;8 z5{tFV|HJ82U&yY9luHM!t0mBJDKls8=vJTFvN$%8E#U<5D1r6hV2m*+O9c3YeyU{3 z^d?2vkcwKF@6>!<|Fk}>ccUqUva7dFt3N&sw``e>Ugz_ymX9TO@ zRH=;Sl_1gYaNk7-N2N(l7LCSb zkKrWm;P~LW=)4E{#M!!FZi5R*O!;TBV0Hs?I{ci#pV>Na4|h&j9qB*nEExO5o#$IS zi-}>N9V!_ub8&rvd1|s`PR=29c$*Zg%x-Ma=E>t--ljHI_IR#Q=zDgVddj((b|UMIA}K*hPH_4o(v@AXtz zbZVATUz1*aA2=|7aA7j=!TIGpTz*?`8&4Nur>OCYBkP3Ki+;MgJrP>HDSVC_?A3-1 zCbSX5&AD&-Io5yU*XLPLs-yEhX5R%XQ~av_-O0uRV4cWSW3>LlQ7ypJhZN1ptAv!{ z9^uU`;HVgeu+ye~B)<-^3dYt)pe45?jir47?;gWeC~GX!nrO15_^X8(7{D;mG+*m;R?HIX=6!^nI~vHm0=b}VO0MXC26N;x6#1UM4#|mUgm3Y zS2zqgathaUJ z(Ch1|%D|y(9>Iw_aR*lyPDj!zrOh@ama7ux=&=p{O0ZJURi1v#Vbf^p3KBCqgqK9nR0g)v=}s{ z0)s}0yQa92FIfGFe}HM==bg94(ws&G85rfR{+O@K)p(e3BzL1y{(Lr9{;JWss%{b8 znkmT4r?OQpLvIMNlJ5`9>o_2S zb9Yg>5Ip{jbCo5fDy&RBi^dX~Q{wZHHK9b+0CAuG6;qYpledz^ zJ$j+%?Q(}Yt-P9LbdB?l+eyQyaKM??eMZ4Z>?|HwozgbMk`qW1`;cHL?_?y%-+bLw zo@VZiGLZ|{L)9wbY|Tr48pUWOdk#Fr{0zzOC_*q6q%gX}<_)i^hWJ~@ki?7E~+sMS4DVL!@X_RYgNJru& zilIx9=3*Fb?XzDbc4%7I0|bB}JlJ*EGlKl=F=s}4RsPTp2(@De>{6a=Wv)_Ql^Mx? z(}rDbvm(1=qU8T(GE zvuF)<^07QoIUL(Kic?@;8sea=lr-gFH6_0koP1&xj(fbaDV`xQzyb>Vxi zXhG71WSJ~N>t9q#5gKb)mZBjO)Z-}}Sg&xZrGud376dl{X2Q{NA?nP-SZ<%;tckud zkwAr=nVQk#B*gb|ODm>MsnD$^Tv71WM$mG}v1CdE1y+sgOPB;L3Ba6hdY1Dw%?OCO z_!}^+3fZZlat1A$)_RY!Ce&%t_vNx&zD}FpJ+O`P-=`*RNlE7?U8@=4pX74N<3{uZ zbTS2nJrL%-p(;1#PsG|#lNS)2tTiDSRCYw7M6<^yD_2OpZ$w}a)azw;FNox|-yY3uT2EImcV~(W z7ZrM-G#IuCLun{m#isq`xBZM_4qby=@^^QJ-}hXC0TX6lB%sbGG#DD~?eB_R^C8cr z@9HLbS0p?!uIScs<~%ZV^!vX3Zd1-!#XsXcGA8QX$Q*E->{D7zd*>z=M1IoX@kqeY z;c__^(01gTn)bT8lV91qO9CGu~ujLZ(F8DaKDpr;%R3R5S(MX>#9MxDcuB5i6( z=78(iNS3{XZ$moI;Ok@3B!j|Q~m7-Wg{h9mPfyaFq&q&95DzdzHB)W7K`lo3Bn;#b)_s4me|N7&nt}ZDok5;+YY~4Rn&(Zmn5# z`n{cWE>(?@V<(?PQ1uRFDK|3)W6A5;{iflX4xPfZ{)c)@=_YAWJGsKauHI|w&W`e> zRz%xGkpL)USt+y(Z?_MKBe=f3Nuj*R_cNd}27Zo`VNVz`*xJ3^OFj-dnNWY zLSg^rnAoEp`oU^I?^r?Ed(H1DS7D(uRRY{U!W*KS{Z<6tB3)h=P>D~fto>l`LCPSeDwk6kG}B%Kz> zh?RTbLfZE5BXLSy6Z?Xuq%tQtJKL=3;5*4R_d$5I%rdYQ|(D!S@z3!mpJ?WXO-gO(`!7i#zA0LJrnT zDjfUKkCD%V%zMaVhmZCycZ+Gy2U(2RyrlBp&6>wMrJp))tZ%2+2!X59{nqk`j({2d5)gt@f%82$XJR&-sB=P10#V4VQ?;}6w?|;ZI?WH#?^uV;- z6T7M@u`yzMmSw1I88ajok-5}FoW*Fb!53k*l7WyKBgMx^_CM>qLZ^BFD>F!k?v=d9 zXnvCCp$GC5e(bQ(fA2bi?I-P9hxR1zq7{UL;QYg}mWCJ3(No;Yj^7of_^JMtOyS!^ z=G!7YZy+)F2yZ-+YascIAW##m+oWn1q3`4K^;aipg1S1Xsl4)5@8qB%$luIb5j3AEeLF^EyV=~2Vn zuGeQ*&&M}-v#KfmvYCPstgKo3EU>Ct1ZP=dAhpx96n4_M)I0jR=Y^i6zyWP_hV24`BNk!KwDb;SZ>??C!IvBRT?W<3anJl@@a`qa&B% ziB(Q1kK*C8bD0tTY}Lc(0jCEoTI`?PI=7!dDka?g_V)>bTzg)CY5eGC6z;lXqKu4Xo|?6oY|N&6tbUh8R1(W39htEr843k5i*vR z6aX6h3myBG>v!V5W`~&W4n6D86AU*$D!{ug+ux9u3_gp>WSn1GD93p<(At>_D9c;dq}1~DPj-`iJfGMg;^zr3 zeN?Xi4ar>bS)rfLhL$yZ@LBPl^BVw>n@!=Wu~v22GrGNtgs*b&@wAZ~5l@xSD+$6Tw~PG1(zI=Q8rLk*D^j z0me_}-HgsM#XqR1nJy{qQxSJ^WGlq&abpDn)M)$ZJrM(vxdGvjoip3T%)1R6MY3fB zlCPk&@WKbB;vY79FU9s2lAb_Xz9Y%$>zXcF7MDLYxfaJmlH?R*KJr-&JP)t#y`2ZN zyBN+Gr*bFhbIV-%0yw%2TOmJQV}GVK>0`w6=YIB++oCl9!Z)A0)wZ}!5YpYL<6ZDL z>#&1+m&0v>!9C+5f}^+ZvY#8_wsu_OAAE~jlAbFkMW!@_eZ9O%+Y^-6Sa7C9P1iQ} zQSwfGsAzK?3LLQ3t$*6R%Z($&oCEZPA$MI%*+`lf_lSZgX;-T#$&DX5AoI`m$D#qI z(pa1I=2(U_3TkRuW4oNtUQUXgy%unIv5$;2til!0xs&zA1k+Wc$+lHHue>NkrK0GH zN+iyF0V?t^u($vK01*Jw6s~5Mh_W#a3DH9V05}j|MnzWH(99fUXJ~Hh_|b#a-ON-! zcKAaVJ7&;na9dZKXqES}u3EWK!XQQ6CnR%+?K-Svb1DYg42fr@F7$g2u51`Z z+pM`@xEA$75N{_xGtOq4pAu2;C0tZ}R5|LM_iKGo9XY7BbV8KIN+$cIT6fID2WS}= z#~4sd{WZMikyvP#XDu#%mP1Rmb zhfxVb{kIk%1qVR?xj-B~%E{Tq zgw+)kuWJ{;j@!2?dxyU3AfHyUxO9w^oSW_df0n?EQmRs<143fS^trFY<#PFyxBD>i zJBR2S3S@U^x$0pW=WNnXyvwe|2u$KgivsKx)+mB<6jMmh;>`BHgKJVi^C^eoSvXMc z+Wjy`?pms-tCBk^XKLah>Jf6(NS0L;j7j-&Mo=5=W--CoawDZr(8ff1&;f6x_US5q zlk&6#omXh`rmIH5hCm~Qi^+~M;(WP6#Q#B^WG8l3rtp2gST2{j9Iq}Gmi!CWxSV9B z*>qvLP+IhA0_y3VX03BBnKH>M1p|__I|+pRevAbF*;m;S!>#O)nVu0W&}>L92_UiK zD>|puHS(*+QVxQ651E6{Qjpz(zDDN}e41r@FaJ(e*8Oxzq(LMfvPFctq@r^`Qp=4y zjjz;)DXzO*l=OkoyW{vzU(C8@YNy93OW&bT?kQPuiV#irocp7QkrEWdu;qz+6b8bv95)!^zT#lIzg&SsAT=MHv z!#NTR6-7Zt1d4Uj4Gg8kPfB*1ppc4N&2bI}XvlCy_0Hw|$PQ#FU*!U=YS)@$(v~g- z2wcYwaa_hjy0~aOR~BiSxkBd_RRzn2{sgGr;|wXz0ay$TyM15T>E)qDg9xz zKPN9z(bjLw{J74BbcO}ttQ1M9i}mU8E3I%n)g#m!|DCsnr@Hlq^#?Tg`w?;pgKC)c zX6XRm@?%rxqFh-9yj9MuEeCK6PMaz}(k_4a{F%yS-}&2-v-hAG(P6wKmRPgC0u75~ zA-@9QS^$GweiV|9n%1>;s-22F6r^wbYi)AFOy_<=s%07$06_TD*&q%Q1l0Tgxid4h zXLSdCdi(r{#y|C|GFxxxtYaF^p&<~MK2^eHzXqZf3Sb# z@!b85THBgBzGUC)As5_27=B0-`u}48Vd;PW%{rPHzeGiFm98QGM*=kBK?5-UBleG1 z?=J`b8+C##6)}Ga?-S1Hj6ehx5WJK- I/Os" view | -| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | -| Device models | High | Device models are Production | | -| | | | | -| Overall confidence level | Low | | | -+-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ - - -2. Settings ------------ - -2.1 Environment ---------------- - -+-----------------------+--------------------------+ -| Ambient Temp (C) | 25.0 | -| ThetaJA (C/W) | 5.0 | -| Airflow (LFM) | 250 | -| Heat Sink | medium (Medium Profile) | -| ThetaSA (C/W) | 4.6 | -| Board Selection | medium (10"x10") | -| # of Board Layers | 12to15 (12 to 15 Layers) | -| Board Temperature (C) | 25.0 | -+-----------------------+--------------------------+ - - -2.2 Clock Constraints ---------------------- - -+--------------------+----------------------------------------------------+-----------------+ -| Clock | Domain | Constraint (ns) | -+--------------------+----------------------------------------------------+-----------------+ -| clk_out1_clk_wiz_0 | Inst_vga_ctrl/clk_wiz_0_inst/U0/clk_out1_clk_wiz_0 | 9.3 | -| clkfbout_clk_wiz_0 | Inst_vga_ctrl/clk_wiz_0_inst/U0/clkfbout_clk_wiz_0 | 10.0 | -| sys_clk_pin | CLK | 10.0 | -+--------------------+----------------------------------------------------+-----------------+ - - -3. Detailed Reports -------------------- - -3.1 By Hierarchy ----------------- - -+-----------------------------+-----------+ -| Name | Power (W) | -+-----------------------------+-----------+ -| GPIO_demo | 0.151 | -| Inst_UART_TX_CTRL | <0.001 | -| Inst_btn_debounce | <0.001 | -| Inst_vga_ctrl | 0.130 | -| Inst_MouseCtl | 0.004 | -| Inst_Ps2Interface | 0.001 | -| ps2_clk_IOBUF_inst | 0.000 | -| ps2_data_IOBUF_inst | 0.000 | -| Inst_MouseDisplay | <0.001 | -| clk_wiz_0_inst | 0.124 | -| U0 | 0.124 | -+-----------------------------+-----------+ - - diff --git a/proj/GPIO.runs/impl_1/GPIO_demo_power_routed.rpx b/proj/GPIO.runs/impl_1/GPIO_demo_power_routed.rpx deleted file mode 100644 index a3045658009d17a50156f0b85e57de80384eab9f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 457863 zcmd3v34k0`wf8d#A(;-#FhB@l8^RX0Nmq4ORd=%`kPtvZAYl*KWSNA4$t287AmOot zfG9fxvMLA&BC9^shky^^fdUH1A|NU%f`X`sEP{N$b8l65^;&hSWB7c}_mW|#bC+|^ z`akE49X4#(=+yYt?X=}~TN6_I?_QkMGq|j8Xt4L=)rX~qb+%96fA5*a1wD(GjDL9b z(Zf21jT_cAYT~PFIsA*ma>J&{|C=HI=b%qNJ@wSXw@a;l@cj9`{X^>y8+O`mn_Toz z*UnpQe)YCH?y~ox5!L`U@AnzS|~G4WGI5R`0BS{x14=<*(Jh zyCjoYKa-g~d6OlbXBM_uSVPz3O@#7R@_+R2%Aaa}*UITN$Ulty zUHR@qyEXsr_Nh^v!xAgwtpDT_w@3W4KC~s4z5Z2S{c6PT?wD%l!yH<%cS(#j>vhZ< zn7deC$n$6Hm=A4G{#rf^etE|91E=tP{mV^HBlzW^p%|;yJDj^WePGG5p`HbL8Q;2XyIr-*l=xMEO!X=!hN=ZHP_{hay-+x__MjZ$x{kB}TT{;jsu zI%>HGO__CI@xViidmK1x-_F_}P3RasymO5;ADnnnzGHvB^0nRh$*%n5p^fGhI)?F+ zVZ-=I8$Y>W>eurfv-!!*@;kfm6ZwZ7!{v7-^OM#2$!iC%neW(upL}l$KN-nS-nd~} zzT*h_iTqn*_{pK)f641ugP%;6-xs)IOh{=Ap z{PN6P$9nwaYr%e}Ed0IKaS%VbE!gj$j$cocFYQ;IZRz}FzXweIp4Tx_zB76JU)D~o zS;38+m46-o@hJZnW-M7Y*t5q_U*|>@zduR+E%=AyUmfYQ%l?CKITB~#T+VODCjW~5 zK={|k|Dr9`xpdH0jJfyB-4EJRJ&exDMqim!`vw2LD^EnOQT?RE6T-EXzq48JlM;^# zZNJ=>dALJEdSab0qb9W%UG{tHGXoM zJY#w43zx53#rnndcMP%qTKvwwJDpACfQ2^H^ut zWrK|U;ufw}%XOEm!=y-X=Cc{p9Tb3x>U;W;*b_(R2-u{aRf3eW5C7?R4t}%H@muIk9ygVb%hR9m?5KRlru@=hr|?Uo`1f|Y z=C@wQujG)9l#dHPb`Sq;=m2DM-fMpeNl4k;zh8OI?HJ9!HB4kk?IBfdcl<|zJX9}y zYVY9EzPT$pH*3VP{x9%pIqfaSkIQ#VgV=e2be#Ucuo91GWl;G$^;u--!Y$`JSsnt6MAy=|Nebw%w zBuz`2t9FI8JFVWAeynGWe5%lKFR$*Q9ppU;`5Eu6wrg;#`mt_z$1{bFpUN-U@=K)^ z`Syi=tQQsjQ0TaXmwDQ1Q~k$!$YHDP)N%hP7;j1NShGhRmhYIsPp%S)CXe;`ADxx! z__nq2YKQj|Lk_8_(@l+$I8D|dm+_FqWAxs ztH+1-KZYe_i-Kpb}F-Wv~27WpgC=XU%Lp2~HM`AF4>-`H>s!e}w+=y}Q<^Sm#HgULf z`A2)?3vM&H<+~i(N0_&4VaT^wQ9-}adYh4-dCS~fA&$pW<)U;BR*-JK_0^~MEtxmB zue@{lQ`g9eBbF!KGFLBr0zNwan~a<880=$vNHOG zf2Yx=`IlVzmo$^qS@g%pZ@E*fT`SkLQ{8fjYLZ*N=R1S(Zn@eQKGAT)jcAqoy;H2quHMGYu^#paJL{x|dtA7@?R_d3Jjtnxo-c^o-Z``7ZykQd zmve>De5<%OQl|6JiVrqqL_3>dLyp=|9X@=2^~VZ@HG_{9MSOK0W%lL97p|UmXT`^r zqgZDhw}1LdK^@e%wsAzZ_^(*D0v?b&p9-m|@QHr4J}-FZ82r`^=> ztd|;Y9G3-~+IF{>cQQ^s)t=*X?GrmJ6q-4QAq>=jY!ZW46JpI$h80&gU~uns-=c)wW!!&4Bc_ z-};?b(1E&A)LQK~92JVci|vJZA>+hDpq$kZy^Hs9@e_SZf>3Vuvh3(>#uW`vYSOiGnaPuabg+xF`q}O zgl=xQ<)+&5@wsNFA3Wqm$QaEhF7}^eXStgYHA6nx^;-{^@(Chf5Q=1d@}6aDWnnq4 zleIOU7;x!FSKjLtwr+N^nos5ki}Y~5Ubk&!vNq~5{Pelbd=-cA@@qIm@(BLHR%nT# z<-7L|6?==8Xky_SzU{y?Ud6%6H5v);u3uamkSnoGm9B7(>|CZU&&p=fa*vrD`ahe? zWfdut2mj$OFZBvlUQ@j%XQ$^_$Wde*a@5J?T%4H}*yrhUOxcH-#zhxcX}U-ZfA63Wa9uqyAYqQ=xJUDi(j>ti3yr@waYe^Q93c2h26}y zU1e<|>wC2(&1CYzcfRsJd^1XZ82dGg`fGZH!x7a;+i5og1l-OE+|*P9F9WQHsChd1=oT{z`Y- zF8UF3je9oG#9z2M8zD-U_6+ncS_5 zQY`5NZ_mnhJGPe`U1cMQwSqK5b=p?W!_BKWQ7+UVDl_8J zjiXM~JF;^rByjrD`D_l>!RGW|vjq7Kyy<6N9q$#ktUS?qi+D5(iVONy%*lj=nC#C% zXr?V5OvV1pj`O9Tj*Z%1?#RyLAd%^I@l(=rc{c8JoM)s*Cf)7J6|+g2WFSp)j1>`9BP;=GbAk1+N;+p3QHAO#HA^rhR!M6xNe z|6CEqgb#6xzRSo;T-50>=`Q75y`zpTgjlk;i!6Q zNK1v0QcF7T$WK%o`%gJZ3V9X@x`xE$XJONXZ zYM4s4y18t3E|ar8%yQJ4fj0TSYujQGwcI(So#t|ROWYE!&&%i1E=0|C`q$@%#U+X( zzd-SfWmz6s29Y+|yyr>0MeQ^?_PODAKXL1Mwb~399WAltQ=x)7w7e`PzL*I_c3h&9 zhUfXzZ~o;LGR-)1sCRJ>(WDytV2*Nmc!!yc*eO^FvKaAmIbSywz0V)ddfzRqzijTn zP?c|me$Wyvn?u0BqG(*`c-Y&97x&gn@43D^*TBH`B^n`+wcUKQKa^HRUJ*xES+Z>?3f zf9{RvD%c*s$~Ok`SxkV6l`8|Xk_GDpB%kekHp&=a;ZU(fGPoR31(ld4$GkbuL{T9q zv=Ay8jNwdLe8i9&1^dSdt}fW=X}7Ss;;`ylP!B9HZN7}vEiwm-hGdcQo-Esz=#!b* zmU;W|}f`N=C!88AIUc>flK z@QVL~;FyvSE}O#ylyds{X7gFHzNY88L;9z2bqQQ^P--;kPlv>SFbzRP(y)1pXr9hO zMn8d{@9-11uy!DY116D&1;QXhWF(Q5{m7Y*MX@~3cLhtvYjCm|^5CMiJX~uraLqXJ zqO~4&+TwSoe>?hkbjYykU% zhpa6o8W~^}J*%%a8%E3z+3o?iu&bE@TS$Ro52j}+dx09y5I!U;E)iC-d)-{xGd4v| z`O*Ec)VQ*^GfIsQLD}lgSq`C8UU#nBvN#tWpqa(pfB65naZ-a^faF;vR>K8kJzOaD zN5_?5jVTkKmxgL|tR@r0YJ77!jk&Cy?~I$XB#>?Pc6NE`2eG&fa~W00kgNjEb;(X3+p1-y_};kgUY7#tgJEXT2FsF zf_44qpk%C;D5C)=kA>+HJ~JhBV#i^Q`qS;MkFhAp)#@CQc005jaNVrRcZR$zWh+Eq zQvL!cMacn7TkKPzpd-8yeSEAMt_YW%+eFHvBfK!GiPYHQ;hY!0);tW&L2`R4f=-4B zNu)_(?drr!bsVN%So2@EKt#W(a6g4fgT0Fs@_n#4cR&K(VZ_%eBATfniWyCgD??OE z;y}D)3tT#JG((oTb)V~l{gz~|($%G?r%sJ%$cIievtP-Px^Rs+tx37{m7(imJrO)L zSap#invrZFPb4uDoqf%F%kd`)nqO<2gTxJ?lNg#DdNn)HPjIApot zVbj|@aBWD(POu@klV{E!+vO^^oH<9SiJ4Qc?8J~Ef4B|bjWBbdMw|jfIQo-}6D9{d zn6}fP{|u?!^T=0Y#VHi~Yukf3g(wJY0u)@khpkAMAAi!&f4%7sSHzA}z?DZM*fhk! z&#wikJd9vBKFZ2F8>-b!0!l{Y92=H607_|+YL?{XRp=bS?MO%p6QQ~`p`HjWyzmt) z;IcALs}MY0&hkjB%6Mcs0{&pF3FmA{obR{K(Zfe<&{ z4@*&+l*y)n%1g+D2$>*$E-;5+P3)GrW^g%6&D+D z7REOI6@hjj%r>GXnxRB8Zt|E{Fwu2AaQpeO_J;w+T~UmPX`KVk zFQzrh2!Q~q()3WbzZIfmE5kttPoGlUYj$y_zcJh`NBoh*{@x+)e>v91xHvW@;tkwn z-gBi65TeOq@l&3JXdLlIBtE!6jn__W?u~yq-affNxJE2!;IE_wC8(L0LA%-@+Sr2T zC7hunhX4{h$)yIAjKxXu$uGIpok1$)W)=P}95QTGE2kcTc$8MQF+1cgc^5VXf@rG5 zTKR=t&x^&g+$H)^Dk0DifB-2SSN4aEh@*Jb&hrIxmV5&6jmKKGzeuavV;7Y1OV&WT%15A6&=R9<8J6h1pv_`@@CK^Ru;L( zACn68Yp;V`<2S=O&X%~Gf{9~kc%@2jtvsUlbMji}pa*Bqo;JNWWj_rp^UVl8mCd=5 zQ7|_nZaneq;8r5ci1d-d3}Uvd8hQj^pjUE=D1WQC9aOzR2Z>*O|E#7?5tQ~RxnIPs zYWScT!u%xp_?Q4;F41xg*urb{1ZiL%beniKU(_CQwZA|qqQ-!* z8Jgw#e64+zY2jrgmylSJ?6HgwH@keJ=OOn<Te!CtpYZrW83(Jd9odq zrKg5g|381gmzzPXd3dezwCN!FM#(ET1??iSYa3s?NWRLkb%qk9}5lk16s`4$s3 z5fl`=R%i)mls_&jG|B9kRf9N_j`9D}tGxJN_9y-?V?s2}CWyY16;E1%vhc zvmjG8Sh?J#tly@ANye#apJEnw9<{+>0K#dgr)`~KY}Jw2_>TiIZyxzxwrQ#Q6d<;_ zqjbx8$HkgFXEV zX8Y~aHSnB#_36m+?&HPN>yo9etg^U0y_(wu1khC{2Kay9b zL#IL&A~0~~iFf6)B!qZl=Fa?)WkCMclR%hDMQiL z90>>jY;ijS8W>xg$YYv}6`dIkJ+F;!>4}8^P+~{d2A9H4>M}yoo3N8XpM`(^XhGAk z6W1fPYqTJs4nkld=DyTj_d}w2;+$-RP{ByV4NvB=jr-EPC@NT`G+{&MNl6m@irQW2 zfSRU_k*e=7(0P+Lo$EI4^(bm$NMowYs8}8)VQQd!^j#x~OnjB%l0+>zF1Y-tfJaLv zOgSS_3RBaVO6w=hqjW(_(-%V`$M_*u7_F^Xb&R#5S}2wJ)630F$;_x zobQ}*kXz_1BYSkL8ChIuhlO-r%qw4ZG9NBqITnmcaM5*>=(P$C((22hfunJP>%+tO za?`Gf%@SN}jb0)cguES9mL|PKC_bT**f7zy-tP`f(o2K|l-WbjmLYA!Sr{ZacK&pB zQ}#eewt9=+hqJ=BSgK7Y5@;vWEZbkf7b8*~FiWco-{8Ij!VBjpL~vtKxD;k$gL*s~ z$|(>o4))7=l|F7rG1*GpZ$7chN48j1}s`j6(VlN5!t7p_(HFpK7P%rHnC7 zSC52jyinLmxUgT^Gs72#4I>6J@(g&e;xuE?Hr|_2Yak;s`7XWRP@_3a%LF3x*bDZl z{Vp#fc|viWuD#iNDWQmjNN&WdZuRs$``PGr$d>klD=9^6>_>Wdsj}{TH;$^pNtiw4Sw%jaq=+rFtyUeRyI-9i^JNoM z=Pory!bxXT5u>@o`-^AxjCOcoWx~x;x0sbqq{`HkE*Rz&XJ-kyhWM$HZQXMm-NzBn z^z@Kg0EyJ*=s%gxNk=h5@%+C(fn;3qTsCf7DGz-A5=fRU9VAS0Zr}T8zE$FOZo+j4!m?;Jw7uC`>?oFgC)DiwP82TM{D&}!x$kt|ucpSNhdlv1tFmFK(dIu~85)G* zeoGpdnMvH!?%E@n#4VK#yBdgCUW?eyR@MPhon$RlBg)Edzlu3jHUcaI5#6%=PMJuB z{jSO>VpqT2xC`ge#Jz)uk57%JqrT@@)FF%p97tnF@%W+BpfPm4>WwSU+&w}jG6B7R zKK&#rdWLYy^jAu27PBxPr0~KlzqP|5QIvH8KwYqI=x_kmSu3H2VR!6S0qEcmQ7w|q z0BV*3!3WEF z6&=;;7tg$@5dI=-Y}J7K?nn8Uq7)PcJMbq*0M{;od>v56;HcH5#TFgcJqq2#F%V?> z2`~?V4@LwLfEf+Om)s1!0-H=N<@>=q{~X+WT$RRKTpTBwAY zZSqOtX@Z=m1vSmVv;aCn~0wPl{qczcD&Lw&5qwThIIQFqJzr}_n z_yKEp`LvqM2Wwavdej@6u4muEDviv=Qk1~;L>W`MceV6UHIw=0+&U>qycq*X zUpujOY@j69o;eT7HP?>kqsoH7K>?MuczNO(WJ$*vmj!LQ0R)VWx8xEyk_dF@5KA4W zyvZCjv@~8Z??rLid)#3IgvHgTriR~;2e6tBoY{Z`tc5RK8)_xAEy2oeo4ZTzr1n#Iv?i6t9d$P zcXITTV#!=f&~uANZ_smV`u*Fr-g66SrZ);uPz?ew7rA}=Y+GleJZi0qC~R~@TPId} zgTI;BR24z#JcOtkU&3S_I6X(tb{hRT>O4_=v5nUG8$rsjo2B*-RZmGh7=q*-MwpwS zZ@q_XN0{Q6GL*wmn65jVwU`eAfWcO9*fjIVF&u|;mqv25NF~B#XaeLf*(O#RUUzBH zB4??VbPP&Wm3d{1p_!dC;RHxW40f?~>Pm#1=uecF^k@+wM^Xt?o8fM6e|PI-LN266 zVZ+ffF2JwK1SsU>>5!vt-hc}WA8qNHPM=dfRmeHX8m=y2)QvU@l)vIhKXg^ zvq8Y99@=il?I+a8gmPcHId&cb6%|2*DQw-yxnTCfB&V6aE)JoJ#wH@k&9Cj+Yhw_y zwWFk)+b~Y8LObzZ4LEVbBcazML<)l(h(hqwH%KURM``v=n*lAYs8hff7K{jCNSkqR z@SUg`GE>qs&dg??a|RzbS2HEMfh4CI8D?%b{8t*vH71=ORcIx9(EsgC13Nhac}3I& z-j*oMs-Z{JWR=n*Y689FuJ3VQ;v~yyf9?j`0@x;#dzn$AlAy|yf$Pi^{K!u>Zem*m zdDl=ENuCjvo8(_D8FhgOs(eNU+I7SGHj1p=)TRY)8x5U6Zwg{mn%e^@QZqNr_WHof z;{$fAAK)QzoP%NiEO~7PDsZLd?|6;$ zxLULcl3XV>(ln`%o?eVp0ulhY$&^**Zpi1GMsT$S9xA!j&2KYUp;hQLvx|G~+4Tb; zSn1-*MuzT2;YZBDB3O9$(oqOW91I9Glf;)i1G&TzbBqB7fH4inq5@AY5`QSmybhM6 z-)3~WrG4Rgt!Zkt@?xZ`6BE`LoFxrT&?gzL+#E@>)ou{4>gv=tG;^sftb`{@6$3TX zs*Q4DZE?WDH6lGxOTQ2aHOg#C+C!`HZ8!y_r@^Wi>c+>ngJiN$V^$#{SOmbqv{G6u z0_HZKUboeoV8V)a!BSVXxu2id3ShDe*XY9x}$BE@8kcL@F5+t>iC(Y}2r9gj8bd zlH;NEB2iluca_Z*CxgG~ajc=Dt_;MO+#gR9vp{O?h?Ox~+~bdi@8rI|(Sh$;QqPqD znH5DkDEDho2M~r%@w`wPDrRV52{|+MJc3IxtN}u-m;7d`agw;R-y2@qWOd)2a-U9{ zn+g$<$5t^?InGV}cPv71r_`y`n|p6~dw<(_RHSj`zja|i2NjXz?XCIP>M`FszM#*W ztA-xF;3}nu+FzygkY&*GV;?ju5gkyehU2hhb|m*#5&IA*sQ*kT5JeJ%KaB^S0O^ z07L0G&kQHy?D&gojfmw$s$7N!T@!AQs2wxXm=l#i>rXgwc+hVr!VO3A2ve^*f{30~ zOOUHvwFD79LQqn1Fb@IBU?#VPac-Q7`}VtSNn)gg%MN7$AUdd|R=5VDjC$Cj45+Q1 z3{fx7amK??UROqPPo=?%Hm)^$s+1?q*%^Q%t$$VCi^>FS8nYnB`d@=W)0AfeLm#8V zFP_PEo+K|*Cdo4mR$5BRRC(U0nZ4SxGb_PE9vmt*u;%CCVau1Eal`;CcX^GW26 zNh%pIl9DSicCfBm4XV`+7Bcrs;>j`=5vCP5J(+t#O7!M8<*g5X^QmK+$SgHN_n9m0 z!w9S#eY3dV#4v(~F8{Yvou~<8=P=fnt8KGY1^J*B(@Ep?89VTYz4D0@Ct|6TjgI+h zKZ_}pblXT`Bpmtt$4;e@paZZn11wJ+EI1P&0eF-NGUKmZ@UK|gQ4k0%oW3AQd4QTs z6cQJYfP|H187jw%e2Q(MyfPlrhU2jZkM3PKR8;wJ^+3u{{SfOY=};LFt!E*P_}7O{ zVI4AW2hZ&zR9-TIw5pbpZ0xTDw!uy(e&G1i5F%^?QDhjAI9)^s5vn93T6hCn-tnFj z)f@n${c-{jlq1j)U8v>pm_e?}h+s?IVC?JLWESN1F_Y*Ediv(BC|c?Cvccl~CCmDU z!mSW}3q3<|sGNJbW3h7OEAO+OnB8>dyH1ri;m3F25|k(VOK_VHJp6YjHcFd!>F4l<`CHX4Bme-5(0Ui_i3sZCVshDT??kc))X=%KHX*iG)uNiPib05K!!7>e zG>)m1(ty4F%LZpIO&6Ce8V?J-P zp}cQfd|a2x)v;oNElE>xjhON`V>46*?Pksl7yjg}>dg=~IY+(Ve3r;-Mr<=C776y$ z&?5V3AKz{zf`|n?OW7#EW5^h*u9s=cqs+-SC8D3~Df_dAHT?o3WP#)^#0PC9Nb~rd zKL>XdA$|z}BM(J8tK1A_Qc3Qp|8vl*aN*fRt2*S!fBh+@4iR^cXG2DW(djlL5~W92 zNEw?Q=WXInzt*&r}P*%|LR?jRe#kkU5E4!R*`Pw zApeq~KgPTccuG35w;D*<>^Fai$;8}0M*U)#7>kyXG#QR9@%3+c8f0}xx{`dWPKt}` zE!%4)pE>)K-^biuSthI+dK{-OgH{baOhD-Q*GG>70re)nzTxvY)~M@)TUrf9UHQWA z44wo0f24HcQf6vMppMHM2Nt8nv-m+!b^rezYU~Rs_J2bqOMv z62E${*PP@44(?d6%%sE8Hi&U?n*_^@*JSK>AM?U*BlLEIm`(}HoYhG)!e&ZshuF>R zK1R%DB@OQ*u!a_g4Lo>3;t#}Lk;Qqzbmu`QVZhKOSHflu+{b$6{uBRx)j0kjjf}P9 zp&E@LsHLR|cr22YlKwT%taX3FDx!LLt^_ya&a0pkt4RYA3_Yr?sN`->e0oSQ=xHA| zEw7A#dL>I4T&g?mS2YgG(P~Vtg1<`{q^Q?&e3U_%{#!~EA6)}-t;I*(mTkSMcfS%- zno2GKTTcU<2z9%XAVQ?jm%+Rc;h*om9I^M>h-|1FM#q8#76aNx1}Un3lv3a*rEsRf z#2?OOF-EYMk~DLG#;KWq)t!$eVHPJ0zcdZ)I*pGxZCFjz2U8jH9?rM)z`!ZCtu)aR zWTk;K{Ns~eiclZ5r`@+??t-GP(mIb(x+_imS*A17pol3EHkb#U5r}rRiiH;)zZp^m z)?jG)?4h|sJ$@iYyREGXkAq%&A*Qe*cZBd*!QL3XOU6^R7A0IG(P<9Y%z3%#M#who z_Z{e?pn19OyxXdwzR&B=#oQ|Fgf*hQCW4D;E0KS*_&n%Urp%EDGg71Jmj#fBMjw)* zaU8(7so`}09L{LPO6I5+3VmXeXPv_6AQM# z_*8U=Ma$v9%pox#ZoU5wL7Er}#tVM?WCXW0c!!o7q=B8lX=Dbj-;>F3g7AjV{4}PS zBOxtg_T=mMfzNFn99d3i}ao4F}dPJ?h_=)EqBp@fJ=`P2aL&}5)X#xv)>+{Ub< zp?WHhqtGR{I(6uK>h$=QI(2h&>h2rFJ#;~F5GdCz9&^xBh4IEn!%hG4lW2bkJt#J; z$1}zS7CFi_bH3;+31Sm4}WtTY)QkP)v0-o{R$D+54m7`uW zRR|u_5@%F|)ZV+ou;AsLDVNWmLQmd8GLG!{?m z5i6RfgTOI19{Suo#CyBYtT2Hv7kr2;Kt#Z#NpA75^Z9CIu`IThkHrN>nCyj&o)?D@ zPthmjA#8a2=6v~3Ar2wrTR@23{>V3TLeF?{2oVA+!I&9{m4r~vi9?8-PKl7TD@?GX zQE>>BxsKUB%A7Ypx?g2u>m)Lp+Ug*)=L#}Fmz>Jv( z&7M{~w7CDw*~MAYrc2SFNGj%YWf?FgJ#BFCsdTZ;Yj_rY@JNKDs+G>#$}QRdX)yzE zX&4m7Kxo8;#BZtnQzn3kra1Xk*0NJG+poS2q$>gCu6?V- zJxg*PE0>-!31GlK?SIJBx-YSrr(iJxx69g6%aI3wonur)1_v5*yTKEy=St7pg;gYi z#n@$33^C4?21okk0T0HsyTt@V!7(h$>84ybCk3zNVOiShu8bwrbNDWK_5r8RQ86n^ zhT6Ak$qo+i+3nQ(jY#WDloa*3>IjOzvPuaGZVZBf=+L!K=Ff4T131!3L#n)u#D3m0 zxBSrHqL6_8MXdsY!r-fvpj;FLQQm}*{n?Bj^3AoC|A_u}>*FQsJ*@6u+#jjD5vTe% zlVcsmIFO0`&{ioyd1$MYpfJoTB`6F7!9igdoAyT7$r9PkF)heO6{+I&*rB&AUi}}Z zFtMr0(-NiV~0vApikA(B!)|WoQ;pySo1ef&1MUv%;B{)S86S z%+=bJ-H@qLYZb~;T&Hpgh_X+kmE|dQzFL-0(sl~(+&t_vW{vL~ykXMQz6@Rk1mz8{ zQiAe^S1Cbx!>g2_V9p?TDDR2p0J(Hd^~$5JgC^e$_l%n%ynguioKQJZDOi-I(h3iZ zIwxCU^3p*6GkK~G4CAoty!TzFkZmT8hAN})+V!$99!o9)HAuWOod6(RXd14%?KGBP zlmIA4z;*IR92KA|GWkM>;{{f37&NZ6hfN{nL0Ke{O3*AiAGGIhW zhZ`=#*^6Z)U_``ykddL4Oqm4|6z2VN7naZ(3uFV-An8Y`KTMpEdgJALqZKIfMH;)% z-Poq-lLTV0XcIL${?{pxtJByeU8J!~0ED!e|J=fs9_)>=CHVS8wp4e5teFXN3hp-X(5Q2U?GG*k*&ei7>69&cW7lHQub3`h_VBd#y;=*+_zh} z8tJF0({(vp9zSn}%pG$qsh2QJ*WJ|ht=7_Yh+we9l&>+mS6YaMgq~S((y(i8GsUjr zL!2T|Vv4f!atiPTDMO||nZA?*p1##d(3O(}ih|o=iqXtl6tN1GN;=Wh0=ewvZzhG? zWlJs20fwmgQ>4amRAr!mnbh3>Di$%Nkv$l|wR-yJ&g<(bs&0|R1-*kyrGjvvXAyEV zo5whyZ>^eM&Zv!+ekuQ*e(B1CbRro;>b z2@tzfP*X4jx=KkZ zXMqSdX(|VjHZdRX`_4C`VfB& z5;XO~sHa(cIU)y&nkl)Qwj!sqQdtC^A&>rMTgVx?oMG_ea?}j963oBg?Amh#1@r7# z6-uy<*k0b&fB$-{D*qDNEiGs_dN*=`X#t3Y^sJeX|NVYYj%fC8i5w3ldg-y)g4H#3 z1Qe4edb#>#h$K?pNCfF`kSk-L%(gyk7z>Fm7Pf+7;SWgo8&Wg}K6i-jK7 zGn#`32h?70L5UyS^!e8tuA&U`bUP2{5~Qt$N8ebOP;hCWse@QorQE6~U4B0k>g!jx z+10=&CEzWwPQ2uzcg{1{H4*S|uciW=4k?*fjeL4tGb+ep9WYMbBx9eCu8kR?mgpwi zQiFA{xO2Qp*n^JKISZRlnL{R`u=j2Vw_s;)OK0-Zcrp)kzySzuyqv>d zyv6`Prz@{#E7Be=n4E-p-uK_9LbIY`oeT9{O~KZLq%Sf+xxKM9&E|*m?ZnbolBJ^Z zY-!t^RpV00emR*m62VaKKD99mY1&ylG<8`hSxF4xhhGhQ@}ofJO*bk7C>ToeC;2;YATOQu$-(ocNoPs z@2mxxIpsW-(8RezylCZ1SRpNKTO5k&0O^VsV5ajgc=k#Y<%>%nM3m{ZlEJL?eIfH! zZ=FIpNkqBa>dh-JhFO8FEN0O&EicBAV2e!JVql_3IhA#ifP>)+m~bacF&}~Ad&M%@ zg_+5mg?SS8pvSKW?7s-a5-JV}d=^O-`Wcd06Gm_dtI&amRNinYdGP8Q`=fB3_C4sp zu5lyBwFm#x)i!asv(Y8cZkpoICQ2>lv6GqKz)LZJWECz8;_*q9x>?}iq~%|U{Sa(d zdLa1ud3s@U-R7C}%|}77GCyw-$}MI6Re85@e*TvuYrZPsQ00lzo>mD94+o7V?9y}^ zb!p&4`$jS4%k3%qj^a1Xi-CY`W)E$R&{wWh>ncohRI3eoHs*#u_SbN#fkT zuA$t}mPSch*Qi+yX5#mU$6RWtYr-j29U5s-*L-ajOK2P#LDl%#bUbf!?qOpnMRgM zaRwa&0dNbr)=;~Ct__lg`Qtgd>cv$dh9;Hbar#x~UcaPX#iW%xQid~^4JJ_62=Js; zio0D36(cvg95Hg#6m5>0dIX80@It*jvOGQth4C4&kh8Q`z5Z-leA{ zWb|I82Ey=0Kk-cWS{6o*f+a8r$8s~$JXGiDXu)gB$;Ur?u`yefr!f-Gz68ykk&+BC z)&;NUAW#$)y3}S%v{c|R zX^LcmI97D<=GA>5zMho9>z)Y89n-berA%y2br4IMiT(8(7q;RaW0m^;0##vY84alY z1$qjZ9`u_RvXa)U0fmSH7vz!@mTm^p$_I#;3>q?1+ul^xvYQ#_FCDat^ZSl19$f-d zC>A7YiUCPCp>~f_8ZTpKj3Wm>%CZ_W`CE#cJ1UpflGkw91u?w_fQ>x!lK+^elr!Lm z1c;>J@138FAIi!nhxUJ^VM)=_;K89U zSM>+hEkk+{Ekw&RKoKSKhq7f1EsaaGhZOzYt7r3FZ8%kFSS@uzouf7E!X*?T-E|P> zQu`*FMPc4z2jz}?=S!`@F0gLOj+7jxu_JZk|FDEA!ynCwkMGg=MW%)MwlE#m^-&sV z&aaI*FNu$jdq|fH5nx%FbE>Mo@V*@xwqYi~QWt+Q;_=j~?ogql?xob?36JY#4V5RH zK|ZJxPJ5VuNC)17gEKF_qnWY)wP}3ZJc_~%D|ANQX3AAMc{-x_<8zw^@U%+~I)ikx zU?D+gI5{SXvPrX-vf~U0RarZ_K(>s|AVw|GLaSRk^NX=Vst!n`ozsS=L0b0h&7c-D zRY#D7pzJb)syI?~4uEjt)Z}{WLO41#nGRBuf%QY5KCmy^&`wZ;J2Voq$&&+c({U0G zW=N%Uo+ns_`$4-g-HgjXUW{IJj#JpW(%z_xGos&ICsBb6sMppQINx)2v#0?4t9x$e zXyC)e(yKZ{&uB@(n!VF=Hh@mUmW<*<)U?R-Q!W-74Z{iH4$6QMf;4`ep%nbdJ70)M zxrAh%cE}gNO;AQY38sUYj@&GWcAs*zE`9!SNH+0SwegN6ARR{N*xO!YRPZkhVmLpT(A4d_MWJAa)vr9vE)n_a>q_g~Df=>9K!|V0h6mS*^l#;{o+moGik>=3o8M;2G6l<lyb!60L&*KGq;X{j&$c2};bdEM20>G9?i1Cv5h-SW(NZU2!_ zsbt`a3}PY%zVqGVs~Onlt15$-r}kMUXSxh_=MO*}42AD8XD+Kbm+F2#zJ7FoTW3VF z2%i9Hm3A|R)YkZDumwAXJdCiZVCX%P26&^)9s?{)m4TZw=gpjPK7Nq(R5A{ml{gW}HQHxNCdRyD@0;%qG>sD}luS^U6(XLcuf{fzq_+JCyLHof=8i_`Q zYsQj-TxLbQ3oeWIl!(j!Z?j{oUnv&ha`j5Z-BrFX+jR*B2JBc@B-O+Hetbx8EZc77+{!ry?&RC#GQ`jcnb^&qJlIQoGF(yZb*y;AAi;SCWv#k zr7@ZoeO9W=P9k-NsgQLXq&I`kukZQb?@X0LZ( zH`Y?+wpJUqJXslaECm|Uq!m+w>-k>@hxSRu!u!7!uzf>$0>}fj94FC99+>qUnH9EO-SHCk{>GY#z^>JVXH-z__X=s;)P{Y*EqRa zk@@IhFca`7p<`Mg<)m`n?CHMr+yP0@!;&}f7m!izI}32qJYP7>Yyrz}O11!aT*lv$ z`pr8ML=unCQfX;%Gz@&;gEnRXt&G2AB^VWXCTU}aqT8uR#ES%aX!_XR`}6)LMunzJ z1Og2#rFt$M$t*bB7=7^SMe*r^K7g*Y0kepck(!42qy*E$cw^9zpsMOWt%emU_QNxs zf>UYHsK2Q)Ws%uBI$sCHuG5_~fua0V$~siJ05K#u(98t+MLTOSOLvwk^jxL9i0=vf zm_^Y0-37;F9yvI)W4|WKD+mPDg(rmCpk#x0$=G@=7}UEohEl!tjeQdd2IZvi7y+t@ zv=zyeYyn$cpJ)M+Cp`f(k=#E0v;^^-C6|>Jke(7v2B@5i_uD7-d*of{sGMMr7-}ji z-U4R`~#-svSbOpoqR$0h`aF92Zh$Y_Q#4&Th0e5wewm4w5GIW$*h7_}l9XI#$= zZ8-&8qr_EgbL5c>tBM)tgDXpi?_wSZP&~1pw)c0YMIS! z&F=dAn;>TxqtLiqZD`}yt0WE({GVTKtcKyCBD}8-rXbmT1#BSnNkPa0=cW6i9rtQGH3wF zG*`GN@$O#zP7HE{XShcEJRlF!GORsIhzL7fGNWcp`|;G>V_l;PYG{862LZw~jgVf4 zqN{Lx@f9@TXb0iV11ES{-knSh)Y8PhpjOu-UJfUihci+S7iug8h}m@fFJIrP(*D;?{^l=fRL;_BEM zbo*`dku1&rblsezi{+9_pd+5n)ljRB>|?X4xHN?+f`Vwz5CJC?CLKr2jDy23^h}Hc z^q02x@)X1*viGj~hFilM?8dhuf$$~bxOYErYQ745MJC2)=tV~3A2lBsWt#^lZTy-} z-I>oDmYD&UUOIQ6cW8w#WztGz02awG_!Y?w_MakH+EAN5?ZLM*u~deVZ#oR#CrEVk zlX7~2h*M_F3{F!6XP>(vtS8o&;_lT^erZRjf*TAJc4;Axs-pKm+FUWFj!8%Cl7pp4 zT+h5zSeB&9SwRFNosM`2|H$VX@GWL{W&D zDimE>!YSOTK|pD%KTfK7@YSUw9P2W8*`a@JTG~9edn8hD8-eW@YKF(wgj&N24hf$u zFZTDGP~uv3n}A-y_^V7XlL4fCubG*%@Y~%Hw;2ixYineMl4^N357*)q$ltfPniehT&12W$ElizXO=7*(y9wl!FlV@0AMfyys3z7Y}K{l z_jZWnEzAym*o;wg)zmi<MlHZj<8q?=^a+S>Xt;nWU)w6l0xYsu zK3t3b#JYhb=rH0Sw6ijN<)?17N% z&}CjIFVy$~sVt4Q<821gb;5fa;`io3h_%kdGH^ zy4eT*-5JrLzV_IAj$@V?=A;iXmf6o14a+SFg9bD<%5#sAgACRf!a`n)T z5@xg913tr@(q2V?HfDCzx>t0>cGtjcB1x2+7(6ZPM`9e*s3=ipkl8r&3Og2dR7+9mQ0EBWVy$RD@4s=exXA^P1E7S3sd@ z8 znB4oT_BDdnTDQN1Ld9uzmX?E5+~o=1;p7p^$*+r=gU(>u2~JV%lsp zl;KmaBeqVzZXZ^&k}`YOcz+opGgoN}AE#q5DuKM(q3e z{K+h4CEW*Z@N#Z}3D)%fl5!{>1~a`??_@JA-F?`n**Lj56-m+|hl{uz+8JQyA!GOx zeGRDTFy(}KYkZU~?AhpU>cbgzBe+l%rV4T)01s6szz_?7c{%`tIxhuaqJ?Y!i63UG zZ6V#S2AhOaCYLRlIvXK@pCgGTv(UAB$3L?Md2L?6rRoJxZeU^5a>(R2XgjCEbq2Oj z$6oJc3wtLy5Wo-iFoj4X_KXA` z@}%H1S(W!T4%~y{PL>`pZgNl(y~(>|=Kc`dYk- z98Zat6w}cB$^mbMMT3grYdI*DIud@OnKO?8-&=+}n|r)p?|d_x%(z!;(P9dtOh&0- ziebReNS}_SQjBh>ov*s?jfi(ya=v}@Q+zg#v^Mx+_AX!B@b%d85nmA({9>hqq+1AX zcVZ=U&)nZ-3)7p)1NF};;vNl@5N}esG_-1R>8;kD&(YBzoMPa=Mk}H9&wM%Ctk5UK zdh|OJ&clHaF)Vp2GA-)q!`87M+7Ul^E^CTHsxScrfv>bvG85q53D93DCIAef#WdsO zZRx>QR+vgx$3BwB{`u_66Vq7DT$F%Qz>8(YZ36Hk=9-~nHsOyfs%gTYmP?o78WJR$ zsl+fCVrhbnzwJ0QtyDdGtGaPCgfNe}6XK(l-`Uf@AUI|^l91{O9Wx&_(1s^WUWv!d zt<0| zc+=8pGl*M8i>NiXdm`)OSsF)O{AAgoN+p5O5>mKu(wMXqc8MnS-OoRs)oqF;JXsER z5C|Y%MGukGQLrw4d=Crj`zo29Hhxwlv6LRYBW)vtZu+ zQ@^{?^Z+qjUtbb^*WP0?eRy&$}BB~w4I}07?Wisp^kZ@ zD%TmhUMhlSJdd~{G=<0HG zHU<+#ecB=?$8qs#+me>&ruVbsG$>51WC!)PQf0pSTSeqortvJ0UCG14!h>Yp|q-EG0awvt=qUtu--k z2V7j9JghnjFPkS#XL>iI-aS3m+d)z3vj^Lgp*elxvtQ#O2(FZ~M>3*-Wb_{mrU`9O zKAWadJPCo}GJMeB@VhoWsfqim;fRC1i~57en6i`s!-I>a51s&X0EtWag`wcOaoO=J z!BTd8;ey>D4Nz&8LBH>keB{@%MuW4A=rEEMi5vjcTVDSIX6v!1ye&h zbz}N6$CQpSre|+m-yiYdGz8TXWmOrnq~5-TkmaX;>swiFvk%n5L$yHJiHHU(BvWbv z5gmQ^U%rdgl#!{*(AT>Sf+>W4y|9sG7F3^c>@h3N$ihWEl*>oxNt+my9o90tE%z;q z-tHz_v7GXDLs?z@W#*NTM^qY`1Eu$<&sNc2-uc|a!(UcvR3D@s!%P#AD)@kzk#ogs zv$D-cmDW+Beai-CE-iv5;oZmv5tf)c*y!z9>;wnHJ$N_K8{Fv8{lXhmunlb|C@D+< zrA3%k2eSSv`?i7#(1);8 z-bj|SCDto{f|1OlzUvR|m93s5RB0(KFD^O0XJBF9k`scdyEz9a1iP;i`?13{?;Z%v z2xDC{@*$E7l6wx&9n7mP0j#4LXpO&2t6?Aw`a6xlQ-){;pjz}eHUC%`d1+~9_C!{D zYu9Y!4p4z7scOQP_Ac+~(?z0sZ^{v&1~&s39j6vnrXJliXn)~pJH#@kh~Eh661j~n zMaohtX+TV8tRSEe`|=*YarNDJItK;zO|8QUCGF*Vq+_32(OjSEYQP|N^k#SnPzh7VBTJGHFyje3EXw_wNq_Q5q*l2MNtD|1QlqKQl*fjDqNiBy)GN(6iAn1qU4 zosPb69gj^MsoDDXsb+5yLrfD$?5%zO7wydmpj*@fVIUnv14$mp`;U)~d?2CoQihN8 zssnJs9ZKHqkdWF1a3gjsh;Tuoi-@6;%iP7> zWZAzng*}v7Y!H{MHQ80nOOKOL&WSFDryxY|LzMly0&SDL9K{-9VH*G%#$5b(Z2y$SAfL_K57BsH{wiGgQx$d*916cUWpWFwJ46Ps!azfzXSU3M4d^xcpLb zRgwwO^6$Qxf6atkJ@sL2e`t}8yCV2@nK9vIL`fN9CXU$awM=t-dzDD%=?jb*ZIT!J zRx=ijuB4V#`I)h;zC%Cvn~W)pxiqFoSz}x?$LrueGbBV5o4}Z9$QEBeul@9|!^f)x zg2qSlJX^_`Z+_eP4?K$F|HJ>@V$oO9cCd@-kLPztFWp_AZJGl$9%O1F>ff)OFXuH4&7n z^b6s7{bWf-NGYexoumfdJPOsljv-}i&O?2W1lCvkNM9$Ii$@DbO*y=K~)5JT!O0hEyXeo|Wawtz%Jd6{XGc)3dRW9!w2nv|AnV%b#CTFWtdahs z)RffL)O>qCFO6yyn`7_O)Wy`^Z@X)LQhQ(LvvDK^Ee&lRI&)3v4+xUMbQzXCD^uMD zROyQn*g!*xWK53MS9Sb6Gjsg=KRh5~+6J=h=P7#}7CS+bvIAo)plM1Jj(}#;J-3Sx8BwPH?4)OR$Q#-v;oWLwUvD+A^ zx=Q-R4nRrID^Vs4&jY|Ezx=0yaP4L3lusEP^eEgHtz+^pF2#v<%HCQMI&NC<0jbV)F z&h5u0l^S{-43L(jTh5a{RQ$=NEG?_28`ZzjhW^9WW7oUWj5I=nOUPv8H9*& zN1=}o9+vdYW6Qz6-29^5Tn?5~N+s4sVhPHbQVAUk1eb1? z8koc;{py83vf~)xDkq^`TcvX>^2|2aT9FwH9a5UM(F?9;gL~)QAB(QyS}malg_?Ae zrs*VqvNN>qBeZO0XZ-T$@7v9Lhp(vU9j^2OT~xE^7y5VhQh|DbUQK@wl?lLo}+CI3>Y~uk? z8Xr%}mxR4YKuIc1CB0&^^*<(kB^G;yQf+F((8ZVv`Id$oe&&Jm%r;CsQW-SV3px}< zuwg@k=9BH_*p&v2el3?NMVrP0@t>(hNRSIuEEM}3`{(azCyND!I)&*bvRYtjwqjPK z4!&lI|58t5c*@_o(=j_`;>}76(T`ibr}AtiU2C6?FRR;@jkOD#Rhk~h7nyD%Q(I(& z^CCe@>1~41OY6Keg%3Rvj1Qi(g+TSvd9nR3#-1S^`~Xl4b^iES0M zP5MdVO>?6PB1YRxqAiu1CX8bsFMjvAN22zOSU5~Q4FRR#p^0Xsk|gj}qS@yQ+d@Of zGAgR=C7vM-Z7|oE`y!|lk5SaLkd%jS=8;T1`ys1&HbTpFOEt7XsrmAT^ zTP~1CVIXhX9=|{0-Itm=21R9>Fx`aFBjuoY5C*K?c)gP6v_Pac(c5uAn6ZQvQ02&h(r4Qt+ceJFKA1doRz z`Z^G&!=P!rZOTi)Di8$D1GXAY#k7>_))kTUB9t#roMsiqRl5m;D>OhM5P{h|@Q@0| z!a_69K3_d|mngpCLVbCgv4gJZ(kMaFrs`7bZmC|#=A3ccMG-?f4Qb{fL$8ez30sIV zLnt-?0oFUdpY1roiW8;@`ptet^DIt=k94HHAh8-#S^V{{Z)7ENcWa<88Yob*4cWh% zf|Lg$9H^hzCqJ;~dJ%javJG{R&cAc5B*K!5doWJOlOsf2ww6&Eo7dnAs zqX9!#ws(UkQ;)wU+rLjY*9;*`)C!xT#E1ybRlFngVg0m4UE_Po*M65SbXC9B3a4Ih zdcHaUh>ZDEb*Ki^cI|sUk{Z_9G5RF|$s;FFre-LIH~IbjQ8!4jvUVky(=MYWMEFyv zYJ7pe?EZebxeKE&Fa$9T_UH*TEb$({b?CiOd!+k??=C4oyRrmj=#8m_`2D_LkF`gY z|Iq$%piglx5Q)?Y$QTg_Bb^Lv?km3g<#bXW@xMa;Duw-)v3LMr%x#! zJi9nEko?rtXqAnBh=Jb(fjFX`o6aeaPO%jTlnM3HbCH~W4L<@#FeURv(D^+l~H4Ri>Jux`tp3T$M!&@tt zvZA2iJ;Q zTPgGwsmO+kxe=5CLmtSqk}(|}G`Vl`N0TaJZsB+(%>yL&LmO#|FC=kn7B0DO?>|p! zZe)bw7U2-Q0RD*#i>@GZZG2caeD#G0x>_HoT#Vjt;CEG-i-sFM{gLlaYGRu%=v$$> zw$T+r*=>S249gL-(>>@PcTB48uu-LaME4*@O7yB!ff>CkQs0O}4^0plFj?`KsnKV2 zLb*3&Y(4+!MVC#gHemXOW-he^n^fLXrR+D`(oat4kFzC2e~F$X4nl&_jNTqsE{sDD zxft+cm9u2Xk8gbY;7EQfD^gmMAfkv;QUpn(4pXWBQf~K2&5fbu${2zn&nX##(kei` z1)4*eI-%$OS8kGN4_-a`MMVG>Ea~qF)Byt}1K@do!7_4VI(wqiC&e0HKXUPwoV*+=vUu6rT$pQ@JU*jBY!U6b?sOJJXCJ!>vb-Y zTsmbQ^rMo5zML+7jU1aEBs|^XWgZ=r>Q*jO!JEFWn7$-xPo*E@8m1Tnl&QsAH z8Eb=OYd4)0!^Nf3ilYRC;fY@U`iNKr%cdEegIe?$x&u~QhJ%_}yIPtw2& z5KMC3+QX=K+zpY^yN!CfJ6X6nH0)CMDAty4aQf8&0khMeci;VSPQMiRO|Ia!;NDmS3GM`USshI>JKJ3s-WlgEp{HsZ;cKdv*dPWrnJ*kBa>^#UVD0=j zyPMZE>Jyc4`eH4vY-#1DrjS9MxV_m1JMO%=W`kuso_-t}5gD+InzUW+Ok>6|pMU+r zi2eD|Irit@Am&4*b~W4JPwx3r%?2x7vgP8EmHmktliQ5mOQX8u&?~!u?5|SQnbAA! z?;FRVhqNi5XQer2M(?JnvFMepu^KzxjNbHZH;S{rvKe1v9GTJEdfJ9@=#_PKjcsH` z@2z9U#-WF46i675$SSuUjGMwqGq6)`&UZw-KwrX?WoV7iHrwH&y<_6+upE%Dk)dYv z2Df~B#|W*Y5&$6)Q*Hj35&XyLFYegf+)^SYM6XJQnvt^(dn96iE2{UGty1%51fM?Z zhjI3Yme=`u)R2V#F=%MD!weEto@S7%yX>~!9h=7}L$Sh2mSvr^S35hptR~A<{^lA6J?xgJsqa}-EOH92a zP}yDR$OUk0fS9@U_2;&Y^Bz?4Mf2T3A#&}u6P&PuBRG|Nsrv-?+G1d0p+gsns|W|J z=}~}lLZ2<~2ML1P5}g^__@hM=3**$(Pt|>5!|IRXbX9)T z?FMMc43*d)J$-Oo^+)kM8eSC)kd49D_usKv%~fIWYh0ifZ53k9OdHM~`TjO>UcVA# zS{q(omayqc39C?Tb@fg?b^ovaN4H6)4r}Tkwdk+7J{$B!js#i!mp1F+A8b?g(m3ae zk5bE`UT=J!`0^uHRezLs){rOoa2%f4=FKl}Q!PNN`4@n7p6!=3U$m(C!>{(JUMrCS zzt~lCT1YIbgROhn^^jM`z5lDNlU;U=?V|wz(!IQ-+sl746zJ{izds?_NFvRHV*8YO z@rBH>utFC5)Bvh`)wj>uESWi0UXp60-umezS&H_)lmgVog6^)S*r!TdnE78H9QW$R zrstv;qhy!%lt3AKgqN9ANj`_MK_cJdKjvZl$Hz}rR4g$fcJKZLJ1o`Jsad*XQkWdcs*-_O8b%iV`s0yv#iG*P$o&vAHyidkJcPz~S51v((lp>u zoC1tlsybFCwOsk;K!!7`PNpYj{8wO82W3QUo4R{DaIvb?52wu(3f z&J=&>KTQHdqzQ>Cr?jpkr`WvNE-;|}(7vk3{DuTp%d0qk6QQFlx<+xN3t}XM$cr_enw*l(kuj_7Gzk5R$7o!&KOMp z|11X6>i?g`V1(&r#XjGN^{s7V!|a^8Bu`mN4Nzn1pH-w?PpQH z%{IHwo`He1-~3tLRi)@N1Ar-ZGE~}22koMW;Jqa|i69;vnl!WX{046U*F?vF_>aKkHDOakw;2 zvJ9Y|>QD@=3ALKw2^FO>)lYFz$OQaJaf}Q&OC)}qo=ojbP;G#R3dl;?Hbx`+l9w3+ zt8OVO6QUE(rKQk&H&6lz^<|P%(OHt}rBKBeJn3XsMWUrBRjrpIMWYO~&(O@A3!C%8#s#Zeu6!S`&++ojP*w9ZkiK&%jCCWz7XW~0a()wFPbg^F2Y2!vY z>Mb|bLPNcadzLI4lHhX9!znpx^pXu84w59vX_&1c^kPbDD3zU()=S14Mr#N^6=`4$ zf6}j$(YwN*+==uLOCaT&4%ex^ix6Y#9?F(S4}2|7nQ)NsDvXv8;>eRy?b&=cGvHmB zd+N}JpJxJNBsqq3vuCO*2NW}g+WJ5plGyS&X|uEHsB7h__fEaD%!&(+->eQ1vD6myXy|j0Fg3+JGCc!PZM7s4dPkiO?UDa&WyLVdTvUAnLe3elT z@7>GOp;x*Ha17WGr9nq#zFJeBFYmqb-Z}Xk$O2X3zsjhW4a!`%bf1w93M@n=6_bho zDUIHH&^5iMf9|}#p5o#q%LaRj3wj5aO5j0UGB6}MxQn0Io)HO^Epq4*Wa$lPlrV-k_j%JJCxcqDp9Q(mN_?hDKa9BKKvs#lZIm^(Qf`usD6SG87^ zYr}jA64GYxrj^6V=)3Ymn=SVLSH@H;tI29{E}WdrVMXK}Y1^aJ+Glb)S^d!EbQn4< z5Kx8k@zS1DmaS^m$!gHBu#VHsJZMi?$42br9LIcWN6VMx=#ns&({5(~$mX+Ph2))E zbcQZrz0Fv8atmUxEOq2z<(Yd+`DRubVI`Q9*=ky0Wlv9Dl*^)j2*cW>8EY2Mv-Fcs zW*WD$oSa_H5Jy65JBIhsH?_rwHL>!p<}E7D>sy9y>eKL>kZHP1J!hIeX2m#c?Kx{C zU@4&m)kOn6J^l5_4nmfS8fK5-m9%HDj0B>ZOvY+3TbS%X&w_eH`&G?wo@4~=vJ$ro zP>*wq$^*s$ow`DWVKwSTt2MtslF4p=kQ88MGZ&ZxAGLs&SuP>B@(a2vX?fM;!^*qZY+iOfN zlvo*9dE8m`B95*cE~U`MTALpy=eSZ%v^mMpsV+qix!pik)7W~d11u4VgkDJ%n;u;Z zy5sxSw{G;JDQ4W9#KqdPF6~35j%sBvY7Ir*vt3^$ZXZr>i-M-=T+4;R%Ga#9N)PW= z#fe*Z9L-6FuF`LZf){}d_Ymi_%xA+%UP#qV9$)_^1A&7%BaAEkWmb;BsiCLsr-Msk zjSYr+i!0uViHGe3_&iIGb(0ibQ6SI%k?)eX*k>iIclD(dK2UbMlD?%%c#n{J6vm0? zDAd5UBFiq_+cclVJjM+NdIoz`q_+lpf27_u-C2D#Bm;WPzCOO{>tU_R;u+#$;Z~^N zrH!>nRJ*0chu)C-I^8~)e4w@=bb>HN!lMc4l*8rV^A=%GRS3jr%8Yc9GvVJ228RZ! z%6_!&@avcv)ZpjHEN;N;+v6&}9X|A+NZMld9LF!2!BVs~=CM?LJY=^79}k!G7~W{* z$HPWS`QxP|S;?+VwDNGd4)-YoWf+O51RyYZi7d8opCL|E-~NBZod=v zfE5L$s66<8*FNXYoV(B6<=%50lE>%GfS}soO(rRTLU*|(#ZMQdF#k4kl8ZQ~ zF0HVXC)=C1eY+rpW8dxoYSGKLi!#V|XAKp3&6PA%bh#&O+g*?n_33I|6K$U^2+4`9 zo6dci(tTUL^2;_ELq^C@5wfsMrex`I%ayETBf5Qm#y+eJudE+2bKF}uK0xj=3FHCl zP69gtI%L7fY`;bSsrMm`csvF0hFkB0L7_Hf(>C5SDL9@J64jZJS^F}(Dgk!7!2y!6~LnY&aDKbf8~SWfT@W5T_)CQ$-K+_Mr}cKhM2 z{*w%KS!26=;iA`#4y)52JW{eJ2`PS=wkM+;@I1k#Qe!!FCDCc|4{Y!VagOk^z0EXuQnL?k-H3mIA4WwQ+;eKLTc7{%gFNw69D;9BOr z&qQ3sOW}HSA*LVRcD-n*%fi*gOXtoX&IfC&xQO)Z_hU$*h^}Ku;b`_CRv6_cM7ka2 zms71UMoOr5J4UKsu+Boe@379*P`QL94V9}O@Hq0>e#7G!t(r$}8<;$4@E5lY46G$~ z$-uhGRKc!!)p@%O3}n9?#an7Mdl=Ze<`KsqH?Z!WKndW6;rliQChad_$AKvgP_I$} z&LONx7d2Dj>d6*U)Za;4Oqn)m*EN&Pf~)I8S=aDbzGD2DY1I>`LV~jvtr%IHH;4@` zvXHG~evrSBL_R+z0BP518rkRmc7esBX^~wJ4WAZRNj20nqb#hkOp!+F(0$MMAr%TK z+h)pWFliNGGuhWHJEW1ic#HG8+sq7R1KnplL;9E`E7c68R%tBYr_nw8J7?APaXRF> z@}v{>!(t%B){Rt?AizsudNnxNS$%;<9dhoqV_YxDkdDJ%3vDx>Ed^n#N+>M z@LEq&HS4PCV&_wHBQv>MRTJ8(E*L$@hg2Y|T5LWinOH?08%u|~7EQ0mAYcRWr8SHEMgk#zie#TNWm;uMKKJop6L& z8(1@x<7w0$oP3Da+Hz_()kwV`82d8SVoHNyib{)U)Yd%cU>|`*HP-A4V`dveUQ)C$ zhn7-K73f;{ANL*TwJ-%iX%~MgaAJxTKLV6l~&Qduh6P3Qh5dwT0cfAz`o5i}t{8cdOe6 z5*HLmkT?v~XgW1~4A(aA;nk->Pn$(vGEft6`;2z5#IhK&%7y0T3~_4!YSY!?4eRaV zSXHK|nA0K#@t{R8FuOyf9^;WSGnw7{4)4^81CRMm`l^~XDyjp7f&pdQV_2nBX6oAa zfY)x{+B&oNB~~jKA#bJ(u9mGc7~GSNhRV*SXyceah7~O6isn<|@xlm*2{jvcEm$JLla4(xF*9lQaC>p3;T_~RW@V1VNm8qs&CTu1?vGgP*pf5E$g!2KV zPny~_9=c~M2erb*iUyYttUYu8V~?E;_G~Br9iN3KOs3aONvKB0PfB%y?|*EIx;-wg zmZwrgO8fc{r8R-t2s6=NeyvNQp>w4n9!8`_1ajMA^kblF$lFie+_6%1yNfHe9cIEa z9T23Kccw{>gsZ2&GapzNidKIuJ52S4);*SpF^-I?tI=_ZKfGtN?v@zZpB-c-Wk4`X zR4-84NcG!s@UxrxFEL=ihWY9zP3~e$%LMDg;EKa$qHpMTSKFjMl!1YcDa>{Ucyxto zPtVbqoLeeT%h)~=8o@{*-;nAy-uqWfb!_SQ{ZIyMWZ%+gI)+eYF7xbBG#Kj&`)@M_ zy|z>-oM>>KWlMSJ5~>85DPq*7XYCHP?b;Q${sz?tPqPrA3o(}GluaDVg=$*uV=ru6 zAKF$CX?uf?J!t;}XAd8G)U4wO;J?vEgBAoDjYu>YY0O&*4P(lw^(=I3Y+}?vXnkh6 z>%4!lL=?#Uc8oa9nz>QynH>{NeIJ9G{Q}gd$FzOO1t-LnGIg#L0lI!asCZof4@Z#; zhffnqBcBZ9mA6li%1r&oh7MWGEFurP9BLsm=S3EwhFMO&OcRr}e&9zfcknIdS0?UM z(8$fsimgg9!e`zKwalh$6UxyQnO&Y+%8n-%=?`(+7^*x)6c|$4)SuX=nh@Hf{ff0~ zt?`E^S0Oe%i8V&!tH9_;j2Rsq&;7-_O zp!d5MHmn*mj&0uKTL1-!$1FrGRzSzy) z2sN)enBNMUoJvxFqH20{jB@&lxzKa@KB;{V6D}OVLab`03lJMk8v3ff@`ZK0_D~6E zJUDDo)}4xDG=cfj-cWm4`9T<~fUzG^o$|>rl$cZ0oGyKGH9h2d)`k%9IwYR}23Y_^ zfvQk(xM-gsz@RN?WS_OYwlBYLD=piH(T*;bN=~Cl+_vYW`cPuiC}nJoR^n~0g*0A? zv=>XYn&~P3-XNqi&P{r6g)66lU5Oi6G~F+f^|9DN_5{>of<1@tsEAtxkBJUX{q$8R$i z#gdCKI#3g8<6_#9v{k*e4wcMYY4(Sp!X|G>DKesyx*_%|{+z&Fk25 zd(HO5b9bqqRImghiJk85hkpSz%iYc2DBD3{tu!Tz#hYl7_v3y56|JLn+zxGdNLeK) zE|JwC6L%RM1n#Ag+@!l8*Q-NTuUico+H1pRGo_V6g1M=*?&}u7t6%YA>T~gwR5q+Z zNkNm4{3ni5kP;H9)oXfi>CHF&Uuxc4xdfRBn(2DGcoL~@Q2R+|k%V1nTBw%{?q{!n zoQGEN@B-P&8r_2`;wpt36k6&^|EB*u?@ukU9voMXWRr}7k*mjLsKxq2cG=PY_M)b? z(r))+Y(#YuplC0`yHb1;>C`ry^e=yEp)HXi;0U5sB$&+W(}>A6=pODd%brW~m#yvj zZ26p-c-RwM0bWoV$C93D$S__)NIIF5E_=pnQL5meZntz$SurVVY+sVEKrW@FfYm2< z`Df~9YkQ<7Um{*ztkkJuU53`AoQx}w>yK*4%Sow|xjgiUU?c5bWId%|mtnU7@86Nw*2Axu=Tg>*4F_ve4Lkkg;L z(0U6{`(V9MNQAvlrh%rZRJZp(dJ*KjJkC6PWqZx#eIw8zDN#ljG7}y%Q`^lMjlWoy zr@uIX%VQTby*#`cQ5RDRV*TZ_e@ty2dpLN8*>t7mo{7(Dni>luTviHfj(+QL$7-@H zn@8$ZFws!HiFD1FOrdla$T==`=&*n=>%v5}NtmcC{p(|C?-r2#X$v6FV=ah9O>7eE zg-E~Q+wBc`tC3LXJc(`Q9{o4iT9LpTK&%P)Wvq*Tc+jJ%eg3mDHIG>iMmC85ubVq> zq-YW)3~Dk44Im$J9pnvCWn>Lh7yl1Dz$qgorD45fW-j(GV{+nO_k1zQr8@LfRbyu?v3bz{0JO5xT+fADLE z6la!o{zjc|SuZ9avMYsglKQCAx}qQEp3nR;9kL=&XJS+iuc?BN3(dr*)T#N7sC^m_ zNCdh{f9ju5->bXrCgFM3++~YKxyn?e*rGd9>p-D9oqWdN-rTo6oVt>Mv6TpB0R2X_ zSkfVeyvuI$f9fQXS_2_u#|XYrzsX9lxzi3d>1xMA#5)j@TZ*Tfk56E=C~lAFhm{UQ z3@ZKbf;)fgkkf^8m!G?EWMr{YJSUiGO$i}}St>pyV+S;wA~&A zXAFcz6}oH+TphI_`T^a)+xNW4Wx`m~3@p?na`b<`l@9G`Q;Fl{7nyBncT>1puo?pqZ zKIQ^QdWXsCgKseJrW73#T~t-=Ds77&rmyhC&)?tslM~wYB-D{jdT1qYMV%o3r|s|i z&w;wPp3EB*Y}qRbUe%!^ejqdn&6UccqAj7>ZT2cB?Qoia5+FUtvmo^ooNdX?{?Ruq zXRA9+>EKGc0JGSbFtSPvGHRHLrC4`*H(nQt*10f6lT;ElYNa6x!G3pC;!M=r)4`#`KjD=}Fo*y5@XjCD#$p)N?DdkA92Xs_&S2b-y|Tw4VK?>$uq z(tB@Q>jkUZ$hVw*L-kKwcWNrk8sHZsx-ONQQb(*G^y@FX&!MTt{eYIHvYN)kWhoZT zr8$T~!lv5gU2x6UywtvusC4i=v!cR52Vx);Fe}386mvCnwZHmL@3E*U#|8(s0y24W z8mtuB^7zQ8UTvKl&APkgt#^!?s(_JQ?*|zC#JC|MvDr9~u&_pD_6ATf>iu!ukcFol zA$*CyWnLT>F};cea5Qp9JO(s|hkc;lY|9I%j{?roN zn>HE4jt4ElYa~>QYh3c!PFV)6A={f>_8K!=NUc@k9(HX+Iwqk6W89M}g@|7~0~RzR z1=ZI9ywx6S$6UbLqQ65~;^bkNP_FIlIcxdtth$8Ob7Vht&<~B)1&i)-#2DK#J*?-5 zB~+)ZUm;>}`RVi4sD0`HsP%r1iPcdQpKj)=b)Y|O1L3FgF166KNtQ!K3x=2oX^s`>n)Mc~AZvizY zw#I3xm?8tH>LriBKqx|QKwM1jM>%6flUDBkU6VtI|IbQfF$h!(1;z3)?iChI9 zI2G>77zejKEd}1+_~56yjyVCnQiwS@yh#F!`O{8GTdCjWgBw6zQ`G8pD4-O1dXuyn z(*HpefW;CyrIVnP#CY!xpY*rU)FE1BNU9Hk{h`6otY2RE&_^wHvBPeP0&Bt#N`i_h`^o8>|% z@O<#>#FuK4_*F&rnr8drC!wM}2;)f5SqLl|yufVcO7$xte4^?Q!Kon9UeeY(t-7ts ztq<`T%@KEI#t_z%kx5`iC3Rd!J(P3eIgq!`gev<{b3&C^Z@PR&nb#79#J!al1DFfC zR@>t3Z@abSGq#!9S$&`K8P!?Zt?h_Xu_VS}L1LKHh4CBcn*494L&(G0&LpR16;K`y zG)ql7hd@@TPyQy`oG&TrZyaNcU>r(zwOz!BqlixA>$ioYb6iU=cHMa(znKu0Uj zEjm}(Io0iom=bkF4GNDMSb7geu3Gx{7~@)^Vj(wjPZS zZ~%3*nwp=y>H8f?>+!Ivi2&e9isu_1aLS5EEO4kD!17nneY<`E`ERZ{AbEm5HpuC{%_(<0+h7MquC% zYZ`0nArSBKH7Fnnht|bokC2{Lm@yHjOTxW`9#H?ob-gb>tXuPR&?LG~c`~}QiG|58 z`qeq-QOJAe>GC>T+XaFqQ*+fjukv&ZBhX{U2fP)U-R9|X(zb>O3YdWdYDzlCq`&`h zHl$sTZ)#I{65j!{N#=w$K@(sGKvTDEZ+p215o=xC6ka_N7OAw0;^>fSrQ|^q+>1Z; z?h1lqhkxPH65T-;WkzAAX1a&o0{Ltw$2@c0qz)Z;iki^2`GxmgQcv^}JZiAGmI#o9Tje1>+|WbH5?-5lbMM>4S5@r0(Z zD!_~~+wuQd4IKf3oj(xFYq%e0DqxwX}A+`N&eVZUrb zEdc=3eA)Kj1!~sImiJIOLN*8kjfB}7ZU_ynchlQjv9&QJ*>U=r)nSm5WYmY$1&C;L zGCy}*4?>#G7mBS`;Jj4Uc5_9v6A9o^573MOIMqGK>)v&h*C{E}Gaj_6DvI~;PUv}8 z_D@Gu_4-AS>#`DgTvg@LZ>iK$zPcI@#3LXH^hhrcxb~hayEZ@zS?$Og$tPeMG6X3h z_-%^hKlcXcJEJb?;Oos^6K6?>C~;Y+Q1MO3v{V57*f~%!YL(XODuTqQlpGk^RXjry zJ;lRSp#hmKLC{@)2R2;!wpL<>;c~EAWrJR8r(~{ZIH6R7 zmxOBgl2DCa5~}eS)!B|$S4k87P$-K`no3EGXI3ChgT*mbN()(obqXMlByd0=MjRqg z`kJ~azy2J)YrGs%Aykak#1)2>hG1qLgS$jdVTPG|hZOeq=I!Fz@ z_?-A-wD@DaXrvF_3DRA<+=ZIvaPTC@Ny>a`<_yV>i#m$Q4{Izuwf*Jk(A2h>diha0 zV%R{o<3*db>AVXr^U$Wv!HX;=w#-EbE%FDIv!#wP8a&>t(WeA#TtKrM z)7(fXg3z7lnXm6(bZMtf0SDe1myEV2se3ZLM|WI%N$-AGy)k%+WoM_5(W4!SE(@w^ zuP0f!edz~&s)AZ4pNRjrp)P$NeI0nJ?X zQnAgU@4C46T?p+hev)EjsIZhs$#V_tP^rma=${w)TVZu;4=A-#gj12{3{;8AqK2-E z?)>YUtUaK1(;tVl#t0@cT+ukhiOb3Fs|POhT8?rD18W}xdq+Z3;=?3(s0Am|rRkR0 zmtBw!Wm9@fHV4MdxT_XT0HM6t#8ykwpB3dg#r8B%^d)^lg4Ycm3(i`(31rgi-`L~R zpiJ@Dnj0j)gY;2^Oni`Qhc#YmZ9RIm6+P0=H`<0@CKwep#!hF~p86#^Vl>&=aoM@n zo8WMGh&Pu;tZ5V;`Q15HUk*E31*t)X{ov)9Rs09PHtO+6Iu4U2Q+<@6w$z_~eR;aKk&7rM(SdqvZ4KTf$G5t)RuSJn0`XX3ubOn*na18ZXPvp!c=yc;tI$`72^8yt@ppBo|F# zb0%k<;uZIOVrfavBwlga;a8tl`ld_Lq4jYJPMEtm zb6itvr7edf*?SrBNysH2%wIxtnew&8Uhza_vVwwda|N(~fs<4HJm^0PR{%lRRxtA( z$aU)qWV9X9P$ODOo?GJI%=jvWKK8nEp_eqM+>ady0t)I+R&XRgYKyEr`#P^Z%|ybX zXQjoD$}rL7CJX)C*Fmr6z*4@uwoopwDRp+zFCnIM5Cuu8ee=wV=XK#%dZ6EWgp)qJ z?P;YLVJR=1EwxfO>Fjcjv1Nb^mX!{iC3E+*(rd|fWFNA zQ`<^ET^W{WWDO{_J+lHCFvQC4b9y(=4_(9DGdgnk`PP$xie*0k8%Y1V$6%@4G`@OLppp zOkl6UR8&ZZ<+atyK-Cuc+Fd-TSWDa_=mlUH4T7wN7f_l9^svIJouOicA8KXa3|;Jv z!C7Xpl=1bHInx|P5)hOn>;P?r!Kp{5LmQ8mDr9N42D8(R%3J&e`ICc%$dB4buif$} zO^Z5At?`CU6FT^Ce575AQq45i%(Fm4aC59Zp>7h%;BgibM9s(}B@)0Ae0nT{k_vd> zS4X5n8_9tBS!xKJ3X6r-Vg?T>J@_)dsueSo&26j+d+;5#MQTV{iUb`4dGWP#J#q?! zss`U98zO<6Qw;=5f!I+n8({6}`M7`l`>e46*>{K&lF8jI3AO{FCp;NkC>b(VLLm6)zyTdJcjQXHDo6~*q-7Tj6S!7t(%b5g zSL*3kKWmF`b9<pM1u&T*h0`My{k9; zeZN+Ds!nUX^CYKce?%M7E~ijZ1SB=pCH~_N?%UxgnyyMhmcS@Luo&|tFac55xaWVF zFYA?rIm^~-A`{GsU2qckSL8An^Q0;BtJmVwJzdlM)wpgYgU~k@7=CguwAEO~Adf0im|4pIj6iD0KO!<j0;-g!y#!P#PkRZdQl9n_P^COAr)qg0Bwda!p%)FsLPwK~gV7bs3HR2E ziY0HCu|@Yt*43KeT9_@mk1R=@7M-$aLTebYKZKO&HW7q!Eosklg2AQGYt*wt3$CW- z@1l3d9&#>}@P>Au0e=PiUS_OGZ&e*Z(5ZcYNm4xfT%c@K$$~XUTmlE1FeSKbCClbd zhw;gt-fl(R-i;$Yfdm63@nK5vEIlT!5d2B3p1Ry75qyF_rl|T3yF=bOjVu^+1nay= z*n)NGf1N_p4&#lfA(OuwV?v@^rGLLSs*vMU_sp9AAPz+93&6jtlLc{p#&- z&}BJ8R^rhD{I{xITu}z6O6y80^^mmW=v(mp{e$AwQ#n-o%vTZ<62h?olzr^NTFJC2 z^;tLuUiFDe-KE)4M{25;p%`wT6)eS345u`P3*Ux_0O3XTRz=LMC^Z-~Wu*ve4T5{@BbYRZDU&50u7t9aE9FtA}m5Pe&z;wqsXNxaN2_!%I@2Cn>fjh)$L#(Bs`tJh^+)sLf-5r{K;b3sp-K3atHFXbi_WNkCG+Ow!)a~SM{75Y#X9f5eb*}1hf@Jl z^GwBp`T5^yjh)>JIJSDQQb6AiD)wL@MQ}`wqmM4Lq&gMBUHQOHrC^~5>sS$7{tnw3 zA0PunDN`oQWM(XKkjXC=Jo(Gwv!H*!c zgr$D6A3eH**CohJaEJRv0#a;XQz7{`UJWtF+nGnoY>6o`I4HoE8B->6_tF_qvYNX! zyD{oX!e;K;MvYU`wW1j-FH zk4YnU-}TdqmS66k+K{(pmV_7&C?0;KZ62Un?W6l%#78}pY<_25N>)JmG9`;#>(v5F z)`nJ3E?#cQSi-|H*a;l2fTfZ|sRL_MnoReWk8jtt=`MXX^A?{WZ8p4T7F8l`xAfOA z=L{c$q+_|wXN%jqC%`H8t?}qnZzP#y}oGY)s_38dHEpe^Zmn$-T(@)rr z0@KCYX|D;BFf|pV@}iTbD^=D`l@GU7);4S=f@EtG#=qnZ6qy3o1oa&?KH0OkVI4It ztB?{-oDD$=r5Lf4()rbkn>q~ILK1=ED~mlQ^X#NbUJ56q_mU={Qt#v4`#`SC$03WQ z=B}NCKBMhOI6+^=PcqM1k8#}b;3hrN&sCts_8xzs2T&oMgE`;&_Ed+$&9+-9ch|5p z-VPjdvv0TH%Mf$;c8XBq2*CD(klMeAAfy}zN8lRipog&4)~Bweb}J7#lD%_P<~FLT z?LX9^GHyf&T5U@M+D6Lq)-sS-AwyAG0Ca6>qSZ!s4fZfnHgFWoGIuBiWYeS^zxhFk zwkgM^O*sk%HI;7)Vi>F|{Fjo+aLX???)thGE>LXGJt?m(Zf4#qwJ#-vf`!*Ye&0jo zNXA01>bJ2059+tJIJh=KHn4(cN|unIGhU7AuLBFC1Z4S@J>bC`w?*L{+lwr58*74Z zYt|d9zqpZ8Zzy%_XxgHy1PEA0^cpj;Pknd8-rqh7Z)^ss>Q{^;0V{7GJ+-l3HU8<3 zK;3F-7Yx$aN{l{9%HyTZf&4jH=X&YoTkYTt9K;GERs(C1bN-z~(?0A6sK}dy5?E{J^p`yQDmB7>^FgO}%Y0Po$ zGc3s(0L(h?S^+@U2GU7rxrx8I!M%a%1$S>?bwas&{@-7b+Pm0ZUcrzK{s_LgDc=13 z>)AV7yg3Z5HT+`2VlTPT~s(bm&dPrert-R6T^c~Ofc+q%}Z0kb9f_Oqegr6pZ> z8XfIE^biCz)?32)hd#Sr@9jQzWMCjKVx}s{q!Lr<&P6|jy30IkI>YaR4Jr913X~c$ z@=!AZFF6M)9ra20!B&JWx7as+K0c9GNW)OdTe%nXYt%~ezreY;zroL)31>QxE z=|6GJx?Q)KHmF-Qri*<-V4pr7q6IHo?rqC_?enKadHx|2)@J~-e-CO^L`93?&n7A>nMeB^qcWT+D5bgSmbKUQx z4O)*_kILmJ)1JOwFSK)Q?4(U0qD7ai8t8#`sI2C|RmVneA0r9P%4CDf*X(5Qx2hmp zUGvnGIaY!uNfvN%bqtD43C zUcLBHr8^y-k>sKzC8W-nrdN{1+V0==O!=dB$QP-m1Ib5*f@Yo~mHgUA|NdD%ngwjk z?Qir)wNkw+&6_Pv%yjirQkCCN8n<=Z?1Vbxx-I|6QPEsIleA?q%T}oeRtrc24ba+Z zfBocY)p{m_)C#vpxpFflyDvq=l*ofpt1(c2%?pV=rkYhRVuQ=;gBiU5b8++yBb2Ws6uGTAKM zV9>5HI5!5)Dyy4uCUI%N;?wVZJ}GD+C7rS3o5YGJ|ID;dB$Lo}xmdI9n`)0DyR(R2 zC%G+o48ceM?zI&4_}QQSQ)E=BHm3&)dJ5(`tEU>BWO1qDKlt{)iXT<0cW^58cMN_) z3pL2D(CJ$$L|l7z`J;(7nT~CbQyWW;QtIXjR5f%Z{PP!{OS*lnvSfO0`dJ?VG(X7G zsn`RA$C4Vw?An>GeC*lcPN_2ut#=F@E51mt6Sb2(FlF;Oyn;_!Q!<(Q$Tg--&Ou+s zT$V~TO1`DwyVUUT)HnW7q0pICZHquZrh80k(zKsZs>tx$XW^TDkp(Q}~GQAr=~HnV>jT9vYiB z-T&v}HAXjFAUouo|jI0wJO_O+U}iKJv%nMk&iNvf=9@7)`cDp~X;JC)I=cl2!$Lv$xkG zvE~}3id_05Rbd|hdkOZBPW+Trk0(6?D$QFwGIt4OlY$>*MT`(e5Wpq}D^Ld_j=BgQ z^Wg6ieZpM-XP_voVWy57!8Wdhm z%UUprb0E9N6Gg#f$jIu_=H0v2ZsYcGH((v8tG5vaiIhQg5leSnARdfdeR)Q;86m+q(U}&$M4B{lQYtPsLW$E|-fk z`ldJ((!zjYU1DhT2fs{)_EU8xhJ8@~t*r({wGU+Hk$c}KSiBkqMuk&@z*E7;7$Jrh zXoqp>eK0Y&d}PV|S);p9;Uu36rspmcwo&$e zCfL$0)etr5scNYPX7TFc^o1*akqkw)Z7hsG%7jWO=5Ww9lPnK{styoUMW(w$j|M+a zx`vSY6NY!j_Q#%*am2hC3xpKU;J^`Qji$Li2-pV^h`aZ4^lB$Hwk)Ta3vf9mn?_il4WO&5BAT3Q&34p-aCjnTcebsvEP zJ7!(JR0mR+qQ(3pu8)bh+?DrJ-*Vol<&FyL(tZt?ecwes&PI~6i6&urN#p~Xtf)8&0ijJ=pmCD&#%M+ zt4kr&eKJ>c@*m|i#d6U_Qlhq6{T>qAW^Wq_5U|Ft$WY(Of zf_{B;uRP=dL5F5gw~9-OU6QFFU~n`Rp1S%$s|w1UP9H){@oT+X80@-c*m$LtP%D?| zB1BmR9~anCQQ?yHk1&>b7w0WT1soI;1#bm|oZu75ickL3yHkuL@r-BjdMoB5j8+-$8cSaw$Kk@H9$qO882~=oCWKg9cQ587w zi%xTkyT6fioj}b=+x$ox*9qzoW>;VvsQ@5ps~tJ&>!o9JRG|w#F(w=u#dOhMeDl{5 zYZW?pF>c3AyftOp0~tdTR!MK|dmdD(K;v+PH%Oi^TGpMlrPy8RIp?SMCWT7RqDHa=G^AZLqK-hISm@YoG#MePzd?7c&6jsEtxbTy zRt_`qT|(Kxpmh~evydrnQ%&Mt^X@6GLZrK;SLZnGd1iss75KlHsMx4_ko=(I<>PH* zsarPunLZz<2CVf`GvQaeLuVb!$Fp5BJAN&4r+AP1MI);t<=n~l%?C`7OV zCxkrT1cG1M)3VQ#iqcoJAD^FEoWnX zv`;P1$!DK=Ru~H#_-@)hx=CxfhluH+s5aI=98{1IC8{Y^neLuaAD#k;*DS zl7_aDE}yQ>IRj9$27HtS+LrCjQfVshWQ9a0?Zw&AF2Z8TzoW@ZReIMf`Apa3v$R(( zNS0;-@=pv>0kO~QEbV;Nr+a@rqPor9rQnSA1td%rKmY(5mAseu^iOu}VmIxx)MWlq zq-tl>{38aF=?UU3SBGd}mRf#wER}haky(Izc&OM>JPELqHQoNU?eFA1YV+9`BWS$| z^wUr~E>SpcLM(#^bjM+>Tki0>3Hdu>TLQ7Gri?jPeSrLwltK^Rxbyb5eNoByx~)n| zHLFk@op;XBiA8)#+nIlC%U8Rt&d!@BY{3P5#t;A#bYwO$o?kS|jf*~13@+r$ahwQ3 z8VWoB*A=x}uKIfN1GjmdNM7z~TLFkT;D8ItIwPwI%QPCgRINPs*3t^ns-EugNAc0| z&P-8aEl;Wr_B;zHEtoq5T=Cl9l>M5g*xiT{=JX>sxv);)`u_*m%P0 zX^l!m6|$uApiydDxMFBkrvjg_zn^WKqHq!kkW{SuzkC38opt}?mWY*{+p9VEkq@@W zS>jp?YQv}1RQQKRjx`QGSil`BumyevaXvN6lIjVLjvW?2-%}*GYis`D7 zB?+B%npPfO1ES;oR{6`d+-5OiCNj$=$*Mz9%H^E>3BUe87j{IT)=~LJoc=zDI&TCq zo!r2vD54>Q6DZu~Z8C}I!xzAiT||RZ2H#Tcrid9!U|g%aDc+Qe64=uRUC z`cS1~M)W6H3vdAHr6lR92X5@<%+>QREkbsm4n+_}v?;R7Bu<+lI-|g@e zdjlLP@+Pfk5^c*molD(CKUvkw7UX`YWBUa)LF$rCUDltS(F5%;-+mWuI`_wqzTDxx zaP1ywQ`tKqR9hYSF|B3e(>LOdika>(Pj85iy!4?$>}Y_ISXgjf`RpC z9&z~ap+Kw;^Uopqaf8LC$yag5AAa$=mK3)oAxBrtl6m!6lJFFJID{TL;^*QItNJA7 za_JQrHys2O&8#0cShIw%%qq&?dRvH9g+uVr%;Au2UJ0>MNGGsWs))_&2(nC-uNHz& zidAPN0A)3_(2y-ff6}y)5ctp&r(fBE4_C=V)uoW!61SD+SfCW7id;P(*h!jcf8YAB z(De(NUjs+vnjN4DS=9hZYjQ0mcA}Ag|Eu3sZ3m*nWs?F`uEsTIHYrb<#=^+vu;7i2 z667zZ`vx*8x6DX;?!#%H^h$2@Z9xC@jq zgsLwxGo?xZR2SdT3+=p&)k!;6-L586>g%g|pxw$#xy%_7HZpga739i;$)hs9J>uv$}VQR(FAmUHM5*sN7oQ3n|4Nux9-gw!HC@~k4_mJw(Hea zZIWqEe>4D*m$f_8Svx>>QIbI$o}t=KiHNnYqIhVEfVPV9=Gu%%!&%&u6|()WISIK2m+0I-m$dE}otB9kO#u2kD=SY&aZPs3vQooc_SJP_}!wpV~ekmnXJQM$?5H8mow$jI+^ zcuTAFaV&e$?m6kb*w~7ebh5Z;F@>&DHUv#tT+5SX@BGFkMEYA*udOKf`>HLKoH?z- z3dM=i7zPZeR6?l&ON4~ZK8dj9uav^FFEaPLYv0_p%rfh@AhXw1 z-9KW>O#x#{5nnpWRog?6#hZ_~xT~yC%eS{-VTibp7D6&rUWksT(k#K9CPDV#b|a@< zLe+0=WjNUY?9%YC=HMb{Oe1FUJV^yndl9ZB;T_c$QQU}wPPwRM+qK0sPFpkz%5zI& z-%{;7ur!GJi_wHNPjgP@Qx@5{ebt+iZYQF^;;;gvXbJWTvjy6!k;*5EilwOeQPY;g zLAQQXhKm;3LOz5`nEnou@7R4Qk!~JwVJpYhL2tF%8(L}L)RsF}Q6-vh0?1MO7UE!( za`K;wF6iKhIx>aQB0;SPN0?zMM_a3Fw4}|9H)Sta@=$i{PriVKvdeX%u=f%p8%{(XI^QtW3%p6!$0(+-p0ij^lrg*L>MR1n#Os_1Uq;0jdM@^oxhZEcnMUcCy)G z{^)(nToyC>&W{vB9i&g%WSpOTppz6=-Buh*M^^{{y5x76Y=zNQg6Es{gQdONy^X~O+@i%PIbLFW>D zp^-;h(}Fk5^LZGu=>h5BF&5QKrkg7&okFG!ykZ{2)+fYt(31HrO=gK&DYZ*q6)KFz zDyE7ArD21=`_if9b50$vc`c?q6>K?x^GK1G5YGN`C*SO;L?h2AoZ%>11Rj{AoCL*8 zH}`|fPD=8*#HLt=Z&R!|9C1U+snE@IyAzStMBr^xW>-tZ$Kjx>QC%`L^+b>P7n4o5 zU43GQM)kaC%l!s1+o3@Lwb($WH|WAQKp`_XO`96KvM@k8sA!xi<38oq<2pERiPa@c zd8pH-^bDK6y6mx89ljb{fy9~4*`NuEFp>9S%f-%Ct4CZyvh%NIa*y-}@j!$3uD#t=H zkKE&ojqfM&$(7r6#eQ4OQ87Rb#f{>vD?aM5x=HE?X znY|h)X-f4-9?CEa6ybePSp?oGmbzyq{|Lxhaj&QEbZ~30+qC!SiUWwA1CHZZWDQ_; z@g7W1?$k35tgy)X;AOVrRC)fgHXF)9Szf4P=Nxw`)DP;#cL-}|FVB((bMjIs`^azL zE1Rmvmkt7cC|N(pykVx}j%D4{suHB(;T=X2l4ww2L|O6ZY0|up5Mo@SD8M_55{&2rKOZ76y4Wk zjs%f2J;wBnJNE9;D$Q*u8+93CM`OQ52i$xSR1KS0SwDi6supJ6zvm9e z5bI0`X^6XQ3dj8ZnshWzbfVmWBL7 zseo?M!_fo{Sl2o?t_G2wv`(ocEgq~^w+DE0K&f8HmNa5?Wd4{I?bWyaa+|KAMe22> z%G2F_L|V2P5$v}}VdIvqTW?OAGhL{aL+iL6H?WF<@lk!yf>zDAvC^2Em2Vv*H1_V4 z9=FJEQS~OvvMg%V4_@Y66xO264 z2W?q)7>QV(=!_YPED@5Iu{ZLg`lH1R|8wZ(2Ib{)#ED4a{5D*V$q2wNg%098ykPiXvvClu!LORC=<>i&Cy4*pZVJn%05!Hw7gQp4tyqau3)cd31=7AT?gN zjSgD3y5!|KbdQ$>Y|JK3sXUa1=j@k{5BNW4NZ%=)@D1 zDG~ z|6(=9!_%PBa|EJZvD^rh?s_u;nVQHJ86&p3uKPxR`p6VVUTVDP3WwSjIiPq}Cb7%Q zMdFiGL!{TQ-g_s+dfkmMa#2?)F-4sKMxz9M|MhoOpq>P-kiOJy$_!~k4*H0_p_ps}!{%3CU^TtObw`{(iJs~ekj~HxNt%$7Anw^U;s}V7MaHhUPHb z(_6av;NhseAC&qq+R@C%n_igYJQuX6qlSdS+=S^mdEXRu7Ukl~U7uX5S{Zl{_&lQm zRmPAD%QO1X3t+_cj1qsW*2+(IHTM z<}5Qg&@;>kQZ8bXDk565N~oYeSn_#<^k3$!RUJLT)Bg8a_nN7ESnMO? zG1^5cU`>z2gTH+y9@;@I&+L9mTHlWv1@n2$cE_c?={z55C|ECQ*d_oSnb-EE1AVAr z7y41-5{Of2+Q~h3^P#3Rp@(jwJ`EsRGqr7B=0lCRf#=#tFI#r<*{MF%&{m$*=qN;K znRfE{jeMwKx%g3&>1dXnyl?{_YN&Z%YLVDNrnS9&eIIIQG+%1eR-379=|#;~mtcZZ zi)yC!%k@0g#`p;Dwb9dHruG#tYTmkpwMi|k>D)f%Ma^56Kuz%3Ow?}oqUNnjpq7K6 zb=1nYcu_-_cv)2D>rP-oD#$X^NQZc>~7GUnHwj>q2qSq-hoszqNIt zxZKC)+{rE!S0AW#qqv+R=~`QaOjfMpqFaSJK^yGve{O#|w$yPUIu+}gA`PIb}wIAOPc^vF!9+9C8vUGb%bN0Ko93XDI&4b$ z)szTOhJvpT*&|`@X(|ZgO5d%rQjZEXY zVm_*k`xaN9SBzPoFLe@;ed^>Fe9@`%B{dreXIS-GJmib+I`d`0{D@?A+ zOEX_$F7nRMi(Ei!pd%45QIO$OtJMi!>y17qed%Yh9iZu~k!6dP&R;Zdc;3R1d1nkW zeM7x*8OU+u5#xV`na2fB1@K+XI|t~3WD6w?n&f@)biO-PW|JQ{cU02n3n+b2p@rn7~3lG{1X1t$j2D2{id8_V@!p(Zf}3NQC

    Xk~A44JsHn4Pse%+7V8 zXeMA1&hhaX(0YxyE@OI4j>?`Vz>9A~4M83cN2Q-caa1OrD2~d+6U9-Pc%nEe5cP0W z)S1TWnKSf!oqjxm)aI!0Ww$|${jDCS2%j3uxYWq{=rQ+KGl-+u$pzymDRESDVQ>lR zRO{#JN=+a861d6;nUgey!M^;< z(A{PG66>Q{;8NhR>a{>>_=}!b@mYA=ufJ%s!!me+Jnz}a8ZUzZ4M=j{;xmTNU3A`X zO#$i5IKDPR{>{>}N5$&#WMRklmuY;$-Pq8ytXg0KSh1Ugu;Gw52Rh3m^FH6Zyrs>m z1}W%Y%y*ZHmZr@80cc9EVfy-?KUKjXC2{Ajs|kP)u9LZzfRW)wtar{Q%Qu3}cg%&( z>O_=AQ$K2#{rcNK(YqBiZ}G_7B_7TheSbB2)22j%S542HuGo)#CrtEO#@?(~RSI++ zP`WI|B_^dX?+qWbDFxCuGzwO^iJmODl>)3(^b&{n2MxBbgE1wQfz`Shc*4E*L+dgr@S=j9@Nsf$OY%f( zFtGm2BMu)v^nl|J9_F7zFd~Vb)|mAyMHL2f;m1#XI35}tA5%WI;}uU`W?F_IUYSq* z!IM8^J#`GCil^?$gU6}EOT|<7C}C{o&sYg^U_UU ze_*rQI((goYh{^A!QK>UHffSSeDzkVOu>WK{!v&?gQ~Bi3So`S`RI!`t%@Q*IN>*KY$Qsyss^(^ZmcxVij8itMb*M9htjhzB*f8Z07;- ztIk8v2*gG*UEd=PxATCIR_6hGNg&WoJX|!x&I6*{2Oe-EEj%pU-p&I8-v=H-FaXT^ zaLiVA9tbJ)fd?j{Y2yZpLAEb!X6FI(xeq*m^-s{jBoBYu#L9z|clU#buxZ(c)g3(W zaQA};{0Q?tTshUg5B+%FrKDNfGE8UjY6lN}(Ub6CyBD#8hrZ}Zc#ue=X&-iS@X#MU zDTiU^VJim@{n3-vc&MHT;O&M55TlJ}v8n8X8Hp10P%+Tv$$~x51{)5HGDa5Ez@Rh% zgME5nRUcAX-f&!at|2zK0Lhq=;YZ(llYh()N$`mI^^+(uzlkSG%x~g}67!pQqQv|l z>Jjr(XNuja5}E2Q>o_R;+=C#s#r*j46&}@y%>i>Y;_CmpAs#xUJ*K688v|ESzjbJ_ zE#YhjwW`4f>XVoS6A*&qUJ)T=V3$@H^x=JBw-kJkay(VRGJo+(`Ttd|3{O6^P+&V0 zw!NZRmPcWv84laxDx14|N9v zQge<+Y|^oRk6^$zuYyM~!9>xq6HgQ!JMl!(u@g@e9Sczp9V>#wtQrv{Ltf>95J76w zv3%Jz$^f(%i55upIa94dy#QsqYp?6kBq?<@)vH!FGFp=ekIF28a1unouxT20r*q%k zWp$(PC1%PDV+G8Yc%%2c!~YWdWn@D-6Z%DzOFZ#Jxx^DsluJDEM7hKe^}56$(KJ&L zB*P{C{ksvQwoA;HN8MQ{L9+s^#Ui2~-1Y6PN@;fop5)*rm?|>*5>Q1*UjnK~=}SNr zF%_z_{iKO@+pIFNqd|Yx%aNuHN6%a~bIItkv4p0V$Otr*bWWQLqGx}9br*t4TyBD? zil9zBRT0#Qrz(Ov@l-`nq3R{5NRxuSFe%jgeup%*3##veD125qM3bOyvu`(oO7PZ8 zQ2R$!1a;!6il9zBRT0#Qrz(O9RWCv1Tx)`>V-nOq>|GL6?*+wmu#n9f^@cxO)rCeS z!tW=jeWNOZI`LFRP$!Bab6Z?Hbj4L9{riIZ1{Z)93E$ zMo>uu`3Y*@sEVLYJXI0YiKi-pI`LFRP@(E4s8JM3TG^yg@BLCqP`wuvrip@|H3;fA zKGcn%5@qlc)V@&_L7jN2BB&EjRRne7sfwUN)lX1CBvm0`gVTS_Z6!hV|1?o;st`?g z;K=utK26rxlWRtOTXH(@dspexBz4>!jtPZ1sgx=krh7kgE#KY8l-4{x%BMiBg6Bvf2r~);QFVY3k;g6l!Tb}?(@P(`$I=W)oovNJN+Fi-r@_%Re|op_r+xkXdeCSDmQVlt>Jr!99vZkHwj}m%%b)hPsrj_6 zS^P)kPkUQId^)jcu?Nea_I_OC)0W5Of%2z$Ts-37qEPs>MfkoYpKgnTBYU@2>ri1f zdrW02dj+(4J)-Yf2cw_&!dolg8>uiTY@Xy3V5M(Eq3_5LnK+pTR2OV5oqZ90eDido)hNk1VLEBd)fE4Wv$?f0lcJ$K*O!Ry2`#y zCQ&QyEdF^6uu2VWD7a0-SlD}ebr21{qvFma zOmtIe9ooNxMx5w1N?UyLbzNrA*^&=bWGb!!qav1tU>RYo!({#JL#K9e<9H#eGDPJv7`XIKH4*THnW~x!Sn$~FE*Qc- za*UCUn=IR0@U{G&*Hka~QnPh~io(T46b7l(r7yCC^Ea0vhISy!vZXbyVR^9^_~44R)%O=)#RGm+kB71r;ZkV| zP(8}_&9OtPX--CvW30rO2KAH?V-0XMHDTK7WLVkVCQLw~Lx(&_C5v!jOeVscQM%7w<_BZ29Q2wt@Fm1u_5@RO;yh@qWnP z>v#ISH|8Uf~qTI4e-Ds&au>YpC2UHI2;0Z0hyNlV9AuOO?yPSGy%C zBfwG)h+6{Q8S&-P0MYZlwQCo*gm6Q(TOthM=wuHQ3XIAm?b^QbBQVqp=%!EI-el;5 zh8- ze&TSb_9Q6SPnDTV6E&k(1r*Rfx`$f(z_vXyhnA?!T*7P;DJ;r%F3|<$*h6|{t{=yW zxhXT+Tg>U9n1^51D|5Umm3NMCa0|*=_|#vO?bhy`{~RSBP82>n>M`bV$Kp+Wn=oZ#?}T?n1IFF)BMbCR6v z19OQq=C^LE&fLa5GslD22j=3!3sJhQU;KNoJJ*jFB4W~khDv5|U8ORKtvkLAOegCbmS zRTEvUnZsWIy<8J}w8+k%Ke2T@w71C~^(j877_3QwMGl*-p$v6qp6QqHhPkjfWMZ*% zT5LR6!?Rgw$^bS!-5ZTuOE7nsc$a3G{`+HF#Y0(c&Xf^bbmoWzc3SgL#F($jRoIl= zlhR#j7}Y~PEq2)pn|I3~ZDet})SFs+1*eA_D<6A^g7wH|=)$Xxge=1&y@jCPKr)#cIvDLXE#7H=Yz2a(+9?|ydvQxX}st*DTOc{}AGgo^b)-_j{-Ie!>oRO+| zQG3PMS#7VbPrK#{tFby)Bu2+hu*}s3akpHBD7WfdMU6D$3Tcm;`W<}e<=t`>;G0zE ziUz|>t`t{#h<~>oy5b9v}>h{nx8Vex4s(CiWzCQl?LH#L5=eUi81mm|(M9*v_ zJQZ)Kf0?`B^W}!5QY`VhVE+30myzdHm5MfGY6zp8plT}KI`_%-Di&{f9T|)S0nioZ zjlE?NjI>){C}d|D>*Qp5y-6e#^=1-=Yad;g61}$6m{)(be42i}z;b54zq$Nr6t)-F z46jgV)P_{W1#c{Wnmg4_3qkP(O zWv=b;Y46*}r!AWA>JFdwzK!-zU)AB$-nWrYTjaXar@e1u_n-EBzD4+KTwf_0(*Ay7 z`1xK&=a<{xuds`I-tDKmxaVDdI$}ed=;Z32g9@KrM#|(HiJpvlYInAJaQVoR`Lo7B zO{AaZzx44`HS7GBKHfNG4vNIti{QI08yB~QZ=?UJ1@x2YqV_}|e`+rqP+u1XW8*l>w_NbD+=j+6upR?WXT zbS8AQkr_uGef+G0hG!2SbM&m?V-Gq+)x>e5sm3~_~j+xfZ{ZwVqQC-8K3iGh1aDzD}kCR04qr&jZ&SJ zuP*arg)4D}rARYiVopM){JiN{2x-$5RT5$hZByc$N|-jqXkb@xQJIyh>4KmC{wc3r>4Po+ES~9&I1}_XL;?8^jg`~? z`J@*sm6f%iV(@gsN?K<)F2$KTD<6EWu)4vJ-XKuUZ+-BlhHpBG5%BDHHk+y7-Xlha_fbEG_cZs ztuX$oT`O402a%>68xXXm{M{NmnOJF8HYn8-T>Z9_Y4=URRw3XfO(dO^>KC?qEE-y$ zSl&TP<}2HZB`&tSmqezCyGjTT=v*d32Z88?VkN+C^>s%< zOg7}L#=21iY%U;Nsyz1GcBaYIE-#6aB`A*0MBrN^tGbMnMJ4@E-_Q{jfIwq)?C z3E)oaeCY_X(gLclRw^+4@&{YTV(j_JM_E)=H8@y7C=wz=r5m-X@3vSOyQ!Vr zEdl|RS;+^!*HJ@_Mw;sRw)8g-7+7h)q-ZCAc6uMziAH4fdW{$#!b&eGR;_kz!q0s( z(x(;3?kpQo`cdgfHl#8aLb`6`;y*LpT=Ziv=sPCqZ`zC1Prl!|7pRX)LufdWn)HIi zMlRm<*w>?>?c3FdoXBa5&sLqzts|*4Mbndw9m;GhST-`UM0jZNQB^c*blT9gXgZXI zH{Rzw6pVw)haz^8SwR2a&G)(w1qrQuC?0G$4+TD|Z3nY%!<3dD9*z(#~;_ZT9nE%X|uB zidL)e@S%TyJ{sDu{XVMS#^N&Sx3(!bt@h`6i$~@zfr+rPT#$(h38k7Y>-pb=Ntf>8 zwpNu3A2ytF0Uwp7B2Q)zF+n>?`_VuNrc|?38FknvJ{1k^+sfP6r~YUv8?x8Fw{-onb^vz9Jr5*2cq zxQKz(15U-1q%pmQXVTQ~>x@_4=})ZTB1XYN4F?cn9apyRMqLnV4t}tbJr_-nn{}x| z<^~1~bu0BU9{k+xU8}0aOXtoXF7&%sG=Jf-LHVg%i{^9+2kWKM_JuQHvSZ?-{aSD< zZm+mq9cEY+F^fL_=~dCtLG2gRrB&yT08BYNKKQ0(2-z=4Oq-V)0!bhw0G>dX*_lV( z=q0nNVK;WjEWn#}i7k`yiWm!<=<|Lq{``CViMehg#1w3fiP)cJbwR8-ct@oZ$IU5Y zqZLp5u}7|sdTT@}V57B;yqa{vYe=FYkx7wB(yW*8I^LOF)gS4^B6Ri4Fj=NfG(O9J z)N6!T^+?w*-hWwCsb?k`yt3li)`=!Iq*{uqZK{MKy~6!>|NCZ#TrZrv{M>~jBa7t( z2N$+nYvAAHPcX3l%p(pTKJ9LqyBg$>QdNba@BTQdoDL;~<$$3_X7TV~-m? z?!@7l#~pjbjL{Vb&K(s$dV%E7-36YWlr|Koz_Bk^R*+DwfoWqF!QWhXaeqV*E4-S8 zL$s}#(8)hQYZ7>P^rdQ{jKA*#t36vdBulE^X3Q`C7;p3Xhn?4-t;BHkkz5{`P^_c~ z!=({$bF}?>HiaL%zd2d2{q~{MzTcdr!vPi9MS9J1SQj39cGsrmxEHMKmeA#i=S)n_6|!z5 zDHbX^!GYglk^`19i3C(FO1Mk?K|627X4o%}S79Knsy>w350h+VWnD!@65RwQ%Om>R zXn%AS*-Wpy!-bI+qZmI{jS1Iu)oyz&cWpP6yzY(Sy-r6RX_h%DdVKsW3CLI{8ma`?ITk zFk`5*kdiFZt_FAaiB&StRZRe?V6*s7C%p?+djcKMynE^Qsrq~_-Fb0^=QGcd-dNFr ziZD^7ML21KKM?S+X?k|jv#+z{t_5^p*~s#d(c#W$U6s$1etz5$5j~ol5JQc-?VBS# zo~Q1+V-8gV?xIFeihN`%BZ83B)aqM#>W=dwGjLa{3_$X%E$mC)nQF;@Po}b|A!9l4 zY_`h0vzIMjDkDDU59gWxIi7w7qi*Xm@h+mnCZ<+K--ufV*$BI-#9QF=c6(83f4g}Q zt16igR2Ak=nS}Ba56tP4P_o~Zc|{~8Z)dnb>wNRXKJkjRWsi3z#OMR6{!KCZ!$w}? z2-Or40&(??sS&+tpO{dzYW8j%-=~d1lq(-1NU4bm$#m3$Cx7X?i|FnC+$T#v8&2<5GB zPY>elB@EdgS8locarLCs{kO5c zRdMKdO9DOBnGGY_eoY&@&&&G8EH*@SW&^~)$m~0&^o>~z$v!YkHIb3og{$?A**;j3 zIU=e_4Ay>jMxS&6B5QZWnf4P1{xyxQX@dbVx~(#}%N|}2rFxZ92Qm6#@Y5g$fM^Pi zkeYuqVF+#%{3gf zvVByv8WoFI1OY<&R|ljcCjh!j?{wb!>D4O~ukggayW$gz6f@CE#p@b%w5%@2=l|;2 za44|7u~}wdv>o%;C4OKG%S=fwFqQXB1x#2xqyEmKFJ19D2dzxj6REy-X?UR}MelS=Q1K3!hjy_( zu!bKomC|ZDb}^t6U&iQhWc0nJfqsjZ4SvS4vci$29)S?SqKxT&8JJ8TH(-t>T!yv& zb$h5!?8Oj!Yo+@K)tH|4RP+M~InB~=%&S(d3iWtGr{+a5V5?2-qI(huOAeUgN46rV z>veWJu6teB?I6B!U~*y5Zms}#t-wm@<76j*Y}4fHoGq^PKk}q<-gc9HuL*l^{>kUr z*;foyeG(A35|jmMja0j6Q44N7`c|(M=aCFMF}9aW#f>s-@9HOCb+uuD|kC%kPs*WB^bFb z=AiD37Ib~DmW|N5F+VRft_b$pxeu<$;c@dvdcO)Po51C-Rs#-`z!C_mRzPnx)7|T$ z9*RtUXVI%2BDHdO(UN(J9YQ{p*@=1pfG>e{lNBKB&7_&xCW>J^= z2ll^pzp&dTOLhCkXVGH{RNXYjgTf}8CiNUlA=ArXZusCn-kU}s!~PslyTXWx%wMMx zwV}J42fwZEAeI?edE;K?wPSDzE`NYyO?h8wB;_&hh#c&U-)wmPF)dKdH4Nx9 zq`@)P-L|zpJ3SoQ#pV^Q9A3U?fohl^d~a1|2&~lA`w<4To$7YkVK?p`+J|E*KHEBk zN0v#+&jN>+=CV%?U$L8)m!@RI86*g>8D!##$!7TEA9r<#K*8j9C6S<2mnl4itH`c$ z#3-nu>Gi-@cl08rmKImP`Xn%A$e)x`5VH$(dE5L;J2==G7ymwJBGiGJu>d=wIuo6p z-@jv1Z+3Y4&$PyCL=(x7f+(W3HDFY=b`(3kc4}y!*_@qwkkv}oTtZz>z{gf6&riT2 zYUGaCeBCaDy5>@|g!1yRXh&)v+G4=5lLa;F^w8N!)&5Bgwv$dj{qQFOpE!pS>Skr3 zCNRrmtAx6v6g~dYKRDTGS!_=4QC;ZlSUX-^ z+&_G0;1j;WDOQ1(QTqjn==a9*1yu+%+44}cy`H@_=r%+rpb!oNf!v$aU5UR)M89+` z-!gQGV?&inYIXM8W42WPg6<_z5D7w*Vzz6ZHtL`?*5B#3uza#s+H6qbsVRV?wmQ>6 ztgx`CVq-tp$P6ZC(uN9G<5TEH-x+UR6byBVL82P_m#r9g5U;3cfdrKL(%7$fBz>&& zxZU*&96P4MjLP-Gxp2r`OiK`s709r((*bFy9nX~YhaJSS%tSN0T667JOJbU27W2q~ zZUpc6x08ds%4)1^`WzW+r>v&}!i#k*As=TPNK@@!AmKhea=OQBUL6eWW3nc#C5!^d zv}iaE0K|6jkWjFcEfvt?M6Af7%G%?hV}hYgRlaI;?x;eX0$`kPQ?yuFe4<(`y~ZF! zAU!24va9bn)N!IK#yoe78;AIi{84b6z!#Mmop7EocV1cW&a*_gkgfXsQ>XKQw2HWQGn@F1?(%fw?z zgL142|CksMsrSFA7T)#TXKF5YaOpWC%U-v5>A5P#QCT%Gp{}mV*gNub&E4?hsTv6M zo@T#4wCPE^fgsWm#w~&GuCetUHJ3r+B~j2YNz{K9eaJ4eVd#=s(E?JQW4IMhywX7- zh&?Ho*5Ibl7<5^NVFSn+Ro)|+Z%u2Sdg}+B2hpg072+fk5LZlLo@-U+RJ;n$?{cN% zDy$st?^R%&31G}7em4Hk72a2Y6pTx?f>eNNH68KuYtMFwY2Zo0B&N%+on@y$Zm`-K z+!RtLg)~)#G={78!o|nh*AUh`DVWZ|O~HAY0r`xniZG`-1Mj4&am69!QjnR-9I48>7<&HP@YT)RHz&3PrU8!8D`wM&ei>epqC7G ztIi@lUZf_1`t*RBC>6LVKEPl61>1G3#v zLGGe3P5$PUm9~MnLp4lxTrWz*suiiV|JhKKI37hF-3#jJ`3n z_N@I^YA>pbMw&DUpBjL_PuXC{N}@rmqVg4z0qfFRkf&Gl7yh(Ow{jgB0%~uYq4_73 z@Fr-NL`)hA?XnoX+U3TLXV{}xS-Pl|iuIs|Y7%M*t>Aj`z{Wp(cDg^cqz^kBt7MsJ zhyQc?)6*Ran{)P10TF1=JYC@3*8qysb8_2#_aD=pR&~?|iN^%v@)>|&H~!3DrdKf- z2}x9!_$>QmI*;$Y@Sy8F`ocw2m%s|b2?6czxST)y(I?=sUMr;WXErF;HEE|lgV+%dGrGx_1_%K z`Mzw9iygnsft$W;4(1AylQdGy1wFudk^ko4P4;DTTty1zs-T0zHE-PI7xvSWrzC9dH&34-a3bXVqZ4L#g5;l)_ZgMP??Bj;bzBgbKu4m#GxFOD=Z_W3CV|gG~@KzHjW@p-f*I- z_p44f62CA=BGI>ovF)Gv*5=+vfj86#Id!w+cNB2b2RV(TQOh*ldGilX^4=Vrv_5Q( zn;pN+fg7is1~`OM8We*`UzA=ax^%7Q_Ou5Zi*o8h1fs?%ViN9@kYXeHO-h(b_x<^a zE~vS1b)hC;8&jHqhYR?-o>VyO{AbRtYWsJ<=OPbK7||dr)*A>zOtI0^+=A6y*H;X_NfOuew))5`xI`_-VtRC zG;Y>(6YPsOM&6s#7q`!Y9ly=#i`xe`Vl9Jk0t!Y$-<*9X`)^KPjCT)q{5GdA#(OQ6 z067iMfee+<8@pHitmZ8_eKFoW*zwz(z8LSaBWw^~bX_uibo#Nj;H@!K4@ne7pl4blwY4>Lv0gDi$+*WGrP zJ%={w(8<;hOPz&ck%{Nf3@KmJtc1p!F{I#cA?j$8X=^rn;q0gBuSaZOV-X z5FTRE6@~K1&9?B~oW8g*9_;vS4%}25(4*=flyz;I7=O|HNe+8=+`g0~U_TB^)T@;r zyCk;+xnJzaI1$t2@U_4HuRVM(R$silTI6KMPj>oqRtlKV01^1J*Z*)2yBj#3bdj^F z?gA^<&4gH}*s)5^Skgtf>BGfRPO@nb&3iVy&apd%i`AESMa|8Rb$iASrB&6(Mky z?t}F<9*gfndfB2qx}D!#5;_9Vk5A3u0u0vbQnsv}2!!!3EKAJh@b5i>AmnHs@!}BI;>Xtr9@RfClGWYEajzBQdL!NwyWFpTT*9*5 zeVmvVFfQeG%ZvZ_%lgL!6QR40M=8VPIZvJQk8XLF{&B(KZGHS0FKIC~aGZSQZ7&~M zfAq{{C(K=(<2Vdf`{J*!x_SJI3IsIr6gs*mltAkn@Zi7)^bL@f_Wo?Z6)WFA&TiHz zUvUHedgOxfFRIYL@2%r)l3w0Y6Y2ibM)VC3=%v;M_&7qMGFpmD-`~SOcF@Z^IzrLl z2~L3fTp6N}iXv9j+rQoMqFq`drsAHw=Kc8YYhSf-`*(Q;`|;h^T>FWg+xW%j>Bn~u z*>PF>cX9CTBke@dTh496%kJH-rw4J4lJm4b4`RDq6%YQ_y5jfElS&~i=sc}qPoy3 zRn=X&rJJgy)c^H-KIfe8Ip6J^=X@ul{X_5VOz3$&%kz2m=l#gbJ9^r@`JM9@c21u^ z@9?Se?c*bUyA^dGM7;>Cv&PpKOrJiSD_=a+*SWNN@yb`Zq&t}9wbk{l9eB*`I&Mv@%zX(Y)J3|Os_$Y>FCC6AS9Ho5I$k4?te?h<=Ec@d!Sz>B0qO75;h@uS2#BE?s6Cebt%*oC zM06@Ci*Ta6c)T!CzW3F0Wl!Hww}pEqn$+y8I8aBwjFRp? zi{{%TjPV@uEMhOyybCz)KVg}$T=Pw&<~03^w@Sq97vsvyJh!@Omdons3%0qSz+OK* z^0(p9DA}xkFx6@FL~nPO#fJYk6dCR!O=HAxN#2I1c#!UbWr0?%c*po}_zb*N+H)MH zi>}+#X_P}rcaY^MI+7%+EgBTO66XzA=?!gOvCK}4XcPK5ri#ig^)~8)<%;!rQbbB2 zI|`*GyNc?GgwU$+an{v&i40oZb6RJ@1RJLwoiO2ob#q?Tv}9L@4bR<-m2YW+cnt#) z8xn(<2cR;oa)KVTPQI%QW=3AGGME|pyGVwPC>p$_UiQZbrcJzZ9Gi?NMw-Z1wuf_R zfQu{Z<0`)FSZ*SY_#$8X;Dy|JwmjyPia5$!7n+K)W^@YV^_ zdsi+wxqZ?Rhn_aMb;6P0K6kfwoY=E$sCA(bh4{kAjy|(+dXx*%XIXhjw?ejn_=Pri^rVdVSea~*~hxRN-)g02*w{q1` z>joXYJxjXVo!`cp%WG{teC6_zH)9bxbnRI$?0_ zpfTP0d-__}Kje^hf(z|i5ifB6ZeMTGnCztdMupOr<_rR1% z)G|0UFuQMQ_nJi8ptC@R5_;eaOn%@ja?#G>pEq5XbJ(paax9PugPmOiYe|UsPi2IN zriYj4;6a~`u+vn4=uzgr3dN+s;Y}5%0A|aOM2@%6IyxKSzDiGgGFO^lNBhcg4#SNl zU-)2zeIx?=X!^!FTF#6>G!r1Ypw8prjgC%5IJ>d`d@Wnr)XBZuV4!)Fmyb4MUUQ7Y zq)f7yXLbzpK9cVHZxd;Wuu2*^W6ZPGnqpx4c`s*6hXp0A`OS+ho8OKcOwAVUwXBPD zkVS&91t~U4X*1Z~!m?8eppzt|C5NFlKy3l4GzK{}I^7z1VT<>_H|D}piB*VK(YA{y z3X|}uS=c-)b{Lvrkbn)gYKjwsh0$mMnOyUvTAsK*TiS4t0qh+^0|cPNsspn`Q2vV) z`p}q=Md;@l!GaXFqOsOy>&p9WFS#OH$~3wO`-1wr2YOa6?OD>fsF;roS1yEDE z%$YhZU~rDXp8e2!R~IU6`rxU1AtZUUo!DD#5Km{O(Dh}sTn14KxHl*|W70*4XQLDU zX73ywaa>?a9J`wF^c(^^XXZ6+?$(UYL?`~#*U~!T_{r~M=gdwArBa$D@rSd;e1=^k zKB}};tBW|WTn(344Bbkf zsMe0JzUBM*(u9NO&*_{k)z=&)FB0@}#xo|<^5Ur?F46!j9z9lyVmCL>y)R#hpBa?1 z%ZKLn0~a7eQUOQCuk+uWahi+KU&y}Syf+{6{w<%-nVOL4$3%}}^T`2Uyj#mBJWm`y z^cM3&lY%G1$r`&XW%)$$KwiJ{EBVGl#4MjI5*Fb)iTp5xSjH{;b}Z+sl6dVuxjO8C z=^tKYXfSpR*lljMfYQt``pA&dyu{ajc4@xUu%*QAF_jSKO5Q)AE`}`Sqya4cy*_%r z_Fg&S^G5Rw!B|Kq^Eqjx%;1ToQ*7EQ$;d+fD;u7j*Vf2-8M*oMW|JJUTW7STG+nrr zo2{d3#Gh&jgQM;{Bk#cO1(fyBnhtk*~bJGF<&!80zS)%MoEUq*;Acys?Hz72d(ZHaX;YF%RTNJy&E1blDeb{+ zN58+>y|W%W%@J`CJkE zMx0886uaO^h*iYagZjZ-GAc>@_=ItworA}P&0(;$6cbZ$CyjV92d`kdzl}el*@L&j zl^GQ^^smHTH0I=`Tj#<~o_iKSBPpqTA`f_`+&QC1QDt)Onxp^F=Q1IJraHJI7PNi* z&I7>Y!6_&T=oF$inag2Qn=DM7NKY++Gw$Y8UW@5nusL` z*iSBxy<)tS$o${)Tp|)n+SZ$_VM*RzQf64^GZFyxzddNzj%*wdf^CUB*&XFZH@a-^e5qltucuuRt(XvO5oB8E2Db=d#TE}fVEZbIu);+X zT`ng|=#cg@@>GEnm3p-J8g!&<2VD>Z2*KA2Mc)(iI1mrl>$gK0&Guz}V zV{(fn>%LUrww*dbE5u}fS%fACX%!r!JI<%RH!*B~pxvBeI3|aAosi38N^Yu1>_W>i zv+v!^RgYe^dQe(BHYX&p0rPT!W@M@GtDBRZEH=bbLjl_^QG2~W32bb-B|%6Gvo#0C}ZYu6dC2N6fm#s%y**+ z7*DD(jUi=j4y+Bb26>ED)S5z@{O{GHBN0vJ7I`}@kWUn&o$He!YYc)^?({E@kBUkZ z|8uF~84|>1mI2a+A@q{Yv^xzZe`;xot-tnW&hc^s7P`%rn-6$S0)U%?SAc%z70`|co7*ghYrqkroB_@@X#fTTk`Q}=~_xas< zujDEww|mBim1r4q^}?cPT<8E3tG&1vo_aag7-QU#Xn5|Y8X-#5ASb>~27JF{k}%JSZn3ODHE)VWxmn18fw5Qkndqq zv2uV=A_%%Zor$N`=ta)4qIt~18~aj`1Pwv=+a4`%mqlKR1;f{|w|U;m zdul)a57AyAtiekF7_5*%yK`UIhk1-q;YS{W!s*=djo(Ci3C8Knn3o{Ab(;N&)j3&P zrrhQ6K9!qY_{y(xC1svXf;*D%lh}?GLB$jxR9MBRE2bzHkqXLXZ2R=j6(Wd(@8;mM zQ*y-&XsyORR%)!TAMn$h69%rUv3MHIa_QsGJs#jv+!jaIf-x(RPoJ(e_E|XN|G4eP zVY-%uLq$rF7$Gg={d`o6pbV$7c+q2FREW7OgNl?6q!Z$T`Mea^zp~1%mff&)-$!#L z+f-S33#NF@wH$!pGKGQ|3@O-5L@>pY65P?*?&%kQlq=P3fpBg2?!Ka4UM2zyE^|hOs=)cP*dBD5qvi&F8?IxS3lmVCT+du~h1c@58+dSRNow!%){|uT0OfsJvim>v%}95PEy>+d{bR~8 z7`rRRq+={gj#jtX-+cZXxl+E~W^31W^;1{Z(T2dLAS6QU^PZ<`C|*(D^4^a_GFm(aWrcv}^prx`v~Y@hDTyio z*r;kEJa1UVO^^^uQ-rjB`r;kArV}Kr=fkXr*OJDCPn4yFUNnAh=Iy!0m7ujVM>dNm z(aOBjGD4wHP6BUKM2J?DLS-Yk!tZ_2L0`@}q4t0+>tv~IbA(OKh#i-7km{^q>jd{B zk~;hHTm5z%2TAZ=3OA|>$dpodMLQp^7y?NUZN^&DK`rvl)E&aQ2=;s-YdUz01(#1| z)U2C)^p+6Tb!42RUsm$sa|G-Pri;ZFFEBzUa**Qv|M#Vw)7RtKU6lzDYvfxw0JgG8 z-uZkRV=IL7L-8Ugg~U*j*r((hr33Hah3Xe)^zuZl$merd+O|@=*7PygiNtDd_x<5>hqNw zLS8Q5TT~OE(+R4!q_b1f*(}r29i1Nj)#Ez(>Zav38`o`c6*k3*B8Rcf z)hCUSCbA;22>3fmH7l_kQbi&mbZ5 zo7cZ!)rw7LAX(z)DIeVbs$4lwiPRN6T&r$e$s6C^gYSmuVW<&(rfd`ePrd*%tIAO?!af-M0BKXe)ZB^X=n4MDtA{7+otV{ z#vLtCfLer5mZ5tzScws09(81+?U_GAFY=4#VTewbZkPn-qWLjiJZ`^}2+r8T%(@47@C_!t0JziXgpXl>hSc1!djSIkLt62F|%<77%5TD!T2 zMMN+8=cfB$DVhz^nFKYq;y@E`kzzxb|$?GiFW$RvNX52-MZ6@TYHC$*!$rwpT8CI<8y8BBX zi?lxs7w!t62~x}jI*#c%5zT=>px{g^efGK;q7{vu3uaC0JhY>8uCpXSWU(2veKbVtTb{fG8fWE1Nhaqd59U%*M>IOW|B+lN*U0p&C{6MQpqkant@lGb zOe?1_JLE2T7b#kPaL{9GT4iZ|IKiY9TMd+AV*jlc|q8bzZ~Hjt_RQ9=zR2` znXxi$j;-Nw%m_Y}DdZ$Rtv4fXIPE<5Rzl1Oz)#qOm@Oki4|p8tc^-^R67t^;9*m-2 z`xoChx1k3ErNca5iA(WQGWxGk@$KpI@dUb4h`Z)nnae9^kImHq-? zN;VM3k{9r8Y1^TNoy*rp_!j*W)SJo(pL5C0*rpG^#&;vxrhwa^O^!5nhg;fK`2@qC z#t+VbUb*?zDnd*P9E-FBi7USt*^ipOiVxR+)DUX&QUBpP=TtuG=0AGvRcBXzltY$$ z0A?gXRH;FYoewyqgO69hPFCgvY|`eaz^(cSng~Sz$4l zihJ?CV&CIqYdO{kWe8ZZ5N*~@pLOvW5$trrbhvql2b^Xk1X4e4P~dO7oEFW^V{aXv zJyd|CPsl}#49x&5p^ z1s*^;TY*NPi}$R*<)B;W;CVikDl=vCRn3~$+x=l6;>}}ul`TEm#H6%T?>^jg;VBX6 zA%EbAwb%qy_7yNg#FH*NTQG&9{J+==+0Z2W@Hbc=I zbzB(w>?=<;l}xzdZ4G;0a2Ox1yP0xc(^j@xK52F{vo{ND23{9;n_4k)91@M!r#S9e zZ$-GfO(^bo8xN+PdLupHXTZ?tis6SK88nO%#FY6xrkqfTB;;AaV4&*t74X z2&#r{h};(!31BAdF1_6E{7X-T+~*EV9Y{{F1j!rwV&zHlgq6U!waUEvUpo;KF;M1> z(QI#);E}Q2^k?$?C44n3kV4wqITD&1q#s&;Bu~$RPCykRFmQ2+0s%(K#Q<$N6*HMR`>?On?v%22d5M?w-CTRfGf;u2e&cpL+Q1szQ3 z1Z{g$ccdh?5j(b-&`j}>-CWdU? zYFQ}qC|5xdg`1}3m4nPv!0*7U>r9x7E`Ptvqn-&-q&?#DK?F zj$ed@;dL8X^9N!W}N^O;;kjUbo9p=+{5wCpJ z8GN{WJQBjnY1B4e$XBLf(#P{Xq!>145mr zHvP2uZ|BDWA7BAx_K@~8pSIyF6run9-s)(`9tg>1Z!!PcJpV0v-L?efK+cY%zxKL< zFNTEWV3uYVzU7@q`4`Snh~UPe$QAN3Od-#sp=4bkCv^JammlH75d^m}E^MnAW>izM z?i2e%GE%4yGYaWLC=9y-1g5Ms)tQ}=tx;G0d}ERpFDO* zxWfx86K2qmOFL(jvnd?*5;6oR5m$muq5{FkV8sK1Ov2+N|`(Dsh!vZF}) zolvvmJJB4L;xED^df(~7U(AR|4|@W3Hm#Y`2g_ev85)EJ>ZI2X8QJqZ>&w&QNnC?< zBZ?$v%k#=>5!*SL$x>*nloMtx@yhdZW&52@hk*S~tY2Zj->^UD(ZIcfhcQ|S#M1=B z92RrcNz}Ns1?cijdmnRfB&lc)+BUBrg_YEd%RlPY0|PY<-eyu%gG=d3f&5dUNbE#= zbQ+8Ij=_a*|O(^Vb}70Cm4rp?N#ni0H62 z?@d9o&r@b+m4mBl#kNbC{VSrw*zoaH$doPi^{Eg()vPP^+fDdjS-+txn*HJjZ!G!0 z$Qqk9q`vlgF``JL8iE}-fanwxdnKq@YGA4IHJ27yq+Rm>bYsUNnlcr-Pbm2A8O7SH zoB1%vUD4DKXK3%NDYrH{J&fNGrN_I5p2X0>6(a{Kt-1P0{f;+f!(!<8&CSZ$aaTjd z2Y$yV2`@=`H6Sz6#_S6(=^Uhsrl+ga6UqI>y{jll0HY8~#GwSlNpM(=QW@{`o>W6h zJXjI3#^y0-6?!3i>2}EqAh0i(Z=@P`71^IL3K zVgay5zL+q>l3hN=rjvbvlD+(aya@qgdlyT*sn-S)#YslqRDSn_8#>{a8#ym&K@ zf@DVl2pg8nwYV@pJbDGp&#b%lY#!zZX=XP{-JAi^){ETU!zS0*D398zBD&wQqOD^q zy}@5iYN(2!bRk02!2dJZ0!}Z0c?`B#)|@AbFY*gpvMroVfJ~&}$GeghA$`5S(lV5=!qVb=1eB{xIwz{oOfbFgmK|I>IiwJY zs0q9+QJRsVN7Q6Q=@B)7UVPX0l#g(d z!c9Z^fa`ch^b`V`o)j#5L{RVcWxWnQ)o>K+%`erz>G3T$UxRmRj7ykxcleZ zxa?TNZIfEcwVi$4tI1${wJAL8V(b#%6EEJ{r5D2f)Zr1wSpfTINb`c2&Xwxl@dk;l zs*5j>wWF!e0a%z3FtkShLpO27RFazvu`q<)aR!>k?GU?+#-omYm@-D7y zWY|^!CvZ(_K8bfPuro+vcfg)b5?}Hd9h;Hj)@*Xetm* zIik7*_28r1qO(5MDC4-sGav-6G&7_~f6=xe87O1EMRps1^vEV5zC*R!IDPJ%1)a0! z%%3@H<~*53C`zcAt$+HIjUsNH3^H3cR%=1(bSPplgP+nl>KT;Q!%v1b)LN~)7=iv_ zLJ@;(1O)?qlIF_wg(bTk2=R$QUg~8$bV^tWPn4p5T8IsDYiwOjT>?64sq}+qqte4( z!*dm>1WYCIIC&m2BpE0vumD9WDS9}Hmq4~**fvBev31GuFfWv-EsDFs=8BR?-{BP2 z5NNZafJ*Lv%JrWI_g3Ir{;x% zx|as$5OO;8JeEsQw!|RTJ-?aelsN9}*T;C9tORE%gE^+SDIXzuY=M!aQEuvgA`yZ+ zrER0u+-T+P{dK$bLyarvtqTKYQ4w+8-i8mpC*oVj7j$@YWa!}wjwn6U{)o~;mO;;n zeSqH~Iv}Wqqp)RW9QPN9eMn`b^VL)$XGTSq;jPS*4!3m2-Z`%Ft8ljSRibw?`%Ayp zU)^5$RjGLt5o)vtK!4+lcRp>53j!134DdeeBb3W~0Onjk2+kSL^LCehvtTII8-DpT3a| zs%jesmEk`{nlq{PATf_$7b8FYn~VM#X**_~o?mxLAFTk%>;2Y!PkS}%0K13iP7(B& zI=BYJun;aPmX5PeS`8VV6dq7J`*+{> zw`}B+UD(4jI+<4*XBP`s{CLJG{~US~P($pR$9PN=7E)!^LzL?<=yaqkBgoQjTaKVn>vO3Dlb*_xd~PdoT0?{v4aIUPpXUeZoKgFQTXxuY+ep zc$`7GDMoY&ep2k(ywk6LJ{wx7Wy|7RL08O4hnD`_KJfkLDtS8~J_VIn|J5%)SozhI zcO3$AYQmmsC9rbn4-u~eo)Qe_X8rjcfA#x_Ow9dbTdshKF{~J3k>=Q9U;nOWLDr&m zdGf6?Lnuc^7jb)KnJ_Z+I8H|fjSM|3E$BJdNB?(I^VG&H=2*k74{m8T zCUWHyzg0X3_yvQ405;i4=}JWVG6!06NJ?MwlF5*r+Q^ly0Hf0K=$&F7A#u$A0MB1QQy0@H*RQUYYC3@x1W#IHm2cAe0ISJ;}d85E*7 zMs53~h0eDh+rdie-iK=qt?(Oo@PfF(gaDDnMJeztQmTRh1A?eTZxnSukNoQywHquT zuboR)uId{yXt@Y#fqS4hhs>4a0`+N@DQ~ihFnq&da6@jp3ObP**pXo9QENrTcYC7K zLxMrix{8e9&Px43kfjutnw$BHDhK7nPE?SYTVQ(PM7@^7bj-nPCQP>hZAI!dslWX?Q~RH+et=uB?yDc98?N5LC{Zm<2u7# zt5J^OgXt-?-NBi3ueF-#<5`8O*rgkCUzibU|_A+bo*SnRaL z5BGd5L?+a+Em8)H&Eki6i;-|k6N~BJrRl|F%*mkOsYf9SY`hjiC24(e%H5xPG~{He z->*+vT6j@|kpZr$fT@V#1Nb)s&qYc_5>(4ltf5*^(flBS%Gj5Tt)X+u?TiI^-jfiK z^}?c^e%PdanKk1%@kcTDi;_LU9H38?u)kI<{Cym2$v3q4dscK4ITRDYm~@PjbqcTZ zCMLpoP6qkNcmNn79a1NtwDB+c_4h-#tZ|4A2Q*r|s?tXeto*7lp`YZ;jjV0L&#nzB^mmBrsn`#zQx2Fb)pz**(oO2}yTL^bjpIas! zwgf@xff`>e zODMtS!3ow!S0-%m4aFNKZR^P35g;gUcti=x8y-=D@`gv0pwwbPa3SxB4(dXpU;xD^ zy9bb%fq*u063&a)j`@1l*Dd13WD+#O;ONkXk}|bw32@ocMT)}h(}^sOHhuYP*;1yF zI2sBDxvhtj#CY^9aau%pXEx4G8ciD&`odW(K^12&2byh;Mf?FuIs`4>M!lunS{a+V zV=}9VlZ`JgCXY=a-5Z&F0?^e!(BC87FI`@$O_7CYdL$}o&b8v>9IW*IP|q=}Ww$R5fvVlbjF0SVqlnFV6>P5onk zme3pvWZY^xvV77W7CZ0r+|R!fu0WA5f_?!6Y${PmNxTD#HWnJ}KR*Du8t4}cA?|ax zlt?i%wuvp>(-UD!@bzhIspiy4oe6TnvfHzzDGeo?ojOSkBw0HPOyh))$dMK#gW`mb z)b0J_=6tz{@R8^ZXCb+)fje~;LQ{wGRbBPRTbo%3Q5-j*M!lSyR*Mr*dm-P{LJ%|V zLWnXVTivTsNA_CwZe}6U4pUx;u><3V7{C19Tbj5U0Ufkiu|i57KW~Nv8ME)`idAOC zZfyTzb6GJ&Fg3P}Tw~m);4J!to~~(U)Th6ot?9&v_<*#b&@;LB z-kgmAT}Yn7l%ZjYfp{#6*hC~HYp1n9F8lfCU1J2Ud}G zF@M>b#ZGv{>9mE;3aoU9zZK5zqC^JIw25>);-k43(&!zngHTje|~EQS(mu2vBB94tn6F z&oygBD_LEfUmG&Ua}{^!qTOWOn=mGJSr znKLXGcN;22smO{?W%|Fa&z82WrgHG%N6&-|n=xrYT8EP&rSmdG0Q^flB}A1XEqGQE zIw5I6RD$OorVKfosL3Fll>GFo$&gdIhyHrNHE<90{s8(HsiG(lz$>z6Q|!+=B70=J z|Lrr88m7Ghu!IzV0Y;Z$xT(}k_;jL9ApdR-lta+VlOl6{xlcgj+(#25_c>!M6yxVU zx%yRz53<0#Ucuxll#3p8TOT!wg@iY}Cf$bD)re=HB=bp-d^mA%+*1VtBwB{sa?N$w z(q6%LWPUSHV6F=6H&NPjLM90rR;NHGVG6x$BqYN?1})_`-UDS7tCMkZKo#=dgQHwr zN@Zd{xLHfCRj#63_n2!tj!O`P0w&js2Sr6*MuwL=k~=)$^}D%H-=Y#X)YbwSVVo38 zA|GE&E_&>m2ErKbwN$dilbR6uZ(Y-f3bNP+cjGtd9`^dx5u?cxiDX-5QV3zf0*wR-Lo1*ekfQ7PU^^Dl5Gr{M!J#E2jhve2M4PEu#H;`<6p&EIgp^T9O43J+{!~m8(kBjW zd^|~5lIvigG?y(vz{=~Gn!PGcSW@a=JOhZXOV=+1`%-NHUH$w2*2-hAQY9mf7zS*L zch-c=oOU5gXyAw;UaayZ1dtHukt~g2)UAreuG9G!{rF0a^2Pmj%ih5YNn_SJev2M8 zt4%1!iT;*Rv-0J|F#N2U#VmiU>BVFvFe0Oam;fhI&SZ!rIG}t1JKx4q^fMhC4^~E1 zFpE_pGiu<2qaV7$wf{n@i%@Y$;8P7A36_WOK@N~OxL#VEb>8{uYd#s715-I^pm&nk zpz3nY`gHS7>q9V@#7+|L^it!dH&~s-{@J*yuS(3&xPSm%npc{~GXl<8;A_!S3KuYY z(Pg17AjBMfKs=7A2kz6vJk<(?JI;h$oTphfk8{5Bggf-Nbi;wHA$Us?40eP(t#2to z*|A2qL4DWBy>Fj%sZz-M^Nu!t(4>&RZXQdhA3s3GJ1J*eX?f3aQ_gSf1RZ^*PvB3* zXa<^3%6qZRso7!_qcoZ6Osv&guz(7ey6oWD85&~QSffq^R{|j>jRJt7<4|X5D^seq z_l}07GReBgc3{|X-KKl5cAIe&DqnAp&cnQ>PShb?b_~4!)&qj6jTFimV2N_$nAocjl8+|jc z-D_DGWlip$ks8TdS^yAjG7A_{EhnG)D9COvs}XIEOTGoD`4F+)%>&rB&q5 zZNsW6;*=Ft6yg^<41rrLaKw%T&-0^_AvU`B6H4YUGem|uAsXCr^a)FtQff#NG;Fv5 zr0}T8GlxNZa{-m@80s48W_B|SZ~K0|bY;Q7PsL4F{_?qxH{+LL4myT99ZCrqGG+~R z0BN)b{rtySNpseKDI21ACP(H}T5$vihB_)4S)xfN~>M=?_^kwPX2lU>#RvQHD#_Jdr?Glo$5cHx#zeQX)AR3 zAx7EGn3q2mj~~j)$LF{)Rf-oF+l$=rk4-NoDiZb zN3*3(nen%vtCu7xd}i?`dJrvmVQK31B;IzIGU zTbqz01|ZNZh;!+~63t=?!y;>zQ~vys=4uo0LduSm9H!cly5UYx&BS=CtbQMBX94WfkAsjm=xcO!f4$lcD+?=3m{Q&YtfrvGGp z*nM83r~v$H0hyi4ReQuju4Dl4vvxCxkoced_EJ z_;pGt`0$@U6q4)k$vo?jFNK?+jC_(}3Z}zxvy^>1l*4uDPalG018>zD?^puh5fkLd z$oO}OeV}DLaH?=B`wpF3VF?7B0=x`?$cgy`ewAA@!4vEqI%<%h&Y|U~GgL~P zOCLJBiQowit*Jev>x6-GC~j6n2ZTO`v*SltMoq!~?jo=b zT2c4EoserLeQydpt-}Pr5~4$s8HG+iKA}79dbZv7te6I<&)evbDo`f!v6wh~_UEV1 zq~|)&9nbYztCq>M;t=YY_u>sdP{q91K_bzqvZPK`%GmXDEcaV}1`@qoALC-lO5F|W zkLnm&kE9?vn*{1DvO3z~P(E8duGb=q9cRG@YGGWaTf>#mp^u%;w;iB;fA~>GE__n( z9AM_0SiO?4q>Q>K?L#{GKj)19%9aib8b;I7A7ew1$%Ge!Br1WgY>Vk62$`Rf zqDG`CQ1d;nTwC?JD?!ci<_rUqLNds0dR{wn98`h~9LONXV&L0eI<=C4Q+(BA5Q{Vj z%M898Bcl0%+5)BUJ?Vq1s?MbnTgK6kS!$BgB3Xn_s3jHX6D73`ULS12P9YB?7X)h- z7`tP06YkJ2qjkccz#I3lfZzr3QHjTp!~!x=G9G$Z)#qOtXc&(%>|8Llp`ME_(+Kqp zw#!^71zmJ$0rkEr(5d>bUO%Vmt73~RG&fxUB3#CxWiv7|7MCKjEZb_$DgK^W6AQNm zgvKLkwkzQ?2k`m&c~UljM+7V};p9BW;;a1Cc|?=8flghI$gM*SAe`H10u@+ku%Ec- zoU{f~`or1rA4P({Wx>ii&$Ap&KzJLYgd&18@_^ch46X`KRbOj1s&_?mMv18@%-B~- z0M|_N)br#2?s#(LE5-6!V_vDamBz>?{LUf@i-Iz2MsPaOr}h+YZx%)~0n&pMW{=vxnhL17_tP>$ssVa+5(;mC4sM@9gU z?vg-PGJ+Yg!Pif$&T-j-&IJ-&p(Y?F_2xco+lbQn8#^w`mfEoYX7(*D+b2%F0V$E# zfSObG>Q)r#@_HS}huE+?i}Fhq1W`e@*qMoVpa{h7Z`tOmB@Ifd*_JIrY_h-Ql}|#Y zK@lP$V+o2gPc}`I5f+0HsN$yFS!+ygF!26nd=eXC5d*R&7J$yM9XOD+RJezg1|&~b z#-d6Gf&g=3N|5CMtdnkdr5SzaXsAVvmM^k(|3L%8q?YueciOi)D`f`7DaJw)5y-d> z*_Il3{+LO8wn4_qSV%UYhp?q01Atk<5XfO^CV2MhQniomdxA#TVnY!~B*cePFMW&R z!^zxH5sNxLIegPa+0s@)2k6Ak!L@x$M4~xXyEy2QWH13P#&2rv=$~%_kqTz4wAv9W z{p^F}STbYgtosZg-In^lf1G=HUY{i6JqNm%npqqsv6-~oC(V-0!)T6=BGF|YE*2)LowR(C?mZ$L zV$Uk_CjYnH!UhIr?FyyERE>G#dZaW7%iac>1csW`=%w7Z$Fhu|8;Fpzal}j^FYW?y zL>f1w2tj$)&z*iu=-b47$C_mdt~9ENqR10bq@4<(pcFT6z8`80yF+{#6KkYdo+4u{ z_veId2~uhI`L~Hsj?YuJ;%hwVWCF;PLGV+WPA_Vs#!&t<29&1AhV|&P7lh;-s$)(A z-HcU{Nl91sKPpa@uEgh^1*DDp&H}Oto-Z7xTfmwd<1GLlml>s`Sc_SBP2x6*q)GpZqoMGD zkKT?2G&7@=m0(mbyd1Dvsq3QU4lj}tKkZ|C`Hx3xj0#Ja2m~5fdeL&gV3Z` zP9#FS1?+ZhtOZCOa5d%`xobd`q@p*2LQhB$nh^<#s*{W792WThW>Cbcz8d=%B1 zq^wp3@Mvpm#ymc|j%qDO!(e_JHpv$;<>MF!FFto@l?#Nna)L1hnYR|haSv= z+o7K|YOHnd^pfjmg~ODw38^!e0$1v7k;vsbbDb6UgHEUoQ=K`?VYxERSPRLh;_{`z zW|ZO)Bd#Kw0r#g_RmAujT%47eXTFQG(G%UJuc!*X|Qa9+*OZ7Acs}wjtNdC zogN{#D?`Uc&wMokIl>}bBmNaBeZh@t$q<^sK9-A0lZe*LopnB8M++Nf?acbnhK8(zD!*JaIoD;er%x6Lu61&7s;jI|1j;ulV znp_NJY4&IP!m_p*EWUg_{?S4ewaSP(HmivT(|AFP1b0N_nGlpfS?G*|<366(7zgMt zYws0l_=siiUG>>q6>qQ`$BLvxEf&YU^lG;1tCVNRIBh}~xY-FaJQZcjn05VYI&(ih zuWX~D9Js%0pl4{Uw9Gry8aRjD4q-da+lzNsM%M+C&IA<-THN%{pSj-!l0koQ{hq!V!BC|*&@ z=?6E2THSsD3H+|uybHYQ-wwtAY_D5gh2 zoD&<00kc;tDP9{3Wj%y~2oEPXn|JT^Jb0tA7?EFhJ@0Ynqo6A({L zxqxZc?G|SNNpd(&(Grlc7AKGzDWVdf7Aar(nY-;e4B^3?b0BFtJ79h1KxB%736Di) z{f{i(Db|6wHgd@A;+qNT z#0)Z;c8-EqxmbqfPIIS#c}Tg5&W_sbicKOrPgE`sijA}mdJx`li7RkkA4)7XG1t)?UhevOd|7kvJX{rTDysmuPiMA#mGg(fC zEMK17DwiFRdwZR4Wi_q3_EGN^+VRsafD*Wn06!EcHw1Z{dN&1S(yf*d)FrR++>m2^|S) zug?Dd;WOhyH6S65)drIiq#f4I0~&GmjL*)*PUe9O%mjm;7{0b5Fx4^_-J$W4L*i zjr#I!=5<8Y>DL^_YKAG_hHy6V141(Lh+0yBSI}7p@{7{WeCfQ=b$G0t1|t4VXo_ek zfJ%uX(F#e%&^koPlTrn}?+c@{rGw17^kOpRw{W-C)&N)mV)LJXbtD*C?7I(RVnn`= z_npCFhUq?VgV%5i3>5}xN}7Ur7?~6qLiG_%IrgngluVgPvDlEqC%7D%=dtsUG5kaq zJ)I3&PFTFb>zUFa_3oy2QD0ThpGZN`;0w(OFsp#_G#h|HoqGY8aN*i_+H09gTgalL zV3TmlWR@jwmZCEgKS$C&xGY&p>&&ZUVR8kx2+)GGRWM zWX$x)GHL&V{+1~XlT4z9#x9ES_8ByTZ&4;35qVM9oc^a_Ta>l#3A1B&Ne!}i6$PFW zFDatc@X4cI@QVf$QMYnX`c)+S1avTu0pDBZ?&>|>&$K{JFvT!n zz)J@oQ(B*u+WD$$o(p-Gp7SmGQO1@S`3MhI248gV@;6&Q8(BW$E8>D*Y?P2-Q{Z;T zRzi1l{WepY)kq$ge^vwg(${!yl@M>zxHJF*BH8MAKLNXd_1GA2@041QipD|Q#=#kD?3AfSWEyI!is4o ze5VBQtE@1Mu8w>p5B}rF!zZS(nz<+`6`^`7BW@GrH)5_S9kZSPz@i!^463=bztc?r zbWR1h3Nll^Q^9mqD6iEA4dbbBSJr~Wa$vGk{Kvb+ibscueK4o3>dGc4v<~P~oeRC) zAHP3rs*A|>Ntj#2^#s>fGz`wKz%aUD#&C(U;hv9VoMMprK@umowa{n~3Y>%#9+#k2 zdQp;aQeS)DLm7)ZASW&WMJj2ufSOeHlKaC+*+8aY z4u&G5&@eCP>7@Hc0!$HYsH5)qZcXOEI&%aR7~WPCxPFdNn~}x#=A-W$KC#WiJ40f1 znGC(irpE{@YeJ>O>g4|va&z)m?#YyrI`g7?V1QaFtT{?UW%`b|_GzF?2$L$Uxii0b zS0=O~g)3Mk)T%4bl+@1p#n&^XJ?rh&4d_Yr85IL!!P%Q7hB@MmJBRP) zISkjYN(rh9t8y{bb+Na*W_)ef^Z+4OM_*FdVx7Hs=GOZ+{n9Y$OJ0a8dx^4O*~AS3 z?RU@3!zFutvPA0s{2XO+Vv%LZvzgBiA4g$XAUkce%yjhSC~lX!sK~POUzgrgQ+8rp zI&6_*zfIi1{Fa_cq2bV6Jjo;nG8;O?Ycf}jE2965dcz-33VqzDnTY#Be?%3D$<61MkAHeDoh z+0swPd>z%(A|$EPDf|-OvN&!r%dv1y6&9{ZYU7j}(}l*MyckJ@e+UH8svG(FZT85g zYCbY$BCi!DHT%ml(~R%TZoxC#PwdC=APHibvfMsC~jvMAm{0{VDR7q#p< z7{bF8wY0~~VZ^@2JN|lUO%AaEA;L6FX_k>o`W*KocV8TCpj%f+pocOt-pG`Q6CbA- zM$TAyrN6%L^#|04D~yv?Gg2OhG@XFKr&?qVpjbk!2<8j8S1-AHZRyMjmis#=NlA$gl90)6cKT z9mX&)fH6{|OuR75pa|M8yd-D10Qo}CxqipkR6N*}1%`q7bdtms$Hk{pNkO63-p@WW zp)e!J4(7K8xSTQ|WvPS!n2^$Us#m1t*edw`G_}Xp#AhGKf`%nxXbTyzThrKMl2R(t zqlOrSfjK5c-QdL=u%x;yB|L0XN+x=1y=mSK#bZU1mF6h&nIgF??cJ>Z(%F&T4vNa2 zJq0jn@WNxC{Ra0!a9GYB$%q1yX>4Hr5>TMyGXZutQS&h}4^DCT?K_;_!0EI#dxJg8 z``mbxu}`TOr+661G^t*pl3IEcl!EJqRi_SveVTKG3wDEGWrFMyklNuAV9QSq)f6ts zOXt012tzdyV!e7>2n@@elO0^cO%v9@)DZAwOkYMz0xqIG`{cEKArH>hX`0c-!9XD` z;!Ok!-}-Csa5<}(LJK(!{K6!qXdxM80xaR#v zmM)Zi#K|WOn~{ZMXtzsOZc55ku$I|v8R9N{yW8)^a?0EFr9SPK86iQk&1h&26qrhf zt-`;&-v!6{ziiZ~JxD1WOyR3l=Gb*c&K19zmuWmb(x}uxj?o&;0$atqkqsg&F?X=h zTQb-QSqyjg-Gpy&+Xv?OH)v`btes$_Fg<@sf}0|b$=eGD@@a(({>q2>d;|q(Du`?` zhf^1u=E@jpEOoI0d8_w8ws`vRW>V+&5c=hfWH?(Qtnw3#q>r_3F?49AaI)S1(kR2kL{5uO)>{xHKdy`y;EN2^;gPu%ZMQGF0BTaMrVQ( z%IiM6f42tLEmoVIkl;s&a+^J@Os=lR(lOj4-`pv-Oil_kk%|4}V`odpU%7pw*bi>! zTDe$S1q8=}pRiHE-fJBLlaJx-BeU7EiQ7dfcysOJP64< zGU_p7WmF_yG?7Up5C={uk@jn?L`cn;5L1zx)3FzB9 zT9KGiEPL|WyY335<_ne>?ul=`K0ol)P-??xD@UPb0y36Y3CJMcq@NuuBD`qYR8gT? zj`>N~=hO9l+S+P!*+AEd?y~hPYRs^2p#W^3)neIfE~$60KQjBK5cc)uWs*Mq02XCTeBx=*DU~xq&>}ZcDqb>nt11iGDEE}{-7LJ^#1t$ z7lcq=BOqI4=5={D#p7{!mmx_ql0-ScYu2&nhfwynsrl3mJ)m@jm_3te1*lbf+V>uG zUI^t1-X&CF#c=ExRQtB4MH7sEp}$V4`QStyle#GIrLIX0xQN!I9{uGdaZPHQGR9Yv zGGIIj-V#$LK#ikVK)Z}&YF@e$9MX6)UOwL@9>mJDDxroerL%ay@$IA1T5!O!DQ)_MJq9*cDh(DScJp`cEF3j*_V`O5e(`RYI61bX;;%@RA}PmoP=(zzan-`)|E= zX1acDv?#_koq;s9c~bOtGej|t9rcZEriVT$pWSb$3958jqPpt1+}{r3G_7mb3HmO+ z5l!AwNyC;U>1C84fs62H))gl~a?_y|0}Hx(DP$yCkT zVK2hS>^#NWJfj%eZjf4c(G9y!Gs4^h~j_s|~v9I5LN2+nKzru;f+b}v-pbZSB zCT0~s5yMb!_qAQGPif=SwmiyioFOly0SU%QJDYHq&bS!;>NUEJyH&Y(JJKs7>_xUi z@-`;J5}B?4KJ}B4*vpsKF&l<1W`)noQf~OMyD!vjnBbRD?YRO&txcb=QnkOi=b}_l zwcFQnnXyn4*y7GJWvIGrPM3kKB`IlmwS}GSYH7d-Zf#<@%MJYj z4iMCcP)YuqcnTeSR3SJV816Bgv)lTqPzVUSL-(#J?8Fiw#-7X5Ehv5w&S)|E%Ub{L zak1|jF>2*^J}YVp%ZLyeCDU5Ta?dlPbdrUHvL(!z5Y&`FAXDv?5D;0|Oc9e}vHWrKqfF;D}OE8$2q%D9v%_iqONE z7rc-R5v2%jqaM?g>q)mJEKRe&RIeDbFPx8WoBCujs2=SeT&Bf{l=_goAhOBBG;&fQ zpnm%Ll3Qe|Y!ahDNKr%**(w-4 z_2Jl?=0-trMJR(3C!=Nkn|KSIE2fVD=b8Yhd;)VenniBjxay(ssMxyLTp4z7Q21mN1RQ;5k6p z@v@bK@4nzuI>J<3SxF~@v@qj>oT_@B7oPpWFjhs8IM0)|K*lkaXWU7xwTfEn#Is{R zPflB=`Iz@9IFbf6OXgw8)6~Q?7JUExu@}Kv7~RIeQ6{FD)nLQwv9O0)j}PH-Uu@e3 z;vCtODN-a5xN%G;gLI zrKsBecXx%|AkCxJ+Gft>Uh0Tq@9~QZzY?}b z&6mrl<4=VS_6wgQwlGQ^E-oc)K++!Yk%k+u6Tz zP|9aqf|9|M`5@qhNzzS8@NbuI7l|PE=@FDpf8VfVTyw<^|9tY+kq9CoT!KstGJyaI zg9yr#&M==lKN>-VtxJ&32D?LNqjC;Ecks^(qv^yWlNUnaFkN(VVc%|_N}R<&4TvFa^r@Z z01*)s+HhQ%=9#tO9{!yNy*@P9R70O3+4`RflSD^}Y^sZ?AY!rHE0@swth!;usGRW&?)V#>I z_|_*bn_6i~^bXDKPg3#i+fq>W>$ddp>b@vjLiBq_C{b>oVARo@e&w<#^pJ}#KUTOc zN`8FqmIa~wSXQKfHXx!1snH$=gn?EFKUFw*YGY%Ff#a4T&v#-hxc(-pjW+>op4J__ z;J*q}OZx|9V(^SQ@Q+rjUx$gUn82M*SSa% zzf|Xg)Fatvr6FOQ)g~m zqz#s>-9$zVmmC-rStB5nCwlp_VpX-!a zudZmQbl7wpyyxk!O^INJ_|!~VDz@{K+X7K7My1X{{KwXRiFDi$bT?S(bL%#^_|Chc zY|x8xCX!N{EJX*vIT$1$9lcQp{?C*sQBD&iOWNF&Cmblm^iPJ=Is2)JP_~0F>Vz|_ z1}{7NQghE;0s}xJx%4dRp3byazY>Wc@e}thD+4yVvprzhZBgzLdZycpmr6ee8^nes z9EMLK6U`OkcH`pbr!?;NvL`CB;}upmqy$HbAfO=Bdmy)wjpj{mySQqD<@zj(9&9V* zT$VAjaLce5I*xhYvmX!HpA!HpzeY54P=V=g@Zmc?QnkUL(YHp7%(BT|W!38FJ+=On zDD=u+7W)fo6CJ%{|F&HedPp1RMO0ZlI(j$Gh(xb!@IWtUD(mRYn!Ih4{go}{D*Ho6 zZ}*v7N1<0%hgIf>j@}C=PmDqj>%)~WRHmAMyE|b9KS*H;e6F}j$P08NOj(9j32ogD zALyA7WryXMca;p)(Hq?L#eG7wl8LeVL`+9PJ|~)8Cx~k$XWVh9_JO-DO}>X%pLvYP@j(rqLvee4j1v z2SWh<3;*bLuP>ie+GmXfodcy!vNC~m2F>W*95 z;oDV97AHf>@Np5%n+o67?8^_DRNAR>C-yr9(?p6^wP97djnhatX zeh$DjFD5J3icJ~#C_y{A6*WT}ckkW3@}uxd@T2s&n6E}Y)!ZXnSAG=lCHT?Y6wp?f zgb?|oXAf>w`B6;xx>p4QWFqkOUAL}RbyZl-wwlaJqJUJ=DX)%kuj~=!^_yxvtGoiI zlp-NtAZHStq37NA%{?j@n(YKWN-e&5B+-xlqxWA``BB)p?$Pt%C`Z4?^B>)#QchK} z2~|Qxw(seK@E862UreuDE1s;=tYuJ35PfEGj zvc)Sq$D7|_dkDoMK$2U9>@!mQdQYr2MIGf;x176UJR_yNB(IoDZq0X2%F~In6zeS* zJ!5sU#Yr;klW4|FdG(ZMw$q-AU5pVC*0QGrG*38`v2KL;nXw^aH2Py6#a~W?cA(>8 zanI1~zNOu3OoyeRmNZQXZqSgfD|-n^O6np@Z9~ltjIB>CX|YfId2X@EJ`n{y6=0hZMsp`XooVPpe)rB2q%0}(YVVYuLAO?EVc(#|VL(Z`{pwnxpq&0k?U zjjc0ag`z1DWY4m zN2Tg}MuuvFURDccLNkHsOV>Qvs8oFqYNSp$dBWRq!ccu1P8h0h!wIL}mJ^2R+i=2A zeH%_V{kEJiRNsaZhU(jJ!kM?_grWL2oG?^_c`uAB3rq#dCVlcui?5M*xVtrfNSedgjmz39YO;6uY_dvOX58A<4 zLkw0qP`8Q-P-d>jD=2*XSHu0deT;G~KHnwI{S;!HjJ=>MM+@mxJ`b9tv1l2>A@Hc? zKaqophDqiq7h#aUd>N~9u*Qal?9vhp8y5%(;a|YSUGMR2Sej1}I73m%*W_bK5>< zxLY1r(@#t}T+3a~lHTsF@-Xi@Pu}1NDIh@O$YggxgLMyuJ5tnYnl;2Y6eE=bmlUvX zd_1Fz(wp_n(6OwXiPaKOZb|BR6qq??K}x~wEoiWTDG}o-D~&80r8oWGRuq5K|BigP z0%K@-SC!(MLs9B+vl>wj5(e&+4m~HYLqi9ZFot7f1WqjR+wf$nr^Z>U)7MDjrI;9= z=}2B6vPW(yCj4KS?JB1mV(QB{r(#nBl}n+U$$irCtcqAmF{;`wMVjXrd7K7;mW=hKe-b@G>UN-4QE4C-i41bE%0eF4{)^=r@)fOyb9G4d>nbYnZ?eO;G9gx%YvQF zGl4PU97Cd!5n#%@jTHC6n?N0s*zyH|8yPw3R=L`})AuPs{gzyYA#Q~fT@mYW?*LbMoxHm}lbb@bBF;*3`Opa4p%n0UjPP>i)gwi@<71 ziwMVn4dKn{(5a?|JYU{>@ZLH30_k+q>O5l9%LZj(ilA^vdkhOPnY4J~e_Flw?m``R z_jN7q?e1K$a@AmW=hB|Ret{epdy@3K&A;O(wxmS@6r`i|?Ocy7(Gh!_p0>Pn5YVAm zl(`8^myGo+nmL}7JsydhfuxCav|yghtw2VN_-fL&&`b^oaBk)_8rVg-Hp~|{3#)rK z%^XhJ-jx$nY!bBF7&6VQCS%39aB`-A6;aFze2GzOZ_DXq>_eB+VJxZCJ(|*%d?GLH zKXG(hQ>SAKQiXN0QdeD%upaB?>q^dt5-*? zW95UI5QAl@BM+;{*hYHnGR6q=YJ+sEY38!>c5s+n7B~t_SQ2>143)kD0v*pZZe}?J zyPP481c)zYPl4pvoE;F8twbf#NvIE^qYZ2`;jh#i35x@q;?&&sti1A4xCP?sK{ zGA=!uwT72oRY7PTiHgxed?PTMMkhV$U!N}tV~csSiJXJ6%J34eXmYs7`4TGw!^fQ! zFXF`DL>N62xNF3aSn(#8y*G$aBA(FbOjFPj4-Z%fDDhosiJ4?rv;u^V}m}lW5YWU zi$L3`|D0!MG1>eAb-wH5yW}kn8;141r=OZ}ApM5vTPgY0@zR9BG~5E+3Ak2d*#*VQ z@=3&F+}aK`az|VFD>_fGy9TAwQA09SL-+M3~>=YRd?NCd)-u@#n60LN7xiT~4nE_A*PpExWI^oARjin#181K;*Bq4(_? z_y%#l?dL&`+jbE+HCNI_pgfbnar?N$e7d<-fxxGIOtR2@>G**y`j&6wmu>EK-P?|P z8@TK(gF*ce{63C}w2?u$>tca{TDKspYr1r}g~k@mbr{u#3_mqvLC zDhaPX3ci6klq~{lw^m5%gsn#N_bB^!`xyQn&EKQiN44EKcKpP)JI1z7nBKc`$;s`L zjyUwR$*mKP1RT1%z2n55WkanS&FDF`XK8o)^p&fm3bS>SBUbee_4N0y_5Nfd`@c=J z+kdmw`8`?jd#d91bj9zPir=#pzvtZFTaR41x_h8~#>&-wt*r}|ETQ?0j->|Y7gAa!J`drqU;q(|z=j!sNnv0}-J&aS1Wnm=%FrPhCQPouaeds}j2 z_HVhrF>SA3H+uYzZGXRxz}D=kbKB>y?eA{gKz`~N>grqCHL$d`b#`C>s-gBHq1!rP z?y4d0m)3)Omi7$Tf3WfFx$TF~oi%%Ud&kUqGiG-1wYlvxW*$E6SiVN}8~^Z__9Ks( z=YDN|_rQwQO`NaIUeVvZw5xAPcWbNVfjM0(x`Vv5Sx3+EzOLT(d4OZUUPA*@2Pe0- z%;*~GGJn*%sq?9sCa=;yX}8I(n+Odqdr&eh_D{@80)OKC&kkSNwX}Uw{~`AFYj8sA zhHwa6(b==oFv+I;y0U$4-#$xD?CEbef3*3`H88d;QV93-bq)2b>}z%Y#bwLvzbQ<0 z(FUz!4xQIJ{_q9UkC@w$M#}Os4gZXpv$A#U+-1vJ<%jX}=Fjh(Gk4BR*iQcc27_w{ zO*vtIPhWe0EzKl6dG3kUu^lt`a*cAw27e|+C=LG?mcIAzw7oUDaswD~Z{r34e;%|P^IslhwnU4 ztnZ$O?>tbm@1BS6JV=Fn^j-ZT`sF>MUx4_1_c#oDnBxF6{@vp!9|y>M?;c0_IDj5~ z_c+SOfob~gag>h(%kAS~KJ`U{CcaNid9GIo=9!L2&8ia!C#0lK!fe8ie zwncis(pc=dwx?Tb#7=-+Y%+FIu3T+05R~vz=8|nNVIt~7NWRtxowR*?-5Bb_x0zIR z;((&&nwxR&tLne&+^>lPojLBFVyV@@pHG`3UE=SaNZ>>es_&ji;6#wC@198DM4A+> zJV8RS&J%3=>}EB@E9E)OidQdv+N^kWg{n#BmZR_^Dqi1?%~Xk1w?d+kc->>e)LxEw zojz?5&hEN;I{z^zvgqht_AZ|Y{_Z>eM6SCe={pfz-go?o6nER#cOrPb@Awn>?2~hS zC$bLBwEq}6^6L-(%qLmbp_%p{b0Swwe$;m&>(EU5k2#SWpPc46@j*fh1ZX8wk&fGW}@141E z3JzX=r%Cm3NOdX;-jVyJgy0>yZ^9?<$bAzec}MP>P{=!S-`H&L$bDn7y(9OH#rBTe zHwN1~a^DRC5mCA_&iVT%3Z*d}$F!Y0p&|xB@Bys#$`C~Do{Y_;U32BCp`>ZpGku$; zWsix9@)vFXK&&xX6!e2;Ge&?jl;aWhrvh<{ni-=jnn}n<*%OGtsY0shJ-HCjzPL-h zZj)GvqtthMilqq)W=-on`0%4=N@9MUpG*;mLFy!$z3o~j1Hx3T+m3ZIKU%-ve=-Qq zb$l|JDS*8KA+c^wrZ{{j^SQ#Q{*ytxuKSZ=0x?gyX`XRWE_hCktVpE*(yCFi6N_g~ zJN`8P$utnab&|O*RZ|Of=#>Md(s=i6wte{jhhq53cg3(Nk1}F-1G(y1^JdO81ybw$ zX#SJru;7o|gCw!24EQK%8E)RJ z!mE+CD->&+0&SPCTUpGj$`!$vZhB9&gIAjQsT3H*!==O@{N@@;RpqYd(N=~ z{2V~0*3H3yq&-{*^SjLHzJoyyuls`m=@2xiW?w-~jseYdxDMuo3hbm~`~cRBos8?C8?S8y`UfG<^vnX=bV^QRiZHu&#V)JV9- ze${{1LB%qbBH%lP(%9*Tzqjp^wd6IC8HT?3p)$&qA(e0$;d;nB5W{GI^8=p-;IQ^! zJZCF-9K-hhM)6l^{UbY4opLTUozZo9E-k6-lw2YQ_T#_h!Hk!KnK5ntw6=?Cam+Bl zk)%^o1pGhSw1ZwA*y?c#17?R&Quln}bzJJBr_Gz+Ie+1dwo98ikkIENU}S}CQpUJ7 zE2zsruHIY9^V6`speMR#&_*w^_0W#COV;VB7~73`TFplQy`%7$g&&6TQ0~2c|BOA9 z1s%1}1kIm$D3E15NcnTYf^^UY?a%iA3)0{yK^qMG&7Y(g^ERq&)Hb7b9vtZIUpX+; z*>5lz_gU5hWZ$eKXV2|i+Pz|>{nO3?0_xpMrwsHDweQovxauDdu3E98Yk+AL_Fv=Q T*k_=BP1V2VePzu)B0>K@R#K1) diff --git a/proj/GPIO.runs/impl_1/GPIO_demo_power_summary_routed.pb b/proj/GPIO.runs/impl_1/GPIO_demo_power_summary_routed.pb deleted file mode 100644 index 672c45058c8eff33e0a0a7325b562f34620358b8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 723 zcmZ9KPiPZC6vk($1Q7+%Q$^^2v>?`?!9T6BF$qyBTBwLr@DD7zlVt4fPTbi|nu4S# z3+hE^T|q4f#T9RYuqQzr+I@qM6)%sDVQK-hg;;VRWqqYZI>Fvrmo3^n)UHJ5R_?-1^gI@Mk7$giyYbd=CfJY$17o zH#S-={}F!s^I1Mz#?aVa!FcBDONJaS3My^9*vS((XgTHK+;t!g$IKRQf!ndm0hXT?fK4gJx<$EG$rj`us5 zUGwDHHTlqDLNL2Rynlx3>IT}MaB7Mg%(E7|IQ?I!ItUe-`8(33j=V);bAyWY&wqa9 b3*K9zb*9T8>6`t`X@?u0JW6gae8cEBkrL;M diff --git a/proj/GPIO.runs/impl_1/GPIO_demo_route_status.pb b/proj/GPIO.runs/impl_1/GPIO_demo_route_status.pb deleted file mode 100644 index 9e6528ffa28051c7e5f7aa06f99900e50f5e0277..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 44 zcmd;LGcqtV(leRFEE1GoT9T>|T#{H)TC5OUnwy(gRC$1h;eilCgXB++1@a648*UA` diff --git a/proj/GPIO.runs/impl_1/GPIO_demo_route_status.rpt b/proj/GPIO.runs/impl_1/GPIO_demo_route_status.rpt deleted file mode 100644 index 4e16d84..0000000 --- a/proj/GPIO.runs/impl_1/GPIO_demo_route_status.rpt +++ /dev/null @@ -1,11 +0,0 @@ -Design Route Status - : # nets : - ------------------------------------------- : ----------- : - # of logical nets.......................... : 1888 : - # of nets not needing routing.......... : 743 : - # of internally routed nets........ : 743 : - # of routable nets..................... : 1145 : - # of fully routed nets............. : 1145 : - # of nets with routing errors.......... : 0 : - ------------------------------------------- : ----------- : - diff --git a/proj/GPIO.runs/impl_1/GPIO_demo_routed.dcp b/proj/GPIO.runs/impl_1/GPIO_demo_routed.dcp deleted file mode 100644 index 340e5f3b6518baf80dea098f3d97d302a359dde3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 531281 zcmV)3K+C^SO9KQH0000801>*0QgDi!^}YcB0M!Hl00#g70AyouE_iKhm6T6!n=l-P z&#Tn$U~$?GrH}-&pmY`g(lC*L2&CN!IoLGT03$Fd`S!CSP^%JE?0^LPp7+6eZLxf8 z^9-DDRTEk~2(l;%kH2njmgW&Be@jXV2KN0>5x|BNw0aOEfivHhM&!6wyp1-79e^#& zF&E4gRhdb`{RLP>t{Y#dZoGR2Mnf_LIu6hRZ20vX7GN?3@sNxKKS7&zz!hZBDtXK!O$sA4QNlNeqL5rRL6v_> z(qyqvuBrx-|A_C_x^E8!^%0hMq{6nG)zE-ZIx@ev1IP8A;$0XS15aGD<{+NNs@9hK zrRRvUk*b&Nz#+E9*sx_^siZ}CY$wjv42A-JqN|aDz|x2L3c;~)+jsRVr<3@{bM2K( zWXaefpRFrZynS^#miO;}EB<(U^AAu<0|XQR000O85xR*|i)EbLK=uFt1O5O24FCWD zM^H&mUu0!%Z!TqIW}LbMbfjOiJ{nGJ+qONK*tR*bZ6_1kwrxyo+nm@oZ_n>L_n!0p z*Ex5cdwcEf_o=69SJl(CvywO6>Q-*5EF2<&gn);M0VCtzD-#n7i`&{omaXF&H~O#b zCndLAL!7M*&3f95si`Sa{q~KF5N#n(&ys|n;=AltC?f&$>>Ul;-qxRBR#MGQ(fScr zGN#ys@tiSEPWK5`qrrO6?{2p#T-Yu_=dxJN;_=7Qb7)v)TtDMmV66qxP+hQMXPG9;88OY-%$(xO( zMo2bXm-!RFR`2huoNVzr34HN3rDH1hn()LH$lobk7SsznvbVOy5>~jmy>Yh(Rd1hC zY!kkG@MX{s*z{0tx!rG?`Ng}!-56qo+p4oGQ?_g3Q#ekxW3CF^?l(`Jra{&vCvu`F za;gfJ&eX0B!=P$DKd;OAI(?+?ruCYNpAhWtIq&3#Z{D3)ebaer4jazYS~y&5(AidH zEpAI{S~$wuw7^lMdpTwo@9iD%Cc(g!q>QOr*F4(fuLFz@3iL}rG6F@kI9lXs5;Hvg*BajHu&_o1xLRHhaIJze(92a=}ta+ zI=H)>ycjlX3qO6zadd%){ZTw&7A9hP_3i#kC&ooWq{P@7u84Y{q>C)X*K8xN|s>2emsl(7R5&Bq5%)99PR`&$g15&#r zkI?H=tVgfJ9(9f}n3cY?e3QRIv$MQtPvI+u1^+?7X6VzYVUGIr(S_&ibukLbT`Oc-{0Qr9yl|9B;G#Y&vKm~rH)t))S$>K3QNk(-vYM=MP^i` zw)mDMv)>0*<=o3N6H7~!84}9@FAEBCWxA9~vM1Cf`>%c7S(INcS0wf+4rz91H{0;* z-87^;XH7l|xAhS|9j^GL+@4R`29+|KnUuu^;U}-XX`jZ2g`4mR)Uv+b`{R<2sKu-o z`#5Nd;72njNri)C+jkiOE^Ws&t6sBeOt1Ode6~%X;2O?1S}UJ2c>}cwn3$drZRl%! z99*${>jlID%00KLrsxgbGNyVnQY-7rD%2Ll8K^TMVLUL(Z^eSi%S-tA8t=y5oIGEQ zyl2@E{r7!6^)u766U6vUc+DzNNeVyh;=d*Jj*8i?Mty{o!|63w*LinjZHcJG-1$b@ zekL?sbW5ZS&)X&pv=-bmh`~wN>s^3^uoe)OU;aMq+Y#ne$NEf9!nwGPV6S%LlR=i- zeNipJkvqIGi|0Jr4G=sXY&f1TPATCd{LsHy?)WJuwQX91%Z8m6{jPnO5B;mm3U`ex zn4tzYF^Rr9{DAn+8rkZ&7O^wCMip1|ndf?w36uaj22kN6!)Lh;*BQM#TXaW4#_`(> zKK!uIGPkDh^=y|kzxbPy#v+o}qJsRQ`-0>9SUHXURQ@#7={J^-ojqdIQKpn-xZ2eH zgQQN=i9%orV78B1R9A1T9|V{uM}p9bW^{_vhs1?IlBZCTFu9G@xdHg8J@}d!PS9|N z3k|*Mz=?|}3v_<%4`2u2^15pB3YC8#Ff4xy2D(33F?Iu;E~7MR09h}gH1=pnNoykR zFI3Z%5*E${W(dp%7|o@7m>3ueoN?9Vf(yu=QH_`j#44%&O=18@0*wNrIUNR0CrxX{ zM}gi*IAYER&P505aJr19jB5EF7{L}C23~bEkWXo85q5$~jxGxb1AkDJyHTC7sVq(n zF!QkMtIAzJrCNtBugcD^%3VFBT7?dutf49^@z9G~H;GG@duQZ#RU{}1G!J+!};f}_L zs{aM2shp8tTUC`AkgR0K3ogfa9&OzKe@5#=@zq$?Dg&iZ;_xWwJjYe1m8EKW!}HQ& zNv$e-uv>i7WA}WEZ7b-IMJihfoMXQh@5&U zh{%p7kyoh-GK5|LDUjXIU%d%-aV(eID=Yol*`jZ`3`(!$n^zY0weuPfxLp%6B7FX; z7G--2B4}rD>M`Abuq_d}2Mp7!R%qCkAnZN5VQveelP%Hnmf%chaIR;Bb#BWFxkrR` z{=Z!S$Lb=w7uoROtnlrl{(Xvy|&;{f_;K|*&(LIm)Adm#7w z1|V8c%%S^+)>n;IA9OkXh?<?IA#`&?*@T4e##tuYjoTLd5qx(Y4Y(!~5?vw)&l*bu_y23>N%>pwg zhu9T~Ee>v^Ei@pyfHqeZuPEoRC^`l+ll9vNqm=dASy;|^bx_i?K8=v^t}0_-dq^to znps#*b02M8D=kiKceu$d>U5i#)8#cYXTWc4#6Zwoi-4fJ7z{-JaeGGdDa=G;akkh` zcW~OC^6_{9S{3sA_d(`8Kf**SL;IFTgGZ^Cj&4Q=Mbz2%XI`OT-b9Uj@@9(MB z?kr#B2l^EtYz#j8_>a7quor!xIr9kPHNWmjH%lt3*;AaHFan)x*+qc)zeROQ~9K^RFB1nM0|*!7Rq?=Fz0lm4{X@oI*NJq8c|bi<7nqrN%ry5_~oh8S+3 zxpBeMSH;K%M>26vr(qtT+&ou8GxAd#Cd-wE4u@o!ODi(WWQsVJL_*3F<=#_`WHUi( zLq$Td!PWi^pe2xyhz5A;2V15(djHiljqDOn&@4 zl52z-Cxyf$Ff8;9uOKuVki&_S`55y@;anRk8*^Gdxrx#OJJeWVZfLGh91C?rXf-Ml zOPSzQ`2?{86*2L#{QkrkZ9avFFc@BVrVf^X@my|yBpBYd@QeYuKpHA4iW9H#3{yCu zQzn65B!STi!t^2oV0aidJ!ZF>~(a863QB>qNlTA-YiiX!n* z5f3SN7ZzauNQ93T9TG(mB4L3XHI5CnN8+MQA8`EX7AhZzi!8HikA+V>LHJ83!7UpW z0m(2{C=Zxd4_)7jWSPCxA94?;(3n|r0-K~a7&F1EIufCwCsdh*{G~M#0m&#mW zqF-nLvoIWZ&l*4O9ao1vMj~Xxxt>b?fxV_24>j)-K3v`xLZq}ika%HR0LkJi7qZD! zK4^`HQt$%cegwEZ0q#zKdrJVR{4#guT;}&m24^{keGi^CnU?*cJv+D+i8WsuHtBaK z_)$a}ggZNF*pe!)au2Pt9mbf0@H%Zu^yBmZcUtJ?M z!+6g=_VP|#>A>ErPs)6EWLCS3UK?wsUqjFyh#jd#j-P7ot)@deshIG%mweK#9KQf5 zd;Vk_^>uOqQiA+Kz(C=fFmN}dwL8{N9q}K!9rW)XhJYVHR*^Udo?~Ld<8JsI-^pE$4Me_9`4X{Ko0^uB+LeE+MJDc_BpE9t zcTOXW>kjaBqMV`1mO%d)RszqxD+8GURslW^DhF~BRsrHJpa#NIMDvp^1GtsJa~;b; zMg#!vLV#Nt;I06;RRQh_fLjHyyBuW3AK)GUxQ$hSxEcU%O|&1fRnUaPs^GDX6(A$M zD!}{uu@WvvOD27`@msy{dVNWgmTU z#Sh16c3s(9hiQ)L>|JM#(P*FIqB+%&9nR2DA%k*Fr|8oJC`m5JXrUklnb{?N|8i82 z!5`qT&=@JVO2NnxiG-BUeMmnzp%DjYg8~EeK_H8P1SSP{1QTie?Bal-f&SzZwgLr^ zyn!wL+M$G$1NuXx1p@R3a8CgoR0H%UJ@{GyQ3hBTWfeL4yD$p00GJV8Z;Z2XX*aL1 zJZ!XIum6*!;;u{){_$xb52YPBkm>bJ9cpG8I0S-NS%l17jm~#1wy&F0q_)#4UGGbi zSvg@xj;23-i{nD9$!035&N?4|p4EP;z?cgY+Xy?99rZ#-iQijB95?aww&cavaEju@ znQ<7t8QU3>O%Ca;r<|P0D6u8p(tFsv=GWIWH_3r}`J{_l6hIJZweI(EgEU8%s}Bn- z%{DosT4fa&X2H}?X=ok!RT)t)F~vGtS>u6f9hIve`BgeDFSY5PwT3&R8sq6{4fig& zQ!nMQqZKNQ)+N+7e&orzjp+!}W?mFi&?U-7B}Or$G8(d~h{4X5{|s9|q3aqOO0GYv zT|1iI*YeXomGqb#qa{lsGxhUNKUFh%0KIVWJ|&uLf#?*b&0>AnK#(^=y@mWyz;?KA zIngFusbkU3lslA3bQ<@oIjplJn6D%h8+3q{T^R4mQs1{cqXqIm#Bw~NiV|qlC1I4?( z5Goy$3Dy6bf29x_F>$!99b{?QVr!rWZ{N|x5|AHOJqAY<%!>0x^9WjVZAQR+& zw&F^A^q;*u`et^Ypgd(vibZwszg>y#N!)0?alB0a{BHBxOuWIv%Xg+EiR;qa`)d5d z;Jf*G%-x?snNNNA6OPBrul>yZ#jShYFKoDw7w6SekJZz3@*F*BdUAJu8r6b>aBAz= zDE4cP7J+4}85#D_2DtXwZ?A{!jZ0Q$*7g2b)@Ig?L~j6l*~*NB-3i&sEO2wir8cj@ zLSBAo*x;4DkT(F<`Dtq?15w5`;6MCyq* zXJl&ydTrz(aF%4_HU!&=21C&Q7OBi4Zj1W6&-!lzfKUgBlMqxKZha27m0FkZeR{)w zfdDw2TAX(Hzp*bsW#Y26|7yti0+k9&F%Bx`+h~uCc=Mlg6YYr^v-7M3-cemT-$tig z4WsBaO*q9oQ<1l5k8jY7xQxr(Na z$|H=L*Fo_K>2A$zA2Ph-&G&efZbfrDP3Cy`8=E<2m3DH`2#dVwgDeVOE(QoAoekS6 zNn4^0T`dn7DoAw?PZe$_Q4W{@KA8L{RIqb3)5RKP(#%Ks6GCucsjF(qE8;mzS0fB6 z$otwQe)Xhm4>P$0A3szu+ARD`2J=1Oh6Ac>X41>n*Iy8_g3VnnJ7KW{d#41r1YvPP z0w0~Gr1vMwf>$PPcGw&5hd{FKF3QU(I020!`KAfeat!1cgHmf#C?0HI)l(I#FQKau z9WN;vdMj0FkJG)zXF+tAHTwsvONOR3mFN*wIpG6?4yT4c+g4r~%#kr@$|4pI44WPu zC%x(vUF*`@SC$;=9+-T04YkT5tQj!YWxXbrbgg)t>#`0EdBS2=*CH;gbgk}YJM~hh zxbQothZ7j0_@m`Mj|rY+qhI--qGQ56Q}=C)y`}J`_UU;Wz9v5#e3QC8ms_V8#0bKj zC%SXI+;cdx@v?BU9^o-a9{J&K<(_oqRVzz(w)Yzw>YJ-_tABY}R%? zV3!!|O!RzypXxt4!2j;+{^*_2>h-K0Rc!PtVcoOt9XnyDA)(|>OcyEDTzwnPhT`s* z)lJ}P)z!C@y@;EX-M5zIz|Xgv9TP?@uL;|X$u}6?sh77u27{RmT9(r<&Ym_9wy$da zqtDI!c)FS0hhnuuTVrrXs}(2PyCHpsj#R@8(`$>CRNFCI5?5Ajz1H6Ek4GmtwBx1E zYF^eh2TKU^q5*K$;~|gaIFK#=1l>hz^=&LFn-YmKOPBKqO>f2*--EZjn_Y5zOE*m| zpSbQXBbwfBg)X|(I~ej@^{O_P2`ru#*Sz66-y$mV!Mk-k41D&>5%$kvBA-I?5=9KK8&tfovw&%HXFAH zjbEzQ^W^<>rCZ(Hsi(oN=%|Qt*0(+s*q9`Zuuw277g14;a7nU=lW3x3UnEYjP_QZ& zAz#d2QI4Q4L%*Q?cS^ckq^=BILpefFiLSmBJ-ArYSb+{&rfDQ!6E)pJ0c|l~r|93P zIFTkw)dKPUm8Ag6>q?V@iZu-t=%8ep z2J$tL(=8;>7UKcM|0@+H(nR`yR{DohjIb~_D=z|2fPTs(npoKv6%#DXt;&nY7v}(# zXv&f=Y5$#)DK7#P*Ho4QC~qoF4k^~OP@sd8X2Or_%ECdDi`k$gzHb0;bchygo+(i4K>85J#-iyh{L96?P0W+alG(b7}o}wx&2J zK%CJ@M(#z{s7;1k8VS%M3(yh(SCX(-xZll>-_0Kx6d@ZFiHnGk438kjz(_*H5TU21 zr=pM6)z((j#%*hDEo|jS%KVk%z^a^?zyM3I# zbj9{Y&#`T7PtDTol1 zMg~!D8H0JH4G@%822mdwgZZS95R?W6QEwPUc%|*B$eo!)_@rkolpbwwGsaJpcIS14 z(#=$svZV2j98e^(iANPkxx$U*;?61K@kGK)#O+b3qKOxk3c15Ky4+f!@b6EjnyL)W z)eQk_0}R36`RJA<5*Lm{tbb*e@sTwW56(!ee_)pJhE*CD4n?egVV3cMRT>X&z3YCo z?tZl4pVxn_|1X$jcy5|kirQHC7oV(IP-mM!p!3h?hf2uo0D!ll~>+lvxrj zLKG+E%dsTcgvv}P7bE{6<1IuLC&kOLB-lvGOh^HwW~?$yqJ_UAoqRdCWYdo_bjrnm zf5>7pjX;`+{r%pRpZE=6?0fc+9^*=2@(%fR-oo3j)y!+Yo+8bb=}RM z8-@>EGF;IW#-I=)W>|lNP#7U~%=|t`RMAw%fFLB|NFakC2og@ryk1CHQFX?k03u{q zfBjHUAxzBt9!M}zOvV5|B#=lT{h%-6XNU{mAJ^xrY8V7xp09W7 z8S5DpzB*p6H}?+@3@!Y+UrrB~{d&6H-0x1_o_FJahBNdKIMiz3JNkQrnq<_^`qmJa zs4LM8E~qOvgPPvtmcKv01m=A2>}v0H^Kf+j*vqJx#y`9yy7c+oHSXiRqQm>L&(Xd8 zVfVE6$&tg`;r4pfQd3j&`Ld$R5OeXcN8!$UG|!=C`)Tj&cyrsAlZE*5A;d=4?Cpl> zN!#olYHfHPlVf~+;@$1zRV5K2qp^`VSWOH6(_Rf7#u7~%nhrd<&jM+wx^GQJy&`-m zI&6t>7MnuTNO(OutXUx6I^QgVaIHABnJV8p+$@9mKVZOLmOQi>>fd0y**eoKyLe+1 zinWpO*|6|gteFmK6->UfNMm*A654D#v8I~vS-6=F;$Kprkv?<@e72oX6GQkcz)T0D ziU6fbDBoGOaVnHOI*grgHiU8wQ5YxOObE%EG9ON)F)x%IbvA_XFBxDaglG+!4=2z_ z6v_@Z8$!RvNxtSMjFV{=h;%{Wd_^+5{ox;j=3KGI?`uD|jPG!^#p34UUiYRb@^FNh zAAmSaE@y(yj%GOgRd*;X5ALew`#Y><6Q@9yW8%)Bks6g zIIZ)!YI8cXr-C2v=akor?+SZlZVDt2Xko!l8gy!)J{1xox}7MfBVr{PNDtT&CR!{c zF^~HeN+^n44h5VL4kzp&7^H##kW(u&dnOW~C@>m*B$VlZUiq`%Hy)#2tea)(@3}qt zu#iLB)W9}{Km9?^+br8&S>W58ZiYTQ3b?Mnjb}0QPDqTP>31Mp>&$lsYI*-4Lr` z!tN8b&+;D1UqMo6zO(;AmT10<0ECItZT8QmQY1@#q?s|%%D5=?|5=AR2~OQNcEczh zw3c$f29l*zQ7grF-9ZTDRz`9_`(?YJ*yoYpfXP%m`+)>95n}pQfVIYf>|@u3WQVnk z`QdOOViy30;F*+@`pq>G)O263{M`@q34s3D1IjVvuk2NW%|wC21cAeJBs-|fW(d!2 zIQ75Lk!dx6YcYUJ3-a6QKX5UTx+GW-c;;*mE|_H)5F_whXfI?mhoLO{KS{H~I|1>4 zVDka;!7CN7AHgt2eONasfWy=~r4ATOQ#b-8BPM9TO^c)-4%LrpB*%N{-zwiWM*L?m z!KX&HE8YiKTa9ozNxl>hAaGkv0SItF0Eh{`a93in?lfFk@TasC7UPt%C~C#hY@ZYdxhr%wNWeX2nI*QaWDulr;A;)7rGG3@pG z`|Bu13NP~GXWrM-b`?a4 z|Fjf{cA4YELq}{H?K!B01}CMx*HLjf^%{rd*8@Hdl~2*axBjy!E+; zO+Aj*?odc;-GA9_wP&Lo8YEpvA#BXi{5KORhsUm{>BjL<$L!C)P;BFP&*r|)boD2e6uGxeEz}By8+b8l{cfx0&Oh%(QkK_FJ-zSfD^D=8r0Br3x|7kZ*Z@o6X z(FkYDjc>zUC^MXvu?1)IdfR|;chD2@a{DLZ#o>6+YiwCg*GT}x7w>KD$~2SV*QWi; z3|@-RC1;Ts-_!`Hs0%d-fyn|svjs>2`$VZkP^XUefDjZO$ zszWlzcsD0aGx-^>oW)W0C~gst-}@WYHc9o@SZqL;G`QW?Wt$R!%HM6`Q}o_dJ;o{Q zmB5Q)nTO;_%bYM0- zVr;3F)Dc+3Qt`*2C7^1Orfs67X_BUEviiNid{Am5UG`I>?5Ac~kqL<;-JS*HxFp@Y zBpn)xf<;{_Z+~H;CN+8ff+5o&QKx{17bVMqm$h`+;1=g5i?oh&f?wI+PU*0UMF7~` zU<1rhlSR7XlpJ_|tQD&Bkgs!9!%2x?xRJ>QgrRTCH1+ZCo8UPlLr5+KVOzGKC_q$% zE=`>?AcK}DIEE<{S2$;&P2D8TrG%qwf#p#=B}s=OMTeEdk}s%GB-EyCF} z>K5**7HygqFK%TAV+xyTisKE6<4uZElcI^5Q;Ue%Nt)G3|1li*5DBU#WohBE!M_JF z=I&YkaO`C*O);YLsm(OC9WW0i)4#J}mX7#4n?69VjV7t8i!va^N%klns~#TR^~c5E zqs@&rz;wkrrx`E)9?-|X(v#u06w8VN1SRQGmA{8&P*VmcGKCOJ6!o_%TeLY8yOb_C z+)HOAY103{OR}W~ND5ZB5K*-V*0dOOD?=Mo;7e7csaK?F{FkKOMZ~xy&Ak6!5*k1f z`q&G1DnL^E|3;FYhlj}jBWa8Be=RB4p%}h&0s0?FME|dnxX~6HYVhCvJEmRk9*-(A z*7X>=KKBT^ynWn0ClBuUyS<)HY-)5jy>E+LzZ%IsYWEpZwm&YepT0o0chi9W+Z&gk zT>pH|Ve7cgj{Ns4qh{~BhTSCSJKY%>8F5rhi_#WPs3i%q#U*jpMN5luyr>Ba^KDl? zg~l3SRPyS60pgi!tY}3=&+oO`^mRa-*c?zzEI(=Gyf75-n=raFUtoSq8G5eOWT%h@NeJI6$9_^4;*ja)meTn~doV7iju&TqY zNAFQkafZMlPKeM0*B=0j;pubalP(+cDTJ1qlkpX0oP__uF;ybL?0uO<(J**FUYYXQ z7I$@i;QRf>*VTuo;}{&HUhMYQJda+{sMn3>)%jcht-Z^e^TXB4hu%BVC&&8H%k3L6 z&p^X_0!y5wuOl_1U_bNvlX3RcV{8A-Pw8f6a%W}}n^h>hgw^{lVxx;*nzK}a?*w0J zCK3(<1gpXQ9X}rrMB3LbxLEXx=0(s6w{_vz<5<(YFX>E9_IUZ%?Q}W4_Ef}@NpYcEy~e>0=&hGm>1FA9c@d_Z^G~NFh6D3^qwh;-(4&> z?+#)Y(ixz*`wf03Kdn%*FdsQi5$ayW&=&u9bSW5G(ho_p@*2hK!(IedV~1I06~T~7 z$;N!-B*oE1wJ?*C%m(*3mf&|5yD(-Z<sSVhkx4aKVW$V#cH9H7rZtk%s#@eew7O&?t98$?PK0gi)V~>@-3t-JqV+5OeVI zpYBiil0AFQEY;7TwBoyE$ob*P1MOK~I77*2A39tMo(j$?Mm?5I*$57Qw2U9@S+yT$ zm5eU04u%OM1m#1N;IG;l-^z>Qr@OW^jc5G`TRyklrl6Ar$spcV&JnqXpqW+h<^5El zy2roLte15dw3f88RTdmLA8>I&YzX`~4`6i|_hn46x;T*@oRqY-Rb^^F*xz+reN@so zzijs8L5XKM8$HYCIJ3ES36?q4+$zkD-Dt?%z=(fKPJj@+?eVy62SRt^@C)uEhvV5U z6sdkYFl@FFMDgaAy&1F^+GxqEhzkmmY*i4E7Gi?|e~KmDm4ii0q6N~b<9sP(49IB* z%ER!84lxm?#AebyYE!Pb(}y@b$MAy&&kcFa`bdF4+97+-979W$hccN!gTMdXLiEZ` z(oBQ8&bII3-`_2J;F2v5RkNtFWOD3H)M;zsl!)Ca1;Bho5sgHY<-^%XSDgWUKD+ zPLq`_lqPa0KNYX0jz#&cgsCd|;Pgjc^wF;%vr^HZa_65#b4sP(1Q#_GMLF@g(ehnO zF@MMr;-Ww=*E#f14LAnxI#&?p)&x-~_p$I;Q2N5bMq!sj0to{5)Y4e#g%ppfCFTl} z9e=G#^ae>XvS>_D(GPoFd7mVpyKXBDlhHq??+c5|(o#SWajDD72JbI8IFOT?CMR?V zIxIUl$cbM%Xa64g*;Rtz6tbn5f*5x8h%b0Nv}1U{Ze@#5V4N`-Kafgd1F_NFi0tzl z2`T`HR8@BUhX7B5{xt{#>^`C9nXZNuC%oZi%e2Jf_Ok5R1J7vmsYyCiwD(aLFext) zQ~Q?PM$D_&-4HTGjCn1FTP{oL#_(I)kqOhV*cfOgFFDUBgY!NV?5GfzyP4YW;Y8lZ zgkR1g*)y@c^b|4cNbWsMq%p&zdrc&dtP#hKp*~`L6-)?_obCbD+TPNGF|i30tQzuX z7<&B%+oVi(12e$C7?Y~3BcN-p)_#9>G86ql3QLOQ%?}RhK?(>CN+c;nM`n(W)et{+ z2&xVa!WTORK*yl2;2>ZzOm%TghaiI^D1wyvH;LOL<2&O-6xt=xMEqEKjV8+3G^#AF z!8MIsK?<(ghyqJ{o&M=hxC1jq4LK__)LoaZkXOFNxC{k289bZ&0f-)o9Jo= z{(%H9N4V)%sfQm;{0=G8kzD7(QFJ}1rEUV4$mBKa=uohHX7gNIEQ;=h==>CD%Yq0) zxb)FRUuMe_c(R^a*%Ivt8iGr6?+*yWI&zjaL<2wIi>58<(pH+JT2mTijBkyZt zEC{1rkN!E+-f9*E?8qxT>D!xG%Wuz6DluueszrNuEG+jvIp9S5+=&@4g2RCcW5;&f zBFhQ?Jnny z-bRAhfm>&J>;NhW)6SR^LZpy)&nc-iEj^J^!z3)xhA1tE5&$~+%rgv3k(1yeQybI|K_T!KJgqzA6@G#9I> zHYMGmFh!az7dr4<*$DG6p_zCt4^v=ym5im~!}fW<&d>h!HT^#EJnhhxy7?vlzWOu% z5O>zBMD)|AA>G);RM&(1XZDbtSl4=g4UV{yCs77G)#hfc=p*vN`N8}6`MC9xU!X#a zu319f(@^?G8YzYL@hh;0X??yx!rv7~E|Bb}{r@=Tqqg&9<|vGI7wPm3OL;aLc94IEaXH?Z%Qt3ag8@ zk>+7@H(Ws+G@zvi8)(VT5Ds&xXAs*>9hATlQ6El_EASQ?;#QPuu9TSq>*s@Cvw9xw zbDIxm!}5zFC(8@y{$a<6hTXix3^|xBh#N{chk+XkhVAYj887pM&5OcBkvar4^B=X} z{Md5UK}R2@5XKv2{I@vLX1kV0?)PoGCH_2S;I@C@tIp>dzEZdHS2Yn!s^%JtAgMw) zG}Vui8y7TDrXjJh$g>n+vQ*;Fjh^{P$+IO&=#3{gy;#1CBfprf3e(rDS|%A*k+R^# zt%7bD2eZUU*aW#i)Z^sg?P8MMW`vLap%Gr)zfMxN5|}~vYtA);AvgDL&6IR~UVO-W zpSapdq3qM8vOS`Dnz$Y{+`XUDZRwim9&K6D`wsCQckZHf^lh1#MC_!zyWv+S?F<-< zzJ?u^G`f>T11egYWmIT5QYoea7s=>=6xEfYWJh8>z1Lh(vSV41wgpy-W?xaP?P#zF zoKe21Ok<_Mc)Eqg8Kxy(%aQ>Lo{`${$~@Dfb0jF<9-^(+PPL#LL4liSz!Ve|wk{mD zEFAWCIBa@2Y@C>vDQ)lA))1yyrf+yg|x&GI)rdbiXBn%JX zo4Ys(FW97zle<1Y0&5?r8UD-x)zAV+jG=te`4z_9PTy&@ykA(wL5>iZ>ZbvcVq8;k zDv?M?SXI2SQ4t}NDmU7&Bs~96Yf@ytnnw?Z|D?P9`|U8p%@UUvNaRRf*W=`?j{`-( zF=NJV)i9qljr;H8KOb&a{YmFTnq(bys-rfQD)l&LiRVMy08M|1AI?t_@UczS#C%Na`E;&7 zB4qeJ)baCwjh`NLH@q<*te15Av~be|YQeNN_$?b$9(yJYW}l^h=#pZoa~)}tm#sl%S!yS1bAFM6_OgYi-ix+AwAIusY zDm@?UvO=o%3^k`-nI1N@CdOBh1YW!7hntD6R!B3gP?S1hH7cyi&ucNXc(OSHky1tC zj&!oQ96?Au)O^t}E1IN{1aZW0j*FFt9pGfv5#c>Yqai;xp)ErE%!qMV0DG z0oAFf9pw`CR0U@ZhOW?LUJ7B@8gZqHn!I7(@=67w8pUObe!C&V87c%~yW8tfF=Pt| zGy^jIUQro~NClgR>NppUCD8MIns;$*RS6s4sDW+>{a)p~uriOdsc|4VU0TB1G*%*j3}wadC+HGZ?Uo1>MWQ-3Ccdg!^CHEMOhYe<`OW~?fSeniQpm(M~bdP#y<5#I>t!hQHyH1~b$b)gae&{A19h$=!fvBU_ZkB?fS=Rq+X6$ta6TpxM>ND0*(4Rs5(y?pui=E05eTrjbIer z;CJ}?W8yjQdv1H>gMv`e8%%8I)6fAO@0fjj@$0f=ax!a0LJ4)BNL7A=Ce#tPcb}91 z-{GAc%kXLWM4|1i=so`hSoCgOvWWAY&aj(U<)?EiZ2|`Bt{%S27eQ6}IsYtu5^fi~ zIsulh-f-68{^&$D;j8g3e~GOLLL7(P5teJSgJ}>CPHP92tLecd;^kD&=5(tkjcbhE z)3-~5AwKmsh#*f!yBLV5^l^m?Mfr_z_^%|CN@KN^g1g{>ofd=Deg--j1JFJ ziVUBJ!;gpOejPQf)bIIyS;cl}vL3%Y$Z&UBUmDH$(ZJ1iuC=09oNH>+_f33X9q#gc zpS^3C9gcVg!hS7)4PeKIuXiJ9dl00u$&Wp7>BsJ}21$<3BML#o(lE;U-#|;t>*s?c zP^+r_piSyCq`@?ey56eyvt#V*4+SDw>N$+rj}!&&WhCwkMHh8qBu;rLaqJGbtw$Z! z(!#Y=x=~FCZ*imUx{6SmO3ZXd5Ko%&-~bY-)m}e%W6Lq^Yj~s}pJt%{dX4FRkn7ek zd3txnjQccvD*92$D`0cgoH4O+vEW-n;|-5#+Z-Gcqzc04U z;84iEUYe#T_&-Qs2Z-OpARz<(SUzX+&p}dD)PSnOV<*NO`_Vq$mi^R|aQA){iiOeC zN5F$rH9#0&Do3`|pj=L>QGhhChbY!f)mB)KhfJ)iwu1p2ed3309Pcxe3CZLIoCUNx&YHVf{6K@hI=) zKMbeKxGMNT4-SIQfEg$bY6v$HRw9V+b9H5lZ`0ySc_kABS7%{y6pX{_r~4y(L`Ihp ztF6DnoHF_8*y99^40jOi?q=_TVcjPuB90Avm2$K|JlGITvNgvdo@pm(uh-9gtEBEr zxC7$$+PMdRW7H5Ljn7HTjSnltVfqzAdRBD^x$&vN;FI>H0$ z&QEgHWS-6ZB$d+VDHZ9J8i!C^>puzs`oc+4Bg@WxJ7(P9VBEiC+|Tzqf`-+47ui9& zipZ^-f)p8T$6iR0T|~kDAjo|?^ z46L0-GAUcXInV^Y`#ps10~B;s#DLASt-%7Kq=gne_1h{vcxA}i^;(G-?y3hmJ(h20Zvuaci5 zXV&)3wRFohggQegqk&4JhSDJDL}Z5v;(!4|unHK*={<8yUBJ=Apu67XR_G07mS9JwP1-w-$dE+zZCrk9?VC*) zwk1B|5VU!xOtFBN8yEQjCmE+H60a_L<`<`v zM0afCO(V@o!|(P&&sL$ku~lFMjEP)}2hcS{9jKIn>$%-z$p}!X2u43_B_K9C42j}6 zx$9a(rCx(V?FMHX5p}ODj|Fa(T_?9i=ds?)2`nrhrG(Z_fWBk}j>zw|Ah*YLnZQrEA!Jbe|A|3il8LOTZI`zrS{oxBt2u zPaiaAn=BRX=Gbb42BkLM+w62ZygqgwUJk zBy-`{o37;z@+8isb<_*>Q&!4Tq$=tS4;zxbKkV#MxmYKv{V4X?1p1`}`j<~3vO#{= zMv@S>XQVxN=Hk@~Ys3H4V>7MY{ID7QIF`)qNsD6Zgx=g$wy}3^t|-R~+t!cPEpxZh z79}9o8NV+ot>7q-8~cDRflm`ryr&8G^g7Xh5wVvb=+=c@W##AMQ)8cx_dcLKJ@ZZ? z@Pwed5uC?~Ix+7RCu4bfZnq~wuHPamaCJMxYfOgj6r#?OC}Jri_CNLf6}4s0a>e2;@PN$fK`;0*5UzlP?tX`0F8B${LmZt@Gu5{!ss6AY#VY zz=tQ>(7 zilW{hA%mfk$A(9Ykz{7t#(eG9n?F;gp=M87xsrY=70UCx>D8!N;RL7YAX1B$Y#_$2 zMZ>5?%9zQ*c>8HijhJY@@>^1@0}0Mkb*hbLPphV#3HkT3=5SYwkO=$3_TAz;665DD zXE0s*Wa*j(cJxrbQd0X#G3+yDrRk*nd_)|Y)w-remMqXtRuPd(R19lU#=o3_bd*%O zmM5j0P;S;eu?`+b&5N09#+hr2_SH;f$jQgkyZC3@XXP)ZSvRpZ**zMj0;8)ZizGVB z84{yYwiIY!gZkJqS(IYGj~KZcPMoV5x}{jAePd@>rh5$OSJ}UegO@Y5=c5Ig(`Ky% zMBQX8!iG(;L(ObCrx9!%O0sLv`UBkug0KHbV@Lc9k^&MyO$!d?@~n!?qTuUf;Vaeg zv!mr($@I;n0C)2Q(^vFkuZq0k5VAJ|Y_;`=%LWlTttmNbHToEA_;cG!Uax8i^$TLZ&oINNN<2 zY>Xy+H_ZBzFl{#GVKmkrKw?=$Vp%})W}M7#Ze_7BETV~xrP#qYQWOh-W8MIM5EMV| zl8EnLchiaQmw?D0ExjYqK2l5_PF@ob(R4F{TCNRlYOb9_Wvd@rtQ|79winTSA4Sz2 zK&@#S!m|EFq$vQS8L*V1*GLj$70zN6uA!Mv*}$7)=kCQYddC}I>BB{IM^VE2r8Rl1 z`kcWR6N`rPt3^Wc>E(4a@t#Y3l*z*V){pGWy*|!#_SWfVw#>}@FLw_bS>ElF2d=KT z5*OmjyS;eR**j=~?8*ZSaIS)EQ{-D>ni-eghQ&LpU@ol146vNrny+EJP5LmStvyKu zRoh42ye|G1ZzF*7rl`w)2hMT^jgD<|phpABQpU-6_BM?;wYTRAHDdE$$R-@6(yCek z8rc`34rt^Q;VNUUTIdZy!tJ*6!}av{h5Fp4Nf>HL)xNKvpd75X|L%$g-;jg;pPx`^ zI{uYF9ND^~AnzIU$v9~fe+vQ1a#r5Wl*p`dq;ysZt~0PS43r$L^KO3ZsG;@4;KHQ` zpfbfk^r6>2e{_^Aax-CmziYj0vu2m{IkAsEcJL{3d}m(S#3%RIqfh4MI+m<{cj6ci z%kIP7So2EWjqDQXeU9!(J2++=9ca?My7vq0*J?-t#k7QTjENE!VR5n&|_bROHsPSc8%S{+>( zHgeKtWDzZ1B80Lss%5}XmvFhaxr7pO*&X?OwtE$Q-`Q_O6D7m*AhFBVLIpwO3);C?ToioR z+QDz@w(-W^h)$I8(dM1PvrSyG?V8^_N}S&R8Ol4q?{jH=Fn?-Y($xS*raNu2Rs2`~ zv5QwsGw=+rY9NwX63m?wvsp&Q_sio^0%8aq=E&Nvu{PE!^U4b^^n?10ciQV| z$A#GjC+W+#;2y8=UIS9}ZfkdbxdG5K40mg^^;R+G?BK)p>FRN#`*HSBV+B?4rhoGR zJFcq3{3UxEwLb($3*=eZi~I5Otpk|q>ucD8_L6wX`IcMv#k1z(lI>(j7$eA~D~GzP zQ=k`v^vV8~34`$5qVK}zvF0jaiT~kRA+jE{lKZyPK7sd~YZ~>p`{2r$^cR6o@87x0 zaGMc$-roy8f2VxPGtEqYdyG?n73@w8cyR%EWRTjHY)dvcaVYMZ2+KNUCa`m*499hq zYII7D)OkIrb9A)}Z>&=`3*BFtFz|4uT3|11hie~tJQUvHzj#6t)kB!q0zcPIZ0@(F zFRpx&KG^F?Vrs^jd3|5eeL3D5n8#Ter726{@ndyH-%K6eE9UFOw7Om`!s*1lWT<@~ z29}x3zZy)I66X^e$ef9NeT7e9#5!;w363!t?PUh^%A{03Za$O~v;e{pSyEOq!`mOq zeEH<$vpUisnNT9S!XV^A!O7(p53C7F!Bnlc*a!o+-F{rwIM9qXWP2Hj^6K$(8}mkE zMZu(}-%IU(`0;Z2cb2(~`cs3mT@3tYn-b$a419o#R2fa|Z2LuWYgG3@(m2Gdf!JzV zr}cP3<^7g|GxAIQ{P=!vo@}__%H7Kwu=O}EbzWVh;Z%USLtgAq>+hgXG%g?MumE%5 z#x(9P%wacpq((H(5a}?9aNtHXZXfA@Ezi;2?V!M%pIJ*zwtmeajwLUnk(3xizAR;_ zjCznnRMmuW037Myk2U^lHOwJTxNR08$C7kfJ;+gzttfpKhPnw2Ui7a85ekT!7A*En zo5`(S?Sjsa?*L-5ztk+~^!`DMkSTwmu*co5W(AYzcE zA}A0vkU?T2!KLf%b5@o@Fp?fSVh5XI#C1u)F;V@@^LzRfAgx60EW5TTjJ?SSsUqb+ zCVoUY6^gbXY)}u-jU)J48dWYZJ|nU-a&C>TL>eEHI0?0gyoT2AGm_Scx7-%lE{>sk za_q%Q2!Mu4ua<#kzskze+%I@~)XolI<@%QSI+pkT;hC0syF21tExj=@N>ND6VrhFvo#I z1=EULCqJg^s4>Xgt$H=v8y8bZ)62&|<{xDF;PZC1Yy=>uXuBKAzPiPlTO=vFrcK;E z5~D61lv*l_dC@cvk{UZ=Zis_`DucrsK-P>rTwOU@Reb?3_ zU^%!kQDyIpnjO?1tcHfhn_Vo@kC&btkT$Yp^)tvwIT`!Xc9#W>wdl_jI_jq|0(aTP zPPG}ua`a=(b7v-f>Xr+_`+Xx zY%=lF)nb**{3Vh?s=C@c4b#=&ln^7?%WdcO5fatR2O+~-xmQnzy`m(r%?9~@N;-iI z@k;H=5y8eIj+>vv2uUC!tJ=eGU?p z=-f{%NUl;Qf^HGI9vHLIc2-bYx*W3NiEw?7*BKy^)sH9DCh#9(Iv|r3Pc#42e2SDW zwjno62m66s?5gmjxJnstlQS%M)oo;KGK4?C2QN{8Cr+2$BG?fn;pWy-YLip?uXf?& zBA(?zTC1~0SgIDumlxB`HvH`8BZ7|W*eTa>3dkS{!L$#PT^F2;o zL1B=v8#_u==Ch5*YC;vOdE+k%@2m-hqjzaa4XaW|R&9_6gd7iuwIVr`m*iH30I*y?NWV8bQYGQo7HL%5A z5@y*TF9jj~=#H5Apjm;+XlNQ=!TsrYB+J45EpvmZr>q6JkZqVCiori85qk1r%)XoF`VX~_H`!rsACdZW+N%VGr_7`UhhtdQp$F7GUO1YV z8>E=Is7SA;vDR-4^nOQ1}-)-ri3;QxT#mo62c!{w83ibH-z@coJ3%<{krn(kIK(@7UZbYjG=Kzc{vJB60&_S4s05XttKuIAUWrUw1NE1%aP3%D*m z?RVPhPUUu9UHk|1okqJi>FnoSS_=>OMsMf6(>=FUHtCtWC0An2>sfhmd6K7;C6AQ@ zT5NhT@Y>evUnQM4P3??wdEZERMH);uDN9%Z|49B(B^6I4W!^!wkIpYAQkM^}p@!NP z=a;2YE!ENb^4ULi!+J-{<=K$JXh9m*{hv#yy($oag~+;c5r)m#I&5-SnZx|EN{CRDRjdrwzbjUPOWT}@uz7`SRpWD=EIxzM*SJ5@b!PS|=X$^gubS zaBh|ABDA&Bp?g@^LPJA&VQ_t5yJKp4Sogy5GP`-af3vryMTHj31ezYc7{6GpY5ICT zytce9o}BHy?%yxoZ0oV7Gv{R7@M)Y-8UyqtePy;>m^kpXnF9munK-}xK--+{9Ou)X zdZ<#~PbZ$nSyQ&J-I$svX$5C{sRQ-(mZ=$B$JG6&`9 zkJjQtLxn)o=$m0MItAsOaSyC{EO_-mZL~u#q5`dLHot(}5&o4T=N>BluNK1M=wes=Sac?+JBqRnmyt}&7z=k7}Y+fxe(L)QJggFJPvUST7 zJGLN-r<0VGhv}7rPNtP)N|nZ>3|4SYNdZ6YsAn#0}B1z%0?`R~7TsEPPeNNu5#9&hXOK~c@ELRD% zA<9U`!I+ywG$F)}8Jhw%$e=Gip9GmkG$KaM37aA%$Y3nqK$u)*k{lBe6n$8pk3SVP zDovw*fzjj?LUH7u7;QtfF~hYn#?WVC&}Z!5Xs|ZM@c%(H6UcMVnIYllw=VPjBIv8o znY_tKx_uu~CBq9aBqZb+4_cLA>$lq)NcV^4GT3@D(3?GT@lP1VV(+p56+5oV+;E-| zt{mc2=sU>-9mYvoafdPb7UFQ2fzFUZ7U%}dga!IZb1{hl`T~L-<^i*Gka;hI6Hs2? zWkLO$)ZvW^S8X12lc7yb=qUUM*&UlTGpQ{)l-)7iIIVPynT z(j(E+`(tBcH~BSv-FQW}g}-ya+wY7KYZWX!YZ@AKWj2?-(sj-sdhq1fmUBoS7IPA7 zjt1%~+SBkQNlWKX7t0_P=H}$#NXO#E9kk2E!gh^Cr}gdIPyMs~+%?Z8_w5!a!@22~ zg6b}1-d#7e+l?$B6zAvue%=MWW4n&E;zvcsSTzf#L(j@P@Xh~>yiHw9E-~% zghmkzNvB_c#=z{Kr8iQ84*?YnNuh6q#^CIqeaOzcY6dqF@8G+%&Un)2#%G%2%;!DW zG(Yia!F-DCXevq{Uuc70bAP|UOGZ{e2`kaG`8xK0j7_sT`IKKw3=&nTQYwnpuY@q< zvBu}N{&^D58s{$7T)B5?SnlvOqEuLweuE8PFYJVf2&#N6XdVW=izsNO^0<3!x!jQM{M8}WSq z+h0OIQS`OAQHE3Kn`0j`9FqRb>n808%Io9d*2Jg};LWJM`8WkiZnrwAwu)|SbDhi` zc+M42xx^#um!dN+1m&5G*)2{x^-M~AxgqDPa<~7dhl(Mnz8bxe*)PF~xI7|g9LbQ1 z?AeD2q;?kB#E=E*(4S7|MUYl zxe#ZWrcTWpWgF7U-s**z(~kvUkoFOSo1_9KzDMf63fI$xjG>Knp%?2A-x;L3#h2#j z&&nEnZic?k$G!&s-8zjtqu5a2zrFm}I`aK896tM69+1DgDLI(Xi6wJI_&gavp3;%! zow$D8yUy=!wW9qjr4vc?)a9B$85P~)95i|^YWs9V4Ay?*K|(Ixljnp!D5yRdsD60U zq6eZPR9;*3x3|i+Pa0*88Do}-H_ev3-m5If(mA7ZgUSs`B`B~rzR(KK$fK$$3C!6j1lXt>}HWHGs%k{qL&)@kk~UT|D)lYhP%*~p#)rytP}2kR5Y?I@x^pLvo}~%t%n;vt zkj5`Fg;!>a8$C!7CYmCqaV3uKrwNgMOKzm`BTV4~rGag=2u9fm*BlRH)cu`%GsyRM zXMl{Yr;zTe+xNpa<9V&AVL0HZj0_V6+h$2UKa^+?f)Zcp^fwQDczk(30U%6JbY!_8 zAkvnHk-IStM%fAYa6x3)IrhQrxG;+TYf(wAV^R%WIZ`P ze_(1zyF9-)pEmz19UDn32=`Ja5FkWRF?4@mWXM_a-dsOmP&MRjIlgeC0y;Y?oK(k$2jc0C3;)XZ>HB-bnadBr)q$-scWM^RqA@hG9#2TBf1SLcax^%uu&k4K54Y$w4^hWntuuM_p2%E_)VQuL6H-LnQJ=2WR!(W zDGiudG@q73vdn8!bz78qI?1LC#?b}x}aeHD3un{C|NH2D_SS}R@+*T(knn$myF<}x`Tjdgoe0O39RMZ>yoRtrdWGH zvGT}FxvCrw-pY=2v8!@1a5>L|-R;|WCTI00&yNTL6pNry6jZIfpGLc{U7^)>wiem> z&`lipMYK1iKp!vf+vM@;EP`kO2%lHaQ*;eB4{KF6AMSFUN_7uo^C_~f_79?#J9t`8 z0F_68+5;m+Wn)kEgW^4tVHO_Kp|!u4D18 zBk`_7@vZ~)u6yvRJMgMo^r{>3s%!G9EAom@_Noi^s&n?LGxn-e_KFwwif8ePC-I6$ z@rnoal7H~@1sb*!g!y-Q4Ff&Hzdn)ebHHwc={llP;#qAWg-F;i6$RJLw@Q#4FG^21 zP_L;!i?=K^;`YU}G&#VDoF~ThOYw48^{}{Rf#3?Tx<0>HJ{d9Qzm^q_sS$hl3IqM}QI6phxTl`qWW!#SQ6NCsA!tQ4$ z-ieD6jDWszz4~E6>7{-f|!}oHN(L zm0XKp7pybq!jT+6kQ>&i6(_yK#Vq@8p1VcpVcCBrNhL0B|03W=--@$~+0tsBx@o9M z)_)-zkg&jV-y|F4H{z*iDOR3N5GTT^U@24{ZV;#3jBmB?+k@y}hpn&f$4AVj^<~dc zQN`H<_2u(c?5EHD@^Z$*$ErsMx93OBTE^7tUYYK_&GXI6*5{*jFl(g>TSae4jyEPE zEOQz z(0hK*fnM!C^cr>&VNQm_Pge@hPB=yRy+JxOfTuW8`lpWjvkKS&MsEhBAGnq zOXIv6VvK-3e*ESOp!UPY{}}}V2@!>lj-U@R5C%fT7)4G4k|PjBZ%~c^WBYk{-^KTA#>rJwDA6Dlvj`^_^|_PRo59j)yIUTCmpEjSu4V!*E=;mnRb&mY4hB z)WO{QAL9T3N`KLWK3fUKh4?X0mh#Z7MgR-y?^!_zT|E0pT~1|1>Z*gIcjj!PFhL9l zp89KwM8-IYSTKgWDABYQ?$N1+>tS>0TaS)SEpMG1Z*^N+8{SQ=nrdHl-LH1IqQIZ6ygSqLntD)djJm@z@gQ7${7&ogSZ0R$st@hVLV0hNozh-SjEr|Oe z%uxPNTQg~(aBGWNFP6~-$)~OtLb(<~b%+5JRR#i0TKG$*a})Jucih3U*1@uV2Tlj@ z&)-3FcEGedfoX#T#>_As@3J1(F&)d(oB3q($JzvDa|ULE1j>91WBRjiG*A|_Ja|5b z-uOGf_ZJ=CM?+JSKFIfrq19`V$FotYtPe2=C+62Eyqa(twRtUNY zVN|>L3oU3u+GFE3a$wqsv`BFwVJ`&AdVC*~t!MXAftR6~PG|yZ{6PXAljY|&cr@|e zV8M5(>KjJ_+Iqi8D;*9Nstvbyt*}VK?S5R|3>HQ%zjlWkQF==-In2zTjxkDW4s#3iQs$aP@X@EjxqVrb_tXpMy`#xgSMo6&$oeV6B@D;L?cBn6*K}mCXclYoPuM zw*3Q5{soI>0=pK@%4xLSSGY2ZuvAQIHg#Ejc0cS^!;`*zo?2YL{(yM~N$mBlC>}8% z@(iY7^d?@#MX!hQyyT5^yRDBgUaqR(#Nxis09K!PX3<}nLnKQC?MR=}tTP_SqIV9= z3VEWPPMj*MQ_Tu#qX#DD(BGJcB})ccSZ$-UF?Ef8-trMWnH$mBHV%onL`Fl+roJ+h zezUpMb%QMygufZ)kGOvOk(*cab(l56@At((>;u zl-S=&FcgFjkNrapbA)R~%_Qk{n9?^W3O@zn)=>ji1qfkuPK<$M3%jWlt|KB@F*h>f zQLl%;)pn@9du%Fn!j}8`AJHGd_`)^!2IGH_H~vfjGe4pQ4C*BQCGHB}_-9n#G^z8p zQT$xaAu_s}i~*MUQT={=o>liAGU_vX1uk-K0#2IaFAe#t;tE{2O%Vf9uno{$PQMSk zln&A=GR#Im-q(_T59y%_BGXvLISSMV#rg6;#Ig|UuZ!LPVEyY7`o-Z~9zz1YkZ;bl zHW*Pk15jiwACy*WR}(l@kFMC0NY@{t$jkWe{-9B-t^((3j?WlH9B_Jh+-nbuBh~!T zP?#dmJ|O}4>8h~M*Va%!m++akpAk(hCo^%iCO~*`p}dn*%Dw7LiphLtD#>YdnRjqrKT zz|JuDNX!jCu3V$SJKiK-Lzp(%GIy31xF*oieY4>R+faa%}F@zspsx6e}J} ztaj`DX8*Ne>x}Z|G>eSV`SEmOl$P`DvP*$9E9bqFt#QGneO;>S?)}6>KJ;I*D)!|q z?(e^Amsd0d1BwThDa@Cbq`9#csScp-cM0NhKCNXs3oqntJN6;-3Y$O3nnZcS>t&@; zDdS6ObB91lVv<@*2kQ_4Nv)NGC?qyYyQCyC;6z0k@sI8jY~LjXUWr%wpOR$Ss(Z5{ zL8=e;0Nx!gT0TA)A)oRK z)%AhJEyA`q8#uqmk-wi|TPk|>yc*|6e|=bQa`fL;4SfIcfikG)RX?9;`dHoP#ppgD z1#uf)rQi1$PCNk3shjZaXnnOFsrH=^jc)Kd zuN+=>ztJbX_^Z5>D}L&&#Db4L1K!2QiUUtR0A3&AmLSbmpjtP$=v%>%PxXcAMUd*> z8PqpL>mJT3stZ}vH%DtAfpq@TNtuLgN{QhE`~)%5_!a-?STiHoAs18p?rf(lVdBAxqD#IR z+P_0!=|+#jquLWqTddk^3$9mDb=s)H=aqJVJIfwfD0rAmv#(Nv>z@QduB_MsJNB=5r z?$zxOSVU~LvbO7L>f5b~K6acArt!qmqM%`|D%CV7QZ5x7u}rgi&{C9T$+|%`t?-VP zK7l*MgI6`v3`FHGafa%H5CM={&8BLZOR+8@rYDK;=17L@99p!l5|`byK*25NGf1+m z8zdms-VEuzt6}h_H_Peauwh1h&)K4BfYUJ58A{oB{#cT5{6u*SHNQp8BkA`xH6wK3ob5$YzlSVu~eWialn%ua@`!P(=aOQigC- z_TMQ79#9S*9%fhakv?YQ9>Z_R*6M%R<8`^(@Oo|Mg{goGoMb}oA^=-a1UtbnKp-ZJ zYp#H{i^13qasRnaZ<}hJidF={AKIMunT)`rD@ae+S49F9<@Z-rL@kUtBOI|)ng5UC zFybi08Byoy;I|Azg0y)RuO}8Xv5HX*D~wLyHT<#cUC?(3vsOj7YR5h1b~g+pa{)qh z?Gl?R!oTpeCyC()a9G$;Y2919(j$7sj?)(lK>$UyNL2^1O=7Y;Z<+UgEK%&yb>)9X zqCl4s=fD5MXpcoZCXyhB%q{Z+m^BBNVKih>werA45(V7*?by$>z)y7FPxAO5n~3`{ zGx|gH=Ep>w-%@T4p%A|pSR!&Nw4R4TRw0fmu|a|!gSwu17~lv(1S03=nFoDE!+Q|f z?TWsav4!HAsIru>)19G!@24Mvlb>nVioVu4eJ7`$Ry%!Xu3jr=-?av1pX6eYuYWo= z?^6G`4@gv3O`mC#POSwuXVHUL(SuQgQLpj@{>3Sg|F#QC>p4Il#3x58=qxBoOs$($9uL`e_Oot?cE?3L|wD*YZ1-&>&hk#ww0S&;;IPbusN{F*1XP1JYrKr&&(oIr!7~u7g z@Yw{vSr#pcM(P@yw~qsN%Lrh@9?`EgThWPsfkPEw)S8>9kI<*)LKaLI7Dsq2^Wvwi zBGTXBg&0)(hcK*1zFHw)y+lN-QAn*`^xJ}uqaf$T9?upy-HYnBWv&A}gL?R+u2rPK z?hG3bMR}6(R^#x%*?Ac=+eE0#pd&8ORP+*n6?3h#L$6WvK>ETUh|ood(c|@n<_I9x zT#)dn1wy+5|7B-zzf*hUQI8jXE6)A1xo`+t&2G)>V(>jCb5 zHyTnyP-q2Y?l@T>*AG7r2T)n~d>mpmT6T=he7y!CV>NEZ&ONVN2)Q6$Y{+)m=xduV zc@G_tTvJ(_uEvCuN@Sx7WT}!uJ%<$!`6MYlar7Mnu)d)v2t2D{-efAfrDod#@>?D) z<{z>+&=I3Kd82R}g)vWR{I|wI01<=~)ymP@Z;KM&C!Y3cu&3*@zk05kwU?|Z_;Qn# ze& zGorIOO|38@-*9IwbU$I&jv=t`Y`NlCh-P*D6DT@66r=NCapYs_%C!S-*|no{P5Wa1 zVvRS;`{U_we(GZ5;`6A%k;Bu``QzpC<0158;OnYdXLnd7(-X(_YjMK|4Uh0$B1ks^ z9hY!jLP#wlPGoS1GwRNH{rqedU|apx=gBlJ--RD!=8#u&Ly8=X70vySurtwn}NAFTeFC2WrQm^gjWDX?to!%bi z$_fyw3@GcZ-aO{Y0uZV!C@X_r%bTvtF78%$famk!Z+pPZmeo%m-|p<=y^cb#{fyYR z*ss4^+1|ePteqRr+%etAoguI^$GJVk*qi)+d%wUpS9YU8YMgGyTMngZHifQXwL330 z?+#IIm#F;9pq71!))!9I$4X7x`bten43B36OgvQTPt=z>>xL%oE6wH`D%}+$*-n;g zK{B$9r!q7<(^7S9=Nqlua{i7v%sT`s&)-ISbImrUq~n3&Xw3SrAh(+T0)tNY;zb*Y9#SCMJ9Mtbck`XJ@sP9R+ub-V~$K$MpdU*vT^xMajwmL=|OYt7j1@W&mB>Fls-6yEiZ6>#eYPSgiX1 zy=@qsqdlhHCTjf<9cIfR%F8f{i(4eoChGkV-MirTVkiS-Gy^2yd=ASP2ecbcJx1Ot zO1^M}{B)T7_G8o`O2IG+ky~W^Ok?*g{s8@882v!vdfG}cY4Y9C}v%0H&fV~-*uyck{S^qo~oc)RKj>FhIv9Z!c z(&pt9&N}`yWao*lvMjFA<0MHs`4_XM>akqBU=wNoLjR63!8vy(>H3lWj8!+Dv8e09 z+tuUwb>Dh*0^hsS^k(w@uHh-?!#;j~qT2j=I^;0gFDU9qKtoTQO`s1QZouxd?tXuJ zSTK#Z%+bu+D9h`a5=(jU{&}{s#nW+j*70#MbH#gZ&Z=&L#GT<(UzFI;X3Sb*>X>D9 zvv1{-Hg&e@MW)Hlp{Zs9<CyKuIp@E!1Xln?g4T`3js{oUk3B)&-EScpVv;vm$|&Sx@~w5JP$V? zx;kV|&)!v$j~+q22(U5Nc0ztcgxK-hVe4cUhx3w2AvF>MdpUcx>5`(iI)L|hQtyx5 zVnXh2l2_xWlS9Y1L@!h#SkWC&*ps!|>S}hcBqQ@AAr=YnLm(3w{D#^|oAA|>G@>Xj z|IrxXN6As0SZuw01ffT22Q>j$%KfOC!%Fojf8!X*$#^|nJrgGu(G(6E1~P8Pp!m7P zOtt;apv;F+AQ2H&$4imV`i{*np!Ii;_ZMdr@4EYWKSmE&cC{G4jJb)c#$>?2tw*|- zR28ojVewK9kq`o*8wB>wHUyj~2s>dv!mpT7yFm!i%8kpfI#U8x$>+nKr{61EHnj)c zP5$M;*;}Ayh;Uc_8<;s=Q+Lgn8cA#7OAI+RK5ds@FZph<^*6X^73l4GXUC=^PyMjY zulF|=f?H&LF6XD;6M$!t5(gi9w7B^jvT_V`vlXIU)l$fa-5`jFl$dajDd7fV>^jQG zO@xt)2qQZldIo|xTvby6K>pbt(X%3gt|>lx8b6Gf}i5q9hV;B?PxKqL3wxqEW{ z#A$)VT6MdB9-?xa>I-=32*o6mV+Z24HjF^B%oN)Zy0=TFkSVuE3{ISFpjKg*jW|Gw z)$;L{{<(n6uPv0{dB@}V28v&t@oQl!=)^>U&i6#?b0+X@;N|d`GnI^BEcy7z_)_oB16?!2}xO7?M^tmja= z2s!2)9b|TK2*K$%k@LZ7JEZcZe_CiRT7R~gba%Ur+hP4qM>VDcXOdDLF&Ig_WETGz ziiC+AW7{-FQk?(>MpC>MmDEH!Wl}hQ+Gtb0&@bgD7^^23u_qXzr)cHN_$h9}Hc`SS zrGxC1b)*DOLWh~A^zo$h@yhh^LCDen#JlDe==s%*3aV*U6>EM z@``Cnip&z_a)^NmqeauX`;a6|;7iQBJ~4tVO?WW_F#;@>7VjZ(h$z^6P8Mg-YZ&1a4T)~wQX_CE+yOZvE=4j=T~ z?S~n;4H;_{Q^qJJA{A3kC^S8k3h?hfN}RG|fvvM=?Xzaa*a-hGkEGN`_HZ(i9nM4p zSN3%VZ-Bd(@Eb(2)$1y43b0eG9&BCp_(omZZ(>)`ecbZ(pBbKf4sb%3b>rOiZKA|a zV*A+@>rE*$z?wWzRxi}G2MxXdC&^8j5m4iiG=8B@J(zbstbbLVyy|IR!^4aawdeN7 zqFnd;vVIBR)H?bFKQ==75`!f%0?z8|{q+*7`}DSj=}0oNO826~8UYkfYJ73-E!)RY zxGivIWO-cK8lxW5uFiAIfHpm%rHAL(=RFDfz<}02qLtz`8GOfpwmzaIhv!J(HEBFH zqQ#Eq=>OjxR{opA|5pSSYvlhPLH;=v>e!GrF19U~=kU*kA+E=!ITc;#;Q`wnVq_;* z3ZP$CThG_I?_&{^RT6E5T3fHd$$#q4eX@Dq3iKm2y`C6- z&RyGUc>cyky?-@lFGPq42M~y`83+(Ca^j>izFr$Ps!WfnSxHb0ia`N; z<;$3<4T84cgioqAEdp&Dkb5pv`{H>D9UG7bE>ux#QwjfV?}0yixe&}OCXZjJptooe ztlNZ;mkEeNOArD$0~4r{CUcD(vY5yXgBcmgiP}_BQ&^PAkpdaxErr7o%P+B3F0tY+ zvBEB~D%OcIJcS%$g)Ym+x$D}TV>tw9oTfh23 z9?3n{ju7>+&c0@LxT_!Y$?DN}WVlNJ>j~0wy`d^|lY zsetQ%>$&88Kia85$@xBmO%L(H0Ula(mcBHH=zO@AbbC78Yro9uXx|OC37!o!Fd6Ev zBaPBzrJjYR$W**+(q_`GIaqQUcJC&HOcMD86#ChwyvAu#LYneze62F2z^ z!V6*1IiHG1vWx-wu4ezqY=FbSiwXd;Y22wqAEf$ zE2Y?T6W9#%95%v7>{wZQ_naeXC)h3dj+xQZ_8wV#=a@^DCiC)%dET9#1CG+ii@ev>r}-RTFQ{ zW1Oek2v2!cwEnW}d|vWVJlUJ@EPI@%$0$d6)%2gr5x}{q@*|P@lK{*63CV|e@~03g z51@Opx+cG)mo--79+_91zGvS?#}SlAhw%9Z(LWXd;ngX$y+;C!M~Cq27T!A|3Gyvn z-yqJ_bnGxIQ=N!mNcK0?6{c~fG*-p_e5JTJyGZ=_{X_Sckqka1aF!7(k)0!O3E}LR z2@^0c+4Xmo6DtvfV?Zt;#6IUJHh(6P5DGu>GLU%OA&9te+^91j;ywqz3WAXXP8`Ty z=8xq0Ghe?>Dx-^rIIdS!LJyUU+nFU_oKkZhIiyldPcVrnFbhI7mfv!>5(d4RK{32U zJr44CqdI(nJu0M{fA%?w@TS?w26jk@+{6~x2yBjB*m|~bD%L`mk%6BGtg7wtKEGsC z1A48u>F%w!1$P~iT921vg4>JO*AYS$?NiOPA_nm6-B3cq{}i$JBZ$h`rygrZ5aHSj zeOH|pvHw93RrvPOjUd9aH~OvuE@mGlg3W?0MxpctN{itmMS-Zohp7%4S+=W+DHP5F zl5|!_TYS{-b6y z8Gg5Cxxi33mVaM(ql}N0UpLhX;2pUt>HAgC_bQ`rQ$pLJfVD#Y)CvZw?*E~x&ptGl z-VXT%o-Ltx^6%~x@J$$*|M|SyQV~lO^&?w1Z&wg{>ve;vtg5R1!A?mMU&Mt{2jB{M zJ!lq4A^|a?t@*a)ifaZh5ZeYfW|op`VCH#~MIFtA{0r)Ok9{`I6Z+nF$7iQoPz@cCKqL+=k~Cx&cs;(e@2 zi8DL4F)wGk)Lm%N3-L!LjlEOjiiFJGDF~6shA3 zzrc8=I)d6EuHeALyMiD79XimB12T|lc3`1>oE>b!BtMOv95XEBG=DtDF(_>MF#iCD z86$W@Z{I9CIy<=Fp&lB$8YVc@5g)95xaRh@88&uiAd%xW6gF3MV5sA@5$qgrXxK18 zfMeJw_AV$aL$o0D5&S%hjeIGId>M&AIZ2=jFM?&chT(pC^|FI}Es1;`i9kJxcEdrw znPk3&WRR6)yUm2~PKwY$3dBi@(?v?;E=~9;4Z!n0N_WCw92hwwND@nwfL^23DELqvk3gz6&;`5BaN6PQ8Ko>|P#ruw#^Y^spg zH*p}Ds$K>X_W!fGFxyv>Crftb?lEPiL-RmT&a{G=qC?}r+fMY%=a(2;g@-cmS$(8) ztokm4B)W-sf8`-P6_*LHz&7 zW0CEcos)XZ@&3pz5b-H8*w72jCBLk#IV^ie!?GX~&9rs6vVI*UgouK8spq#q=>!;M&fSybVyU3B-bx@RUKBdKeZp;@Q+QEAOa+35L)Ogi8WbAfYGu zCBI{+ZQDcp;Lf$=>j7a_Bj-m7kA@L96D@0QK5Z_5ZWe8#axfjBWh0-B_>1*sf@ZUF zx89EA%*OxCEb~o{(3_m?O-|ms6y00RLWdzJ|C1VPzdh=4nv)l*2}J2T)m=tE_g zC4fAE*X9r+!pUd*EhL9S*otG&i;6HyfO!J1$T5hIV?f@%SJuAQ2YX|Oa)XZYKX`k~ zpvab{TNsDIox$DR-3E7;#@&5z9~j&QcZbH^-QC^Y-EFYTIrql$Sxdg;AL@CJ^*YM~k=HI2F*)5?7xDSQY7EAe~iBV>WQN+Xwaz-V&Gof1wyk{_&iy4#ChFxOq z3N=UyGrc8+R;&m0i9)CcL&IgP zU7r=pqAii3ia3ZH#jMWAORa+Grm&Q4nS}PO&0)a(@wwhY!x}reXs6luU z4H~Z<+EJ)Xgx&+BOe96l_0BB;;@G5#iOQs<89EDEEuT@zz7&fChb}X5XVV?E^v0?? zQUlz%9S3G_VRlhX6CblI(7*+vytDVTMw1`nEKXdKzWh$g+J90;gq;x~#lwVc3P56iC{a6>(uQV+}S@qfMIJLOsl zx@8h59#LZaJlYV80uki9S)t72xc?s?gX+6kCQhe7OwzNVLK!(D+3D~<3}Lj%{gTQ3 z=-9Df9%A&@f4f5ptvmF(mc5FX$s0|E#-*_tYJREfUjwM;*t5!tH4^4s7Cq;)ez}^L z9>N;|HQPAR99RbwUGR}v2Ug`m{L`s}OF<;Y(XE%ZK-pE`)#$@hs23T;z^#DaOKaho zRmyb}A?Ib8RUu*jNf!84oN838?9wk=;|e5D{3>Kx5t?drQNW&K7M?4;{ZG*sZJ`Nt zk;D-qv+xGARR1*U;1D_xR+aK>2#Bvjd@xk1f-$}+ufO1@OuXaefw}DNf)<3Iq$im! zTkU6A^;?vJpR7l0a)Rbmg`uK14=~3WF0V&+uY@C28+9L+lrN^&29_FY?H8Z3{60^v zsfjwa53e^PS0j#}1?l&Hhc=+msv06`%XYJO{X&vY9$e0nZ#HaKu{Sq$vO{i5ESsgY ztJ)}6BATwE5W5iPx-fm&{7Ro^;xE_sKDKRxO5Jxx2#=C2&q5?NZ8zY%3YSI>9gQum3Dw)EN4Sw2;50I5eq4~lQ8LdrXw9Yx43%^HG6dLh3Z@p4gKl#kGyt--k8jxp+2Kq4&0TlG z$;k_N3&FSEP2)#WY0=W23mf7n?aiGsGQM?QUeZ^>5R5~q7Z#qp$wlM0Ig4lU@+H|G zo$;oOOMPpWB^@tl18!9zSn+pK!-V=iI24@mJIOoF&+HxiJZaEx)ra;Mk7?`?R{={p zo~W! z59kYb#OsJZ{N`C^X040psG$&B`|L2x{+T6XbeMHlS!8lO%9#Y1*EGA4PZ8rsxkyR1 zvneb$HBK!VWLcA|-23g&X0|x|SDCZ6b3Uq^QI7OXW^R}u-O?mxqFKDw%EY88uS6G` z7I#dRJqcj!Ww6NB5NM3MmR?&~Gw!XD+9G~PP#N12Vr`;!f&)AvRu_Px#SH;1b@I%fklp*@unS!SxIBY97`_kJXbg?eXfd7gM}c>0i4oUfip=@R!D z3q&LqR;ZhvxjuyQ05p1q;i9jH>mvaMeA9jqUiBJlx7F75nc)FkcvllzLb)%L!o$I}Pn7jnO&Cd|qV%2BYRA&6U z(?rk2t=rc%GgH^wwG&|cj1sWUmg6y%Z#C6Vbtk{^(k_0c zax&H;`D4V+wuYbZWaCBByeC&>)3dToPTVOZ(!}E|tb#f1ebZ5CDj~Z_BkJcBJr)-fAI?Ao%pE|ziFKDH;)T&p4F*hIfzzH7# z;|i{cdLR8p{Z0t9sE%uS|F9&&SUnW__LA%h&ZYQ_Pm=?}_%X*pZowo%fscyz&!>m% z_w`G`kL#lb8v<%aYvnFI&^b%Kt_fPn+V6v4opq zOw@(%00wL6`oIUT-Pf+4M@0F?`sv25pDh{O3cVV!k> zU{(tRWX>Vj+TYacvnkX~T;GqV`@cNgfOR#jxje0n3ckffqSn|oWiEDSRMnjzTiUD( z|527L&;%z+OfVxU#9j!N9c7ATi3|fvO)w=f#hyY>O)w`p;4Vy;rZFPHa0CXbDl?;% zq_DmK<5v4iL`K4_^pHuQ2MQX(Rjf;*m2ev{R}2c>rIlD=3PH>7D>apjn~jvU2TB@~ zq{F3bF^X2>q`133z+r%-7lIO|2cYHqm6{$jWfr_Be+FstYQvk*KrPFSNN6X>dbF6# zYUYg#$E$^3`Ldm=~_KSgkO=0;a{>v?5Y+i|i_v#oRI?JhZ@7#h;78 zChEb|rMQ#5;gjLi+MF5jSW;1#!PL5(Nq0K20B#EP=zow|%yo}@3L`NQW38k@eIoZ% zmz1`+e&02mmW194yAlAMRe6yXEDQ318o6F3x{FU)I{krUU_#y9fvP+GRq@T$6tv)4icz zoTDEQZL%*Nfb7=UEVHcT_jus^11J1rQVNdl3fhwsBc}qk+|Q1e^3;GAN1LftU45d) z1JPF}=qu~YcQ6`rO+%LqCA>Gbqe18LH#X=lzLt7xay_T^u1`M|Lw$$;ZSBm=!p;59 zp-i?aYm%r#TUSL6H@jeJCG-=K;z-&xgpOUjWX za@>*AR07_x+7gqf>Bz&UD|Ks6f_<$r@kgt+z?r>z&Qs|4Z6Ic(r$(R9dQ3I}xFf&2 z_xDG=ezIl^a6=w1FTn-OPg4PNfy?NClfTmal9~-0fT%e zleFuoI=u+j^&#WGig!V!Re(>>ix{to{}f>}#@E`=u$`f8_bfA$yl!cImPG*Oi>ID+ z!s0CXo28jr>|5uYgpyM%VawF#emTHG)+7sIS~&7>N22UG^Xflkppm>dfn<9;fn-ZJ z$lJsOkT~+YzSJNO6BXE#vlg7Cb2dp(Tx-)W$BW_SxH!*v72cKd0us2{(UoDA*5_%* zB6BnBHS|%sXg(G^trU$FHP(4ZauQ4Zu57My+ZIj1%`AD85`gvVsDrX0NH_v@?jqFf z5(quoUrW+E4a)8n@OregTzf7gi9DI#VvHpDSV6~P^~YkS_j$-2p_m=Tk$UiX z$+=>T6!}EAvD>z@kCwsBjt zt#ROsiR10cR;W4=H*;(Zy|CeQ5P$Cd3+$2{k6vnGWG9k|Cu|R}45jtFmk0%;fDNmY z5zq}AP(vHwgpIQJeH9BR&dW#C!lQSt9M@-aH02fG+ z84Qb4SoBL+6YEMLd>#1S2cih^>2SOn3z9HnyPp~}^>pm?f$59Oo4R&8cG}@>w)o5I zN@127HV0Izqq4T9cKZN%x^-KNJv)&A8oS?&}?qixqWDMer9y%8)<#md)TLFX3@Ee4`QeHRb(J;&N(@sc&$XVhjO_#7jk!iOA} zv)u+DgJwx@H=w##pzF~FEsbtBNHa=re^&?_I!-FrcI`SuWa%Cvg}GJ*t4i#)F1*&F zZdZq@Qd@1s9Bp-8XjQ%4gq&orqNZTRXx9qQU0$K_S~;Fi8)_+-yp0mjO$zZ#0tPGw8G?WqhT}&LA35$n0~DKGsMlN3?Q~(A z7=pBudn;si77I1cSQ1=TN?l}(i2}8gdn(4Z7fl_`Sejk1*IVK3bb}izOFG%evzBB2 zK1Yx$qX@j(XahXSur`+Hlr2v7{?f4Z**1@U`Z#ZXS~xsIQ`uk zd3G6KY4abH3t)-IuO|YZu*8KUg75>Ivn;Y~$kJho(&#vn4Oq)^)2_L?$9nIMa0z;F z8p#G@nZ(#SGA#RrNgkxA~eB$jEYFiAOaPk;r zlh*13oTOw5h%#SkCg1?h)u7m@C&{S{MVxrUkSw3mrB{SaEWT6{l#U;otT?;))OQ+5 zWll`gwxliBcVf$A($Bra>^Qp!!pyx$vqInl@<`K;izmJ0J(Z@s|NP`A8fG{W9K8pb zHJm+XXhpS!zgMI+8Pd%&1f#~c%}#b(;g`9p^^*TWd#7alKKj^$X1VWpO?ne?>!w2o z^3c%<=T%Iy6TfH{-D|rhj0o_3{LM#53--iwiGe&HS>gIL&ezyc0 zC4?8TWpTE^A>o=htQ~XBGTX8b6;wCqTN!q%v9Zg@b)@QgEK~Wf+4MV-HU~wU(WH6~ zm__GJjL)0lu4aa;6Nao=_c&@C;gNOz+pJsDmwb2veYT5K%1EAl$bX)b@}AXcK7w73 zhzEvj7q^FTp%>}5!*|z8p8v^5Cv4IV+|z3tNBHv$%zKun`7m=m+PQ7aV`e_SkY+M9V1D0{6}s)k2Aqb~{;mIAEIx{XC%@BW;U>S862DjHA}m-@Q?F<|Ar z|8uI6aYY)@H=iuu*Tok}h$Rvyp5$*|rp)J`~Q0?$L2!;(ghI<|ubXiWPB8~=NVS%oA=e+|gaJQ6!$gj;E(+;J>ew@njYTvCQ@Tj3XJWV= z8-Zwqz{5Bv9c-i|H#B+`m0~{+XT)SxxenY3Y$!NI>0~ly z?ifoF2f@WTyiLJD`-4t5IRe-*g7<`IQQ3?WX?2dc0wrWCA!W;| zw6;F185f0DBqY!RQ^^HAY)3mXiMGOSTX@*~#Q8Hb3~yMQo_wWTltZq~hs&;yj+5QY zmuRIq&c>A_9Z0{7_jIdS$*xuavLZ{qlJPalD}kz#RIVYKTiC={y0#_EChgHqW#6sJ z0>2<&SbU*2T#Ti}+8krRMY3e_7z$OM8DHj(xi&18J{}}ZFDu~uJ%O9J+?2%^hGH&x z)x?`IfN@T$iqMH)o-%O8HWZETl5U|{dE4C*{r<1;MG?8no)%R8v|JT*e2yS~qy&4Hhv#CVs4*0& zI0xLySj*(IWvg@UB0%FPQF--)i_e42XZ+b|_g6!{nK6axzGiL+l1SiWLNsE37d~Po zMaPKD{oTfc5T7j6Q{c%qxBL3qSQ=l&UAvyXius%N36DsRUH`(|L|XV(CO$z$6}SFs z*$oy-+emMj!rDjJ*25IPWp*R|B?A&Z`i$t}CxO4toR*$JJe;%NRu@*snK=qRAkf)K zS@5Qq%Oa!9zk}C;L*O~;@-?B{WffuO;~FQ(ct}PDM-cbM?*MX&CpIUyv?z1+a>1Ps-ZOvoQqKPQ`*{WRIcFFbf{0F8&HVap z*gh2bs@Ri=YBK)cn)p7epTs_^w;}F-qz)|+K%u1V*MmMUD3%54Cbh^}x za&`}`aA_{-BGUHAL?onW-p$r{1+Az^!o?0VcmA7AK~H^Ui(jZa zH&^Il3HZCZc^A*PH$vbQXfVzPaqruOBM!o>cl+DX`CXTE(#;TlPoia>4U*4$^N+op z8H=TIeiKMM?O$OP{)V(U%djwwU?QCX;ugLyKW5}gSCzZOnFb%Nn`bFX*+de7#j_A< ztKGV|l(;=7;(vN~5=gmDbW|;&)mFt5)nQJfkg}Xp^AAuZCd5BHitrOPF>wpGJ7SA<-7zu@jRY;#H@1aYz? zs0QX$t7FR5_8i*6Qg-FU>*@Q{j;*YkBu9Fe>FwJVLjl#+g?dc=gPS@)AcTbAQE>MZ-L<|wFc0F>g-^(zsX{5-UVR)_U z@7`<34OM+tC_(z`iRH}s-#%T&wb`Go)Z)Qy44A0LVOcz^G+4>l;K7M?y*z1!>Q|2FD`cSWfYw1MI zou?0dvwKBSg3E$7?XPNh87|uPSjOT? zti1GC4KqHkeMGWci$hsw&gC{|{X|5UEb*L?INWlpnocR{$U`5s-me3>!Z3#xiu&pw z?}v>{M|NTAtJM9jf?~>7>VI*am<%2+jpOQwl49;wU%a~>vY%n?z7wusLz{D8E33Ai zWxL-v#Vsw74HSlFbTiuy9Tv*`REET@TL_}1VTfj+ZsCGeQ}JXsY;z&u*@!r|Ava?u zHcT?sJdml16LOsm2Qv6!6;;STlkuea6JIssQ?;)GaTgXZVq z=OhF2D8!ZvcGn9+(^b>kVA^Kq>;2Qz)6y^$>!elEA?M8sOFTf?tJoUUglyH)RvB^{ zY2_}^6?qeZu5iU0;Y#}G_XCWb*_~XJ(NX`el-P125C}P6KRaLUpZ1kQm%~9THvw1d zQCLy|W>q#j?+V(WYiU*Fzgoi9pe=Oa`!t`v+@3+@;X+#(tnjOupmV${Zoc@!1Ti?K z`*~XnA!e!-y(;(Ra58bp!DY3CB4o_T0-$C%fu~tlTFnQYq=B(G`m3Q7*7~S>RV~QH z$sn_|x0Sov4$?4wrXbOH>sB((5*OI7^GHKKl1rXgSjb&pUt3QXlBAZxaf*C|A~Ce2 zyo9uR0jCnw1~cfwZ45E=15WdpbIOVLTfj`&ys2ZoXUiBY)7YMA;sE=d!^G(BEuW4a zK!+A-sg$lVGsId0b7`c{g(l+caHmzRf1-dz2DF?3L7&mhsdZ3qJ;J@;eTE8_KDR$}B&q&bjgBKJu%N zoLMXAYnA|_YuPa=NXg0_k0r#Ze4{V3B#$AkXAtuFSADSpT}!i*171{(kWo- zw`qy0iHV(Ng=(gzRRDks0IQC6tbJ=>eUuh8P2o0bvaEnnUiSm1IdN&F5-*_BPIPO z2OfBn^E3V2?R9H+C1P`PgDgy#p=i#e{j*^% zn@{iZ4W(#cYzZlF2uRU!f9AZC=*;BRH{!!{@fZvcW|MF2>sh)#ym4YV)_J)5c#FOC z^3spr|64jYvC!A%$hjgY@b)6|b~$(OvN;rSXn9xr@?!CMf4}W)@8E`ubOm($kbkvd z{7gmE_BSvFG7dQ1_O>Bxe6 zBksn;KQ4DM@G|@o#d)Xi_u!lU{@1LjCcbdwo$F=wJK3BsPb!CNt5la@o5Sr%9J}k^ zlZ9qh-xS?r-J%-I8;>g=5 z<2~(Xr3s%vJ69fSZIi~P8TMU|G3?R#tn^}_8y1%BuiOP<(by^OK4d1-l$7|r{*)gh z83hnQsCb2RT)OgFj8%K1l8%%T(o_k(Qqual_n8Io=%d|AqeeF0tT45Im=^r_5@`}< zrA8%lBQpwAiF8dM$fuyDltzEN{Z6|G6-tfXKJ-?7gx%iP15Mz=%^0r}9;p-Nk_JT5 z=b6=rt);fnm;|#!VQl!Tc;#;n9g=({;^^-7{%Q^#f_x?X zC}^Z}d=0XaNe4-|lfUZcUH;JQ@3oz$*&t_@Q#XR$vyVP_dzlf@eXjuA7(K>GXq$Ft zzn6o!k@tgmg=El7c4T|6&0E3Ozo27bWqEfbJo+IFG~W-mZ5jCl1R4pgwvfE}DyeqE z5q1bZ5SnX4X`335p#)h~nJ*;@VJ}OfmW>o?2feN*Y8h)hFSzf%p(% zH^;N)!nQ#n|4=@lRG3GMF*i0}5 zw%dqtKZU`vnOW-9pCO1f?vZni@eFe3P_f0o1k)@s`_Wf@;_4p`tpB7qP*Q-qg^D$! z#rL>{4CJCyI6#ZF)+zGT9ok<}M^gSAilGB^ZVhbq8h8F+^q}{owwf7pNJnx#GA-X| zyD{P79qaI|1g360&v!IWC=@TNL6{9FFP&d8x|r4xhW;VrV-R8EWvs2LaCta6=i7oM z{CeT%!wQ9s8^&@w7l5(RVz!wz4pd?+JdPqL92JHymD^!d5j!O$)9BT;sdJ`(F=nlidtX*YljX-v8Pepq-u=7R5h?W(GnQ!3iZ zwT-{Sut z9Qs%-xsISAn$03~y}A8cg+YQ2=162T8(z0TfMbrdL;J}SWLb@=kHv^1sSd4F4zsuMyYECVfj1JgVQo?zotgid1 zcmS{&eJ1KIMk9ANUF4Rd)$FU2}(&sWeo|-P56#Saah>DPQANw7i$G|>s}%70zH(` z3)UpNlqEYLEj!?wQaY}xXjHnok8hL@+$9IDnoK%+Kc6blm(?r&fD@z;Pc0D*D_Qg% znn>E(o$dWgUfo$%E&R=<8e4Oj%SICnmj|Y;nNq8{aeyVBK>t^TT0zT?_^ciyYvdt~9e;Uh`F&ZM zY@yXRC}pg)6Bcg`Ruheg2i)LRW&K%;wV&qtyr8LxohGQO?20L&qTDHCa!0pT)ZhUe z-PXUR&5_;dg=y#uIh2e$bfz~qI`6Eo353VKtxoHt&%kH>UqkuF?C?6q>M>Egk2qR7 zM&lFu%mS}=dt(jJKwH8Rdq%3i3EKs12J|-=pm>aH3qK9eQ3GZ~)xXKBe~T_DVqgPp zD|Xo`hg9(cZ|wrz*z&)$7kcC*dc{4@`&w10i<0{YqXE3=&-1W;-l_i)AE99mKap;m zh9G?v$o~%`{nyI+p8({YQ#3a|jS*|y%WORr>BBTN*6Lxpb<*c)GZ$%Uh8j-DB9}Pr zx^FjAr)J{{zto$IJ-^rIVZ4G|&49N{AYBvicYED~T*ZD7#A`ir(9JTkZm&cL_ZWh0 zeoy}=ns5ITy#JpR;_fc}q)tIzy3e+VgDzhF&v~Bku71KP?VEv_*CA^EB$n_blzMmS z?Ls_84EK_lWGHvb&}8X$w%XXno4RJXlmXi}S(`m`BV0kWwdd63!Kr=@Uy8;X)|#m7 zFxzoi)p$!JN@FhUZIgA)#*7^=Nqmu0kP_A-?R5`#Dh!blkV2MytyQ=cn(se)&&drK zYl_T!^>)`}z`Ud^7-JvfhV_gpKwe+KLfvYz84U#WyL~(T-@QS{=@+5J#%su9Qg<_rZeF?qmLVVBM^i4ps-# zvg~VlD?#A;_Y<1CO%+gsn=i7WRzQO7?XwBEeNNCA2~-G9w8YcjdUOQ5yli*hBcE>X zi>&Lo%+Fu=Ma+S!$R_BwD}0W>vbB|BX9C88JD!&VxISOi-oMKcO>Vii5Kg>(-kjK= z@T5Gl15-I|XJY%@lCKsY_FGvma#~re*Q*(`x4I(yEC-It{@xOKh{ZTE);VCX>j5*3IDnzLS6yssI+%%^mj{SQXV7KFvd7!eJyGO2JgWm zPt|+{pjJE#UPjkuz0V3#$w>DdZGuhCG#?2>#YSzeWD8<~Mt7Lhw?%=IkY02iStU>k z3VL^ZS^ba`4gWAH1U+chX+tOs1E_^(Gsu)&MAmW;*78A4S{PyF5Ng`)gnz@ah^(a` ztfhmTmMP>Ty|WPsHa#Dp*SF5OB*#VC)K-nx?i+j>)!sU~Kiik@>t{WX*k$Hla8e~W z5av>X0ja?qd6wQ8mfu&{a+nb`Q=zVbfDlPH_)6h@_0YEmWNvIF3uL9R!q~Y=oxG** zP$I|HFg`rFF`AkBznG?xp`3d`YM8pf42y~3Pks}V==(zcC?RH(Bw36u|b4i2oC= zRB~seM<@P$CcdjW{4F!GNa~#oTR}axJ^qFbU?4geQ98UHb^U>K0BR)izpd1mnb=v~ zS35G-oYsa>g0Jq->GW6JQn2x!7=9^fSB{D^>!!m>7aF~EFUy;(#2$<|Wee;|L4>f9 z{r$u}!;PrMJw-|pFqqzG*A^Jh+G4!g^aV_A`sl1P3epLzR}y@FG&@8L90lpF)7P%l z?fJF3a=!X`J{mo~ZRrbc(F?v=KHeX_G*vwzJ&pNItZLL9WnXm)ws&}S>G(yzL*4C) z$=<&tA0)ety#l=0$MkdrBKUd6{yA@Z>I$m*>WVzJo)g^&ly&82){ z=Gzo&M`{a}(hj@4tEbZ79-cgt=<0eMLQ?EjT~F%Ib6+{IfP2514Y6Xtg}eLz1L-(&|z!^&lfttQw#*-ryjANKN}06Zr+N>uCwd;WwdW zpOkUl^a{&blWSUHD_WHy z>SWUn+O*W#Dx$P#7R?nH2^G};j9g!I8eO!g=ObwPDPXhYJiiz?w&zfdo7)~rppG&W zpbK*#d|y4vg?TPOb(I^UHc(@*B}uh%SMrS2?!``E?xC&7stg>T8L=lL2Q zNN+#&IC#d;~ZA_>>-^7Isy(u?MddRwYOfJ@tBeb2Pws_Ic;@s{cK!;fJ}K^7swo z6X6!a=EjF65Nw!BI1pA#b^05m;3i|T8}h#JY5e9|2 zu~CWpGmS!cX5B*$?&%y?iHGuO24qGuOHN8pKM^&Y6t^TzNCB*5>F)v>$8TI zfl8le?oWPq03I;f_r}NN>Ek4sf>hzT(~^jCn3FTD6>jD*vN2o8R#15L676GJtodT? zqi@GnB(d$SQP3HXt*Vn`{OguWQ2?ws1&qr4*D04G{beFQcg?-!9ho8#efLcK?@(yj zoUNCBG?7Hg_})WHO#sH|ux28IXexT0q%kHuupP`td7LE*z>;!nbuYzS#%4BPFiJaD z)QyKZ0hMC0b1rpSuoGHcF@|oF6~h7?;?@1EdJlV+soGQ4}~d@PLiE^BlL7Huwz} zua?_9u}dVxxd# zQ1#*@`wYn~1urLhZ_P~?=A642sHK_QbEmv|?=u1ik2|N@!B{--aA4WtU>n1;k<}^F zk_jBYXGs~Kr5;G@HEPutX_De1RWa9=Umn*kcHb}jCK*^Dwbonk}LuFqX#b@e;~TinG12ljYb{e`o@Su zNnuNl{!k`u5r?0*KLA(Odby8P7g)_tjG3@FAf?uNX%0~rkj_nvq5h&ES{`0Lw03&- z2XnI8FE&f+0w;}$Q}4D1b0pd?=i}-EQuT>bYPJLXuNBSYofvKzO}oLD>g$Xf;cKzl zj!pKoc0k(|`9%%@=MB1pIF63&e0Lr@-AX7qP53_-tJ7uvEk@ zc4BfHIWt9inD;6!+BD{WAUG>|QdH;&@jT_9SGmf~^8A02$d`0l@?@d#-f6TonqhgQyy&U8o>WM^Af$gC8!i`R(!`b31u3g6r6K0YY*4^}@xot3&## z>2U+j>tDy*tBZ1gZZ-unkoxL93%yR(IO(h1pS+aEX`%qkDYu(f)J!2ATY5!LYi0Mo zUQr*)*_ZE+G#y)67yk^GG**r-Ho=c&FiRaQz*Fk^r|)V0>H7>9QJNH&RSs*6HHfcE ziq};uJo$PhZrXo=!YBa9O?_sgc{V>#KAV!@vRLji%Jg2mdF3#lUp(4iJgUPBoNOS4 z)#c5bZ6KBYg2e{X@Gn?xARYaJ%`Z)lH*dGWSo#YNzqBtn{nEbR@=Md_&Aa`|)8}n} zd?0`Pd+~6*WS)Q7X5=__*N})(S$WSrlcH`AIc(YCQ&7})D85u@j0u}%4;(m-%2x8td8pC zjI7FnrD!>&=%kf}YYQ}BlE9RSYx9<%fzJ7W=y!1B89;#n24j8s-Ud+58sNq!Yi?2{DJewL)sq%Sx^Bz09Bj> zKQQ($E%6TrDc=4S&Mb7GDr5?xdMkABJ;#V9hX$n7J;w@OQ2;{`A7p_~ksoSU4ssqs z2mxt660|m6Y>^4T1iKP|oy;IR=p4sju@9Gz8a#3vB*AV(z5ACdjiPcSpsh>5m08!D z-=~$z=2Lbi6()1%WO-gGY*PG~PC)_~EA7AlcI3{*Qm7g*M(V(gBmqp8b`XQ8+};^h zRryvK*`3ITm+DH>qlVHP9zv?3NZ!l?rg7(B!cz*dB)W}55G^a$kmpV(#S9C8O$S=t zh|Qr#@de2Os5=E}%T|or(tN7RR`%M`I;iAyOXpxe^;JIhugl;;*y|3k#rm8<^` zae6`YKg0{2{}LzuOYHb>arD2$sQ(s+{uP_cR?xmgI^BQ8khZk<6Rx7ydlLDBRqpfsm_P23OiW(u&SYX=k0VvGS}sc@xX zH>XG&`m`Z;8E36Um($$F(n zur_w+Kd;5{L!q(u<+tW&3PBF>i@FE⪻R8b>luu8*ytJ$Eb_g!KAt6=*8u2oHo)Z z{GuA%ikf8{*R8Uarl1XpF!J-R)StG{ZP|s4(nG%BmYzaeCrg1OfiO?z%;4yKxxmUP;RJSLGtyD4Q-{|aoU}{<$1i;6%{W>jts?Jk?Kdf^yv}2}L zoO{AY*@+l_hYeKu9^MOuo|Ie76;w#2Bee4!HbjN%w^6(d;<)u4z(RY?+u2+*9Z5(Q z_i19zJW%Voa5`shC2J7g5k3qYl0yxTx4(uA5q(|NaUFRmmP^rJWq=#eQDKOP7Z0MP z2wX9Nm(OgpcO4*ush3E~`kQp)S3fdYFlh~OSfM1Hun{*ZRvcG&KMI-RxR)tXS*bRWzjT)L*hge?uyGs@@XAW$@rarkr75@DAel=w5%4 zG@L<4wl#cE8yn;iSHfJb#x1-!u^LtmnpRYBw7|WktnO}zVB+c_h$NzFreCHo>&(AM zVb)3bF)thXsU(owyS&_6Rv|_j_*YIT(>L&caZ?_AY8dOK;S(^p*XmIXAD`e!=Hk}>+g1aSsS&sP>V@u_ z(;C-52dpW+kU!$Kfo|R&O4drS6YyH|j@Y!c)9G_XyW&xkkBzrBK5iu!kVTLQ#aieY z*D)R-_Kci^J1qCu6|`m7H?VDFfS;g!x1aaND`b3cyzd>*jCao1yE4(_ zaLpdNWgOcna{gja?FMnVnQ>!?el~6_A$Qp%Y^7%2ZT*Y*o_Fq&pAA=IDl}Q+}8-r5bZO+|3ZD)sgui zub!gT{du$+Niov-?Cx5X_G_%M(R<~G$U-63HZO9E7_X`u)g}Z06h}z%w5$BM*MIFV ziGc)&9>5R>-)2tE-sW`hd+cPz8~%VwIzxGSf^D`Ulmpo7?y~&MsFwepT}%$*v>)<9 zaPcF$kk(XK8J|OiQir7n69J%3X`pV5AF-eMhd}3Wd8OU%=- zju%+{79;}}Pm&b?dD??AE2v^Zb5l{a>J#Ks3q{84L&Z=*#n%xChrW7|ReYxw48asJ z8V=oyjJ2nXmczoF6-Q=3`0s?PHHGI_=x^CXt2U)uH`AsgXqYwC*y+-aa-jfWysx&&6x(&)z4lUjX4 zVLc~Y4C#Mq)}XY)rLv8-dGSPWT5;VMstL`nJXN^06S<`$ClX6j1kl_9^S;~=Oy^LQ zlmo=;Jv_P1o8q5yLgWvm`J^&FotPd&b~%uQrvg^X+Ar1#hnv!GWPiQUzSVNAlWU*Q zD0ZJ&$#SE_SrMsANo7>NqCRtpAy?HxJblakqG^sWF+iyKE*zu$K@spPt{p0oWg?1s z1fjty*9gTGgmJpb_5mV|T@8iIo)&XNsqV|dibmR5oL{;*NQk<8U8TGj5Qw1spOeGx zE(q69iSgPZ67dREn(D<;v;MNIg#f@{8?mN64mA!rJ;f$m@C-C89+=SwmCOaHnZOGM zrOgKhMCpqjSw}l%hIrqg|BzJ`h$#k{X#~{M;Cx7t0@5ZEfA)z7kqTbnITA`!UK(0c zvBl$&v)N;HpQc8HB7y#X5vZE&WvD#gSP>2h&SM!@RL}lUNEoOF?P#6J z$+^XgUf6GD@hKe3hPqQ=<-~VSw;NyEp8QR*H>(Zbd_UZjjEdBpOg9Cj_UikpA01T)ZS&+( zV*OuM47RAlfkVQ|rvQ(^&_{)Tc;k5bmvul=!f-|YB<>dl%|y03fy^m4mkP%`36f#m z_GP@QWn^!c>%>aM)b_^>(Q8T8G###=GaFEjGYjHI;e-U#$$y}4s34L>*g1x)u7mPl z`~>6|iF7DmyX_sgHk@PWSEz#6zP_u%KHi8IGS`8S*=c+Ln$|xuWcsaZ#ft z@nipEnKVVPG;`VVFD=b8aP`_85{1DpAI_)X;*~it3Wi_Cs7FTVuYu)zGZl*}I`3;; zxC+1gPk=6u>_pG0l{Boi>46F(*%qNKDo~>3H!RvB6V5PXRV-BFcs)6aG&16~xrsm0 zQS>JM`PAt2_%@W)rP^1hW=h+0AQERpVW5h-+JI@qT;w1iyEK9P2DMT2o5SLeGr)vfbI`n-{ryapXcP2rP|{USFf%bXTe*XCa+6Eo1~-pX zJ8s2B!-h6hKkYe&<~?aWLbbYJuX*VJd~ev#YIRg=fJ zv+?Emy?5aWCs+E^L2FY^sMpFDqvf@O*AQ$!i~sW=OZmmaOv!I-}-u& zUz<7?+$if}PZl%F-FWg4_}~6j4l%+ePtAQs$IC>(^8xsglk|M}ORZnh3TE;;j;hP7 zbh4Y}V&{@IxwJqXz=?}({sAmwYcP{v%ieZr9$MpX;``bCb~5^z%{;wmwO@-0Se>8w z+B!Bmo@LyUf8B`UQTSRh_???9{q9|&+HF{V9s~Ll_ncW@ZrIeX-e#yb&h3l+)1dcm zkV`66fZlUls@~A$PP9WOJpQdN{t#9-#pc(Lh`h0#7-Fm3%Hsvzt)KwZ(^EE32lUt2 z7yWtG`6ZqInj+d!Nu&GC$#D!}V)UH)SaOyi@J?e&#B8y)!U%~{wTV&Zt3t z)aKm?PP$imI6O$njG|@`6TMJaotiqI%-yx;V~G0LmWPUJ=lLhP_q?{?p?1iY_v)AT z9yg5xPbnc?-rC*A%hSqB7-*B&}c4!68Ru^y5r_5eR)`g+q zYup}LPSGBUS z-e(-<2{i2|;Ikin`>&iCGx><7&XlLQ$kLbXVRf|6-GyT{cwmwfg>JDJ>=JKIoIr0U z8l$5#?BNFOW!a7E=C2ek{;brzuP+yObp=9~jMkqdj>V-6Vn%U18RhVBN|Cj=@u#EU zKS3AkQIP-sFkpaFgFr`3&Bm<+&mksm04_LIjJZnM;qMxu{o-@@GiQ?fl^%D5T%oc^ zgF<#4$4%Kiv#sN{CwQp5Qnm)Xv#S*0D6~nW8JqE=c@s)6yoxWGkwTK6kt=@fO25hQ zkn_AT=iFKKO%uWcv|B^12^5Q2ltlj2J8OB#%LS|3+0&fR?dF?^Mbhl1lZ+eOsE=;| zquan|Ujmk&V0j#Ho-RUd-FR+J39Q{aW@>KHy{L8%W7}-X9}M8SllQczuK<-6wEaJ_ z5K{68%kWPCk+$#>r<)}Hnj#*>J3q94(q{aG1(>{A&#L0T@+Yu677C-dj$B z!YCRB5yag6r#r)FW>C9FLZTR|cVo2UX&Mn|g}nT~JG+fL29e0P#aQrZ3;D#HGneih zK9Z<$J@`gndba5MW_t(M_!MD|~gh@)AOXde?1~3n$ON^poO% zS#BX73+V{>OKmbgZ>L_L#*@YJ+ZTl4lEMg(&I}2cphD>oGZ7_iS0<^m3wTM`Hm^r` z5}%yv^HmHxXM14H?ZzZdE|9YJ4v-KA2O=mfy;!sbE) z1aJPqSD3!sRTywsch)+mE^5z>LP#{ezu?(RS*&0LeWvBC+!xsuj9Mf7)neWxBLTyBQ{5@{e4*19?7X~@@v$@tHB$9Q}8v>;N!ltg%9$TYR; zDXooh<1$mKac_kzc4L0{Q-pS|wv4Qs^hn~z_B=@>Hrn_6P&!GrHzj$5lXF?Z+HCC_ zY+0UPR8=4W|9yr2Bl+Xd`2L|lMSH#yclKJXb(kJl9YwT;*DvG?s{L7LuDs$&&q zyo?VyJKqM~f5G2tCt8$%_1!F7V8=(u!B9jc;XE|ssZ5FHAXQmD>i?j@@sh79K1ajl zqSJF-x6muX;1c4Kw8@->4MdPX}4TTiR+kQLa6a7 zX%)^z?NS=d%KDNZ#LFCh*ECJhoC5d;>aNO+rcuI@`@U)m$}b{^o8fD}$zIvV0$8Y< zD-aJ*D-)9ul7#02KgQKyaD~Id4j2tMeVT068E6b) zwCa%9N(8x`KRJ{s3X3q*^x6Z5VBATh(zY+!_eAO=FnL3nA~?y!kuq6omcckF8#8RO zDLgN%CZZasqBi~XxOT8Wm93H0PWsqEdTo)S4*YfJ;tRSZbl5Blg=GpFyxBnEOKC3W zAS~yQB)7{)s5QXy+lQ_7&rIyCWd?`$3)VGyeo7r{yfy{3&4-AQ`cH5kY?lNljn`$( zf`w3MR&n);FD#UVx@}E5Muvo5F%JZ+szfE{!1Hu7pq}Bje&~G~W*a_kv`l*JYR#wX zvvu~YRz^pECWh99f?%X6rl@PJrcV4!oAhnvDR;Z2X6z=QW9sJM_UihYMoCG-w(rNC z7QonhfxuF)h=sP|ukq2qsmU2-`0kTE_utvhu@&jq{-t9HS`q+0Y*3YQQ)?R=EvP*i z&4OUsjOopQySnWRDL<{p`uqN=&!&Om*yO|M{l59}Ky74v@*-Gfn{mz_F7Ae@C}FZl z;tt)-F|B{IJ#Z=fcP5p;gB}M>&lSqx5B-Py-#Q7Z7|%*)u!!rxfg%;U2i*&0w9e%= zP6mcKc?0iiGiR-+99RwuC1oX>;fOaSU-JLW4 z`k9)%DUNg!=6f09!y5BOaa0ObcrpEP3C6oUY!doW)r@p^XP3{XT}oN~n?aPt9BF%v z&MeX9l6SuKD)G@NYmUNpa}zgyA@VihY|J`&|3SL=%Ps1r$G* z5=qkL#G6YivEG(Qymor*MpVRH6BUD!zV6N2By5Ziu~ALEy9vBumA?oS)S~f&T;?iz zk&|2{Snngl9E6j7`(}54Wua|VIqg6hrmmdtj-2i=(vc-!-HDHqY5!bfE{zk66&thr zr#8ekLe}pa%Ao<{Vu)vGH~mTTzL02(gH4l2K37H ziA-KlB7-V77hH@OhJG0H zh-v@KL8BvRrIF}BBSGqcFl;(Hd@>$*s7i6#)B|U*A^ApLTA^8y`T1RH4g1^T75=GY zQ)Ny9?4_XUxh?Wd$Hurd>VxyqC_DeEV4|2o$!U!GjtPqqO)_am0WosOSjoZ_gj~v!(JWZyfQ}0oI7Xo7JeZQK3x;%)D{gx7k zjLTEdPc(Dik)8lM+neZhjN&#s8Wrg?P33ElrO&u;T%~glhspJHXV8e}#I}>fBf^29mNqT}C?AI_ z4I=cv+@7hAp$#&S6W&H^FQ6*$vat0Hw?!W|?sGm7#j@jjtL{)-a*1Vo4B%|5x;7+D zTIyp<{x>9cXn#~w#xz~M>AR(YaI-=W)7E&1V|T-4g0Ye`C^OGtPkH-rPQk(|cgQj8 z{;kVFlG!x8M{BNbKzUvvl|Bfmnd034GeWVzhL4$(qm{WrBMXBf1(oEitd2F2wf{c! z_m(l@oN7oXj%zzB6%)l-@^CyVy>qrV+`e+7{Qzwsaujk?(bKISpy$nOXjaTKE8HZB ztO&mo8<)a)S{}60d6rz~FtL5Bz$ZhvNCAfD)kh`>V4Oow!HWLzf&nruzoNzKNmY6S z0>%d<#Vrx;W@31h)JxY>dF?es#?0d`8DOWM+CE1KDPrABlv7Sf-RWI6?m5=Cu(*bO zI0C(5GNiVW7`7l>bTa$+X0F4sp%|1kR3CN{5p6O63rihDp6fSh!{`iQu<2rxIrfv3 zqRLN$a{eYVlHYNCWZxCU)z2DxAWjO4TXS>Dt*BCbizfLHo8{+wbeyzC1#N8HMcFZuku1F^rJ|{=O`OsWS}53gi;MfOK)OOZ&GLO8E63vZBv4>k?|=)Z6!! zZ=W9{q@*yQe%jp8TF<|F9gaXD03xUgSMT%^1YbarDv)eroKe0ESMTf+1V6xGVk%wf zTGP8Oifz!0SNhNmfjWn1*oe{_KNKik>1@-wIdswDA81Rqdfh|6B0otY*)8{zoXS z30#dMlSEI*Bdx_p;8{NQc2ak}Kz>e&t8}{}^vh&B-6t-d#-Q^+&0DkBIk*jjHPk1c zEmS9{A+a-a33GmGfu_F%p9?Df(3lhRZK#P^U@Kv)=5UmVz&^^`!vBDac!!u^J@I(& zkXo9_2zZ_g!I7-iW5r~J$X*W{S9FYPu;dmEaTYyN?0CcSM@L1$TIy;LZ3!AC)pUpRP3F0lc2k~QDkTfecwj>ab& z2ededM%PnuaNP49Nm=U5As?2U#KF_2bS_?&TRJ5!ks)DcWcarjO&-E#Ap6;btbrfd zgp5EAs|er&-fGCKrv_rkY@;?}$SkJ@T2G{#Hd;^C^jxOyK=yp5?btpH6&+?tQ?DGN z&ZD7GQYte}`um9l6p@icXmpY){vkqWc9@vIumR-^JaMN}!_*PKeaZwhu|_g@2(mo$ zD0ZQX^4C;sh!q_i%4D^rsv?*z2AS~^RoMh!TLcqff~+##<(k2$Ee1IGGF3Q)VA}K% zVS+32)uoysxGe@4{!&#K0D#)$@3C?lu$|=zZy;Uyf5Z)sD&l0-x+iP$5VTnCTwkSz zSoPp;O?cm0?`+7yT$4Sot zX`MD*vxakhbXmGxxcT%+c+8IT;HBl=zG`@Vt^C&+_xZ@c-&;;G&saZv3uBwMI)*K? zR#!PlF4MxZfw>6!Qb5Nf$K>Zo0eR92MAJZ}eZz`#wPiy&sl4rHWiFN4!2T8IXL^pX zIMuvp<<}WvGo4}y-6%J(pC`u<8RYvskPI~Lf>(~z$a)bj<7b!xGQvx9)PibS*}CVB zP71Lc0`y=-DrjB&jE%}x6ArVPo#5~X<9 zXTCxK6rH{A5Zf^0by;~f)tta|SL>{NDolS0Yx?tACCk=wBurG}fB*sUlkdkZp*0;ZA zf|Vfq0rSmUT09j1bXN^xS*G(&{HQVfIX{XFt>gr>b4eX5n65%^5AScEIkut6CeYO= z@I+NTq-<PU6H5Ms zD{r|5MNu?Y)tps$%e6;#wxT8Zk2&_{aGC8QL2<%?S#NhV<%qYdKKz3RV`nz`sHWKq zX@sL;0x45eP~M;W>%N$|#kA^yxcML0so?#5(GQJ&G-Jdjmd|9=w{K<15OMsRtL;3d zK(^hBo+)lh(zzKwR`O8a6lv^y3Xx~> zy(iaJw(*(HKH`(+-f1sN%zqok#482CE;mTD8wEX%Wo$*VmtdS~Rrx|Z%eq1W?mea> zWlIfnb3>ic(KNx4v2$fQr0ShXUn0-D$^GxAd#hQy1)3#u;G1@MNR)49!SG04y7)`G z)D-#qk?D=%G1gKfNR_T-Sh7ZGB2R`Vc8&;b;gE}nGMj$e;gE{~Th-bW%5x#c!i^Wk zh$wwg@=v+{Fu?x2AcaX{eY6t-*u!?q)QMw$uNBh|D&K!w?0;`D&pDhC=rc?HnMfFppq;(k zu574D-=|T5*W~HD@g|=$ki~=)s!^96fhd*1-UFk)a~?Xy)uGF)qjzg(;w?B4CJ;_CAQK&8B$J<+Sl83#=f>fLQ05xX)r0u$de=DymY zzal4(3K;bZHnm@kE_@}0(k6ctL2I0}POZ#Dh+ojTpXU-ZZ1E6OeX(w zCf+DC0Z{L$G=cnf2t`dTNT|){zXdi}Zfti6)YFg&`yE*ER%W3#G5sbEUI^xAb#8BF zr4P>u;3J>Su{W9fvtBp+>&E`#QYLAVe~+9oBOPVt=3t9_5O5DvV5xcFW0J&KHELHv zSj}@l8S<56Ddp~<528Y&jT9uMK1zbe-sl-FNHJ)gt(e2-4=mn`y-!v6i7+r=!FJv~dL3_j~{vRs?nYUsZ1 z4o&uV+kYswAkaN3^O=m2aR?9bjJQF@8v<&>t0=?BBFSlfUCh+l>hLQu8H`Wz#QzM| zPE2{^7{lyu8cc60ehbmWy+|~Rdu+-Wu=t-#BB5a!RB>1z;sihPD~zExo-tR$$(_c` zgr=Mkltxm}PwF_qRCPju31=Cfbi}`?Q{QMrQ5^Ij!ichOxmUrE)U?kFP0UCm@MG80IJ;Y7iBkbcA+p=muz&LD2 z-ejzSEw}zSSyI#Vtf~_=yv_Py+ln;#oI<>cbS~~_2~QWfp`Yvgv+4RGQ4m}*-SKoe z4;@XX{N71Crv3ed@6_3t+NXg_1m;!UzBtuS=0V8;L@_Vk-Nd~=d3g?(oCb1IacyIt z9)ejPGICvQC;u*b=TBw`S#@xq>EEfa`W`>I!%R+Iwxa&HgBbn20t(x+G|K$aFb)Iv zJt@?=;ZN9ageTf>ffTaayubCSe=u(__`Skg)pG8jBgqi}0$d?R0xOM@rQfg%4Xv5o==m~v<#rBlFezFnve&HqnXaG98m*QrUtT`!MS^I^>%Mb zb~%k)vsVoOW`Ef)tQh?2zzmHEGw1_zY_rQp!q zSoGz548(tS_mlyFm>IxM=-r4c;mFkP>x{+iE%Nh?xoQJBYJy3fd5k=S1|gJum}!*L$P&ur2CWk|Mo4_Ou2k=oH+CIds^BL|Cx^i~C&Yd#*Tjw@;EQzB& zK5hKawrOIC=`oaM6gfHz4?ICxNOZ-tm#B6nq^!E8>B;Qg0!BE13|^eKT!a@+_Lfll zM7^e3I00ibYh`0{b5sEiP2<`y9Kenb3{O~Z*(;{B*$@OehV7ik@Zi}e5p+{XZh5%w zn4xxt#1#M8gX(HBo~w>{ak!@)trcI_4W=kV{gQq48}ll`R485GhYm7nvQX|R!3&`) z%vrj^zc();7W%(cE87*QBSn#;YYLS6jBGVyln{iKYb+;DtMMlEmJG7%If^7pW{^8f zy0`T(62>JZ!rpOd!;1HP;*jto_V;-Yd|6XJ@>{7+&UW8>d%8$7r;3)fq>NI<(c7wf zBY;Su!CF>=n1-V=TnvA5EAQ(~9c+{Ue$6OS@9MyR0mEA4WqtYKXSCKhva7FS@3R$C zt67`(f}M2Ywj@fU@?*-7258PQg4~ki58P=4qDG%7Th`mu8ld;)w=Pev_I#4Cvb=pU z;gy3S%3dN=D}XA>f?f@g9yB`%K#`O7;1A&Rim0cS22f1}3zB}Y1z94HRB9?^kaCzj zohLAt3{-|clbP-8isJX+WAB26=X=dJa0vDg2a_5#5*lI1&89ssv3L45lFPAD%dKK9 zC^iXl{BhJsiVD6rkU= zs%berTl>?Nwx+~jz4}(q*4lQRV>Ue;lTMtoU@Vq^RGm`RA@H2WVP=!V9ZRFv75y|H zlfxNHSJoE5k>(yhYumFBW>a{1mvg&%< zR>!8e38=^Yjsmbsz%jK%q7W^n!?(sDcIrmXXM$gP;GsRno88Rj=fO6E^BLRbSS@!t zs+x4|i6l^>)g~1>L#*mR5|8AiJ^Hc}qTRkxmGv=L01*>sm2xoCXZKcBNJ!YdqEDvq zG8mBER1!3JM5I)$ZEhq(J-6sq_ zI;ShG!j0`B-v`)FB3pGxZOKrDNVh3ZRED5u8zG3HMW>^{FRU9*5HPJPkHK8j;m`Iz z?)FV^EKKSUiHHd7EQ*|sdKv=gD*~ANfRUSsfIkRsPY51g2*xuP2saJoR}Dk-4NGn; z4P)+%2_FCT4q_niDB{_{-GEu1g?~LO_rHxi!FNQxm6A2F?rK~15FT@9e9nqH$cNmI z725XJ)20&hCDx4gi$8YJ{?*_E>xFFPK;+DL#9^#yFW;sOrn!sf5aHU@Yu7RBN#v$c zq~!#}(TVdx6yB&MYlvdg%jyGQqVEUW@`3lA+8?bfXQQk$NFN>~XY5m-!|)=`9} zCKs{RVr5zL-r@mITD*EP^xUlxVDNHOOGAe#e?dl%biDzMKbbn(vfAczecEV0=gq#} z6-K{$U~^}K^?8KV}7Od&g;lcsQD!t3=7`PZ#0Q!G__A9|xfSJqb)J1xCK%bdC= zN-jj3r<{YTh{=d>=p6zA5(iCv-6j%~T7nSDn5?#4?vlo&*)y&LIW;?#gN&G6;-G!O zSD2;m0Jq%TuCEyLwZe}V>FA*Q^RjJHaF+75a+~ce^qbey(6VK zAA%HUG$d3W3efayK+cN1n}9V_x7qBe82#K^wOPc z9FTsH&`<6ek%hsGevlv@MpejDVo6*Orash3Q1w0G2|#Du=foe%SWv&%hip*i95lM; zQCzQ;5H%8eRq#!QFXX@78H65s>0a&9(`a|=upFEizYvg5FkrF==iq8e zBrBB%t5vWImIw6dM`uf*XG~z|OyJ4cV2vxFZ7m>gFJJ_}5H9J;Z8`7B2M~(C+alcQ(J0LQhF#jd$8^^+xaR@y1-dKkf3Y?h?j(Je zD31;3Am8Kd%{#A=o*PitR=3*1LkjT41rzjgl%Ge1hfBu zaiImM8j@M|saV&Eo8&Z4Rq551gbj9*noD4%r}hq&aOW4CK|Zjh0%u?UX4XD$a#uec z$9DNBo+WQb8(M@tyM1HULn?M;^R-d(L^5-DIIspsTI`{O4G;2dNd9&Z!AqT;+n`n{ zRm`EGsP@GA!Jxq1nxAq#k6q@!WUIcPK6WlWcb@PniGl^mDVCFy%N0^PpwYS6d-*sr zO`pdXP%wB1IfT#e02{o-JfvsZtAfPZOIsO;_gXsPibr(qpNO6kXn19UERVmjOJ?rwkXFnAAY zWbf1yz)CsYCC}#SiLBBSpi9IFB54x^tS@uMTPaQvZs3 zqAG&=o-So{nCgtn1YCihtmU1rbw5GqZWx&$I>3#M5F75b%oXSFaiCQ53s1JpYbZL% zjnD7y+4Axv3V2~}n`&3>*G}Anyq#HQ)OyS4b62qhNt35gHb6>K(Cg|%+4$45NP$6Q zcxxpWO=+Es%Z`o9P=NTc#CmVpgwouO)(poQ%d|s%7hw>&giU`n&QT8OYh)&!5A#Qh z9~a2OgiZM~sv$?niPLwrGL#ToYM$>CU-7e}yFhwQxsI%Rpe#VaXk1K(Ey@W+qIOcu zyr9E9$_1grUsUB`QmWm{5k;bSlX>oSFo?iQ@N{sS{Y$jK!|1(HaHg)UK3M_G_j^&K zO(sjjtl{b#x5{Dd&; zwhulwpd#bz`clp@q6&+V7QR0ZI*Q2x9bk3hq#(k-Y9=u;#<=txNl2_w?1Az7P$mLt z|4t3*(oE6SrCJ?7=^B}C=I@4O+Sf zS2}jP$hM8`MT~Qcm;3-%I_@2cu$Fr4Mi>isJVbI)e<#%jaV={7`vHy%-7Zo6XB|-y z*Z0K6WE6C~X_kQy2)HMMJUg1oK#ogTk`E@&*6#+%ND60b{62sv5BBIIh&bmK#{~f; z(rWI+@#XaMrOk1$<{oT_a7HW=-|l!=^spj{R45VLGnh{rSTn@ncvU1p_xXqjEHV7| zJTw9aLw1}XA}9^=TO`5|mVj=A92gd_Z1j}iAh8&lpM3`r$r#}xr-I%x$s`(I0`q{R&^%p4 z)dI)U;mO!(*!clbO(uj;KdWkA(=@DYA_Q-PC&s;)b>VotqZSAmR9#Z#f0Mt9)#$H- zJuvrZ3>Y7G_vAasH{}G-dgB`1dD1wScw`sP4!0p6j9eGO&8KkJ{!ACqC>{18UQNyS zg#JzOFZ!fb*|O|cCf^0Y_*8wE6jDvjC5qVmzf2Dl-ci#$iM~I88{k z5Tr(uC=5w8gC07l8Ik|4rMx)CuE(XW=*rjOvJ|-<^87?UWe;2tvZwdUcd#y*R~1$K z6Gufct*M>87h_E*i)WPnjVKMr78kWfB$FuZ0~W6%!2&`t$x1P;{z`Kn=p8%DBn16S zaxY#0HubYgM*_w`Nq^eel<{N)71sl#xxtkDYz=^pqoRz95Ib$hCDv@l+AkE-J zMhQOz6P6-<&_<(1YOGN3(-3vnnzqyZus_#z3bme67)8W0_Bny=+F%Tl-=A4t)msF0 zvXZZhHKRlAW!59fqY^E*FJ<_da3F9mvwb^BBLf80{x5q&bLCGC^*jp;(9D zBua)25MWWsdbbuNmPV}>uqo`u6UdMis$mLcwmsAwsUIO(_DWkhn^ z;^fID%d7Dy#wLePu@_-eH&QcAbmA5~fueoqeAFjA=kE9Y5!d*7T{>E|w9mTvUjQu9mi|ei z)IH=Ow$>x}ZyPF8;M!n8lKnl%Ua$b9%a*N1%%XSk!1K5D5AcLHz`prEC=oxWpC4cq zuY7^cJr#vRLIFWViGlY5_3_8x8n19C(4sidqQ12l=ujFE|HKKVLtV(%dEx5ZU2G%+ z%zyUa?^lFs`4CXE0ug1zc0qB=0?GmG@4)%}rgq95CSK=;#R4)w-+c{3IG`U*1i|zm zMaRCz;vY`Wz2rh{5Kz8TfqlrA6#<&OJtQCvh_QW-0+#QTI2ZVsbp8R;axZxzDkpdK z^n2?W6qJ{It5BLQKXBEr5IN^IvRcn4tBx^N(klSAwY#!uaVCB(7Gg}0enb|M=eb${ z5wGp1VFn0z@^g-<>8ZT<_({4<@qhzu$h%pEXPQf8+RvL8D<+Py5lA9Md!o-6iaK6t zzK7e(T7uZ+>C!Y~N7|C34QP`4(+LDP0&Yqz}zES8(4r) zOTAD~C}>`8Q$=I6v#P|bSTmlYsnxkv{HlAbd>Y&6UEG~pV~oRQ?xkH;doTnF-CObR zd&mT#>T|Z3dk@^5+WZpE44yT3EIMn{j`T3oqfK%nwREpC%r7(Bcq^NGW6Hxst?<4x z&Tg%-IDBI}IDmM0{C-b04!t)V=ATAqa!GUSyE!|dtam$;tOcq(hGYp(uK$}{yv9Qv75GpOMi@=or)d6gp1_s6IDwwD|bSJ$Au3Mso` z9_L%mJh)8e*{Ln=ZShAwllP7d?M)qfUonK%rGNAa(!d}n0000G0EnFtN@~bk)j$7r zxqtuwaR0sH3X<~rCZ@Lbbe49;PNwmK^1}oOA$MfI|Anp~mCh@@TJ5Yy?JRrl#?z@0 zm4ud5J*-9PcCm7SNbGyr<29Qx)b6EGmH)Ute@(jR!Eb_^wz+!qTgC;KP~x<(PiD%a zPM@=3pLC)BTlB}FsOLC4`IGQ-)sc5vXLb2--cox3CK$j`iu4FfSMVr6V>eOoTEZ^7 zE>hj0W*|~f7-d#G$HoG51iTgZ;t=K(#H1D0QUccaxyV&N!qguWIzeKOS{IMgd;9d*V;c#pQ`x3Xl%w$EX;I~) z+1K8|l_oDYDh?#;7S@V(<0!*)A%2e`$#%PwQ@l9xaoE^#^8ud+bCs5G&0A3 zVagr7Y;f?b&y24kkE!DDk2&U%W0alQ**KCh1lAA>%dSoW1J#Whiz07*eh7k=RYO?} zhapY=6mOcSB7Ch^b0(82V&2eKVy zieBCbWyuj=)Y+Mk57e0DvqrcpHIqbl9ShgmH=<^A$H#)&WplO>-C# z1sz0u0M|tUaeK^X%u#UrLp|qh`KGe-Uk9^8-`&?w-r12N$DOw7nTo2qs=E4H_EQ?0 zY-V>GpU33}x{mvRXqw9<`}186*st$+UYP48r^$N!9$bg>xnho|&i9$0j?=(LAO32^ zlw(ep>!s^KNDhYH=0##b8eGq@z3?3ODYk4Di=|WfXWztv*5!8+u9n^6ak9KGLjC+r zYb+$19K2RAcU#@o#^u!k-4nS)>(z#>LR)4o@aK26dSB*kkDN6!n`A4_{7rP`KR^EC zz^Fn7iB`U-gLvsVT&LZ6HbbI~#PY811U1sF6{GJhLYSl*k=Tw@N4}3XVU3!{f$E7g7;Vz6 zL1&p3wd9G_wm(ZB&t~{7lC5-|X=jM7(M}ORCYv;yD<#~)@k+YIL_@EX85P;`;zEsv zi50%#!dUQ{|!GBJ9UFU4&m@K1sN?wQO!Exd}Eto^$S=EwEptRp7bI z_tC#U^PoRFs6Fa^xwJ#fNi$!$tmSTZsR#0!mu_Mxa1yO%6=wVSfIgluR_Jgtt(Ob7 z{Qzv6W%^SN3XLBdKj6OV1HfP9lw>mGAf+?-9Cl7N~mZ)q(C#S@-hZbJyD^CaT@C?uyaNOiqkNbmZq^ zZIFLB#3`@nV&u+ZUUZ77pG7)X_ex;d4Z0jO8&E+H(RRFG&-S>#s0 z60&nm%ZDYym-lxQXc(wBLsN%}9-X;*Utxa=Q}HkbfL11Nm6*<*pKr}eZ_s}gr;VD5 zU$1u$$UgR$dp*YQbtYaK_3QS*e{#2VOmB*8SE-Ogf0At2`3@+*;xlwBlUSH|v_pSn zS#IiWZN9jJD)Ba3w)>nvo-pSwSgk0uLkEJ58yUQx&sNy65ba(nYk55PXJ28&LC1VQ zb2utXWqC~O5*dod)&FGgc58+d1sgA`efj@K)LB49^?ZR}Py|6*7U>eCkuE6#5fG4C zSUROUr6i?8U>9j=>FyLMS-QKsL6C<3`2GFQ|9{T=aA)qFnK$>&ows}5;qBX=yx+Vf zc*cYMlFtTTG5K@%05tSS8{BCpE}d=IMIXK!{z0t;*=iNT%}kUyE#1nc)*_Hv3^~Ba zRL$ZWj-b{elv>PVU~6|6o!A`-ePvC+o57HpB#t4(YXL2;QO&uN3si{0QpG}8YNJc_ zXHr|@&y!2B_=jZD3`OzvEL@GVf{oI55%^Z7h`5JC-@=eazEqE zl+N|pHLipBC4V@_z+{%b^!@n5@7g>ZA(Yseq%PH>Seg27KS%35$y}7yKpTE`y`r`| zp-G$ zlQPrpw7c{peP@hHiZEa+d+R{_URX5bz$6R(ZK40x1a%TbD$W{Nj658lcg{7L@E0Z{ zVBp5RtQjv}6}1|XlCY|?*vdJ0T;NJYmYcThN=4}sq8NIIZ}T)$S3->h4TFSS>^k!-p-yYnd0}w!C&!=1{+y8Q|6=-)TQkz1JapIjP$ zw`@w9v$GgAe`Z0-1n1XVU!HEg8&}BN4n61Pof-9O&`FTK6u&=Ls(z&*^SRub@7#J~ zpiOJladauIsK9oBP5u64sG{r-N2yx9*<}TGC zZ^8Z1nSqqsmQQxGyZe@*M6-OFom~y#vLD%cMzT+&#%+e5{*l2SXwM225+tQ|C*Oqr z;l0k9A)(6O=+C#D;aBx`ic8~4J%iQx(dXrUwsG~yM6mk`dsxPKvRv2yd zum1d;qenUz5fz$LpuN*+xvkH%k`c{wnL1M3l*l?DXbnjlI{%uLCF&9Xno6pZnv_y1AdC34dF>f* ze9e?RG~09e^JtwcRK^F3Xx+_aTgUXnlw!+3VPiw_9c#>L3k?L82d6pLH>1 z!sa*uj;n8O?v{Eu5jYc#N@qMrj(8LWmd@$(8Nu#f?#0rRv@TjrWclBW{wlx)|D=BV zS&i>=DASq7<&0OsSUOjw;d9;2U+=I-$WBK`N3WExg)Jqo$j&tFiA(>aj{UOZc{zl; zhTJney+2ZYBS}{@fV22n4nuC;+_&uwZ*rqaa#~aLBadHW68nSbTc*0jN|Wf8uIUA7 z{^P6dhgsakI2Nit!H*b}_UY`U=79F?A*?3CIee*28M`A-|Ib?q&SFFSYu5o=MO!+&a-uOr^-#7)^JlaUhE@96>B^5~u6K#0 z1G12(oKqY{I0>tDGbM%lh#7|PrPTEaL8sZs?*$3pzf0GdPB)|$Cw);uQ#Z7{s0-D< z{Vwg^X{jC#H8ku>o%FtZC~^e%5=8nT!Yg6W9735#J9gEyC&V}xwQh$}&-Tccbu=Rr zqy6dpSN-FXZy6mt2u+QOjA{8f`IH0u7`RkfILqgGITtv;rW#bEawWf0%J1k9E(w*j zm5B^f$d=Kj;4L8_v}<4(i3(i_nUeZwVol3iLqJ&C!0=I!VdStRTEW^ZQNd)@ zZGhU^HzI3{s@G-UTA$jgV_ixcP3cXmb3AjPl#a!7TP0x@g=|hnEO}7Nby+GS;hG0@t2%89CtGZSZPUVL7jZ+PZAEj3Xk(5vt79jAI_11k4TL?_##CY@y9pZv)%aOOS;|M@AQ? ze6Y}-Xtb&Sm3kdlT%!q9EE?-gErGk(Mo-(6m&i}b6&E=b7rAL5pCI6&<#1z`YR5vW zbwxL*mT{3mb&gXG)Yh$dZH}m`BDSK4Tt6Q!ti$3~ud%pOo-%ISt!B9nne3fE=(U5% z!k|%wW4$&daO@KJd0N!0QREsOn0JSQGkPRA50n3Mvm%y**U>3!%n5?Q_=Z}nYZI&R;8~?0x)?axTKP4HAjv>@y zxBghqB<3t`+vVxf(_fu3SUKl^{wJoeZV!r(^m#Yk?kHb_R-a@eVcRM6wY7RWr8d9j zv*LHs3C?y{x#iU7v4PjhjohExY!X}|9VgyhDCSIVF`Sc%Y?W9b;QprTvv4igy4I&2 zU7Ic~n?#7VVA04|`v74AzWQBX(;S0hzF>_RMA0lFc%HV`AWS3pdw_Ycyl=G_qlI@DeesKnXqTflm@CDu zSIT;bji24%)z6o(muooIyH613hN;?`2R$`yhsdj+huuOngtKqN_69v_D!d--qTlmQ zJiOa2`b>sgG)RqU{q2!vbg5lL<@K_J`{E%OB48)gZ3p#FH|q{+^l)^CdNtu8{yA#2 zq4e{&69ytxBR?BPD2k#R0$kLr>A6K}9PM+U&^qYJK}TiL8Y>IBx}|Bom1*Uyv3?i- z63F7-JGfTjq1LCqYOaw6cBnQE$Rn=F-qjL#yo>I~E@+E#KD@I8{!)h}9VUW^Ds~3; z*OR@FZaJSuQ}F-1Ij|h86%#iJuMvvhzltdm-w5 zdf#1)VBAzXH=&HoZ8APsy=G71;QpDo7a46s_Vq;f#^|?cZ8y&EhXQf?tjuLb+c5;=L)bau4JUDE3s&lS{50 zt3F9GrvLMmm%4~#E?0#mp%J=ZZ*~-4N9Z)VqSyyZ)eKU6%Cg^KQL@ZGPe0!w)Eo{cFliDC=)+RGb3h!Eqa_mmIORip@?#e5<>L4t*wZ9#nq~r& ztZBc&g=NV(;wVm2ef!=a?f0VVWO5=jbra_DrO#!6HDotMmteB~LE>&oBYTMxDc58@ z1}MWUcZ&AjY)JDqMwq7Xif>3)KS)f!#r%OQcAZmd2#R4bm(v{Rpuk0f03}>dQkksi z8}vczx!Fqy0)_2M)<2c{I9y`aL^PmaNrMCinfs$keaLH|qXs$;e4x_^1dRp%^@8<* z#_Kdx9W_IP=!XYde>BQ=wC*QAJ@x8to*;zbE*!q;3g3Cc`lot8V#6x+ON5n3nrX{K z&^D{FS1#b=T zxPNcmjj?o6>Vm+^+I{=io4|S<8dNc`X>Qfubr=T z$DfYoE?C`dz3|VLyUaeMUy+rvzrKGZ!F#tjkZa_5yIXQbT3;|i<}lNY-@3UVnF~wD zEvje?&U;MQ}DzJK>tq7`xB6bDi7c0Pwl~n$=cB+>)3aERWf+ z8oOuMKG!RRZRBJ>NKA-jHPMqB;VgMq02_E!sKRn;jR2mT6{atU7V{8yB{8-f4Y}%td^O}mQt4#<|-XY#a z@Q_5lkAiiT5uYoHfacHhck}&Rwtltc5h6k+3I+Ln@g{l7)CYC){_;MX0%W@i1O{*Lf=DJQT5%rPM z5q=dj?unyaN^^pBKa&DtIMENIHVu}QxzTs4gQ$jBw21|&;=OmKdi$dWDY*WuI0dhO zbfXa#6Ln)0?;?lcr3*i!F`j)yV*D|~<=_E{)lBBaT*iaMYi=w+^HhrPkBT2;2Ue41 z5!a+9bCcAoEeJ!BbKai1Qi%;V$2%>=r|=ZNKJB$4K@+UT`8q?Z+g+LehwOC)F4$4ij}=9XJ!We)v*xP)zHn`8l#}aK7^(-~#fk9Oti= zx<^*(_JVnJ@0iTjl)_3AfFc{aYJm~!k2#262P z=qa(4Z2z0C(@MnH={##fb++CPYrcMi#!-tq^9HrCF*b?n@xq1cw?*& zTK@YFUc!CiU0qklz|x3ppm@{8Cf3b`Q0sB`3|aqP^jB}M>YU~L%E!V6lh!nK2t0(sBCo^pt6P}c$fQXZ85LS<#nj`qf0DJjujQiyG``&(r}I6cVL-M&xA4gWEb+V_*mQ!Mt{5M^y0jCQD?z2mc^$5hL&Gl`(k4+4mQN9iaPS=WaO~AoQnX=7eNj0t%Qcbu;;>6Bu&33`yYjB!@}urq*DkB^ zP1A3#TAp)0q~_3~VST5q7Pi>?f;XREw~Ka>Y)))mxsT*RyW{v;nbWGalUHPu10ptY zdvwxo`j4~0{z&sycx?f8g$2!@?EZNIr5PTR_gXzoOCOc_7owk0C%D8O<8FpUyU9@4 zzAzUysk*&$XE&oZ9ut8KuCD}qWG}pu?5dpn#kx9;#ZSYksZzoPMRQg_W!`l?!(UJf5;k`q_=R5mAZ+5O>-G04@ z?oXs#d@4ciDP}ZI_a^#$t4-h`WTjs4V`cMS7orQve|7E&%|DnU(kOfSD~9tYqC5io zUIkH}+JRiyBRV?Y?J@D2sSfvy8*Gn(>^=)jr0-(2>ajLUF7%*lelb(le#e(#{pduwYhy*DTw8;c}>-LT^ye zm}0t;Z0=FV+o3vv7aYN7)cS?~!Rxm(>=OYws;T$?%q^nWu8=QC5I;K>uw)iTJL9mWL*rI=EmDx{))axR^RT2`0npt z_Gnff8j_4|>meMv9#P_AEIFJ+T`jHMf-et3Ikskrd8(AJmGW5KeSFx(H#RpL;MrJxf!@+OQ4H&LH6X9yRRn$t{uI@KNwmVR?wx+GG?=V~?To%;>`%B^c| zXuSV)lv+2iglm!-gKMPiR_^s`H_!`X*58Y9x7$T9;w5q|et=vr7Sw%ho@}fv_pTbnX$&Dgy=y|Icg9 zuBW~c)qi~u4t4$w%l7NGpnyse%o5{JSK(($ULxMLjJZ|;?spBq0`eLQS07FMx`k?X zah+>JxS-v;uniyiQWKJ7m7b~ig*Gllk~XK-^`FM;Jrxm><~^De$42OdbnZn_OAftd zDW(Ut2&5Bkb0-WYms(uG5@vSE6+}2k#1->uMhdF>JT1 z`LpK8yyyckZUQe%=o&$u?2O!`K_ z$7b4_uGgL4x?fArzfvNui1>v0pK+TO!Kp+8jO>MrKD(#0tZv?(;ly&UU$^|`qNH3j zOT1>ouK)YBY3!2W#Eo?QK%2v@`!C&bfTdyhrw_&Bzr}uZtv>PW*WkTR%d)8{w6STr z9T4v#Y86>XUZXb772jadYugprQ#hKz)*vrkJ^J>9q}HaX!m2)b;ma(K`sQar?a0D8 znN_P55o1ipha&b3qYs_tdH+f*SQ)RL=-rTi2<#Jy`OM;j^RBc!?V)WApd#&In{lzb zh!0K=HE!39C88WE-nISQgz`_2I;(N9xc8B7O{%H24z+QgWS7=4JMu!eLzwl?w?T=G zNO@8&oV%~-{Om!sFi?YV@v+BzT2=9iGhL`N84{bS^WFT{#!d&*t}G%F%LHg?{&dj? zw5&wRAM+w`jZ*(LcZY-K**}2+D~+EW?ez04Swhrt*ZTQD%Q~r>NY#dxrM93`aX9zL zMkAe#)@$2v4FtDsv|AOMz1abBLg)i@zCmaPQm^C*op&@H-xrXXHF6X28WVc&*^tuU z;#$S#ke+T^z9GE!xa!QMs@Pd0db8LHvmV7JPjJT8zPdkp7h2bp(vZ4ij%>L7Xd$2A zLZs+eRqR;0{a$`{&#@|X#aw&eTwa^*1$Q2~&7w=y{Mz5y@Cl4hnJI<#6MDII)oY8_$M&UUX6FyKsyE)$6n*mW&$3A_>_6Zit3lpr9F>A-s;TifYYL9e?Z)o^$!R*Mo!@SB5vxhU5| z@mh5tY;17&Z@DK?#e`f-0lCdBg-yD<(@(9hy&nDozlQ(jdlD_ceMUJ%iuFG}f~OHG z*$;*WE7^NIi2613(4KKZJatyUMNxQ0g}>`IF=)=W<{^d6D7g(<(`g`|mk{xh&_h8( zo`k6&+Gi0X_vdtbe^!wcx6*~dSQA$3QaK{8hxeBwW`9k)t39)OmGwqB1M^Dg&yQ8h#*e6#WkeU4w8Muuxf_8%h$lk4QH z#AjQgYRKj@3=Gc$}xH??3XT>R?*R#=fq zz_H^5hN!`bs?nZnfIDy13fmM@*whNmbf8mI{p8nuI5Q(SGa)oHf>mVY;UdUpvtr&j zn>vTclRMU$_N~c{s=>CMUowoY4n6OAT*d2FW#c4M>Y~tT0oHUzcqWa>IBh5R34*ZY zY#`}sYxahF*2@Cvb`;#Z#9nkK;q9=7jnk-XNC|-#SEsoun$fzf<5{Krph|17C zBKWt9j%2y0&=DDd0wN-pu@|*jdM?5RYjNc}RWnK@y_}rWyvIqN_41;66uk3Rm|^V)5gn#XnK@bu3hQVG+?K_) zE@9R~G;UN*$JR?rGdHwQmyoJ4fh-FnV1i4zXiKZlQDM)S!|nIETCa{YR*%$VM?y(x zY^|pYTaAX%3h89W4;CB393>HT7D%~HZYWVn=V|nafA2FQ1Fc=ko zbeOl`W@I&ObrS($Z3DxG0E672C%AbHHKN^2`nC(s>6~{l4*xc_l=N*AgftHp)|yX1 zNTy3@HIHwa7}HJbtR~HH;G*!pp%<1Vm$t!7LWKryD;wJl2{EkokYHg%WpXys0FC#5 znh5f9tQ5vopJQiwh6N{uKIiv68KOeHmeLutgwl3;0t{s2YqrTCBw#9cH#HC9VpZlW!EyIXReje(aZoemV$w(_j8{q9uDI{1bigMLX|T zhxa{=9DTM;gukjap`oE1x`bFdgcIusVpGjN{eq4T`*TMQXPIXUeg-5RjrdiWAAX~r z#LtFFA2cyuUMcHlB{0{|rPndI)>D{zhf?d)8|=*M$+A$Ez5fvpS-y#M+xj3#S5Uat zMvc#KN>HSvUa;maVOkWM6?CN%F8{Rv&aB||#qNXXdiJs34+#PPK&WU+QLMdCN|@yD zD;0+qk!qqvC9xRXL#(cHc`IcE`Cbt)dK7yBqV9yVoLuM(TTg>|{U|g~Bh1Mr-b0n@ z@g!oEPBDOMlp^&P1>4Qz-tRhHiY!gWdCSyf#Y=Pt%%Qpg4adW--udpEL25o^Q2Gp) z573pxI zsuR#*vd!2q>v7Y-^~Kd?Qz)m_iIvWBASIQaBKtbCeFS7VDfp3-wnM!fYXvWQ@IBfK zl;_7zWomL)%$pa+XUHwGRuUBVDP4P;?XsFw**7(NUYq3+@l9I@F8EI5675&DGv=|= zSqFM^Y4sci6q>y3&z};UOh~%#uoxGR;aHrt9R7oov{!Y^ErWdaGBUM!>~H@I8LUNp z%h9wNW!IunqiwQzOrK>ATt9t;_U+)mw{HyGtvV!|5~(#{Ib~-|2~# zeZrruezJj*bU9SkqDC%64R3v39Bcd}Tg#;+IgIXI_Q`pMx}!m8TE*UkLg%Hh%4Kpj zvtWiy!M~{DOnmLtA5{N6ENs~+vwxPeVn~^Pb88HLedWYVc@4(@$*FP~-p(xe@r$mg zQ3(f8p253y4*J5il&7wjI=KsKyQIf%U)3E|LKc?deuDWCgsI&jTIB}hhG|8~iuvJ# zO)&wxqY}#aTmZ zXTp~|@f>9qlzF2k%3lB`>iuq=zfRqeGNi)mPQ#9w%8m}c=(MYId{(KY&0l?#Kkt7k z@49=Wh(dokyT$zJAxd+jLMIHIUV}v4kt?L4QGsp2a*}qpk}XVW0>yg;~P3S%cnuMj4I`1*WNyJN1FQ=I)^R%jinCi+~G`7@O^eYg2gpNu(JKXW{= zewJ|Z7n#z~>4yA7qIdAG!qXD7XAWT!BzLKr`#(1HW5)H^_&0_5m|K?PJ?ELuYvSUR z4nC;ft<=d4Tz-hf^#JR@iKlWYzr!rZv!X`+d^{mcl^NvOyt-puoLR7BRgHWcbkG-Nc%v(Er|?4aE;VCtWim!Id{O#})rV%g z@}f9QKnplfz<|O5DE5Iu;~P*I14SZGMCO7PNkCx(6hC3X>x&8j z>-uV0I8YWNNNdht*iFHV+3~eydM8J*H>9;5D6W7)*cvD*fTABLT-vg-##^1S#L}(Szmv=Wc4z;WNk7Bbqi3p0(EOpw*hrqP`3wl2T*q$HIG>5=wW+7?f_{xUJy}SFUSJG2M^fsfgPYn0PKJ?LSTOe z>;NAkV21!ZK#v&M0cp>HodnncKBT}-2J8Sma$pCfQ2;w7umgOkfSnrH0eUpR4oIT~ zb~-OeYuH0%Yl39|+I$0YCBY6XNZ+{OG}{YJ*XN<4#@*qfSQ=kNvGM@t0GU`(tOUR& zfIm(YD;O{dco{E>y&>!- z-jD%6Nz~5@LKAa?ylxlGJNt37WsGUFWdZ;>Ad`qy*v=F(pyds*)%J$q=z#VBMO`os zAW9F6qYuW>-!0pFJYlwsH(`dK`G6IjL!3pf0z-SL62oAr@~9REAyS^zNe{a)IET3# zpTjOpfiwrw5=a{$?SOOy(iuoMAU^@=1*Fe8?2#i1_`$B2+85zV?4seXI7GvJ0Yd=l zSEAwefHnXgr)anVpo~)#OR3Oc8lA-w8XI)34iXRw5^zGOXgus&Py#5o0yY7-8Xy4y z`hZ|SDd5_vZ0|WmG2`!c`i8-FL4X3luwjsP~qY5=DN)ERYjO9w7OE6g-7pIHDo-^uE@OtBKz!dpv>YpXE{mzxExb$M;Q;lWQ zEDo7oL8w@lyhvsT>K$oo`!aG~a+}q6bE4ty%c9{ufafcs;pTuk0LH3lxF+BS-~#Y& zO*H%)U~A1~D)!blWL-3T3c$Dlx&wLu&o@DLKpg;M3v>tk09*jxZG-NBEr7s|Xm|)< z3c$Dv`U83Z0y_qR?Lwyr6MXG?GkjBk9pFRL7V*$B<1^_dTW>i7|)INnYT{@dQUAiwth*hTT7}ha-43ioIastR{AZLMG0CEY) zRUp@abbN&5q#QPis@$p!IRO083|{{c31%4$W*Gx!3FrYl{|dYW)B!MJftP>>#s-3? z&;QNbxz7yWrw9k>*W(5GO5NrdfpJ>uwYysp@^`5+gm4+W5ey4qy!!@hAZ15y$gztz zpVQ|)QS!mn{+y}zvV7+zOniTwnfUZ$`$bj56yPRU^wX!6Ia4~ae1CM9YBag*T1*zk zjB}=}W%+L1nQA_8*_D_q7y+vk6JK@Cvt*7$er+zh46w@Hk+le;aQZ(R@*4^^ES(?a zE4K6G%7bD*Inn=t$X8(8U?U{K4J`7Hvu5~D=gjaS0BQg;fCnH7cn44c=m0(f>;WEt zKtL4W8z2)<1gHVD0eS&rfCWGg4r_3oB!z%=${=lB>L6_&UPL)WrC%FNlZh3TpL-{$_Y6LEJ299ZeqCwLdRP(qYS|2F9gX!FZ?c=&dP-_)Y!Fe4 zX&0JoPQ+(TYrSD6Y41`-?n+UlK2;W4R-az18G+UMOuI&?4^)HF*+ise+Tr?GmeAYl zw{xyhk=jDM_)xgwht6Dyd_E#GT-{8$%%e9?x;NGDTU3(6>Y8#?2@R1WPvmqcXQL`L z8Ush}B<3vAyHokt4tRbsv_pMr=7JrTH;v)st@EaYhE`(Q8(DQnR^@VqWrl=?WMX71 zodN6bPCi)tH@{so7R{i=Xmzb1>|~7D%jqzx?jZfBS?fqHFjmhXVv&THy~CyklZ+3) z=DadTG!#pWuyP`Y%bNE6)ifq_*@iPY3JVxrk1E$iBeBGYDxrp;r}vF@;^0cVQpf+? z&Pr!H`=oQx|E>DpKnMSww6<$+tPV_R*$2#+*kQTUXyRY|-ucw@B{(eib6WkI-`wIL z;)t^kM@dAFi6$bnM_X7i?nMwo*D5<5Ly?ElgZG8#fpGjiyfew!=RM9^P8# zD?2QE=}`SQmV{4@zxu^+o#=nw1>9QK4}%!5TxtFb8}4zXX;()ORp_oUo8%XTz~HNC z730-*+|IM|f9_Il{EIPv=U&HulU{bIfN6(gm#-iHcOtqQ>urbfb#!C+>PkE4y!f|r zy{P@XOT-Wc{C_<%2(7{tGsutl7i6FAK?DgEam&k(#s8YhTuz@rmm?jj|5uy*%}2xT zrJ-Z9t3m?Kx@v~h3YzR5|8MOm{|otH)UNTrsJY6Z`+psC#Susqko~u8j9J@LTDqGKZl%=rBaM@88O~ zXQs1R5w&nY1zG;<8Viz}p1mm-(ck}HilphhOjf^`sO$ePhN?H#(uGI;|9A3>XO*V8 zT|stX!hH?%&w;0d|8~(L0dX4PzZu@Nq_e@Juu8)`+y9+O^QHC#vzPJQ^Z(W+|KHlU zyZqmk!o9WLws2S`GeVwxFsTeZlJTiYQFk}hxj6Y3eMA2Lyo)Tf`4@-112g3NXskub z^FZDj;E?CVzkn95cKx@ko?scdSK3*OCU#zdjL`F`sk$kGrXX3Xlzmuy5X@VoFE?Mm z+0<#wkcJ)@~|w6=#HlQ+*>Ax=={!lhryPEc;f6o z9Rr-)D{JbObc(tzX)Kn1Oei!e6Kiea-9AjXP_rl+(C;^Eo)@`qVX%B!>vj@HLtpP$ zFJY$=cIg)w@C%QX*^4e$wzSp}bzMs)g35)+8&rck6olkVAZ`OUQb>3)M@A*EHsBG)96~f=d z1Qo)J{5CBd0=5Z9LW|oFUe{_(JV>?7qnB&tb2gYPBF5{X^IN8C-DM+Kc7h^n-~9vd zY@W;*1?Nf|=A0K(4Qd@=ubVX+{gMbF3nVCK=}ajb!9h1&+iX+$+)d;#Az{&d<}lzA zc;lDV=@9YFDdkZfd2N(5zfFQ_Wxit4Dn#%w+mw8>fvH>CZopw9*<}O|^+_|4u(0$P zZCSaMZpR>sReeqM)RYYq?PI@4iU3+Q{HZB6D>I!1i*gJ&cV-X^%n3yV4jbff49SP@dI)s+A|QYaOq5 zICiFpE@G4wf3ro*c$iJJ-u=r+!Uu!Zg3Km9F5MwK$TKBHQENdK*@K&x!;UNPcTHy3 zKc&h)4r)I#a`MMq;>5`{KQ9guM^!D~zh<_pV)c@(x-&m~HL2;sf0P!d+0Tkd_+>v9 z3w=j_{+7@1@c#FdRU^JX6_J=H+@`~{Vr_6J64$OX?Z!l-%4_NSq;}qv5r08q9SJXyGrtgZ`eP&+F{Mf#TcA zPIOOkZaLM!ivloluY}=O90nITk&2{DZIlf=DF3M%9g&E$#oIdrf=6%`1N&M zNcwYa%#s~O((&~T@_1Xq`Xh`StGHLBR?)s>)-TShc;#j!q;f43a zHnQ|1 zqQH0QDEv)OQq5NQJw`7^2yO+AI$y;7$Y^OqOSAHiW0XseS0mZ>-#rAQ9z82z*GGC z;m>MP3hKYUEngh%#boq}Q}^nkT82YCpV+o-C94p-61`n~BPf8ArKp0lB~D#OpB0eJ zE{>i>K9@X9qeA>$(r-y942RHjPc9NCA!W}xEGi~NeDRB7U0x>L*T>z^Q;K|&A!QYA z*BEIT`F`Ih6#pzXA&AhjL3k9Qr+8}4$Gw*Dh7ghOtDTe|G|c@}UkORH%>4+eOQj}Trg$4X>}@TK{XWJLHU8dygO>) zM`IQ45A@!jB$Q{*JogR~JzQ3fQQdxykzpKs+~%RKW62ryTRMondMD`mNI?veiuL^V z(cdNV#49YWjj`!FXE>bZaR`>UmiBi3{xK z1a+}{F6Bsrk&S>M6pZJ(Ed)yWJ!}RdZ8lIy41KE(s<4t|%Ion&VJCmSJqv zV}{TQ)Z>#7+h-x?(p7TQdLM=@ha=onoa6Gjm-=%De68N6UYZil8>t9(kj)nuj@A(1 zM%j+OvS#@?wdIPPTnpDwt(vI9IKsi!u7PW)RSlRw*0I|=K{7R{Qlk>6DE88#($3T4 z+2wE9`h_UHBa5JEi+s!7T9fG+@v+TQf&P*gGXK&PAM*8pKS|JoMuZvTip}? zGKMl%t?6Nw0%(4a8H~2FPb@-L@e zj_gU^G2-|Dxe+qb0+fj7ai_UocKm7a7@O%6@p>Rgo^)8fpP|9CW8XIRbBDkiGqZ8I#u)Y)U#@J?>UzvK z_{*y_B}HN$Bw%zT!x{#vFzoZQR}|RT2tz_@tLA>7>KOK(6lyoy^g>V+fRbae*zl*lw!a2`mijPQi%!T^8$uiF= zjLxGTw9zXT{;(@loKYse1 zvx6gVn?|;?(Q?M*DT*kof_R9AhZGkb0fR_EM%ujZC%=$#*<>`@NAw~Lc*^wK4yur& zC#neJha(VBlKrm)RfX6mGa-lPwwQpT&&pX1hI9AL@dm=?C8Cdnf!T zr(eNEN0Peb`S<8Z-8(g3SJFn`^pKBULgMNFN{N3_eQftm+)z)0%CRnTEI5`ly4XLz z6>oe&q)+srAipX>jQaaB>ahq)BpwFU3Xh6pbLpxbx_Nx|$}dz>HavaE&~v|wD&H>5 zz*Ps*mjy@pD3Rcj_R{=^#+$ZK(t@A9cDUxT;ED<3qGRM%82-S4cEgFPz>B?BiqFWF zh+q9RH}qrRcFs2G&MarQeJ)8RCQYhE?r@(nuLUAr`kiTmj>@sc!+t)62Pt%k_=1Tg zcp(ouoO8ZhwLEZ#|L)5O-?o&MmabF>Yf&uzs53|SJuHe*e)m1B?JSpd zDK(chxFjcq33&|LW(TQb3lb?nJn%9nGM80`IoA_3^HhMfUB72WZ_mBv9nb4O}akorl_=7yj1bHG4@+44_LmuW;D_Q!y(YKVe@g@?d`QsjH%fEyYJpBS1 z<^mAqNUE)&+1zH|!w_b#7Rf`>MsjvUjnxm07j5S}V-G_m8&s85@H^8Mh(ml2PyI~Z zTEnhBNZ!^xy7+4HcF%o=Ch>S4&zp6;4PCNoln3vKa8$faN#WsTA@$XJYEgpBK{VPi zVegC#%0Y7Q`2Vwzn3hwxc*k{)E-L=hZz@;P1!+*d(I!p)mNinT2=3_k)Nl;VWEW4IT-agS(6 zP!u2`_82^!JSg@BU#?1iO3*RdXgw0>uL^mnFy!nP@>YU7g8A+w^rKwyQ8{5hLC9h9 zmgDH7C}N4qPIgqUA#%SE1_=!esh%edD%2|~L+YsbP5vc(3QO;(cr>Wn{f1uqUBri# ze6?KKW$MM~Kv#7x7Wbl){)0w~iopgx5{W_d;`|{bjlSWLx>2?g^6x!SO1byZbS!6( zi<-k+qk3)YD%f6r(kzcwDtR09Bt>RWG%%&&F&iW#d8dzyNkRG!i*LP^l^(*^qQ|2u z9k%=(aX7xk;CCSvY0Kw|GWiZB1>sZ6?^t-s%BN^O8hNA@ADp_h#udLusJpaN`I?^4Y zCr8RziLHTIO(tF4c2zJ*k-i61qaRA2-dvK2u;UrBZ9Vb35QwVz_V|R~?lfE63sput zk_Kl&VzvV>^ZSr0nDHno{$W5Ge#m#~(;{&xoQ%y!wB(o~9C)0nTWEe4Gf|izSOzj2 zm>v8sr?KK*Z)G^Jq`p1#=$u0H8lPJCr9MppDcn$w%#hx~2C?N=@I+bU+Fu_$+Oh#v zw`|gjchL*H3QMKL7L6buP%hHGc;p_PhpRmc5uiP7`Ql-Dq!D)Nm%WlDef5F5MKwG6 z5p68yeoO_%D@iJ>j^OaB(dVc)Qq-bK*-B_D;Zj$9Q)o9jYBb-Tdeh*gGma$0lIYWq zXyp2lD|~U4-5@uR``nOyC~z}Oi%lG2_uL}zi%0#(^c!$tzU4s?kmI6rMURAplsy4o zD`@G4H2pBr#aF>v9)nPTOlEmQ7)jG6tAZ^x^!+y~zKQSu;p!^`+GwJ7aSslK;Lzey zAb4>rR@@zmySta*P>MUj-L1G4+TsKXg`&mXt;pT?e&3&ae{5#X%-J2;BhQ&6Gi4Yu zuaUA@@i(xTIqwpv|O7No4e?ppocq7`7cTsADa|&=E<^Ct=qb<=4debDp zy^(bg5K6Zq?O^NlJQ4vsatBMMfgsyv3EMZpj>~MMCBrgjx)sp+OJK@gW!|_mlNi`8 zVRw9&z+IG#Q{fY=Lgyfeo~H54lNd&al_C*jhoxr;d&p22IP)Oaz0DHpL^kHaNA$EU zl^6~#q)@IElt8gkK^9uYT&aKs2YiUPQ%3{VSJivp8gxCp(NEAKCoSrR z;6f1HK(MqfdCe z5l{KOh1xKj08JAB{NpWI7iD_H2_H}n#1^Ll*{7Cwq0r9dQ=7ZOe)@H3w2OtQ76s{` zzkl)a_szrfpTXJG$>Vo|+0^rDrl`+5Srk`O2UY($zAt-HI@QNFp$XevZF5z+=n zW*f#QC3Alb+HL~4PME8{@-oU`J-6r^eBAJTI{@;Mhq+=ZPhoxHGV}jHYlI8G1Rum9 z-GxhZV3dV7j#2ym4=xTn+g9SrxoH|Q_5arL>P#DM;ytZY54iPS=Oyers1{*xz`jbD z>!Om&e`QWfW4K}aRCY)}n_XqHN8lX54xg?ZiRY~Hav-tW1NqQX>`z_J4-(Q(-;wWN z>U>!n@&F@Aj`w^0j>)nl)WJUfV`2=ZKUXn;VpQ4@hzyoZp$u~I2XW%#Htu7Gopq_0 zW&^0=4gT}JT?W)2(_-N=bu%e)Ikq4eTnFRC7L?N1NY0nT`ULj_zroMnw`)m_yz~Pz zRGtZ|TA~iFy!*dl9QLPWziis7b^Uxs;60=OA`Hl@SZEpGX*3gzz42A;W^lMJAQOwI zxz0;tz3X;>yAcH5WUkfH*yQ^D{|0g&HtZ4sUqu2)f7%G==D@ ziV~Lq?GSH_D=0w@Ou2!2q$>(!t-@fyH4N&%|F=-4MNK;Bac>Nzv&!_O9RQ{s%CSN$t@Y*a6TXq7gfj&s)eHf5r9)sg6)P znoXuUf$kX}>R#@?qUH16HFp{L(v1M?m4A}6) zy!UV|GZ>I&ghAJJ2(CRq7+T&qh8WFkbA+^N1zb)??%fPaW=BZQ5O1Mi+!aee0qX&- zg2u7FQ`kSihNDB~UJ;*3g0AP*nZ>R?OQ2lCRFDV`qpA5TDYK)fXVk=p+~>&swIB>K zc-wDyEoP^!6=dIn{gr7guKq&}xl#Fm<9yd5ON(?0fChrRsJ2)-ly#U>Sy-qlpg3|- z`GDUj;Pw{E#T8|%!Z(h}=Y`(2t6z0PJDCto@uO+^weX`cxUPyMK83+DQ0R4Vdf4$1 zt@cR5qJ^dFv1Dw){=j<-Tmi13#qAD}tN(=!1c7@_z+0gLE@R7#h#%A5Ku*;1RRe%* zRln^80TeRGYt$VD4B&;8#!wnenLiXjz$O{KXBj5cp9ek|LIff<>wJs5%KmrjHc00w zd=ExdVQW=0uaM3e_#QN9gY)D}F~<@A(|pZ`U7@9uW}GPOapXk&=z^A+_s<-vhW9EI z353x!tuwY^ciI#Q1ewT`Y*n$Lf8$qPktGU11!WLb@S~$qA?ngWl88*0GDpbSz~BgN zVYZL=jF!xPJL(2ypl)l7MAAWFP^j`KQ{Q?u-0u5Xkwus?a| zWpm)4nAjysbl4WN#k1b*D(Yry-DoN5+AVh0-cgrUqYmaUPmo}|6hc&K-v!U|A#Dt$ z@E~oZrPT3R{nloj5U@ggMb>qMok9xkI$HTcn$>jV{)IHBDO5>W|As|j0ifS}NzZON z>P$}0Y6=}w(Z88^&j!@ByP z0Jdv*#y`yULCy#0lyZVQpo_-9wE`@^h!=x^oSbDwQ0j~*kn5Q>5u_{rhcZ}8w!(#< zIJk!x=K3UyhxLh<%l|#?ACDQvFxL)QJnT={9R9X}ZY3y7MKH0%9W#up5~x3HJrkitTe^o0;SXbFG)MwC#_V5+zX7cU$~3PA zsX4-)zCz?n2w-U`Ux0%oIRD+0ze1r$Kp>GJ34Dad0eu7T?)n&@3}Ge!G&Ti1f+^8N z^pHe7u$trocsB^DKVW?V$AD|OB_o1;S_I(l0v~9$zQJh+B&wI`?YBOjvLcDcz0B2D zdI*maw85LoG+^mank+P=6Z~jXKKLl0P$GPeGdjTo$_1HdNWu0%ENA`GT^aSkNV-RM z%N6k|vJ!1Q1AM?izph&brX&ybCf5#Sd5X|mnWDrFg*sID5Qj3nCP_xJEs^+yQK*hY zfEp@?EmMI2W~jmkg^nn)4kAno0`vU<_YK3imjG7E`eQ~oMXt*gipcX{?3w^0DoY#q z1lO{B_15XAX*4+7dI?()(9B%(qb85wY&(Dg#@PL*B_rqt?g%VuB{q)r{@cd_zz`c? zYXJL-D<-L%bAvPqOwn%Eje9O;=Lq0n0Y~Z*UdMNgn(Ok@{fge85C+!8P?NztU-O`ufihLD%=Z0lJ0gz__D;CC6%s8~}{d@O%HBLJ|c;k8CT`=&C{j z<)o-vLeQje0tq`b$*#iGyl>#ltF(hdes8zK>Ke_92A zB0aE}FiZ{eL8X_#bXrjJp&H#U1fsGi$57egEMtr5e{v-2=(7@n-wN?i2l3E?UgHxe z*+xPAC3mK2t{Np|$Y6Om%W=)yALGKrU#xbC(0s6#;!dsK8fS&E*}fg+MQ)!n6Xr!W zg`0IUGZNnR{@95XBDb$$A9W)YJl|$7e~V}{telJShTDyh=*(;UQ=Rkc{FJQe)`Vd^ zUZT#XWM409B)*6wTSm`qhV#>jPjz|$nCM>|XM)tke=V65#f3`J(CT55)wcHxiy<=f z44S{{x1Df$qw~JWE~!_1pZN6~PU)s9m-_s@my%&Wd_@XX#XlIZmg|yX7`|eTs-h7# ztmUa>_y@jX_Q;3l6b?wKNKel&4g!PjPYciX_KavWv#T^aE=0oq@BNKrk*3{|ByK&PrcREvja|jwgc<>^Y zzvHexTvQJ{1S)Oh-4=k#I;uBF5Si|GT^yU0`LiP+p3_~^E zs#9AE`ht-fT*w~S1{Y-a&d+r&oZ9*AvO+{L>r$#<(L?{2-w#HftJ7n1+G^IS(NYGv zg58~(EAc~|6oQW58(`lTgzOaxP`k!Pp(1#RRr_%(JDPrarfd&^?e}_XZV|=5uB=?u zt``)y4}%BZ=CRpJ7ZY{;aFW@zmz8S^-pYa*zgMO3Y)>gyPo9eYN(Qx3VEM!6mEFVen-h5- zNR6g;vaQF^y%yDNdEn6f+*_egYNxX=XYWr4gA$w_8hlbm>fxeYV`xrHa%+XMT?Mu^ zsvM|mC6zJ7Rd`Oc#q4NnMIvL1L#8a?p*!wYOUoPdtqGPu#Dn&V7)K_2t^DuyWs9j;a0DPv}e8 ziv=D^;Keh+!c-1cFP}c*$zHpcfxL8i>I(VKo841SumX>LTr1Do-qNx(2(V>lCG+6neuC7u-0z^9Vx#X653y9MqruLbKKzVnRjIV@*D zwbUd)IlO!AB*bbAO*DDStq++O*k{ZKsy%ECMLX~;PZLzsxsqoVP;FtT0TCe8v zs$#U+-`l&oPmt(I7V$p&bN#Ehi_2=Xm-t})XV))=TT_)b_xxHkc`ALZ;(Z2OQ?LPm z`!?Kkx_)e`{)DHmB>Q5Sy{pJnz5c?fB4E|*K_%tTq+{Q-1IaUTQSr4f$(kh32(*@6<+=9sys!A;EOI{-6bTy?{I_u^Oe7wBoqCodXne}A^Ygjq^@8y zL4oiyH(Tro!IU8Uh0n%6DcVOZvy-rG4b&tF_}oX)Aw6H{?aw}7*vh#|`SDW8b`&t7 zK?;$i?dMdnY^~pTX(ETXOEj~x6pfsxhH}=gyH|_9ygjL6Iq-kTQ0*zpD0Uy+> z`_(zQk%<+=m1Y6^yKtWveiVp17uX8ACfDkIS_}3XI8_(O>d`Ldyz4nQ`E}HCK%-N( z(@i%7?a+Pf4b?eHqyD;eiw+5_zxvJ>LR&a9FE>UIrE7f`Y#7?uykqgXFv8rifsOLF)v-ecZH6{ z`?m!VM2h2_;EP^Q5gIE-hkO5obR_b@+Z&s2g`^i!$=13(rR^BN@!<9cWn= zVC{8|Xe@ZSUU^C=Q8>hYKY1X(##qE~K&sYCGM_?gsc8h)?|+xl5nYT~(0RWF+Y z8C4%H6we?_V_d&0wu;@ukxt5kfwOO+I{Z&=UbmvUKC9nefwr@IN*E2Advr@#NqZ=# zi4t5FGDIiX5EItmoXss>=>a3vh}*ZG@pI}6eEuAT#|dR8!+Y1Ez4o2A#!Sl{0!aS# z1T8=EHYY+y_y(=g7{c3;9vTP^7{~rBBL+=lEPV)V^Fi`20eE(UPSLJx;V1KJwaUw< zoa7hfu(HE;KqUz}O-Nz4NJ}#q!2Z!ov4}w}w@bdVnuZOx$Oi4VmzZ7Xr6&=gIszKo zb0-@^p}+XQ@VENiF&8dVC>Smb%p`_7JG^!}5PNZxXa}mia@NSFITA`#R?@{W_jcrF z%bZ~aXr7vIvH6u7J;P(FE8gp?X~%lLeTuzZM5o_y!_}D41tIhY`a=B#*oPBVQ#433 z_uP-A8*K?9uyo!ZU2oK@=X_4uHsP<0C4^dzI9~2HulHi)G#B{5 zS%onPt}NpQVyu!B6fkeSadi1&q83==T;;Zpa`=foyO%M#yd_bp1G|?!B6UWg2!&Ff zLD(ph?Nh!OvxM<6j{u$I*zJ|%fJW)U*BDIyrr&r~sujxf(@LBhvC0~yIVa}(oHJV} zL0@f3y(Y?E?^Rj{bd;xP``L$|*!?Pg2nZ-bO2W=7_6+Y`gx8kV?7#^jeyWD_N+(w+ zDl3{KJDQ(SRF*YKnp!56r{hJn=|ryeF@feiwRiw@WK4p3BnQ;^tm=U4B1U#(EX zK6?i+%Y6gTrNi8cpNm#Stp}qd(T!Z>5|?RC;dxr$NY~jW-R``RyFRF|WjZ1-IQ(Qy ziO-+PQ{q9tTcjX4fO{8>`(eS)}%?)8d zeYsN0Ysmub=fUy$;$<)6@Qbvys`&ghkDPQyTjgeWb%@qi-0r=q<6JBIwO<&qoibxY zsY?#BodG%(Do-ZN8c!ZGYaMb6Onduf0mbj<<=EnM827SXG?%>4#@r}v4*56n=RRE6 z7`o%S&jn-@XlBt%W?Lz`6O^#O_+H0u=*y6Y>mhthdHL)yN&9{)*JDy>_rH&!o`B}{ zmE&`7>s$0H>axCio3iq*avNtC)t~```DXFH*qAJCqZ#@=S9V@Ds5ITkx&BHyO^f~N zj)xCrvt=fMihTIanZoN>TpLag%SBjkc^hY7!Du(xh^$6($vt;XObNX#wuNs^FD7xt z_vt~hx=3xhgGpI#WlRwQUD;UD(KF^*W}cQ$Q>o_ibSGTtBX5Pi#G-J-!IWN;*cng{ zMKrXzYJaqq#lah@!ez}hTjLYcvFj(d48njnprnHRw%#sHDuA69WDLSk%)f3Nm@;}o-v zg2nY%`rs@NPRow05)Jjf^-SKn8F8h>F%~=*``~K6x0!7jg0nj~{f%T4vF-p!uSX=s zm?L3v)d|u@8BkbU5*TmPRqWFgR=p6RG?P+~$LSkBc_FBDyeOT&_z2VdThEQ=QOiYg zC}-deip}JIB-8yTqW?(Z-7)+_#7&z8C07MnI-hjC`wGjG3=okW_& zsfp4IJ>feNRKDtv!5bhA^G{YSq67AA;Q>XH)aG17n$K%!Ztv@Pd)9 zkZ4q?M~_#+1htl6FmKP~@2vXKX>C!}ZEe@D-VvIfi+*>`9rl`&L`C2^-d^!qMhkIj8l<@9QUNw2_ zZrkI1ZNad6d}Pcjl;`%uvkTm9bxX1or0_s`8?^+a!cTqNi))cImEGOZcZHC|m&+6JcneeQNhgnwK12tu`|+D!wW17`Yqf2iZ1dVK z6Z(}k9ufpIFU4Yz9^!M<$@GQx&NUc?eJ-ts#HTYvr~JhL*?|0{(&g+ktEQzBfD|oim?FYeoh{ zvHgc@>izUJ!vz60o;02&<9qD}kSwi7y!^@(_5xix|DZ5H0%PU&Un{> zj8=8s-75_G43J!}?DuRw4*zrM4O45F2{62+2RTeQzQao`^wYhHcP>5l2pLGXir1{cI>DmgG4HLEdvGEycY{mq1YlAsi&xb*)_Mp); z-^~+e&}mj#cutI!>Dcb8w3BYbYcKEz)^nMVLq1BKO-zC1Li1`u)sEkCpssm8@}&~< zgjk_(Zv`BDtE7mE{}jR6u~dc!bwH|Gw)Dhp=P|mGjP&MRP-#{O0fxw3D!}B{km7(x zl8q5z99X2IclszdWC(W#<$<)RPt^h-o*Fyna;>`3@_%XmD#)7TX^I@dr$;r8Q*Jw- zz{1Q)Y3<#};3c+8-(8UkSRN0TrczW#rbb&rhpbo)tiH*qsnt_vNXa-Yqq?*hY{GnL zZ@*7g(Cp=RJ9%lpFUZwXrI5$ANd(T{k7c|*fvUPA7Tas=YTOa;N@>Fd+Xu>ps#z`< zd!}rCYu?Gb-3gCr=aqI)zMJ#NZ6~o8$rxb}t%qf>Cj31Mhvtun4-?r#d_Y|8NmZAB zF?vg2Q3n!2pYyFiNS#YW9e5z0Zv;2GZ#}C z0o#utP>Q2MEOVN(y`HtAhRnU2(hx*HmRZ!-pxM-|HNU7&0Xadj+xX28G;{$T~Bl)Q5> zq;lHESZxpS>Q2pRIa|3A&i_GRG^L`3PQ^uxp>R-P2_qgbw%axA5bphAU^g`(a(Fj; zCvvW-y!PH!|0U!kzH4vKToI-(>NU?Tx)%{Ybs+X+`saQdb5-=cPkue1 z*`l6~I_4!6<%tP^+Fs8#Dnus3R)y;ncw~mJXWUk>-XqJ#vmR9ctx{xSIViV`z+zB& z@-or^j6rB3+ko2v&jl|{g=Hb7cY^U z|BgU@O|Mjl%TsCzJaep*)Yr0 zWKtKt4D&gm(otn9Uf`V7sZ9-w^u%PWr;Z;&lFeSqt;G5Y*+V*?1#&KvlM{aocqPia zit)^HE99>Sek`#pN{)T0Wgp0ULZX7R^J#n`y_nu&nu>zT3NwD6icG?Z^NP=4I-3cO zNp!nF5i@6>=hj&@D55kOxrTMO$|!W_>YA(?d^IqDS;jva-Tmp)7~P{C+>MMX(C&6Y z)86+BXMV49sP|ePAN2>As(A~;g2Jf!Z{X*&3zSAsouv<6)%@juIUA-_hUod#!oP{R z`ri~?wy3ulIoc{zgsqhbx+RusEMw+A18I?EiY~T0bY*U zfG`v!It?`b<+*?_PQgDjMBj<`?`tR0Zobe)h5vcojr@a-DoTrN-cxT>GE%a14?{i) ziB3h{iyaV!z05=_KoqDJ+%&>I7ZV95GUMCtl_Us=Cp+L!H1KCL#eDil zQMCH*9A3NEcnS?!0l~@MB4zijKgup@lQ91eqFkThgGDl}Yf{2Let#CYnJNxA=-%vy zC1zcu?9T4M5oKDmp^K7c?vpX?~trx!twf|~Td2H9dVI8$G>iljP zH-$0fRg+wRT|i*6r^g}w>U_05!>GU@gytpgXUVULko=G|4MXJ1iN4quH}1!wf`y>P zP2FoRn)bWLdF!=Fi6|&ZFYUS9<*$OayY)+&x%S8PA<}EFKG&rKu}OhW<5mIJfx0uQ z6k1g6xq0pw$4N|=c{q@=Pr3{f5Ox-u?I5}+!o!@|@dTNOEB`Rb54 zH6LVAdfWF}>UhX2#kTJ?RFOsKeYNOSdT<<*is+oR#jh9&x#!S=Ql zVZ8*9k}BKGFfpJHd)UY;MYiwZuE@s4w%?~S&^l#i00e>KnOI0?EX#o77`LvzZx6OJ zQz8aog00Lni9rfrCo^ec5DVDS%z+qW2X-*?AO?|xZOkl*LHb}9vmg{u7LLl>X_0Vw zt0u^d#4>%YdE!frr=87cbN7VCN_($>6?d5Ln2l<(Gd*mYGsuCzC>TMyRaz%;O0H__ryFmPIz z)8Y^^uw3`o;^1rf)!!Pelv?J6b|OQmC@g;ndY34eisSV5jD1tBNxNmHeG!C*8ZEPos|7FK#PbA=d{130;$xv*5K`~HaXf237sp2G zbao%?FY2Halw<<2$G>x*6dpyl1FW{|C%%*N3OE2r=k+Ro?;-!q#8+%ARJRA>$~`-CGBx!L+tU3r7Y)f%t4s44Z?w_o=QOy8{;@qE z*MD#!a$sY1@6}u#9S(~nx>_ZfT^RoJbE#u2m=RY8tx@_)z^#HOdciL=W++XvdlFfN zvhtnpdFn4(qDxi>%P2C(OS%L@Ky!;AS)66F zju;S0u}S8-5)m)kfqSt1#PCQg*>3xsvSU@WTQK{?@D4yOOe;H93Ob*^pR*-uW~@p|SN?<5l6W?` z&nSm(kSpp5jad#0YR;I1xG~|6<_nJvuK>)4jzqUeyY%n86Jxl;Jk0C!95#cIOaKV1cfT21T`GGfzW6-`q)BK))`mYp5i9Hs%q#-&StYSmJh2YA72=dVaimIZxw{nc zSyhyL3BuLL4eTv!@mZC|lyUH+wr#)0NJ>_K4F*0Chbn5#D4LAWYVp->nt`O=*z!dw zbzjor|{(zKOoSrv0Ra3V@AdYbp3EI(`>7vptsY0O6f7UVZ|T+m=GmB3ALh({+kdZQblr` zq^MVZ2O1V~>EJnMpBA?06SB3?SU2+R6Z*L6XSUY8MrqxL3shHZzQ+QRl1;OV0-7K} zAI?!_W!zlsQ-M*Tn#ac5K3RpN51D)0Q{ZoftipB{VIfQ`a@uefqRR+1Okt-Yd9@@3 zVauWbd{$W-6;Eub(*4|+I>`#0yxmPsjI>g0BQg}2yJxaPC#@F9crz43;ylsO7}WrI1x|M$|xB9(L#AVQ;>xaQcDeu=Fvj!_xPh+ zWbt2$MFMTFPhWqdwTblvj_+~LYC_2@31BQ!M9}nH8-@T@kUBGy@Yc9NIHUtOX05y1 zR0U5Z7bFpjS5KWKNE1pdz?-CEgd-6fP|w83sVf)TIIAhvd|w|Q^nO(}|6D;G>4(Tq z4>2D_T0d34f$~MP-YJ?~@e6@5RJ0(w%`Y#-u@)5#wuacR!V3pWj@fgu!?AMO+FcnD z4#-<0B6|?w7d1;WgVf~AIjt#?D?Dnu{*!~8FB|jsLtpRM8|+_UGyU`pMpRpDsg6uZ z3mYt2Vb86?A-=6$;mA!#D65Ey9IzskW_hb3%7K%HU|o?BNe2kTb=%t-`e{^=TOZP2 zI*<o3ho z{RQrieg7`3-TUZ%ad{dX#6W-k02eq2))4%)L23=TU%9LABkb0=DvfmeM(6@I)h9D# zKi}aV9L%(c5lBuZm3yVsofY5own}d^uy!svzU^(3?er?bh+d}2>$3+M2Xlku{=?wh z$VyqH`rC8TGGff`NhZBaqt~v^->3#;uv21DCUrX~2VP?n#i4xQKEz-&%v5{r+H1Ux zQKm<>w$*jMX8)^Z-_Wc6sl(T*%s_9G$e%RR+PqP9Pv5*VQdSIR6GKi)+Dq8`Y~WVF z%fWtz*&}A7pLt5;A2iwac8clhA=lEpPIa%g-w4|yP|Ag5M?dqJ$e)dSZIHcS+Asl? z)SCZ3@2NlGm)YCx-Z=DBTP5BKWE7TTQm-3wIy9|PyO{-S<9T%Eq$0ko)u{) z3GUCs03oKCLGSuQlH5A%<(_<912V0}6R+-{gZ)71+B_*5aa#bXktHm|yvwG$mGpt6 zEg-*pQfh6`dn$Rsa1Xw9yV-aC>~Pwvd%HSy;hg`o9$5Ff`Z%#9W}VaOE(H|IUkw~GneZ&-bO_GJsmVvUr(cAPmR^Es6NoUWLrTla=FgM4CMwrI>)V~$rb9->s(hkc(L3X zJ?01Fc#113OC55@sgT?1T<+a?y=A?@^$oqwu#^;IlNcVU)IthU;a4&4ttRJzaH$0; zb^3F~4GwH7s;OI$EYCQr${S zKDo3j)k>vd5N&AaF$=WVr}`m{Eu!@1glwOHMl-jw-YZ8(#W46N;h`}S*R*}7tG<+p zv`yG9*Kp1G-tEce{1c;3ZCPA(mX1)o$ zJ>`bx*Gve!-JOXv;qQES@S)b-b1Kd;?Qc7>EbjU*aVU-oL7;EF`a5%v-VZ%-DK0mq zqVNw*FbPInb}vj={V(~PAoh$HUBi>fbU=eZ;UAsQD<<8H2wmzp<@Njm5*?Qlry1~b z92kj!mL5+QWARfz&<_Q?3tOpiV;S{(Jut#hz`4tfLXi{%)S~m}-!0{hf*jvnUmuHF z^yeQPF8kH=k2FKqVg&3i<8F)ROD(7jce4xa(KqDXWWBw9+}2BXKg;7@S*9lt^m_B(IZ`lCWay<&a7Q5*5}%+v z7*P}}Ms0n&e|HlxODAM5wl-p0z{!7%L}3|t?ARO0Kk~4YmjPKK+dVYAyRf+7GhK6B zdc!~R&$H1kV<}Tj*ok_U2lsT=ntH|^_XJ3$u@YA`Zi)Wt|2Wq>Xn2>aP1#!->kFxN zy5e%$X*II6l(6IF+BTp-R_Y=#Z%rKPX)!d}y5>jY+c8eh33(W(J~eY(cU;{&)M;dJ zX>n^W)b4m-r0~Hq_y&SoQ?&Ovdj(k1{2p9{eycq~H6%Bj{fV|_{Z~hUZ8@MTA?JhK z{XBZ@Qn&hRNt@G5-hR*0|qCZQL^eCHkknPgFX<>Caia zja@+ko9uC&F=5Nvh;m547u-cb{kfAMh`nWcpQvP%qtSJH`QBT@+6v?Dy`$)aL)qia z(V)bojw2V=g>P1)K*V}g{w zWl8?HSC&v}g`(eSWvM_endrQ%XY~5)&t_smob1Te<7Qm~b}%B>vncL3G;ooXM9{LX z=%3LJ-%fUAJVXJnqv#)+c{a5>)#9D}d6`Q)dpEkz%WkmvPruWYe|;~udA?m%IS)E7 zPXqGT;&&}xMJL6iFPgVE)gn+D!FHLe?;~N1;)#9a`Z<>i0auG71Fi>R-hn-yo~n+N z_7^F4hBNcqQMYp=8;l!$4|(rrcqzK*1O46&MDb88*UGtd>0k0+p9VeKiIV$2F1-Jn z-oAGK!_cK~6(T7Y(J^4D%E&S@A%32H?fb{^2KVKj;o(>NUyvc>>?JxvI#Iw`KXzu5jtRem1gvyp!On|Hfb&B*a0AAa;mqrh_!Qc@xLoCMLo z7w0Ltv<<#`o?0E($jzaY)?je{Y1nf9f~=;5j6#fnfPjHO&>5p~aV%i>fQ^8l{u2QK z1eD6FDX5x$v~qIc^!aGLlDG1uSdcLCl*wZ48|~-#wX&Qhh8=503jvFQkc$!oYu4F7rldi(bxgl zyL6m~T)FZc4Wq8qn@wUvexI-dKsK~Z*AyQHjFvqFBV66qHYhOa2pqU|sK|uZ+?Dw( zHs$8uS)ecHOZDn$6My}7o=+cCgf6SQDn~T=&K~3R118UnKu~LfY<(&Hcz*0RuFL8t zl?{`4=kK_jM|-h}VtmKCCXguhJ#z&XTBu=ykJ5oKDZN-L$Anu(hjDFU~J#p z{*knCVZKs_Tahij)l?m&>=oUKB3L9(91ca17X3$UQ=<1X40%)#;*5eqsGs>0eK?9c2G%2bN!vfZ5~n=^|4%zixQr)u8u?4K%ZztjoL z{{ErSl@I!Pm7bQsOVUtIQqB#fpcTS_TB7&DPp@dHrDP@A+W#3oOZd8|RHXiIj72F+ zB_(}O8Jk*?@VNDYv~40k#+i<;iU~W@mCQTx`O-laH4FNKd|^-}(cwl_1G&$vO{r=@ zcVVe6tos+`ovQIQvV_<3KfxfOyQU+`E0#r?EnSLA`LQX(0x1ATP+Z&ZlON;7xTTPJ7-IPsf)~IoWNwgp7WNTaoYthx6Re?d^?t z8bF4w`tp3TDXt8>MO1a;t+C?2tZ+5+zH3H<6->kRrLnh0w$XF3h4;|>MZ$Ocz-O^^ z$y}V1fvXIv9q`QCY0@`J8s=Q#@y8;lb9S~-MfRmptUcMlbob7diLO{utaAp9IcsY} z<>jf{C9zObY9=l|Jx=Gxc>e$jN~yH|i+kjOS{i@1{XMWk;T9tMIvIx-S9euN%bfFQ zEps?TlX|4;i$1QsLjdRd!p=uzp1i>io+tyoH%z8Cn~J&g?r~J>jAQgtf~mf)L>QTC z#AZjI{+S(Z6TFE+#|uTtVo4<2m)O!T5k}yXn+e{-+1g(lrxnetg&7stUH#kaFZFDR zRMV2o!RJ}}JvdXAjr9-Vb;}^sig;5+9tfLb&XJ*o)D_zv?NKi-s~Du*8KS4UXbeKD zY1|x{V|n!`QI)=<3zMe=p`An)3S+vrEZhBf9_(zy1*FJb9wRNj`YDK%g~n9 z^DCgFrFvsMsQ1Vag)p4yJ&5c$@47JYS2WGyQH*SUzB+<5hZ%R*f*)josgO3(wsmsz#BD zBg&;_S<3IUOQt!@O`vI{Gg!_g6yLk#{D9U9CS*j%Z;N$HsNAu_6 z*{b&6c>>@o{yviTk*hoJ53btAr4kh-Tow;UZx{5gQ2tm}gc>`F(vC7%5h64lvLMnG z6|A>rvUt};{MJ;`{TudED8I1>bu>2Qu;e4-{UBorzRSC!1m(Z$s$>CG%=%F>tBPa? z3}fCmkmw7=7)u#QidD~Bkd3N{m%_E$XKLyg2YDP=hkFseMrX{xQDs@7H8d9gbb}Z5 zWPO#_)QF8OH2MS2@!p#BO!=3t5;?TL8d&5L@>d(NH#Tco{R49q$k?d#Ki5gH$h8~x zqv>OBEYL?iK3~}D;Z6&285nK!&mhg}b@N~ATlC(){ouS!i5|PhGCEl@WR}e*m+AYp z`lU+RhTyed?YBaD|1s{#cc=n#W$GRvlK9wxRrMHFXVlmA?R;Ort+mq-2hha3>al9} z5Yt2AFWsvaYWBph!YCNv6+#Dk2Jep0i>ZxlJzJ_N^e&c`ku;0@KoS$+l8CG8GHE|v zGQXY)H+t4FZZhM*FnV`iLL8vIrZYIw>g4Xh#V`F)WA2#=hH`tl+xj_ zlcH~JS-<`9Oe6~|cNcIGMpPgM^7nrcwg@i1$ciKXtEZA*6V2YxU%jqvyRO~8Z)p^| zxf~9=_uIPcq^ghoejYta6{?kV?X+y2W%L{>ur`CFl7>EgL__0vp_(7nd5W-ig*U$N zw);jsy>U`ZrmCP!0;F^1duh*YR#4d-ERkU)e~@^uWtujhI-1k!?)U4`XZ-MLa*T^R zYSj+Q-iD{(Ag|7kB;rzEAV=W)CHorHWo0i*b?yeVWLm^4-|J{Hfw~3Yn_c>OTla1f(WM>$U@V$yKl8 zuR6RO9R4l#rK2ibTTJpqzZm|BhyKni-}aMmPxywKIX%FVd&FHh!TYr}()AW<_@{I= zjc-M>m#%A1>)vxJVQmaNikf?h(4gi%h1xris;y6a5#g|BX8P-BD@@|vmsj150PTUx zK~H*8^-bvWR%L}iyogC~#P1taxW;~6d?EaB){DAja>|sg;G{6K(XSCpHwsUtd!1Cf zw!%&5(hA$yHuFP9nvoghslXRr<9FLeGZ%2jpSsN*>&Cy|dva2q^h~Ed%`yM2d9IEo1kh)6;YoQ0tk zlB~XcXV|QSnF!-<`*mI>?9868nZ->C?iw8Oo!8D75oXx_bl!)ybkicAcfP)x+iIit zt7yNv)x`W1^Q%UF=V~YpUsgOi%m*fqI*&2ElO1hD_`Pvwjx44a_)+`5lYHuvL)M*) zBss&Nk}E}xudi6tD`LVf0Yn;+s@3z|;0|RiqeHr8H3}Jqb}f1XN78q!N3uFaiMn_)D<5|JyV#hpHQz|2If*o+=XA#6v*1oBscU1mBOAJ$X(pOW&!#nXaYX zh{UJ8lDIQqywPfzMiF7=${&aq>rv?tGhK zs8CkH?xW3!N3Kf4HO)fxs9DbwJrsGN@4(YAxZKB!sdsXfgF0O4_9Czw^H|K2wlhDk;^7i`QkqRR^~F{yl{)kW*g&a@oB74fp>)ti1(L99`G8o1noH z+(~c??k*uPID@-GaF?J#0>RxK1_%y8gS)%C6WrbZ=6S!@p6{+W2y(zWGbqlbip(Oc~n;mP3Enxfgdg%2*FPoCQ>-GkVVM~5Qh7bf}S#QTa}=PX{rt5^ZeznKbWs zbBiKM60}z9jXjYx=_OCp?9$+diPo0U=J!TW%N|Y83B%d>X35jVP*C~%eL?x>LM<|? zc2Bh-fg^9V;y(O2BZvA2YA-C=Tb!|kM|}Nz<%hGfla{Pc)z7{QP1TaQoHNf|O4_!C z1~L}}M^-sYXZLC8b!9(RG8hx(WAD}p`JJHMcw9OZa<f_L>Yf?q8hfGZx-b^_KR;8-=AYlv zUB+CY*0j%8;XYN*4hz6!KB_|)Ey|XHl-=h>bOWzj5}t1In#ts_cKds=q-n|0*mGJ6 z3u%#5#Wa}bb^Te!E2k`Ru=uT>5Bc*<#0F089s-WWsZOfOW6 zZvqPY>uATj#-2!HldkVSc`Ms0!`|{|4OXqJ49w^KEL<-{JaZRG`r0D*vvBPnugzLA zW($8Jsl_TrE@AXbFFaCzC=3sw?L9;=&Rg<|t*fW^Kt06Vyb5KTa2Av(Y^NHN6?Ptx zU_H9-qeIRR;|1cA4OOj0l=#zn;A=DVp5mDSUvfLAf5TU=6}iniE}io9o}2tM$niJz z1QjGI53NQdnQIntw}&cw;jFc(;}=3gn5MdijkqLf%}zfhA;+=g21HqU_F#b6LjBU8 zzVU~uwAukP|7Qu-waQZq`Z+^atg^(DSwpwbNR#$28@zmd#2o{!-`Ujbdfu2pSS{QS zmg^;Y+xK0+SJ}U;`jaNu9D>{5j`TH`db=lAS%&vnZB887cx}OHDmyhJ(q#UYiiSVH zW>z`G-b*C;>$Y5f`}IMg7`Nbup{jGa=)x2226KgOISE#)hii~>XN`6>^#)bdR4A`^ zFujL?HeLTMo>g8+O9m-YhWbqKFR#xjX2-|5z3uta)!B_1VAz*9`@RFgHLu=IFLubl1OXR8j-s*kc_48_1Np9TZ8i(rbnn6DSVqh6)Us9 zSKz37s}`2&0;>W_YK}R65Os%;B41;jNsJ7U-=Z5~Od7UZ8orO42)a*i-xk85EWT2U z^yeG5kAT}XaNC0nnG1(+Xu1Zbx0{+cjVIFp+omTfg}No>)CMRFhNflAj_NF8S}1 zNJU4AYdoKlYyM+HPrt&1r|R1IY(2t)U_AMUh>NEUkdBY9MD0v;DkP>ee@3Ox|CA&o zdQ5!&`ILU)C+YZUHB--SjH<`L3T@KKlc7G#T`%G{j&nKQ-!tM^Ni}DnJ9fX7i*iXZ zH}0GWHis&_@$86Z6Z|H0>L3{pbH?Nf`?nwc$9LWh$cLaftmB{*L|lCNmiJwf1$eZ0 zvgBKn70nxsNm@#VJ4Datstisy4%)uDto(^i97udr?@k39o+l*BbUd`pQCIQ{5p$dOeT4yZwa~BA&sPQL}(`w}TlHW<~tjH;xrOIfu9of^+T@)TjOHQA$b zA5a>ws7PqUOnttuh0{04qyCN}uK*da5Dt$O2m7aq4R(YYu}8e_`$BdofMG;P=BnAn zl0He8uSag8_uYtH-3qegH&nhW1rUiEGm-G)=ic?!80xC_kSWLJT#Z5w3FA-ai})>R zIp}osP&#!kPSLU!=hpmC%Jaqse}@>6z?W|fwLQ=N(|rJUoPGsDZ(V7lu!Ol`37emV z@kn*)TJTZcXo1wn89It$+YZ9<@>ze%!ML%{ZWh?8MYL`6ULB>m4q}`tvyFM_MfsRR z1b+qty5KnUOG7#4pDOFFT(lU+f5$6?S(c32TT%Q*KS$wcKyWaws$drxslJd_gw+u3 zst^-Fs!F3T?wm$US@ZW{nV((HgrBe8k~Z7CK`BZXkY|(+vy2+GcZz|I`~ zPu)xyB;#1*GbFzUZ=k6i&5^XlN01EzPnv%?g-Wne6Sm4THoi5~>g0KQ=->{zJ@{2CzDI`* zbSaONa6^NQh>0r=Zy9}j27O6<$m+1)P#*AUnr43!Zi3q4f+aP^=l(BbAK8%#tW1#= zZClz*{L*1Em+)L=RB zEP03ux8}iDxLO88HkAkpiF7$(AJOo%tauHJeH)NC(%wz6G=T=aPO%z?;KcOv{5d#i zlDj4gO{L>T`C(Irg7>m&Frcw?YZfePFav-i2!RIb%J~=8V#S`ByB2mn+ut^or(>ZF z@0V;X)$SGHf5lUv5&83cY+;mrCVQrN_Axh+(csm>-%A>l|4=z@vXQ$QnYC5HktTdk zn*A~VW3K5Wt;K!oe1Q7I+ywG;f7;Mb)*uS56j5U})-$#1VLk1I%9`q*Y0&2I;xZcb z#7>G$RM?jk6)dJPg~;ZgiM0hTes-)i63oP7JKw%)2<2ymQs$?n0 z`)V1~_l;ak>CLjD7M1 z(ckUbGWsM(^-L!sPp;W6t6F|MID74k7dQkAb@17|i;@F+r<3t@N@P!f=a@+^*oQ;( zj&~k;e=5dVw6182CDBUNqDo=f2Q7zXdzTiRA}HhnKj%^GyjUH#O(a&RF<3@aGv`Xr zcA}FLaj6bN?dJP^sK?w#$Ko4$1Dqv8Je1^4&UwWf`HKfcg3u|brmgQtjU6G^-NnCfR& z3H_orB9&nh8=xkboMJiLOGI}H4m-D(#`7`K?lmd|Zj_=)S(_qln)*ONi$$=$70CkC z*B=?g)`nSZ-ySOp1{K>9p{pI`WmH|jNhT76W?)~WE( zMyJh*q(@ViSIz@AkkxDC?ZuF_T;nQ~%|-%ml9$+aU`?d_se`7VYy=twANIHqI%iLE zZWgjAVA_9)?(g-D6jRXW^1+Ly5E`(JG~#M=@Bf6t<@+Hk^uik`t)0N6q$|LUhnAA> zr|+s)R=Ehb?=qqOtwyH)=z5`VYSY%0o47lyt(WBS&n?kOO@*OAX%XQCz9n2n8{G&E zd9f4%84AuiS0v$aH<=RtZk^|?=6|r>;e5evIS&Z zyvwNvL+~2m(<&MJAkeh<5YUEpr^2#vEQZg8LFQc>4kq#S)upS7itT zhf~d&i{$#zc*hOX6AnfTlyKP!BB~a(CdbMm&f4?eIlF0$ua*$?NK>=R@_411t;F0| zVFb!TSRe?Vj!$fXRoe4W0(=YHu&l0?Jhn?szy(0-qKbDZ(tFZL^d&`sib7<;?R1guUBXyE-K%E3xiK zds*uI0yE}^V=(9wPZv2U5y!hA4pAPwd1>b9PB_dlli&&*4(=ZuqVuq`$SGT*DKy=% zvzbc~f)6PF{b4}qpVXh7n6R&^f~a4i{?}g&U5$)Q4$|N=Da7KQijJF*Z30#?=9HP6 zJ{%;ECO~)Vn|y>&FC?_JloL?%5{i(zg9mBH6ogU>wM zN@)W5?Vg?+jhquFf0o^JFZUIdJ@1^oTS|}I`|(WE?WRRtY`7lVOc%F{mk3DQ<~`;3 z_XYBg1&$6Jh;8?N$HW+-2|T8<%JQFw24=V&;hAPQ4KnAaJIs5Ixc2TEVoVrr7%CcK zC>lB_8oCH0sqNIXo!^JazI$=&0A|?X<+F!UFvFSVtF)KkmS%~(j)7> z>PeX|M37JW{JeQ{aPV-^zBqU@yEt1v#OqwPP`=!cVJI#~KPW3REGIpDmyEKXX<~0_ zVs7tYZ{7XWH8@+rJ6*QmtDTsfn3$eOm_d?~Na1uH#5+UO;(k8;k$~zDY61u#%RoOU zH#8(YuN%&PTes1p2P-Z&I3hR1C>=H;8$OiN=(T+%Q)BLI>E&f@;Ou2?vfLdQyp$47 zna3Cy8?2QZ=Zd4( zD4NrU%Qa5C(?j!zEc{mR#@6~!^HuuyHA@B?gL8suDQT&R>9wAbmsLTO2YR#pr%AWB zNw7Pe0YnRV+nlmOQ&6AM(o$2CYL;|x7hD(ncsY&)zQSL4j-wv=9xhH!J~Ud5*oE|1 zrDe7xr{boh=p-hlrD=VO7a5wQpPCg;N={1ADBobQm~mOWa!TPmTCO8zHI}p#;pOTn zTX7seN+WPNShRvC*gG5@l#`KTl%ChG^mc#9E9og+s4{$qZaD62VQ=8!)<*gMh`8sN zPk&?c=#r{<;g>NPq-V4EaHG9_@nEs@0m_n~wsm@lV=KnBW+&#AdXftutFmuR8C16D zxwsx5rWVk3fXcyX8t8@3>TEYLy@4|`f%v|p`!Fv(F*#L(dOt7I`t_Nfv&s0CYnuu1 zj-^P7n(m`8=TuY5ZheP#_^x%8*|2=7_u@F+JvfwBJR{%CHI1C^cbT~PEm&O$1)$}Z zk?V;fZi5Qul74@^&o70#s^2wh2wWH`{EoLEpf!TY_9fZ5Z0>XyGz))%mX~KMQ|UZ0 zI5LlxO;ej)K%@2UK)F)Uc{HE$P=^zRz3!n=dv0>^rjf`FY-ctbm6vCdzfEcKM}DQo z+HcCVykR18hU3yog%J>=r{B`HK8)$XFdsXsF>jbMmDOUk`(s|%zTUQ})0iDW^;`UnsA z+nn7($}L`l6J@_-^>5Py@wrW8qk&06^1*3)_mM%0B0Wd_z#0-pR>jH`#79O>5C{NjFsj4 z!!q+|#5;aP$@3`irRumbeY@Z1=Du^^kS{p5^AK2FlODKT#oX`Q_U$IksV}nU_e*kS zUMaHHw$@uqptK1(d#iE zri@>5o+#&O>AVU%nd5@z-5ETH<+pBYGijfm*P5$0-o7d+&lr)J9oannj(6W@tT^TD zH9;vG&%KakcHhQs`0O!!WV67LWA3gmh;rEWRzN;q_CPtMp>Pe> zm7YG@D|wgVN7q2wdj*4tl&easWs`RL$x$6E!JY6LQ+TFQR$EAU2+4l<2M1f^#L5k3 zW&v0ddigC>QipQJIl;tA(Pkzzl3aP3aF%o1#`MIbD)(WS&V`D;KOaIn8Xqxz&^o>s ze7&#Opw0Y#k|Zd=51sMq+;(-P!eQwujj98Fr;+GQL&5?Y?u}Xo(ZcUKyL~&R%@Z0v z0akY7iFPm7Srmha;H@@K;?IWqZf;Ph4VBKX7!NFmmA`IBULv+vh4%)OM(DzJIszO)D2dRxd`>2_HFTROFPlUYz8Ra$q&iU!d| z)3k#3zNW!wpfru}1s?zX9i`EHlT5DuAOfEK^HtAEh%%Y(*R)4ZBM7^ORvB*PrQj{w z^s)E==V$zyQG6QI$}SUi%ei&)o1Bi|E@sh?hLzg(W0cVE`+tr;qLPJAK>zBO@yLx-g=@X-(*qd#?F%ojs3x0H1-FZU;|8?tq8KE>% z8UEMt$`#Zifmk?9Z@s}2_&cekoMriqf|9VPcOKedQuD^sdTk8OulC^G9^bdr_$jo+ zKRaQ1H*qu*`DB{wSnMl_UtI^x9$Naj`Ml06sA|tH?ih8UEeta?8 zR`xM>DaBN7G3Rvidkb|GH#6Dq59Eik6a$#i~lLw>`k_|HHXGU0^l4x2nY;;6fT(;ng1fiPdf0vHL@14?mJ zWP2FzG6zTsA@}5VZ_5rLca*&qQe{t}>1len+kw4F8 zkSEQ6&T6amqm_8(2^VFe2?@y&o^+@{oFIGt4Dy*7kbTn=d7rOrbVmeo3?#bxw+gVO zz$%V$A&w~z&-8WnKRF3pafJ%T8 z|9H6~Mv6NKF{cb2fi*& z0N%RLVb$c8u+B`C`|WIut~5M1(;QrKUI2Kj)yaX|cF71eQ)By8?f7=7#4cxAV0c5QvAph54(to zqojPvqW=}GXOCPhL(bO_7*;Q!ZN5Ypeel}ez}1KWAzYgBb)gfwEuAO2_{~S7Equ+Avdw zWcp6};LUkvf;!A|{D7ag)E^)$F%XtGHS=7LIRl=`F%8~FT#bu+&`&3Hy=D7sbtUF2 zaJ2Bi(ZW;h@o~`roipGgqvn#pgyzOA+GxgrW}Q3;t+dYv=#Os}a<1nffX3kj_$aC^ zVr>e`u_9UnW2I1>12E747-%&+e6z_Tejl~Bh4rwH9BY9%%t)LgTWv%C9&5poco7(D zp?=t}mGPk7JrTYsm1L1L0lrq^U;Au1^R=7|@M#!^7HnzVYAa~y;M>`HSdIV9S7*$E zCSP(6DDzw|f7mbP{A+pTKHnSS8QbmxVPfgaXn1kp*mjJFfdqREBv@OT6Y(NN2E5A= z1KP9|!fl(MEYg|YuY~hX&8@Rll|DY9>S1W>+!5gEFT@A^+if(!zPF+gJG;H?7u7Nk zuwSzO+OI|B?Y5<}ueLL#=kHhHBbwy^CoKzN-~e6!wO6Zxzyb1Sz(0>U5yL#JvYYug+Tl?PvxdxQ*@d^-Cl?|B@7I#(>}Lzt3wD4;pdgh#zaiu;1!| z{&mNTLh8yR2mHSO+ee)TZFqRQO&vI=wqCX?;{IPp2kh1owTKC0{-tZz%wa$Ht@Bl% zw5>L$X~I`uZ4dHdI0LBOpt%_(zOg#^WX`8dx=5MurWQmARp>+t

    RY4#ryB?I*7M z`%iC%-x5FlWO_G$ay02$_^Hl{;hlAgG>p>zCm?A)aj8EC{G{bCwqyORw4;a&Jjvz?*i<9Z_%Ow#C4krf!akYx?^ zw{)E-3x<3ND-fM^ZH))xi7EpD?f3(RZ>2v{I;I!p#aVGT?;n=6@IGlE1z>;_@E6~a zzVW`5cKw8w^fr~U@rh>5RD?09oFM^WKROAh6$n7BK!})3I%xr%j9#3m8n?_|oWuhS z9g=J<|7So@(kS6qr4Nc(+-Eza2}o6zRDHH5$;y$)R0r~AC#9w^W=wMf@a=b6_{DO0 zK%K$|nBwzMO8E8EfS}cCLfGmmrTkLl@jY(T8BnHg_9yt4^eR3iz!OCOt71hUovof) zoxO@bBuD!^6Pns+eLuX!D>s+PqW|Z&KIO^BxzUpYrSD-&(#o^A(#owX%rqH1%DolZnbZXYY zDWRHGv@}2YbOj&9A%;5$sU)Y;Ky1Tw@?-5`;$oB61c-Kn!OJVw?x`~0s+(vWw(egOkc?I9A&GWAKaK`C)u576WT0 z*^$d2j7G)+{T4OH30*i6A?sbEx5k{~%6JQvCXae=sZt?`kbi;O1)l0-kr^Z0fCev1^N0%I)}jYia!~8wRdW zT-uCnwBhEOq0uZh>NurW_sN@O*Y??(hgbLMn}^r-`I;G4_nB|p2t1lTHZP;<+C-GO zw9fFZ@AG)@W9!ar?8A91{V3~M+dsW=gKB12-w(QRLvOZjpBcKTht;iJ->>;~U1w^| z4}9oAMW;)!HKikfeQ~HFhkUDKv$uYUh!E|y_L#a8?T+vHLQR@=CzuQmBx~&xnali2rb3S+@@cS6 zE;)8@zL81WaQ3Yd&BYM0s) zua@Qv>;kIm8B(V$>5pJt;!LrRaLO6%?APuyk!LfvP0T@s(NXEa@spt5HRT?BeO1G#`3 z-w!bQy~g+~*#V0_A13sAU*YojusZ6P?hp>OCZRO9-TL{&zHvOJC#D&#~wcg|Fg13M+4yM!^9%+2BOpVhYC z@?~E2IL=er;`Xq*a=9A54xg);pyy8`lEPd-KI+AT@ClnzLuqg82vqAFIs*mQqsun0{>)%1bmA8gQ*mGjKh(qb2 zKaP{TO*@gNei|cTNSO@Zk%GP- zqvgf-4UaWfU9L79Y|ph%x?FuY9JlQzy0hy@nx3ywBhx<{gO4BbQ>z`U_o}?F;B~9^hLT4URdaek z*>(HO#OdYojT?za6Jv9_PuX?H%>BlG(#_o~4}K)wk?tAz^?kpS>ZcQ}D3bJ{sM_&` zg`GndH+SGk_O?M<%SdM zcVW}CvWLlngjoa+#4yEx@M^hQC0X<1)W2mciY=(-ppl zeb#O|(i{kw=Hv5@F{@v?W#*Hu#;5Iv3|i};7HE?@v1W~;5^F&6!ZJLhOXOUs_AxbK zM;YeP%eEY;+QWek3z3+Xzbw#c>GyU^2vd{S)^jKdjRs|UhVZt0Tn|`? zpbne9_RjqMe!mZjU0k40Ok<;`N}}kY4%;j(RQL1U5P&H4gKp|#-~fhr~JmJP0g+tY8|Fpr`pD+XTNTae%%o364F6O z#QF5}w;CF+R@BmU_+cw1T8el7IcS9wJQybb%Lj$8y&SLwj^w< zz&8DCYRf9iF%nXqjd2l#0z6d#JK4VAcALOWo$o zuU-rFP27+iRolKYo2Z%E-hJMvZdfTVPpY#!+0Dzem*p83(z4@EIxC=@m91#q6;NYy zjH@SAm{-J$+v%O``lVI2l|MyGvrOaP+fdHRHt-e`oxj@A@&CNgS;4NI5dua;`tKH^ zNurBT4^MQo^lq7Cvcxl2^f#|t!$!5Bh+m9-kFl-d(HG?Kej@)i<==*kPXXduCu&1c zBcpBtQvFB=EhAB9U%|tb&&@*pIJd_wpKKVbo|~n+&JSD4Mh$dxYs%vp>MpeJ_Hh=xSIEZVo8`Q3q22C3G(G;<(=m8{OblkMe)baS zN|^H&+-hlwR()n=cubXQSsiCI8*0g})ty+d**UDODoj}LZpm4vJ7@v^yBF&In3lGA z{OKOL>@-5_4x{9cxm!cP@{_cUcUEo7_`=H0;qk>4n#M=uUO_Ws4p!o+)_U=Y1;d@g zii;~QH+Q}!exK!Z2^+cWS}M+mUcT>Aa$DmQbr+5r4|wFWvV1ZAreh10yNBEtS6XiF z#7+De%Q`|fz#N3Md+4FF5>j_zz|iC`#ke&-PxnH)M8Mko3k*^CR#b~CH%)SkxM?b>@p1s_i#fhea?b&wZ!lVK#Ca6IhmLDn5fZ*^0(0vx6jFfH?enlg(sLoQ#axx$-YQl?#5 z!d+b9_3nXSoXW;s7|p6|26A|rT-BtbScB6!dc`9%r;|^!u+L`f&Mfi8z3HcA8BW~c zW%jQoT&nUWS;d+ev;HfdlA5OK!^^JuE3lny4USaxVTl!EocTfnp;Z}gc!OvyuUbP# zrQrJxW4^0~GTPZ=9VPOIqaviU23W0CvJs2e74}Uhq`I?&lZLX7v&YS)?^`rmFI!`` z+53;Njplex8*Qjr(rdqkgm1bd)tyKz&qN-xAADu}2)FrlMX7w-)1fW>`Xj9SaxFIW zX(QA7)vq#Eu34A_%hg7%RLx&bS~^haQI0!ZcU?8zgEnOPlm~aT57yXiDh~>>v|Cg1 zikDa|f9mb-)ttvx^;9azjnz!}J4fto#mR!vadfk?>kk*>tijyG4gFlhQ8B(&L4g7L zxrZ>h^@oiX)6VnBkV6>$sx+OLnhA?>XE&KS*|(w#yKKh1X?d3U6S0S#@3RgsvVW!N zDAi1a^gA1*wmJh?WdW?aRrAiM^UgKq3%fJ&3&U7uyfRk21(>tL*)?fO^YE6YuQ51p z?e~pgi4vExW=TBtc}&}#n{(t7)KS!jp=2v>SoRx&2aQ!a;?K{rhbUAq=4EW9q~>I} z+#S3yx*$tzd+0?9923aB2F>}l*cjdpsOL?vyo1+Ro{ovuxUI&?^ZF`wi7pR5ZO^m% z!?NwFI<=t=iA7f`fpbT}sbiEotx*jXNUfn=aep4o$Xzfjz}*@tY6Zf)_ zH-pb#_8YY2-Gd)Uav#Gt2%KZf)ttn?)>h@P@de_zVGXUpGCRiU#FUO(j4Qjz{FdZ& z?pKcAFq>75UzjK_qCf8|F9P@!U1ZzG=_r+shx980e3W?r&wLuy-KwYhetWuQPsgzN z;CpV;dN^}bi{h0xGQv#t_;CjOZ@Acd5eS4@5kzEXQK3i`sTL8EQC%$TCZ7jCKaNn- zQq$5Rvi#L>v8+)xel}DpxQHtVD<~*L{?%H4iVys}?)}^(j){edg$0ZER|~E8C3-BJ zAG>EiPz-Oz{g%TB_h%=BBfMEpJR-Mgc?<`Oh(G=cSRMcSA3q}EXo56~x~m>@H}>|g z^ZjV#Y-UF|cgTAnjg1J5B;J|5H?9-i9XO*`lWbbQB)3|0F^I&ABty5IKT-9_M7?qsd-We#AW9WuWb2_T7i3yj1Tw$By9^LLR^@ItHY9C-DTN z3BnDXehVq#*#pI;iV>bn1Plj?t*o$s@^8R=neZvVL|?&x8kX7fJpoU{6zs>J!J z`aFN!-sve1W5EU--}0^Ygr&K_=w49S(ez$W+dtvB?U%FpqoAUr>7$?~dGYf$V$D+q zDl69_qH0Xn3!3$5@X_9VochJgZ`>oeb%K77{;r6{g;r#7W zc3Cq?H^tc_lYi84pniylVCcz-$Fpk=(@Dm~V~j!e*TefZ+@+Ku0O-oG0ZP|(gPQ2+ zBZEp$^YgO$3YvxVt=FjN3IOrGiPE!WCia*y#d`KSLDUp5DZ}N*jEX(w$35?}j<2)N z9(9zOS3>%)4O3gMd1Y?B@@ZC%tAuZ#?v1o}JxNs&gY9#vB~*ib9doHWo1dHKeqM)V z`(|fnzt4GTZ||R2e<_Uo`0rKs#yQK3!HB`Z!M>p{P2}*tLhas*cDlLc>aqH`%=7uz z`J=8#?RW1$Y@~hKn$Y1j+!*I(61O!E!HXe)V#WC*6KV%w7yxn~LIFR`&3k?S z!0me*H;MLZxyfc-_v!n}uXJ!n_u9o-H(odv)Xghhd$ZRog8TRSVKggfZae>=206Y? zK6^BtK)HXi-$4e<1m4x7@gDBgBQE*HKdHGZ+C@8 zIx*pTK!J2(AFdwJdOv##{in8OuQTGp+*JOyDlN>-`9D|9UmI3jJt`G@dLQ0ftmxi9 z8E@aWXgdN%5in)cA}eTK)ru?6OWC|D&rPIj_bs~R$IrrT&Ch|b_fI5PaR7m!^T)S6 z04Tlf`>bO>fDPp#KAmiFgEn#_6m&(tj;Ty)Q|E`FCR5Mmgyi|CzJEh{K;fZT}Mw05K)Z&H6uA{ojarYXPvl z!7oO`znSF0Jiqz5|AiQY@G zojpKyX8eE3PC3nllgEksJDff-dvVn3HOuE|ZEGx_rB5GfrXzs-{PdqRW;LE$EGM6F{sWqRuIB!o z#fiB^)31{1xt`+cf)e&PbBiUP>gM{LVGUiN@RvMm`A_51>zhvFOA+x}#Mn-G)66PA zSL>-h)@FAcxA?XFXnschJpI*t=i(b*wb@2Kio4lP)cN?R+;(o(b;tQQ6LC~RKvYO0 z8?x$ZZUq|*9sg&QyJVtaNs`r;7*G3|1BH9MZO9bPA7grlZaqdDD$g%e#Ai{Kd5G5t zLLYMiMwd;#sIpe2;LUd-AL^LwdJZ@`m^G)!Mz)bSQcZKLPbaf+@8n^8LWNrj;)|vx zntp~`Axb<5yTp2K=yZWctzx|ThIU%yO|wQeanf;;fZp!>qdE3%=i6_tGEi^JK(E%> zVTBf_RbD{`Uv<2KNLvAB(G&&xEiyXPTSnSf>te7%jXz(#=|*_M)T{6^r*?q=W zr};yNXOUm^S6}xqWkXw6*Q@u+jgOr=FupO zjXqEm95aocBG&Mt*#*4TT^L<%xs-%u~%!^@hZ$w_{Tx?NbR$Z zSd^5S<2z_SRfk=6t@=c%RS@DTGjbg9l-|#a9XPouS7|@~U=FI`3a)P#v%vB<6Ygo4 zoP%=oP&MP?3ynQxUxqQ){8O;7D8i2*FNbrsOr&s9VHYAt`znO`y)UsHQsH#m{hJb) z$g;Oqp=CMs=v+{k9C`GGNfi#6`^s`>=8iBMaBQB)rMM&%OuF;mkl;%RAGsy zb+5?(twioJg_KOkx}!rsl%--A0)F3LZ)RypmK@-~r2GY$qBB|)p#nxh1e076CCrXm z(#mN=Emrc&hF(jN-In9_xqu(7mV!U_dF+Xx6s zu>LRGrLZ*}o^T0}l1(WDX{q$iFX+-h4iNo{Y zw;-&v_XRkZ{2vN%u(-*U-{S0IO7S<35ykVg><~%uXSJ#A1yRJifrE0>wNRwmDDBh` zlefw-L#sN#Oy+()V5V5>KQV^jQLi3IW?3>wSdfm11~<)3rU*v7=o|~yV~zMgtTG!3p3NKgv1R&JQ!6tV$Pb>1f&r)V)enZvzRgM z!I7W}>LkpNXi&w^#8_PX4rxhCC%}+b=~Gf%DR)Q*lYW;DR_=nRDgV_`tF=NJ0Z$yP zn6vfF#7V_7512wI0pI9#GKhqLNbn{Zq*HpY;C5CXtMfCci&CunrBRrG<$y1bEPT%) zNy|aQ@>gSyadZ1ydKM&MVp~v(btQwQr27-hDV13Zl0iwxF>d-}7%^_@F9tLg0D6tN z8w=vkm7=^H&vv1_oQwwXml%QN7?UPh3jqk^;UM8k!WiEuXKBRGRwvSk0bo{|FD(E- z)gee`238_w=wP}5n5q0-jIUi7uw)A$G(=7VH60c($7uXailuxrLhWhI?CQ%zO}XV> z@hd6zxU1g;+>A322oerqkwzp)F#xN*W1+#Oh%rzAI;D5Q{5b|8oz3Qn zAO#2jw=fa2DEO}q7U>_@vJJpGgqSgICg~AE%B|w^U=M%HK5>aC5C7Oi5I-FWL80#~ zb-^s8_pb)focrH2NBaIolRc*0UWoT$Hdw_5iqfW*Xe;HdY#3NdVd- zfK-<~#@9)0{Va{x%??m(2PlRy^HFZ44>jJf^gtdelc*?NWI|`;CCU7^ z<3HEp@`>PL#sadNlkdMaFct;0xCNb?DTYV=JxoTJrua=3W(c}u1vStiN(N;yBj

  1. H$4ehjiVF0*UNwff8a!S76D08w) zOiq4p>cu#T5m3V_K@_Pk8yT`D_d}MmCeNjS;OLTRsv-qADt=%b9OT?gbyUeV30`X0 z91d4%SkZzk%&HY(nw_Em@aPDlJmO~)IpKnk5SUm%fSG^*VR8Wh5=m;G=E1}!|ICX0 z{DzS}3=p6{6E*dV0JB~M2<#Eg0K6n1KzTrbzJLHl00G7tC;%N+EFeH!NkD*r@#g>- zHk;#0DnQ;!RupDp79sxC!6Ib>c^i9{?fW9YSRTRu8#$fW|4nZFf0Ikt{M#goFR5P< z09Go_QbNh~Cx)Be?A(tiW%DE&iscJlIj z#$Sb?lwZFW2E9fHlfVBU289kbpuRl&-sFFmx0dB7i{yFVVoDD$s|n!C^;eIE~{Ul=IZE(6sX3^?*Y1N`h_3sE3=-7$uJY1OwPvo76*emDgZ_oV0A;I@_Iivrw}o}uCiJe|l*N4CEp zy(G~23L1Pt?jWg{Lg^rh8Vy*Bz`=URlKAkTuiQqWg7sI2h}}xUNPDv(2J788t9ug@ zjg{~lc#v-%{#HjRAv$!r$fy^LfaHM#L#^&f ztpG`W2RuawJlZKOxD{I4Bo{1xyCfG(zzl?NXu-7#nQFmF5gFo5ypCo@Aa>C2Gt^N& zOAO(QXcMDb#hpd}VR*tRZm8!$6y{Tb&lJWS+=-jVG%6~ipXq`{jU3vOp3TIR{_eZa zX(+H{DWqS{#`k6;ecy9Uj>vy)+fD8`nFepLuqm$1adT5w`)b* zt0;_o=@iOe;eA#3K*mUGwAuAUnq%LflWIyXkHv%@i%IrDj0Mmu*$0Yr#*6vCi`AiE zX6tV`oha2L@VDux*pZ>S@DJDv<(?~n7Op=RaU^%}Yz-`tW6m%I&!9Z16)bs=o8U|0 zGCqTAR+Xg)Ya5T5#quG|+)*T7XJRKvR(tV1k1=KvOB$FalUZA@0O}hE{!6gryEHdX zQ2w&~c!3mO8k83pK~8&qbxvfIz9(PLpD4q3Y!5o4T_&|#(VG0V!kCS9^V^HoDB7J8 zO6AcBLCb!yiNb#CV9Fv(A0Ig-Ku9QC@C2NzgdmsfD2 z1uks3l1-Iu@_X80l0YE{^aGF51C=9|DAxBK0avVV)TB$f@HtVGZ>c#c>{781L{IOI z*QJmr3Yf8eU?N+5g6MrCBZXZs*!i?)2+x81KovCke@Of5u&BQG4HzA|hVBv=y1N@@ zkQR`XkW#usx*57tKuQp#90URBp+OoH5Trp+8YBet-T3*v=Uf-x^WXVnuX|?g+< z&stBcXYFmKRVE_u=e6sZfIN*goK9%G0Ss_D{{e@w0^oGU0>a5`gWO;5Z5r&B5-y%cad zrIg~!0H+iBqxL?7Ye<6G%RWr)GD{ZGw1{nK2!{D*C~m7sxGJ)Hj}rnIFJR1Z>ejRAiKd;D=c#^%R#Yha1S7wfnA1L+ zSx;ajSrr+JU!aOq1vGH%1;Aca9GQ0mYFb42!ec1ld_wdDYKYS!P?BTGoD)h&-%hMZ zvFYKlra2zeLPxxzA)~9K!hbs)z~;QDjKF{Y^)yz z0|@Ma00j1|!(hhkmDqSNTpYaWcm$#f`wnyvVF*86VhVn)p7s7eB-xok35_)^eSs&= zpej_cuykv*GF35!cw4lxKC|_XdusTs+$-++80!sH@pj)2AQ}x-Z5BAT-_@Uz12eHj1Xj zRE8$Iu@;c5gao1JNK?D~33IV;(p``kmuutCCJCbzjh;pHL@4U84;Q=7 zzn?>os6*!CsF|2N=3O(;D*Mf($XE_>z#dx-iN={ZiJKuZO2z6gctT4Yrvu1tra}NAg-9oh0gJ)~B#r%dgNz7=@`Bt1(hJV!* zziD^H^{74UP(%u`Sx+f$+ovT#3c@T4ih6hYbv#Vk?jT6Q&qZ188-o6Y+|ozSv(?$T z>0qO#Z}6oLOinIChO{TM@S8>^VV5B_+V@j%nZ~s3=Ei|?5AB5?)0#OHQceXAoB9X* z-;0bh2gZ454|6(IkEP45UB|Y$8`_R?S$8D!omAY;(_HKJr=>FyxM8pSnws2#iBV>! zip_m!uNgMgFbi{Wr9QKr=w_W(G}r2RVvP@bd~mg4)mRV+#~@v4(Enno^9@!r z94e}piCI*cjX6Ra`d&5AW>KJ0eVnR0ev-0*BUmtDnzErXNU*Ae|Gf01AJ|EnPZ*(m zTn5~%3KFay`3D$yLG_eb{|(rC$IsWy8ANAW*So;lYVi`(FvcAo@ZwfBM4A z%R=yF*ihfV1Oa`%oVKm=UzErH>hIs3D zHsmmJL#rhmV>BL{Y=ik$Zkkc<&PAP5{F;*vl_74`xuNxIe9@I|E_wSMNvzB7s=Ry0 z4QYAKmfRt;s!VvlO^Ydf(-_44dQfuR#Mf3$U{HZQlxAWkFwYkDz$03h=k#Rrv2`ez ziGm>ToLU$aA{{dvOu2+iEE3(^LmdAE}Z8FQY3WlR_G7$btVRFLP! z<3twqMzC%hzh+tE=%K&R?e2Nq*TToWBqzUvIHL&`qv~jKHvG|^O-@89GP{E`&=p^0 zV*lty;Zv9zux*@xPmSzi>uk+&GDcWwu2Bp%5SxWnFlQ>tQ)2pw zO1AG#y?<)eNJkrvaa(0B!oFn}x{8W(a~dsRpJz)ShKH&6iY2m@&sq!hZ;)VO_D={8 z$7|{V`8v$VA3&$|NncJ+@khR%h}~kKtCg=bGgsz#3xXdDepDF7x;{)=2~UlM;lvqd z0)P>xgU^&kNzMX9z)%bQ)L2M#YODc9oUy?_;4lWowO2C!8($Ns3MEFKRRMg^WrD>>yZxZ6jCfy#=6gQ~S*kcok>U3q)AbBo6k{wEN!*Q(nU{3tu zIGmEAIX&JYygld?7u+S}2?`iIOfSQ&ns*&fC@3i{6Y?DsPD-D;tWfke5m9I^Dj8)Q zl^O%rQ@qISb`G9gf*+1$lrYXUSeHdvN2NKQJ}W!7C2U98BvUREwySKSFGu!yGA2Ox zN{63|;RkF>nNttAX&{$ru=NijFyv+vM*bV{Mb3LtuF>FHrwbmu9AoX!f2A|?CC0Er zAUS!~=>s8s1mXTok3{yl55o_FodcqS*rkDYRu#+y~*T2?9?ps+R_G9I`wUwe9?FJi_Z(WO72uTMH z51WY0GeP{P2Yq$09BEyA7q*1y=kGZH7+eXravLZ%2Z3nYz-DP z4YU3MF2%eiKUe-P?3r-K{L_3B*EzSX?{>-t0`j!1qVoAqkm_5h@bT5Qy#CM zDcPM7zXqotApZ0VHUELx->y}VUK}2-i2qm)?j>ELefw#G&1WJ+ajro+|;3~O%=j-VTt$A*!y&W?u=nG8zQZV4J^U>)I!xnXz&ny9I?l}kq?=yFW zOC*D2-VEpDmmJbFfk?HzW0=s;R|nLe`oa|*Ui|I+8JB-ZaO-vYtz^!%Q#uh=NMM=l z?IGRunPE|L^hHc!;&xlFfXeANtDVxT1A&o)G=Iczl+#G&Lh4gA!SCk54x4hH2s=$_ z+O1b_y0K_+<&ThDCy}ZLT=OkpLku8q@y0S-RH9{EWj#Q|Fse8VhJ$OI2>=Ezln@+= zDhP)whR??X%@A{MaTp}JILrV8*Vy160P6{Ed!<4+B{|2@da(lX;^Yl^73xfUx=?MI z>Wq54NNrhP@#^(`v0!4z58aFV1UW9P{zp>9#Wza5G-P`k#oe>TVU09oK&$?VoGf=F zv%3siQPFE8qxn<*c_!#h!3$*^%Vj?W99*H6SLqRhqHW9=0&%hsj1)H^PCum#H6&@i zCT`(u4HVbXn2s|{BAKk4QCbgl%fQGPrZ}ukH@A3)n=LFn zP5>p*DhkJP38D#7mXXm!9B&D-5j8A0X@atMAC`A;VbVi`p|jQHM>gz;L>Cau%sf56%>mKFh z1L(#zf+*xpngZUMmX4YV30KuK5gsY&;Mfj~ zIDfVGr#dl>#WSQ$eX^l$PWdzLu=p@^qP*J7VQ`0`*v{$g2JB)a;e##+X-`VyY+cAj@CEuh%{)(bqr6e_^CXW3*Cso zXa*g=(XslIXg`)RwGxrGY&&tBiiLHryts!-7OGCDmCrp> zw!8I2zHfgVo6TTKY9shU=Wj7%4suGC>3W++BerTlw(zRUq7S8 z#{HA5Eq;J$a);?2&F>ceOftmr&wcE0(zeml@ze=N>H~j>}78lnslci3pmh=)l zYxFIzA=>IXX-p_s&I%F7H-dXf51uS~8$))IGDtdhtqNS2Jvwj(PAd>;tcH>RD)q^D`VmldJ5JRD_sD{ zR}@7zr7iS>1{2~B{dAA%PyTVm0Kd!u_SH#~C< z89N*1oqc2UT(7bvV zoaqIdtzQZ^TMLZhhxm2U6rM2&lgy79cGXbJ-E41-Z5DhWYFl7^It4BY3slUQTI9~p zn#?yp>3L3j^PH-qqiW$n2YFN|sEd5~%6Tj;A&)q+S1%gJrRm`F*g5)mzh&;U5%xtM zn{rD+K6tHIji3j4B!PYL^-WM`osIiDC*NN|=HaVLAY7onga{Pp#aviG@tv(l(ezuU zxGG)vhS|>?B~Og<_+C!|Mw(B^7FC{@b-kHQ1lOl6dqr^{g3dLuJSnV|Fj}owk#0jt zZ^n`0I|Nw^=D&W$E@<&)j=KKsh+4=>UVq0Vr>gB&{|A-gOaQ4x=|S>{QcyXPiAqv1 z@W9Q=E!}=XjGh7!fGkFD9h$@i*c4-r;Pr;dJ(!Wa9uv_1YZTUXPv{hDT1n+E<45p|eW zc(vuYDKgFEL&7XPr|F}Y*7s0+nq}(9S-->ID%L#7oXoc>zuQk}%ZLECN92NFA zZ6G|=v`owvI#JOQ5R{ejQ>T|LK_@Ah!C`&QDt%d9heLPMSNHbncS2Elk=)Q5>g!_b z)kn7HNVb?`=yL|=(yyd1H4?6)RQmZtfLuv~f*C2;)Y+`AwI2?z;AvXJ+nxWgqa;;T zGWJ~et20G801M9Y@gvU@N<^I-`Uijp7C_y!K*rg)P{Wl!R`vc4w2-Lz`>_U*YUO$Im6x=dgE;K*O^xR7h*D#n6~C z3;&d7PK1FynJKZR9uOEfGZnEtB%MbyTc^inLiU^ta>Z?1wf3}`mftTYwUfD!+> zw0A(p(~WMSpWB^)OX}yLle_BR{|VP1{25Hm=h<3o9-ZA!%iBcQ-M1uEq%y+y zHa2R!HFZLZW;)|=G?Q>ts|6L4a4H+k1jBcuh;zvpIPBkdbq;syTcf)#Du^e7o?qjs z=%jY-XOgzJEzq-v(Sjk`M}=%&5f@@FN!#BI7p&fnsTTl2nFng@h6D;2v4IX0Pk3; zrXjI^aa}XLq?oMuZIv;cP>f7IDL>nlja1 zAmFMg>q}jgyyyM1!qF$j?%8TV7C(!7al>0C6bdI*g?<_mFg-~w4T;WMIJziHn7D+A z5EDxnEp2N!x`1Q{tt#k+yuB)DyGd9T2o$JLMvGFYkXU)6*mCo>Dn})3!V!ex+=8f7 z#Dmz3$u{T$oyo)sb69=Ynt^g4S@$5&@2yMF*_UG!s>n5<$%rNFK7s{-;5_SS0F>S@1K5*WjWpOqEC1}L_}P@m63Nxfxa#@YO)(5!ks7{c zN`!El;>E6n&xgU{@QpJ8h{nI+;Bsb!e-RKPqMZYp-Dgy^I%Pz(h$;k1HSRyaz(W8` ziXD~qU;@=5ViNprRwY~r1P3RcMtmcpOchTz-2=X;zfQhbgmPc^ ze(^=60O2bZgB#lrl08q8KyJa-^XM&GM&u)L?ld$if`pnuuRY(ZPX0Om%z|z-!S!tAj z?dL~~uU1_xA}{*87w+`a7hu>H3)mt?B!9n43n+w(iUVl@YuEq3wN&He|Cg5j&vq~z zo&SF}Gg4&sBLfHfkNvoR*roqA<-0fK!Z#i>V7P%jA+Diso&xVsV-3&Ql1h+RGDry|z; z9IFtxXq5S-j!FV@goH<6133XkRE8QGC@3^n5xr%c4ALD>M2z4dMoAzcMpP1o?}S@H z83!f>AVj89K;v&qMGPn>F`|kHP|kmVfky-=C*-J92^~;QNDHHz5ulva9Gq?H@r~7G zs%`4(iP#n|?`>3CL*4J^QR0Y^)%TQBJqa6O%#6zQCAL@vT@+GDjP!(}KXvkr!R}n% zBOnfXO$|XsG`)gExQVqXqpvu!5h0uN6R|sIY@zP33}WPq{463AaGWwa(ICKwWej%l zVI;s3+de>94?tPIGWw)V47Md59FA>i41t1}seo}G0Ny}^Sj0hgMv2&OoS?-W`>^s4 zKnmsm=yK+Wy-T4G11S`uyA%pG0s;k6DA4Xw0JxxcDHP(n6bklT3I!WTp{M{U6ey58 zfp%9Z-2sq7fdVNM>~?@)AccZ$@v6C6hkV{3Gt-M%#p5YNV1NRK`}%8eB!@v7A0SP-|;e|+81rgUeY ziSO)l?45m%y|d3yz&`)KeBIGT#-jk(=UBi#69e`+cJ-Hk8(^Q!VQLHi_`0Lb8hQl3 zZ=abXH16wEBKGqArP2`^)=xvMQ~#fmDJEfOGea&-8l<5|r_W!+u2PN>5r=))CnLUj zzd#SUG!PEQ4*6yaJ<4Zej#v?+CcddN!6EKWm4Jdg=pbPz08_u^fG;-F1jGvbKu@P6 z6eJ7u4p`X()Uqm}3q%0C=_UaD9=Q`CKmR3Vj#wZeu6Y1~a6Ed(KUOrNWZ=$~kS>+Q z3M(8*hdg?whJ0*-NTIvdx+iQ5n@EkVdAIuxBNgqaz+i~Ry%h;LpH-jaQ|-q4$|tRq z@XZTn=2D`(;nHNG`LBIXw8)*ABZ%|f64e+vGh36CI8fH$Hidt9hQP;Ex1z1VuE$Rx zSwwIEfV&v$NC#$el_kQV72Z*2LW32)3u`I=W^cYSG3A+ z-jYWv=DGwcs0CxDLgdj@(~3~a`sTOa-1Qv&QoZyZPUieWp+khsrdS|v5F5iF9C*W>evi#SJ?KTC80 zVz_$z>~lG1o1EXl!f~!gghytv4=(s8lMLz{n|j4Bn^oO*Oi|D~>qi*!_K67o)Urt^ zJdk3Q2z8ccHX{Tz`W7{-ZdBQp}ehp z`(hGnfU1PhXHJ)a%D7C9tlNrV9=jJQCm$G)7`%Nha_(>UN1v)H;;~ic>{|iO6a8E= ze=be+#Ng%sDf8R&x^Kwh>L=RnZ(;eEfH=GwA; zKu*7=eqypdgyS2;h*Ge36TKF;bC|w9(aSq;`j~m7 zA&FGVnFg!+af3A;Gp@xD`J@CW2YxJ(U7B2W0kS!bM)~Cx8`LLz=Rs69Q*)u)oT`!> z3?qcN`d0_7iXJ4%8MI{V$8+h~Bm}R3PixpMcbzlESQs`_#Wh-lBWs>Ia!XXQ9Kswr zH5XURT1w$8E_`P?#cnT+aX zS4H#Q!b95ESY=|c1z}^Q^HFyHM zr~?2At%Pq*B*fqN>!!)`bDca)Q#u)}f`ry^{R5z0E7U^&zXA1KoBXW*p9h>62|W8+ z#5r>%`s^z^$Bf}bY}1v}N>>8~9s#bTwk1dH4TKfBR?{4^^b9 zGZhJ?Kl<>|3#_qkJbOO1BI$CybNJCai+i8y7A@z`5Np@c_>{cIt|OoMhI$qUW%gYb z{D=?8f^U8jxaygH&-@fVOqrcceo0+HXJxDSW<%08p=2&0c^vZ_8`T(MTi zL>WsLAU>r_l?tplb}~%NW$({yeAsd zqP@2JA7({C&MHVzW!tf+=3(S2s=@0O&}-_5XYd6YkZA9VsgI`XOC9b9;}Rg3fpFm; z=RZ{y!&Ev#WjV2@?KL23uklmcjsCw$bWF=JB7I@>Suj#WnQ@E=DvUk}Mhf???&$?+ z5Wi0^%Bra;b7B?cUNFrrqJLpBI!=W_fbneqi7i20IA8AIjhO0xA}k9`hrc-{rLQN zuYTfwVWQvp{P%knV`N!4ux2~pT5L}Eb|b)3&cyo9oab5qo9tl?{v3C90AyBfa>Wrey2t)i_O(nCmie*i2w=g$kTf9E4$%0J$T5MD~Q}EM&&blH5@Dmlm`-5u{a1WQ5ZGYn!11d!Lg_DvZ=*`bE7w+^I3pUR(0A; zpP4D*ja2w=&+Ddn9Rw7SRM5ED0%R(X6Gk)+M>c+_#5}~07?ni-?`Q!4T$hoK*rDx} zt9Qo=j)B7lWSmj*HC&gyRS|cfj70tKSi#+ZYrIgslTNwt%8*JCtmTIa$`80#$x6rT zQ7Jr|-@X(vsvIFAnMqMHOMQu%3Bm7RjQXL{C4VmG^kYm&{MYlB`2h{1S{=hnZ?*{! z?cXjH(94=&ZBI*x6K1%qg7%Y#4yg80TJ;GBpS*s+ZJznq3%ux)D}(0y4e=1G-`_iT z2U5syKFN}EsV(~2^|A1)v3CF91apZR^Q9s~q8@hwI!jnkN*-s$yohwZl+9N&jmGLg z<=ql~hx&#P8vytFln1vLY_~_c-CI5iCCxrA$DhdZIJH`m$-8-6#>r5i0k8eJCz#k& zL_afnX)|*Q%|%&JJg?;+WP3p7-29cUm?BY(o4 z{Wsv+$^Dbd@7kLz-%8xN#@A(ajTw-4|6L>#u9v>|;)FOm@gVkl9(P{@ZQcD^h`@69a<5;RN60Y3&Xp34Up!8vP)VswsGhez60*H~_BK-F~ls z#;`k9XQmnel%>qot(`TIv` ze4eZw9dG-Mj#rk}t1sOgjty>_o4AN$o3qf@-={0Icd!s0?j$LYW$~>HqWApjHgAmy z`S|H{()2|f!$2{8T9~E>6t$_1nFN8tWsXRx8|!MwY7zTeXSn|LW~;XE!_|vsct5w? zQE!-$=HV9QR@dS(9_@J-!t!fQTV53ZVBU}R^+1C~R6KQl3-!1d@0O-j)X)C?7mLmP zDp9OK%*Ezx{TL%#h-Y=Y90uRX<%b&k>*%V2n9ry_=D7#LHX=UV^*WdNN3SKEAfQ3I z4?d@wAUIxPB&3@lDswTam>P~sttl+@M|%?DO?1kDn^n0O)$#uTIII{=N&eq}J^lvO z;a{+;uMBOXfY;m7$#K~DY(Hg8=wk5s%WIp4(cAjrOPf$XLjDoTvv#nA_qC1Bfpp}J zZq6U2+>M9_aVVGzEJbu0Mn7Lk!ePfv3zJm6ui2KO{9B$Bi4MMLNH{rksON@b5628( zJ|)+mG{^j1D#KxPG7{Ly@ppNer0ZQ{-yuKmF;+lBADfr%1NiF|$}J{_0t{eA@CYO} zwQ&&IXFs=Rp2|&Lv*hO z=u-b1(Eo>K$us{CPiQz08{zeFyv6G)8~VSik8&&Yes%qN!b#WtNpK9idV2p9JHQ!* z(~d$vIX*pNR?@qYe}s@+YL;@#{H?|gg3=@J- zZIF9T2>*ySJGg`t@CwV{+A{hw`5`b^_ra*w1;DcsiF~)qC5?Rd=if`PE5fGB(wmqk zLArl>c>(F98M2}thq8uW+N&|j8Ir`NT&OU{AMlST6E4C0cX9y9bofwiS%JS2;|!5K zWU*|MhM>?EwhFwzhimPJL0Ovd*bL?4s#Ea0)JS6tXgF%9uRchZ^9yw#2`pQbk zQWi2EbpRk_rNVHW=y@`r8Di{>QnIbJQc_G)QbJZi$ZEL$0pOdHS?EUrlSap{zAFmC z%IyaV)Et0rjF)MN>_44`UdxUn;@Dt$_IlQSAcvy3q`;_TE$O(#{TKQF9esZApbTz< zasB)G{?A()$EOpWoyLltoduecZckHKXX86Bf0y!iE}t#TQOaHh+$?s_<;z|FF2#D9 z5=wg+Fk|=hDR3))Jh;Q*a94gtJ&1Upzp+-_kt@9RuXDopuc3A1!PLAzdgd2bL3>n?ZhbZXD{0x3s(5!jm!X0IT= z#qdeC5t={oJVD_z*>$qBLg)4i=7Aaht*(vw{Pzj>``^Yi$uobCOL)=#s#VCFOWhjo zpVbmxx$M4-N0A8b`dwJiX<9A&{nmW9;ClV+8!l9N_~^y%nG0TM7Zgw0=Xa@t?Qw=p zuIF!J-j7u@yt;lM>x!eWU(WM2D%K~chEevw<_4y5CIHcxeT-BpXx6W(RnQMj^crQpS?HYZg|y3r!=uderNeyqz9i># zVFn3s$4RK(BWAkZfgz***23t&a`HkWP#X*=9Tx;j$Du&!c;=h1iHE zgC0UG5${O}!H= z#-Gg7havDgTAl6bik+=0KoD1}&u=k5)&`A{n3|wqW9Oj|%@>k|0oi{A1P2Ow0*L~m z5)`%5VFh9m%L5wEF@dn7QdA-`PIRIgE)cQ`^$rw~sGv0%1|#s8kSM_EV;ph8ZSx=S z*kBLyT{jmE9?~?Dec8W`g~tq>P5iH{j26Nl-jCfSkQT#oTVi>8ec;9#%3hk ztn3Y)=vJ7rHd=vswkK7HS?`7Enn(geV|E$cc{4+k9-#|@T;6euGbZ@jgWCr$dhJl+ zMvYrhGUTiE2nt)f@JM*MZ)$J6QB!&`+9Huha?yueubcM%f(^>rBPIf(n*LlgsRIG5 zM0!hL$Ge1pN&-rMna@m*5Tx4;OEbyyR@e~P4HI*mM(L%_AlLz=63oCoTPUQbQOrHd z&{{WDvCcl)OZpFfjsW!Q?e97sO9g-SO+b2J;4;f zqiuI9B;b?)mabDl0`a}T@noK0L-IjO1CKi>wnX`N%R-;7H{o`RiqrpT=%|&faPj$Q zz1_jiI64n_SU7)P`1RulLpB8Un;lvVGQv`;$uh#(+4Xh<(?aK?K;=v!wFbFtgLHcv zTB~$an@y9n>h)_Oa|^9UIF%ufB5)XlLFC8Ipp1ZRd7${rQ|EB`COvV6o45Vimp=^2*}+=V+Eh>2 z3J|)FB)!Lc=6+jwS1tKiO8L(+c`wNtgPIxx5un5n@0Sd4r)b&zqvBt8D_{)w0VjuJ zjMGHhUyFdK(um%q&AN!GtXr|1Gp#wJ?;DohX`N%A{bznfpG#0(eG;SmsyoG5}j4CVI?!yOOlpU#t2S!#KkuZ`foz)U|%*MkC?X_EXb6 zk-uN6&d}TB#&}}3?Q?Ky9lr~eyd8hm({20iLtZjHTbby&cTYE3s_3nxlZ~Kq`yi% zOUXFBOKZ5;N2)yUKplz74jfH@c2pKrQeKpi@(P25B!v&DGR!8V-?ZnPCf^oX`Yj7` zdIHyRft~8Ort_#NeZHQ_!1=KGKU46me8Ru3h{q`K#{*d(+3z1qE5trjyqTVq5&g3- zbUu68OfD;W(^&r*W9&^*?T6aSK;xJTx0%XS(;*+2N)UVm8PvohKMP? z-{K1kW$jIBmwTa2^LFx%^5+XF%lv|$s8t>ryNHW@b-l3j6&6u%bFt5NUxXdVx!Oy# zxISL+Xmf2b8Jip|eASF&-t5HY`(MKge@G12)8S>7!N92YvPM9WjaZ*Rha!{*` zUUV4C^RR3eK;z3;)UC(MAX%Kcl2}}JX(TW%AuQGrpB~wZ0@~KlIR zRGvsE(piYaD!=-!O%xM=C#^`gNybc|i@#3vneh%78?Zh6rWgtMY5LasZ=rOOSN{I~ zk;v}su<%dZR1##CtNn6cy86Vi$wdNVa1qE##^iIpN4TEQ5^h<)-L$~+UyRM3W{fJh z*d)`R&OWV1=Fs~aKS@#jsq5NtGh{OzVD==W&4|ul>B%GYpPa5fSfqq%)v+9T{zTC$ zPov2LQMnNx1`w#GFymvck0&Wb>P?I@g5{Rar-Jdj)m-vA(uFLrjMvch3uIDRzZ*?` z^52s5_O2kW0Nbi{e{Q4&QMh&*pi~f0Fp}?QSA2Na1~)@%Y%!ev;WOQ8@kCq|PY%?a zUnED=;-L~oYZuTm_53P4h_R_C>S{v}4J<*>4s09t*KF*CTjE#FI@h4|TGeng`|Ad)n`B5^OiK#<2>At z(?4T0Po|je4!WG#46|Z2&!o@|VdpY4CV>=Dm#|~YVkQ6lSUHfmd}NbMi7{$r_<-)o zsE6l}s@7keM*h(?yZV(}=CpmDSycxEn1^=VUz5$;fuc$GMKf=8V+_ICKaQ!d;DgLB z-=8rDE*Y$0XYFb9d)`EG5A?d=y>R3(BP18D!BkJT5gpUjudN-`BioCWOp48$iLC?x zK2vG45j9gO{0*l<*G-?jK;@Rev&UhGb6cd8Mw_{6?GBWm6IUFUu8CNP6bvL>4?FZw zgAS*AJc)wP7PlDB3cq|(ylGn+_9W6f1Fq?E4);>Z_G4Mgj$N0Mj$2w*zl_ojHAx8# zT`mu)rM}^PdXMRZ$JXn!HOwA8hOF9I618CxYff{yKx0SDe)*JQge;B}{VH6B;%G@R zTW0NdHjkfUXn}ZY1zMr}5DG_y(XjoWsCT=`MSN7b{X)2kqJ4_nros)fo$d&#_*Q#_ zOnkt;g3fF|nxbwF7#-H(%7_Q#v5s+RIeaP9kZCq77`?!S6_rM&?+>}^@-JDN)Sn&Y?z$zj#G$c%>m~i|HP7PLw=E%0hE4<1SG`7ieXQ_g-utRn#4!CD zpctR$dyla*@*ZO^b|E4*k2kgw061?kO#=o$P17o@m!jQ%9#yj6Sy8{20xJ3shIp*; zEJU1<32V~++1v4 z`OWH^BXsO{r^o2dV@ae-nwhJy%q?JOOHelv3d|OJUMVV!mknDd6u6LJ#qLh`3W5_f zXC}GsFU|p=4Yl3yp9%zKLHWt7m;!%3#-WUQdswe#mtcfhk~{&SKj(EoO7pFF92HGQ z*sN*bMo}aZVDn;Mt&Z+9KiqPKIGmz*U(v#*6%O}Ch!<{hm*}bp-j;vz^cqBjnE0SqgHjOVKHnk^kl&ShA z{{!EkPn1FUDut<2Uyg8^T?)Hg1Wc4yvIViYyiTp(?;q1*oshFw5*C9kI%R3IMbDnm z)8tO!eSZ=ZS*tw{PA0Jt=J3VLcS(6iHPn+Yj&Hj*mXG!RXqz|k<<2N?+*nIx5W~Eo z=7c4U*NkwkKxjBlLBKg&Kq68^{xx$PD2ZTb8XdoICZ9;ePwemsN#oPy_ZzG7T9e80 zL+JYvsBHGa7~aoT(-VZnNJW-|SkZxqh_n$8FT-2VECOFt6i;uvTI{-zMd}5+J*Cep z7Ux`c`=ti;)yQmNoF{E-PH5{deTDe2g20MxEjp7;I+LQOwZu)PfaE;2BL+5Hp9ZT{ zo)nVJ5;e}be8+iW%CR$2$J(2mTNqcl`!Y7KFwTC5x=K(Mke;1|$QdBLLO^;GP|-6$ zdUpUwF949HyU?6;XW>J5p*iKw!mmK( zi6aS$HR@TBwgd1R0ddL5VIj%LnelXCi9jC7$k#)gV1j|^O|bn_rSY)sT2{&H1j0kW z^kg)U5(r7QH6~&eJFz`*R~q*_%}NzM`=LF~$Nh+;RVV{2d)<5v#+rOpU~Z9HmcWil zyFE;OQA2W8yrt*at! zv8wWT-8^5q@XkoO@LudNAftk~N&w&mB{Uhr{4}0`G{)QQho~j{Hvt)qLq-3=5Dx?p z;3goz0)OB|Kh*7kcxSjP^Vt?tf#x&Ze-B2*N5N4zC=N73$1Y7-$RTl83eab%@Y3Uvv-QUui%(51RPBz(E9 zB?yV_{Bg~()I$o|=I80Z>BoVrvZO3#F&Q-<{tfX`y`mc~DrY~+en}d}dxk#GbY}Y{ z^BCPdQmA`GyEu3u%W9}eMkf6G4vv|q7b*f4g1Yp$5ag-@f4qRh@^JOXCN|ZGx0V&^ z{$(-u1lz}oQ8s_n7ISJ$t$c;+A5OhP`@{nJ-&^-(K6`jRWO7bh){S-4^o7paK7?xd zLPcz`KIiWFO{LQF)4bn+DfPM};dd7i3O+#w@NCJSjITa2HD&fZ@CsOn%+E~KmxC3NH>j4*s8vy-J1j!Mz< z$ee0@^+mR6Uz+4Odu?h`eGF&wj` zF>k)pJ?ufp77J96kxHZI5OAj}>;dvK&A_9M*w z(Ux+eDsop&+n`=eEK9-^iX(zYF@paOZ|@n^RM7R0LI{BbLJckSUWFhay|+*e0Yp>~ zP$D8yr6VQuUPS3g2~|Z93n(H*K$-%A0#ZU1kzS<3J@I+p|5}fCt$RP+`{C>#r@&$F znc1`3oS`#~m1XG5il;B-foLWL5(!St6h9%6+)7Hcenp*@kMx9(A?Axw(=GAHO-_|< zfpFbai(7c+4U=#=^2zxY^A=_DS;SyJ%iap09E$ViQt6g(0mOm+-ROx`FjosJ<6Fnu zB5zw)dzL!&5sNXuZg|bobGn}K&4|_CXodG6I`y>{I)B}`&Fz?2DJ|9il*Zi#A|dT0 zsL0Yeq@rU}nC$t*^aB3JxjqB;MFLB8;SIqzZa>G+s-}Pf4`@xvPK>K;jEl=njB{zA zu9js3tWN=Z`D-5&V-nLW`}Fk`uIX8|lOU>3KzdfcUBAhtA&zbDQR4jkRzg<(anm_p zrYlL}j@Uo2Gd(TpFEC zcnhLc_Xe6fd^Ti+Pr9uZd0l z3G?LBKK&d4KA?vSeF_U0xRVw;v>}iz-=S(-)OsECrJGws;ap>Td|Nj+ovNt6m^yIG zt95fX-_$T(8_o5Z(f?9+Qf}zSbzE`dNS6O~D*ZLL53d-Y1s^R(1rjQx`jaXe?Dj@O zf9%vX1iUAAdtMrp=6TakYSc1i^+Mt6AJaUb+iz)G7uYT~`5uDDH(OalLw>opLk{WK z3d#Ot)}JPYoV><1UkE3zMnhjxMAoSB5esv|$=>{iQID@q53W+PYi)d972pf6k>YDf zVP}Y|B#($gGQ_!%kyp#|ZIqCM?d8K;5+Mv}mbBD*3gKE-6eI}m6VM{+Q&2a#kVUZB zfT-JwV-#KZx8{Ps8-xa_{{FN+O*9wK6j#}LkWq6x+~2a5bK2!w-c<1Tj}M%YisIvW znfJ^nn$E$d_EYMnc0`7zPVch(RR?Y=%j`D8GkX||uJIDO``n zMV+smq)pUwpamJ?WvPcBuA4?g+|%K&brrDvxbaK7O($+>g_riA^MYEXerwv?MYXkP zs)Iid{O|H|u*}NbJ4oxbEfntF(pI^U&{q7jLH_%VRILnDw(4xFr5(_nx)Y-{RF&(3#-t`V9@-@bve77&PV`D}fQfqTj*?S)&p~4Z; z9=EC5)xAye1gdr>x)>8YQ}V2G5Mn*qkkJ@qHyGh)#LNEZ{4MC-&Cg6LjEBsS?dAYf zvCseuJVsdkKX;bu!NSPs8H4rIzrQn;Vc5#FmTlOYfgI;V* zk}ononzc(xN7~dbluFI9hz3v=C#0?=*)UY^H}sKC=(|~-4?h$dzJh%2@I=slGi<72 zLS|?HcCu5*c=_7n1{@ZG=9=&!StLVn(vo zFZ=-Z)a26^i=kVG@4Uog4h9>qEkfE?NSn1oj&7*Bk?tPDXt4gogv&xbg|_5lPU+44C=wjyvy%^}3d z0rdB?ju%Xsr7lqdiKQ&X3wqB|=PBukqy)rYWsy4QI`e{=&=KoZyuID;8u}^g9La8) zHy@57Y8Evm>t((>cN^6156~w5bgRn#Gqd{VftE(2PS%$YE>_#2gPgLQC%m5TOv67& z@@S!-0L>jPtWNgmJOwc-f%B%$k>PAUS2>-Xg*nbB*6-0hb6geIxL2g9%bAN>HU_ek z0BO&lTb26NTAK-79en^@<^AzF_K)AKfroY)cy2ETHVZ00MqdZEL9n0IT+5n1^XPjQ z&^tCW2hj?p+C*2-yGjufsOIQLOeTud1_RH@tlf2>??QymA--v-)$LE~i|6glYJerM^yXhJ;^KiFQjmBo z7OZPCpPaEtvL}GJ#n_I51WSok+>5b0RuhF!En2^gYiHv6`FqaavC3`aht(+A-iX6X zng>OSZG=@QNYrA&m3s~-X2jTNVO(XaM?G4XVNXRJ9)X_FW|v))|1_DSdqnh=xXz7m z|9mjcw>NJc^lVlXE*$D0h8h={5nHu~K+&zNH5ZHdzn&5=zCYWZdQ0bRVu@@OeK|t$ z;+;8oA&-Jz45ck1HjSj#Cbt2Q2c_K_CaZPuIh-7JdPh36tqen3mh(cd!_2m@ZEjvz z0>x-w0y2D%RoT)ln_Q(OoL$wjlU_yqz)Jx$zzNb=(q}Nsu_igefsHv+*}L`1}(~ z4HkNlxA*77CIpbQfA4{4@lla8O(|HMZ%@UX1vrY_E>tF$-iV$q2~Y&mP?MZ8_R$Bn z1zR}*C~`+Fn^4q5DOEe^2Jn}Sb4J7;CmW{c3)kx30~FaUsL5L)DQ7_8bhkYF(h+I& znQ4^tqC+W1qpT?5`;Uq;$?YBk+U7IU(h!vhI!I6I*H$fIEaM#1J&oM%dK?uqaA)hY z8v7&95P;kZygW3VY=T$k7})JtRa^3Yp+fl@ZBtQ%Y?o$KSYfgN0KTH55MyUAU)!4q z@e3Smk@ik-%&LU=#k)F?Al(-HuF1tAiw(ZAH9OT-lYh;o!m73{SZT+htj65&?%fvH z>u>B&9qwq?;-5AC&&d z|6Yghl>!jU`0o*Mlt);7s;IaX2zbx-=NE`KYfmgs^L|#;&PPS=DGlZ4UoDtjyy7xj z5_eLj)E2D@?Bh-t|7ZnBblN%tE5= zflOtlADdNq^u?g}^s62T7r5FERXr-(y23QU$6WV;iC!cV{I=xpERgZ}NXq$JhQmb> zr!Zet-nt(J+BSaYpne}Wujp|<*B=Ie`ME{%+$%P-ravX|-|gi$bMt2d&Q-^MFUkE2 zH(5LG$m9mB>N7uo-w?B@lFxo!QYIz5#>ES4jPnAE2mQ~H*Q`{c@#H0LfA=~UKS#5X zT$5@`qF}ABmfZ0%fUi0^LOT)#j}0jgt1o^y?__vhEo4$Ol*vWzmpOm?oBNs^pL*gR zuW!KIyEiu|i}UBgUJEMoG)v0o%@uTSNWYP2>z*@SpH6pXWKgI$m)H=NCKTXi2BdYo zO#~*GegjJmvSTxKY?Ys@b}wsg+j5_ESe*RSsGj?<<%lvyME z-JFMyeSu%w_@*CHoF1mhq_?!7`Xg{>_NFg|TY8PVmO`hDfa|e92>{4rylc|+CYOyw zHhAb}Nvq5}VvfxM9?CdEBmsXt^@o5#BAX2Ic(&tJr;Mix>jq6>73+tA?kAgxngs}|4qph;oBU?Ht={u2i#O2pN~%e($6kWqMf7i@+v*+9*7kq$ z-)7Oq$k9`89bM&Ta{U8^IzFLba=ie7I^K~MiejjWi?T1XWe7cNz0_b6bHET;e4P$V zd*aIHKwbC=`q<()Hsep^lvZT1q_~qDFaB8$eXE8PFJ4tNn_gW$?!(tbiE;XAb&9;L zE2*(mmP(9AL?r!NlSsC}Yi92(@2KZWNw-~kF*TPSp|-&C=l1syH5F7}WieIuRuDZq zyuS2~3w2zYOWvJm;cKGLewX=1z9inb@G4E=ZYnP)%59uSQ^R5WrFy6nUjsCy08W+9 zo-LuSoaW4b5f0Wm3F7P336;yHxG;&9yRit4{Msk(zYeF*XG_!y46H*7HpN4k`=YcF z%wpx1Nd?)8viF@-I(DF+oAc0))RaF5`w1Icj7o(~Az(VFrX;Izjv)5Uz#We=-Zz7( zV9NrzQD#$L)ss(~m0C|gIWQ?nRJGRIgqO=<2aheU__`HYFG~8-fu9|^^N+tFr+8bk zKqY0==ihd|;TG>@h>CxO^|a(g_P6I>O6<4q*qwnd6mcD;MSzLPWlPi+PcF9)wNU!%ihe^UwyM5vvu?h9)*)4~B1meOlB|HPt zEA{L}gUeWS+vGcM*k0+XTJ#+nO1m*i%qSzX5~D%{vjqU~2wepcPkVXr=fs$nz(EEj z?*wRmWlT%FD>VrKW0ctBLY>bBkJz3yroN^$ym3_9GQ43^=Ipxj!%|M+>}VKjDX1@} zfF1fd(egYIuXri~xH!G~!AI8>Tk{aBX2ys4oB@_VXyXL;{@1z6_a}Mh|0lHZh`l+n z>cz+QekMET_?NcM@tplko3HNl0YtrCZ$9FQV|)!QQWA`ly|c@v<#c zs0~NUG$Sju5%~JSzgFizr}N&o|7Bl1^cJ6qZfa5 ztHC5Me5_m4Pkhb+y8^x#Ix4H?8?z}edbKHF2xb2WHz?2)ApNpIT_aG;Z8NjDw!<>E zjrAfY>}phd_npzA(*&6dRyw$(3i@c-LRmy-z#@iy&bB=#DLwYlLK13#E}v1PHJ{P7 z-(*7SW|X-2r%&72l*mRhTFx2TSGA1h=V>@+sE^iv@=&`)jZ4#U%5V$S)=f_G6(|3g z>xJA2=hQq6BQ$I_gme1SQM>nb+g+Yaee>g@prKQu%@@I>CgimQvrvzSF>Zq=)r;+(V5?Z^J7k)OlbPNv_v>eS#y{KtAa|Mp>l50j zE>-iUchwOyHcb0GC_LALw(1}uEq5A$-OhVgw)iG$IK&y@4=n+DgQyQ_J#EN?>2US z4%c{7d7)53F>#^1?5m#rrxo_Q--Nw2-rk?D6i@#;{yLEU^%uR(fzlfy#*;ThOiLK# zyh0nZ15EfmgjJ|z{!H=fb&6wq^;|o5T`lbOyCO6y29JoL7(bU51FPu+wDrYn6 z+bN09v41LCznIGn$D?%kK*`Zo`yo^ z@i}6?G6^Lim7A%Zp{%UPmtp?!IkLCcc|%Lo z9GAB0=b-fjwz7Jw%g68WP|}Tn&=)92w&z1J@ROZ`Oq`KsX_7$O*pcRuX987~MBY zTH*Hc4XueRiaW`iZPu$n9{V#b@Ur0cyihVd2>blLZi?kSXQsp{&Z&9rj`Nt3DNcJv zY9ML!hccpJiXra3BG>8qydsCNDfUQA7MB=q|ISPQ~O!fJ!B zOQq41Ywx)=SLiZ_;Pb<|Tk6O+aLShx8i;|dZ;lmLKTTiAytC`U3kwbG!k~LqW95tz zB_}lLDDogz9bSes^0*>d8`t~q&U;pm{rEIPsC$#%PCoy8&y$wDO>32jy1sEQJ&gDn zF_7<>_MvC@d$jxy5zD0>vfP2v^>mzgj6%(seB$Rx@_vsV=9rqkr~=ar#evNN;rzV> zk)%hY>om-MAZLd}>Ym!ngErWjt?+ZL-nH;We>qXwtNUY{QML(nSC?!Tq6S~ky0m4X zj|(5$JXUuMg!wfU81zK8^`aPQgMw7)Bgb_Bw{8+}>-f-maJ=3y02FvkvNkZcQg4-z zYun570dp&ZP&K)B3Gy%8WR2jHK{CwiQ{bB#V)(@J**zt4ncHRR)Dk{pk49+j%`QK; z->7);;JH1Ye%LNZJnwXbX7|puj{UT+B5(X(if@n5=;Y=463j-cUses3QvfHzF3in- ztatHe6>3SftHIwf?(6#%%7yP%+O$eAe1! zGRnNqltr(*)_GtNL0>S`!@;pSMN^7|fPn$+e1qaCO$2N=q8L+2PZzB-shn?A9DufE zqNK1AsxB5Jm#>z+UQbqfOMp_}{ITmNA6tY`YrIQ3A|DIXweUL&Q5r_4BM?5D&u#WM z&9`=8qgQ&@z2DERA#Bvo_`R1+up%9xBJ=!f+bH7#Gv$n6G3X*;l$^2zdU#-fLF%Wh4oMUkR_C!xY+-GOP!*fnY zFCOTQ{mIy15Ao|UznAqjNucddVXEx;8_?fxft_s^OmE_T4m7U}hr(Rb#%`yqvJUCVL6TPYa#MB`z6ot zHvb84*JP7XI5N8I3aL$OosNxh-k`bl#Nws+?m{jqWW`b$_I@z&4%s!D%& zzd-$^gHNba{K%!_B~)jqR@P#B=%~{&)oarecOt#8`(biW!H1ftE6|mrQH;ZMS1jmA zLl!Z**InGAp=6roij=4agb` z4y%UNiVKNWqr=okQTiW0asX}%@`I#=Xg0@%%O%mGmeaLX&i`cQm>57ZMpo+FHjrz zit@?T>^L`cbq!U&( z5Un(68j4BE;EBsoyx^s^H!C0^2*atLV%ED6xO=!{jaqKdW6p`!H?z1~3p{?^cfZ?p zqPi&Zrd-a`#Zb-E{hrTOoNa@hgfJa^a=laWZEIX$1f$z2nZgGY;?YJ&acz_gJX$y; zP)v$E;d&Eh{Li#HB4*=Zaa#0qx@i88yQ9(kEos^OC^ew^J%B9QbcUQZ&xTgJu}$5L z`!VN7pZq^7d`hvya5u~KYmq|>p4vJPqS$I{LQx^_xt*V>Wm?22GH@TZh=Lsac@-(- z*e-^CLHI6JOYoSV<%?IJjA#k`+BI^el{JvMMO}sXA`{v$Y6$_gyD9s1{fuqMgExE` ze9%~g%JFj;Sh(d#^I3gM?=j!QUl4apIrUh#=VSn6)m)m^viF%=W2G9$QqRSh;Mp$b z=)yjZNOieEii_owr>`6*el@kF%P!5#7N`ZeP(kd*tc6DzZ%{ER_%T=j0QUpJJ#_Z+ zqpgV$)qp_Lc=HB%OedWXiAHBKxpW1*LiHJA)Ku%E>$SLXpImKuHNduTs zi8)#uQHsSviyB}U^b;~?XgL14-3@?D-V2|_4KX*&MSD!Ym6>R!bRg6Cs#GlM>0zUD z@%a8#_@wBKEYE!^N`-qgY|NR#emmz=mYUCrl2N+9m{H)OU!m5E;CvmxO|_zK+qJn{ zEJX~j)s(q-(1~jF=rwD+MHACvO6fj5`;con<{4oB_ZM$udcdPALW0HA7F2a-#64*P zgdu%-S+}(YrECt?FbzF>F>Th(nuwaMNJq9BkZ%1oN&}Zh7(!t|0u{0-fq899e`E1r zqm3q;zMUm|L%so2k^PqC&a(PtOqaPQWy-Y|KW@uv~7?6xtjm2C8B1sO(E|_ z&u8*h$@u(e32C~@hTPfIuB<4j?-+K!uh~WdGB^EJ>_cy*jjzi#Ob?I8kGWw6L)pW) zW7En}d0dqZ^39Z@+UVM71GIL6b~LxID&ItnWIpl5sKp!AMyWmtD$$}CN~MT}{#NKp z+)Pv)(mbvb0Eqd1FxUc>K`PC3 zJZy>2cWWTe{}a8EvQC{h`OB=N{$1D)rS3Jt(u%op51cqJ6)+cg=lw6MZ&Ro9bpO%v z1)M8Z?!-MzW9ztgWck-UDQowZXAiw7+^pSeH7AN%Up{j`C89b;$u14HSHRCU@!$GH zNBQ3Vj$}!NLmGe5eErRKuqMn-P9zs~A=_FGaUr|v6LyBx^$&{*R^cIL(MMBFs3Lea zN{ctbd4ZFbrIACJ^h0NIj4Y0v*d;}5F0LgT?RH}&Ykwg{W>8^E#uJI4Z23e^*)Yc5 z0tJQNMuEa-%yh|WZ&$42@Na#4&Nkw*h0en6h<2Zij(^w>I}Jm0oUs)I*AI5D02PX+k4tL1j}v~K^C44b^bEr|<<{ZG6Lev}2WSh^niss^Xo)Ghev_dnsx zwgHMN0$HwdZ%dp7{?uGL7M(cjch?;o2vJ0xiT?=;@zr@BmK`h|(JS)x!gn}ZV!0-F zuFLY`QEuL2I9o44lNWdXmrP8CQJ8Y3>EV;&n?qEw`9`-n$8Y#`Yg$FliCy;MiXQjj zioX3Nr4%K=Y3S%6k@9x`w<}=(8X;XHO-_DcKKGQ?wEKhSKC5(K#H_bg?JU=K5iVy0ca1@0H;goj<)XBRXNWWEL*;E^(SrGs?iD9zS4 zhXAJSgX$lCH1W9L-xS5hIxD;;$0k!Jn35&193Wl-KyE-4jwobbjZ}%xS{5S_HE$-F zZt;{XAj=|N9Zv!yoJAAhiDm&Qn4v@k`)>ii@)Yc`^_{&)nLABSH@#B=4t~!#ZEh*H zcg!w$#CkPFS;=2)I-c~b3phA(6tUM(&kQ(-E&kYRlPYB2j2jlW*HKoo$J2M2h)H*u zWbds6K-=p!nE-#>z73;nujBYf*j^|3f|UK#R_ai|LEB%BZv6ktQQ(kET|nR#iS&r2 zWTho6#%EQeuqI|zB%j~h+DZS|D`&tY^M!VE>#6%DQ%~zAQ;3qij+e51ThpyB6ZVwk zEL8RFO{SQhO(s~q%qElTOUKQv$}{QYESc)fp~VtO9VwTf)T}8t;s0%=#yR1pS_T=f z$Bh9%u%HPD7ML*lHUYr`69o#z{JArFPu-DEd%mo ze;euP=8H~~>8G0+xumADopVmk^7+_XZtjG7hX2z*Y922yH>rhX#%Hl53EA5# zWdsBcqGuQG7#7S5XGYEm?|U{y&3ZRQ1%64%LUD1*I9h$36{dYSCyc;dpZ!ml3p>;l z-rU+RrPpq{ce2o~7=3ear;z^rnDJJzVxB5*8x73?!(L8r>?q?;T}EFGgarU*8dh?i z8T8ihf_YtE1VChRL(t}4z=kUGx)x@C;e6s&F`JpjPDyvH{nLZmMcwJA2WhzqypMRq z6Q3!3j;&eLbsY(57!L{9bg2so=myCCTZ?>p{%`lb#E#~w^1L;bZ@LG3%=$&TUVE=0 z7ksc%_k5I&!}*V4UZ|*QZ&VcY3tbF~os-tl^edj97J)DRk&0QmAj+VT2b#GN)*G>r zs>HzKvlHLNdvtBTeDd6BEi;oN{~-&0Hb}JXR^NlDDK5NL`?@O&V05Mt>YIw5uITiL*L%)}Jh)YWq<<@&2s%jbBJ4K2JobC8v=#NTF!P(` z#4;TnPsSxi{yyLMvGwO|Q84Dt=S&GLrV-*GxoBHd@kzkmVS4D)`oqknjdm^0S%YoQ zXvNo8uj@a*4wkvbxY0K9lC6l=ou_R8(mM!>E1ulyU;SOf|6Pl-=Am0q!ONPgZ}1^J zeaq^i2h?h|M@zV7%c`W{8(LFtG?abu;wM^uV$p`e9d?dwm>8-QD)!Ayo?OsL-b>Id z1xlIZfh-Q!-Y&aSDTYaiF{i>Yn+mdDMpZc^w8r7bzz<;M>=9NIdOBpRiVrZetk*t7 z*-hwZy8q2e2}g9U?g$!6gT7PK3Sv^V3j$fX}S*&}7pl9GKpt}-VkF86kvOLmMzGIM!x z%3FY#yNH_VzPBkr!Mzi5Vk%>9$GK*cAkFrpd=u~_8~p2rlQZ(+?R}gxa(IHbd`99c z-#JHQvi%E8jsb9K>*$H8;0LYQ+;mE$nBPy1??tp>vor?ufg{vqOajDiQETXlve&Tg2RLR97JyF!WAA|(|sY- z9JgQu$2u3ZFW`G6b6>b_D~ckf14SXxhoY!f;Ka3fzCckx6ydm*I1Zea@^>3vP5N(3%=pb~RWpLtebTzNuMFH~NMpzWrfm^(m}J5ah-6mohBf8mo9{y%&{Z~=8BUP1uATrXwMTqQAT;Rtzs zfgTs)0<_rvhKqQ%*Y`>?IX<&56mlBpuh8N`?!8p&+aE}=`xq?RX&Dvz7zSHtt&u=K18}N?;%x3z)9UzD` zveqP-5Df}B`v7W(m^>O}Lt|UxZyE4)&J6o{9)5NME9zFI(QDM5DN5kPO5NHx6>y@9 zPOU`p1BMlSW;~Ersbw>x7O4R4y6V(cijV-6qt8E@C;|pjF%B}{7_RBodVFIr?MLG8 z-x*_Ap}R}%cZUZ*nH%e1qr35ff4{f1L$~&-8reIY{pg($^<$?yYb(EDjo&Snd@}>= zMAoKO=cE&|{pz9}*{*WGkhW3_VG%X3b8{T(__k6+LtzwIlgH$3cdVX&#OglTO8xpv ziNlfT^iPLi1l13qiuF|g%cNKSomiFctROf3wHp5#?*0cN&uMZhZ0D~W>fr93yMJmj zMUyiqyq;rIuABcpwBm%le9rCLYxtYm8M!y7i!P&KDqzF%ySSFsTsB?IZ>9=IVx!XP z{E@JiYxkZ>3DVG&(U-t#0e~6d53m`Eo?b0&@0EvHZQxU#;OWbMVro8d3eS;NodETN_^Gg}_Z|}eT~VmL{`2;F-anOx z1HqB9T^LlCtyu?GaiFIxm3DGDRpsFPZT%G2d&2CpLbriE?`uYH^tLB({k#luxYtD+ zOu?3bF1Ga{3_MlFy8K}mZ%{XYCG$nwY<1P0qjsfbV;4nTLs#j%Td*-uenA9_y7_iO zS3ftaTnQI`c{TK|o?7wU(EB%=&FZbCT~u15{2rH5x&Tir8X|?&v^1L+Y_(PM)2|`V zKBJ|R!~Ersm^iYcWRfH4b)(jk=ZBQ~?n7ifb!f1rEy5I5Ew8W*;fbJ6;inxp!@bB+ z;6i8`MIkQ(s8C^mvWBu9Tu$AG$QE$B9Ws!^`SNhjFbawWV+RH}pfAJq$RO~Cj78+} zE%1hWEp%vb$N=UcIse8Q1qF2!-$o>t9knTcT9kmM(u@rhAWJRukcYf4i+??0*vOqa zh)}!=)!e{wA7}%C3In#?pACQLWcph17l=fSH|M`k)d@l<1za&eQkYTzi7CPn!H7zv z3OOa<&j3Wt8wC3#4xubTV)&}bNdV-B#DIJ;rM-MnOXB?q{MFuKmbWDX2^r95grx!F z1iEJgLJ{%x3&nf-Q(_z**q48+NfC$%`~PnI3_SkLqxZ#{b}! zo+q$1+7n>oG8jxktmxZYJm`B4Qv(;ji)O^B!^@VENWWg*6xLGkUJ{e>0H&oN3o)U3 zB?)otlF3i0$paN{@DL&pMFsgO_fl2TL1HuyKpT!&GJPDDYopN5BDAMZ?Xg>@9C$r2s7In4W>UtaN8Og$+oKY{C5E^)^y|Z zzk+3Mda?qyOII}RBo)GtmUB6Q+%B1F^(R0jL@Kk3obbDCVEQE1cCaS*xfp2Zg&s!` z`pvcz{&6aB?E||rs#V4i4-HjdGTk7eQLVbA=GkODZP{cY?95u}9Lz1p!5_hx%-h*y z<*nIdB3%IXWs||`R7}aDjZoynC_rRy0FlMp#6k;qy9BAuZku|#wSa$6u`o9@dN==; zshnoi!F>(J?BRTi=o>+12fU->yw%-wr?ihy`4`ABF}rAFpO5zE1nJ znD`oSfyUmNR^PuTRQ0EBa5#9m!ud+2enUl};5o$WZL?kXLA~GQ1Y1%6VV{I(aqooa z_~+6cI_EMFG}eh&sn;b8iO)uyN5f9{N@#=oprXx1Qx+bj)4{r1w}Uu|0bN&+*SMeQHpL3{bu@x)-xr|n+%!<1`uY!EgU=e>a5 zWMeew^)Pb>womnsY=$I>yi)(IfQ=Yi3!FWc5}GW||BVm=12`{*dYOASmYGqWGnyK? zJKD<5$On9OCa%cVM{mGNPwUxx9q>k23X^=moDU2(p3KX;;1F?jrTkFTfF>O8*DGqc zm8L;PY0lTGY$l2x5Lq4sWfo0teJ`aI-|t7GTRjZDPmcTr8&6M;EJQDnEf9ZC6WeQv zztXRr#RSUe(rj#?q{6Xdz0;Tn9D85e_4Z*(rm17(rnzI}nNCR6%ln~+nn~wZ$jm#V zxV2awND?uP^Dreu&M)$n=37CYTie*6jkdR#DclNubinr=bNZ$+qs1 z=O5Z>d@75Q6&t=h>)Z8TiIWA^>oCVv0svvI^iYGluwZEyU8u0$`qWhaNZ=kjHx*4sB`z!j;{%=xlwAE8A;ebAOzWpYHe zGI`&7O>;5@acL={ElMc7QC29NLry4M#WWJ!U#J7BGyy@2qM$_KOjJ+xJm#ZOn?9* zNCM;|2@oKu`C5Pg`2oo>#kum40B~;r0Rp?Tz=Z$-rV-~BS^xn$=y!tw0XhilQvm`* zZ^Zwr`Itgp{I}*4js`#e$C{{0BgnzSf+%4!%}f5*eFgXVPjY zU}MUcv!`XsSN*Jo-|hrKKl9QEH8@D65!<{((PYAoXfh32Xi*C-)M4#J8&ugXk0z^k zK$H30K$FSg&}6=sxrM^t3Z;=5XKR7Pt^#svlMDwRKiqwMDoP8Tm;8NEX*2uK1h}VEiz10&B%)}oPGK`)QmOdIMm_H>D zGKuy7jAe1*{cHbpMPsPO_5bdQSaI?TlEOu}GO%O?xCRSywKm3xlOKoEn@E>~*^=2x z!Oyv644~3HU72JDUjkk5c4_zRrG$$a#kO?T0mOeXEIK3{YQbre) z9dt3R#f%CJxS3#-3~*e9fH9FH0mhdl=czVxEnV#7~5 zE(*{#0S|(Tc}DI+z+bXO$3pqR?_$EZ2L@b(c3%7J6#DFh`s~>I>}dGZPv3B7+^QXr zic{NBPrT((*6tffs2h-?z73q7mxR{+PTbVxe6f97m)%Wiw;2BZb2(fE_(;OV$Z+h> zhNK4-+Qe3LAtb`J52rWmW}w%P)021WncV5sEpVACBmUq6hQCGc)@`8vp6A&T{@)|7`;i z+!iJOX|cS|xVEo+(jF3d(Ei9?oc&5fk4Z@B_n*2qmyGGY%@>*5gm3?EY-+$1D2iGj zSl!~lZ~wnkW4kT!-(H0a0|Anz%B_;kCtyT0l{NU%#|<%kgVjsVd4JYg9L@EdJFfc_ zDKRy$wk;8KAVBsj`35=K=Bs_UU}GT1(4YN;O(%w5W~6x3&z6iDmNd`*jYnk;xt}i9 zp(@@aMJwAWvo78nxr|Lrmdtm|mbO!8T?Dr~F;TMM7QrLSefjPL+y2h;R z-5?QIjpn5VAeiyA=Zn(^TWbLsd73NVfh^eDaB+4EI@4EH!X?_m<+7LNWoa1(UU&RY2MFQ#VnEWf^6n0|Kf!cim2wa%*P!# zL2=E*egkYM>+eW{gC3<&bYwrj_)1)QW*pKez7hb4QPq%=Hg>sE6(CW5c*1b-2sA^W zg53xxaLKHMkN}aRkM2o?7y)%I;xd1t3C(t$f&(lwjG44N{Q7f@Sa}|PVSVhh_&=6R z`mp~$jwDuS_x(TfNCKhOHXWfLnNf}Ic_RVPY60;>a$e%KB!WAqOfq-6r(L!mMtd?-`~gb&fi zK=_akuu9YaM{*=cw~ZnI*!@FXEl%=Bae1B@vr1a0tDpJ{*PP`Mw6ER z-SIL6s)GNKr$j~@P9Hn`6zv+BmiYvoaD~OlTIdx$dUe3;sarp~iJ>|2}t+m-`?erY9cI%-qR=V5*bq_eq zOiCRRxLptk=MOH3VfkVU%utkpwih#!vdhs|KH)>z@)JeJO(u^!~wIO==b%zPG!H1(ZGTBlhQh=7TPinN~E9OtfoXrQUFo zv0i_ro_tadpzJG2%HE<1Q1+!*K-niH_!MhXLZU8uLlj%J-6B z-B!+44=t<>^q)0yupCcAEni)|?~<=;E!}D5qi(<4K39esv76&6_udw$ek}ypfg^@p zy>iv5$PQm%q-{RKmqmzHkL+7tKR(6WQoj|6%v4`MN-$RgiP;$u`E0>-58%(%H zXYlHAgQi?cgq0k9+TluoINxrg7adw5N`EmL`oM<+J^=_o=b=fXKYgqIGOqxopag?Bms<+j z(a@BjH>_u@XGYMI*E0qJ(CJtp0G*Bn0?-0wKma-pq1>ToY^6)kQ}_$OcVPfQ>H%xO z(Sda`0=o`5DOxsqhM4pBq7Y_)JnuziW}?-t{u$*=Rk8nyS6~pEwxq&$yn6UL=F&%oa>OMV~#5dJ+yzvzQVAc@;W*t3X)`>uCJN`*TYuhmk zP&%8%ArMOZSWl@-<^=Qiio#f#O1++~NJHJ8=yuxs>RXY`aim#?nKbK&kY*iS(ySu@ z%sPy~tP`IZmy3?C1Rw?t%sTv}SqC6demZ|=opgbUY;?S99I!;51i-BGAK48u0JDxh zfk*Ny<5I#BwQ)>JTFEbJ@!XWO45q4oS4m?9cJ=-dmNB95A1Av?50>{3ZBfru1sA~9 zFp0a81URh0P_+(}6=0`1NfOkQW)OD}*k%-WI|m|!0(}pC;wh!ITv$g|72E|}kzWH7 zh%Tr!U|6T&1?1B3_+1*pHQG{#CE&}0;$YkgBMvri*prc)?b*f=i5`>a(6KSy1^^aBK&qCEc*GaJXR5$WS z`%VXlo9{mGO0fj2SnE8_&P45Ms?J{B>c=UR*b_IYwB?^SBCLQ9Lg1}FaM2I|0TTed zNdWXFO%~uzUZ~?b^%KBLZ0=3?TX?P>UO@CxbJWQK!7qZ?DG3%uyML2ZJC||#@LF21POftVhj2dWlb(cnQTXR zY?v4Y(^3K%)EJVMRzeOHN2aA^=v3LzC;Ssr6x+@HSG>jr|L6q3G2i7N*k7rU!0&%xgjvpr%T{L0-cwK=VzRi?zNlt61$%QWF>Z& z0soE3fI50rt{EK)4S9d+8xyFPWDWW%wN+XdkB|OaU7+8%HHX z89nx6!E!PkF|44C#e4(orU<}p>T+5@FNcNTAVwp32Lj=qTU!60+f13~c_M14Q;TkH zP>Ul|(@Hj}#lcXhyVC<=;fV%#QXnXa26r~TQgMM9%gicyQT#MtrzvN zAGl>={x90zIx3E(>l?)-Sb{@v7$mp_2o~Jkg9ix~+!@@01Ra9A1rj{CTX6T_?oM#| znv-+xU5Dqn_mA&g?^?C1db*ln^`6>R`&U&tUR-)4Qg>bqk~`ag!zmaJFV*NiiYx_~ z9>6~bd~`Kasz=i!B?JN-&1Jy*EOyMr!eC=m|W9Z6}?&w$;Le6%|; zk9H^K(e6+^+MOuC?np|j`U7_7ucn(p7_d8kJoYkXDkoEd$hR5+fZcHo!2S%_9oGN| zwp6Ox6T3rEQ1Mjsshs4Ws8TV&?ns6v;YfXa9%GLqrSy%WAT;M3TB^~s96RQX`D5j# z{1@j$l&9YXNT#xBrAel~c|qayOZ3zDHWs<^2S>{PJ9l%PW2f!mRi08;x@*7P?+T7L$7bsIvQlTA1Oh@ron&8tUe6S!2~go=yZd~`O`0A~~RkH!QP zC!hyOU0@3UXA@5O(b>#-bT*>^XY(J8`KUOJafJY9QxXvE0>IhKdDDz4n?VmlO-o<^ zM3K}vW(LC2&kC5H?8^b@(ZHv%Wlr7Ke-GEclxEZauhJY$S<{RQE90KNGc(3`>jS~#x7L27q* z@g|xR$4={zb;=Snq3Z2K+M0XKIKZ-3yb=t%GgS*|7-pFKu#O#0iDppyH3ZM1ufbt@ z8yO(vQ5nWif2qqytw{%|%lS9gK!M67^iKlK%<Y zD_=p9pmTL-DAw&c3hz2RkEwvI*7!tsVtSNCcbsC0qAfm!^~>Zkr80=;G9@!8!ejR^FEu6c+z5PGbnDYdXUbgj}+s-qFRl8~uNYy&BcJ_G65x7X8QF6j#=e{UWSvlf(c7>3nqm1nIK4BFY-_ zUnG<@PI6J$ zkVm7l1Si#6rpXg?z5BrBpR-eaBnGt{`rIM;9$Hvm67Z8MqW_uZ_${Q&k_8jf*18b| zSnogj@=^4Pd>g*2Vn)#OXJ{3%&Yp%;Wk` z<}v;U^Xi_&J-HNgR_at@1ED#uk)&!vbH1uYtBNV#_~aBP155+9C^60oDN-$q|W zVOQajD|5+zp;6|N3y_@W1N3Gepf`(R<$-tw0SjOp79A}cK$^{>y`3D-f(ALB<(Zpf z%a*}@_RHGC`|OuQ&GAe+X_%JQS~z=&`+J1=9l^-gSosfxm3mn~~Vts&!WnP;{G-vkQx##-;}Lf7nYP`bjBEKZ zKmqLBMnl@#;;_}x6B}u30aU=kn2=9|>A=F6kWUEdm#+xHS<<_3>0kXHtFb<00rGtO z^iLK?Azu+58{|Z>%Z@OFbYT4>7BG{fP)sM`wiTMiCr#ZOo0ZK+_og>CW+m40sUZT} z>pmHgp$f&!|JDLCj$q6*u%o)lu@tb6B6xJyA;KP;NM*k zhf!rRL{c<1MJm04f`TDIIwA|kg;7MpCl5p%qHwjn%SFYAz;w0kAMqtgh+_!;LNfP! z1xN+)1F4`)#D(va{E};d{bpA`iM}x`1@fp4|9m;Oscsqv@7$5_R`fHn=s3{$Bafp3 zSu`~fnuNE+VnCHDAIK31q9?@O}G^ zea}UJvyTguX4h^-%nTmTl>l_F)SSc*m- z$Pvjy3G@RwqW;GmksZueu0YL_`H32mhqifb1HOFiY~qlFYE! zg2Vip{aBrLBU6#(15f-(s{svkqF5BL(;$#0dJDsR4v%b2kEp&C3PUeVT^f@GOHcQv zG$uyaZ}#bEmpqz$;r8Nz;*{&1v;3<$^qZ?sS`!B&_e zFi6#>!hVqoxWFqW7?ZWq(7+%IaK4Z{+75Z^HzfN;2!<9}1i)rULcs%BG>1bB%(rrR zLQj~sLk2w#@`Y1ugTs6~gDDAxqeo1|eHej_N%WGe^;{?r&bk<+i(%d~Pc_-ZFVMhW;pahJFtx0$k~6 zV@=w35W_MYF-@x?veuK&XXy{3j+A#{@?gWp=!K0Ym%!<(*_1FMVgTiy*K|PKF0BVq22tW;7c)kg1K-84jwBoQ+)ucwW0I0#r z#Zwr@XxQQ*Fbj?C&;<#u7b%)8yprUiuw;JBP(pyBmV zGM08puz$3tb$1@x$iK-12A=*c0;wtdVp4afO~MUZsgLxRe0UuQLG@_6>?I6$f8;WB zf6_Aa_8GLSwKELtRNpcc94&-Y&L)|P==wE6-LeoLI(NNfgn)Q4KUIz zeQW7%-almT#eVyGFb!6dq8}G;6aNjhs=}0A8rb*=chm+$-Qu~y0{uTVCmR3FoPeKt z`kip3Pe&|kYuA$z`--~76u@PCe}q~+Vy-lqWsg>S-X|+)sT%frhv`B`h_2v30`zj`mP$x^w;CM5if0{u?@t=Be3`Jt}x= zHn6~TPt3-DL8mpRFaW?bPAya{KJK2s(P_cdVTOLeGz79o-2kVuv0C9G<>0XR1pWuy z(hsLbzaL9@%kNn79_Ev5I`aSG06UtoHx4YOEzdHh0IY0zOco_Q9Ub+5ATIzA&=ceZ z;KDFN{yl}HD(eG)`MzpB!hdkERAo!`5|%<9$5zi{RUn0q^|me*59`()7+{wJRQ||b zyf0*r>O&0t78L-N@&6B4cKblp3D>12YjC=cC(>Z)-t~y~`3D18%L?64>Vs^X&LitX z%t{R`QwHEG)Xzr@U;s;-@OEMtnOad|1gJKnv1H%M15ZpC0JxRE8n&=Mc&SGS6QinQcXHfW{BSV)&J+1i+eKWLXk_gSfM%T|9~Guktb2G zZVex0@Am<~F3x%$S*UGiJz3k)$B%3CsMOph8Z4~^^?u0mvpBN0*VZ($P$q`5Py&G1 zbpzse&;K5VwwFT|YRo_uD%MCAO2rg_K57zE`?OIdKv^Uhz`B-G{B9~+BE zpVfDuS|9Cyb97by*U{zvZ|(lbL8brTpv@DA*?${d;q#p=t%>!31XegZBmfAXhJ>ZP z$9p{W1hTdV#Rh(-&hS_%&GZRNe)IqLaMAl^03K~C+yck@h!wrJzpoY+wsIf30>&86 zV)8)h>2hFTO5{!dI(YAsL(``>n_*vphI;cG0e8<8fGD$X0uW{PO?YyHzz(>ZVHWmH zU#TA(fkZK$#W3nkkC8PnumkSkP!F}AcRi69Fv7BE6KR1FmX)(bD=kgf+Wa)bEq-+P zgg;j9aEk>m<_s3T)`y85g*oGeEtSjEY`Au=`>+Zw)%Xf7*@lw6{k%16n#7lR9f>cg zdx5sOt{)9vij51x)HA18LhE2;=@fmF*&IP}F+xL$raLruooqz*EpPd?l`V%=hF@{E*{s*=PP8Pv_RakV*<>$4V50{*M>)=Q zv>$Z0@2uuagXiv>oNt8oaPyFKy*~6Zo-J{YGLYtdnvLFesNBXd8eP@y+_zB7X z^fD@W8TJD81l;lh%j_Pz+I8coME*AU;oe3Mnwdu2$D$tzNT0tI9Y6xo=TH*~FI-1h z092Yda99r#klh9F&f;W+hK~&Zd!{_fG6W*K@Rl%oLpYu*=F^kYlM{sC1^4+Y+n|NK z;NjhCj7IBsTb+}BnRwb?4aa|z2Z`9Ih%i^a3DBEjJ$ui+06a6vcUH%J*7l%cZ!_yM z8PU^JWPdLI`eDT-znxU?A-_sabGG(&*7??X*3`apm5*_KF011=*E&0%{tAtkCAGrLL%Q(#5}8x$FwBh^ z32wyex)NGba(%TN+NgCL1A|giO1)0lcEp|95;K!Z#ZK53#PIJV%qNu~PK{mD%~zyZ z_#S&9U4jp_uwAT^Iy_8k6T)@;0j%c>+}8XeE4Yp(D__DWarLs}23Y-4^$=}&$Wzu%tzTCIdtlKz~9cBQyVh?Xy$N^wWvECGNq zu=neC0BQ{^?I;D7!)S(C_*H(Terz}s#Q+#FwI;BGv?cST!MsZ;x<)K>N$a&D+%Db? z$1UdK7N^CBEITIiO-#3`v%7!5XZB8Ak^&&F&0-#-zOF;yNV9}Wpb~u-X}`NJK@vN; zI(jnOxYCU^>aP4w!S0(!skh`i53J+6dyDB3L+{&HA(!N*4!xWG`VHOl)^0;lg5*D5 zxP5KEkAu`&Z9I&y#9!%x2X4CdO*KY#k4L%a96mgJGsk0p-tzL|Ikb*QgfE;9ecADw zow_uyIkGq4oLqGpb=yws+vOC&GImVY*kw3WjD-e#nwsdJy){rf9C%CyZ(AgN3ZPeE z#F6`v@t?CW89-WUps2xEbh2nmIyH$9x20CbvsLwLyO(#GF|>2?g7#ZvK7mj#V4RV- z$=`-hXkL0eSlWB)EY>!8(w0O9OJ2lsy9D*M4(BQfMX|icE%S{n4NF#u06Tjz42>Ao zHnZU3=@SH@ooWOTXdfYPJCdMC&u_QE2A2Kc4K79%M&=K+3#?mmhJm&>x;kyp$<}{$ zsCG76Jf#Yp$RKs5ZAEUk>aPcsSQPhKxOf?NT@_twZf8{6l)KV(!BP9K?dsS&rD~{7 z)@fUnT)w+4T?|$e37jC)M*DN&mGcK(4}K@h+aZT|mDC=!LE~5Ma@6V{KR0Sotl;S%)S+Us7#5x!A;SvR_LQ~ zrI^0v+E_zxd$X^tBI_^pR7v1WfMDVqV$nt%JYCSx`OspF#i7m8R; z@uK|eqvn($gxX43)?XyY8r*D>DrH8&Js)pX%#AZMW{E!boF;F<&^YamII$x&TecvKl}D&wkf}w$EKRx&O>_1 z+U%n>Vcl#5O49FV3;VVU^;B();#{a&zANd9u|uA z7YHAC2bX@pA}yog65DfYSY$E`wy)1R8>YO9Jq44;pNeh{Ztxj2nOrQMGS+LaK1du) z-?@Oh4km%kHMsWPTlU`7mF}ih+yv(5&D_D0*OO`)I%A}plhS$T1r~3yGfHo;GtyoE zO_zzdxIUp5*-xgaw?5K#7(Ka17wFpXZ;p1Vd|J8_O(EZpn{-Ah4a5jfA=hflF=MB? z*m4>tdS4ctwU)jO%}0RYBf@~u5<+M7Rn9+0g+qoL3cZXr`n2N5sY;mxo6~gLA+V#m zvgyuTtPtKbr6dS-Y3dkC*ejT+nVkgKi=Bm`)8CHGY0f7lJv-GKix<%mS~r?q#w>O; zr&MU;tC2#`uiMuoKKwiT0)}DX=365YsUXjBrC!D4#m+&)o#FM1wrm3_@fSJx88xmu zHM+p#0wiy_cOFjw$gM%mJa2$T56+JmmHsN1Ffq2iXgG{>hc41{i%u!_@P|O2Z*EAR z1eT)`?Tzl5Xa8C<7ju$7^&~v7dgJ{@`6_l%WL-h!GIlpmkdxqk+=|89!hKaiE=Z8m zvLijIH~nj6`fUNZatG?p*txvhrQ+*ALC>Hoti$#N)`zB+^pDJRP?%|T5y;tVx z5GGzt9kK+sd7k-X{=!?VY4=_UeLw^Nw2O<7u64rpgq1 zs$b~dv&_0^WSsE#8nhDwzRL5XAEXslQW*H8MiAQ?H$TnsrK6yd%Q7> z>0t?x!B&QC9F*6-D+u#=b?JPb3`LYynJ)su+G%Z~wAy|6oicCSJF|)i!){3|7_puD zyfT75vG;^p&%`lS1PZH>%SR*e%eS7=i9;e99h*vQ;56)kO{o!Z8sseLq~M9rY;&%U zJrm0M9mM1r*w=}7;p%VywH0mcjr{>WaF)sX*>bd!A;U0^?nfi3Vy9p`yGXrngQIrl z)2(U!@Qds#tpPILz%UKU?Kh~Azz6~nq3R=u(m5Po^t)mMCzkvf+4|0=1-9@URz9n% zF&X3hVQFe4l#XIX#!`{dxtF7^TRl4YfF8w}typahX#eM26*_kOgAolIrFT6Ovne_e z9hoGX5bx@@8fW$Jpws$5?7Ke%ywhgwlXpt?8gQr%@@NhwJQ%ZGWT@I$kgDmTPwli! zo8EbTz_p%4Q#Hvwx2iT87kJ}75UWkxcBeeWXEPsXnO42u%Q-abvTB)TGta1h8c=kV zM6>5VHQ%1bUR^y_d}(KBGaDC{b|l@jgZ89DT~+FQ9VS>%|##IUH~Izn=ucy|_G_J!_5FO@ODz}GEQT_O4;l9UdNY6)qck|A(Y|i3gu#nWSpl;Y|*pbl>RJ&%w*mK!4$y zg2v4~m|Je+W)CWR3!FuAPQiS!71qG8{dGt=h}S?Nh*t+2gBnhAs~6*+(*~p*5Fu=H z%awm0bdzAjAyZ>S@p#aIG*%*WHhMpO-in7cqUCdaVbDd zA&6M$FCE4F4W%&t3>@I=CX;v@if`-T>#`OZX%iP2bGH7boVn%ECn0(-aj8}X#0|7l&VJVfXxNVXc17L7zvjqc643EyDu6OK}!6 z(1$*?unhsVunE6^5)WG0&-p$;ZkiYO^XultYTHwRrwk6X4vsQ)m(fWVa1Lyzifw}< z_neo}71{fUGaUPg4e-WlV1X6$ExPR#gXhTmy{dmT|}NMcFd@Y9;PJg8f2*OBqQvu-916b zI_md(sJbH`K0x|Ed{937o0O?--BL2!@G^v^fFqWZ5qi{tBbIr;9TXKN`;!oloFnqP zG;~$owOXGFBe?~ly1t8hW4rO9MieD{V;hng4P zMcZh8_h*Nn1Zsm$%nWH{yN-Qkl~5bfmO`eZR9MyPw|qTkt)8nywm&5FZGQwOzez5k{yJuN??M@o1amDym3^I|E7X^o^*z; zpNhEJIaF1z1pX?02OAx!FYCLOh)JyFw^>~c-+6ag6Plm75Z)$Gd*qwK2A+Qz3g}wu zQGy?2^vu|I6___Vs@h}e8JQ!Z=J}--ECtTBXv%d+80}huw_zNy%xZU>tmBbA)Us*t zG`S7E&x>rkX{k$;9V$-jIU7xyAAd;ZtS=xP>rB3$k3IIAk*oe)G_d~Cvm(X%FnRrK zph-(U__}Injra~(p>OwNm-`zN{<5^6y}^@3`|BE(weY`JE7!K&$h{rYNS3$V+&O;3 zo;6KWe}-zBXXX12qSXZkonNm-wKY- z&bp$I&}P%`C{7Fku0Hn1NAWVdT%2yY&W%+A)hyS=deeR0LEoLHgFeYt^393C;#_?2 z^+prlT(x@o;Tg&~itwnH(3cY{H~a~ZP*t<)8e$VXWDIWB_P>m(%$d^?1i*5Z-MyvG{-aTh=;Mpy<8o2 zYGYJ2Zdu_xsx?vLtTp7sm_x%%svmN~c^r7NZ{Js5C<~}H(c`M8JX$xfPT0ODM=+g5&7>nw|jyTKiu5{)2za!%W6n{X^B}x9)({ zlF_SIDVe8gh3$hH+u*pz3Wuj~L;IQj`i)TCk z^hh!`@IAxYLRDw#UeoEZ)hDuo4=0K4^nyFd)hAZK|Jek-ap_~iQqj`yGxg`83(xcx zfv>DGZ^T)fa-;v)5Qpcbd!fF7+Zgwof3pZ&C)8W{Xv#9FYHZel@CRI-MMIg5y;zs!vagog<*Nd#4J?`L->ZqSFhXkNBK;CwB>gssV_M=PSTI(ti4O5R z*$?%0@#@;VX1G=lem4=gH18zaUF_cH{nS+uBxE@hww_x~4Yb1}e9c?PSI;t2A`*JG z8%1&ZW<%{_uSV6{JpiReF0wRh|JsGH*=lLqB*Q;A{kiqpXUi7d$fLv|KEAuPFS2|H zsb$e!A0zKC1aqQ4%#sj$-i{ndy{AXHp(>-Uos*xWiXX_PzUnr;S_<`#FIkUzN!lNf zi2%VssN!pN(FX#x$e1PO5bd1vi+A&y-K_ZF)$msg`v}qt)){Lag$a}r#s&RKIk`5x z<}va3WEyoJ$kf=0sG({>I;fmFDPUeD6(b21SV`^I{Wnr=RH%^b0|Lo<7)yIdYZ4J7 z()S)E1WWrLWTn&+1m7DS+JF@wG4EKU>&@F~_cze8e`vpkjQUmT%+*C#%;3E2H5IC5 zz>n3fGL&`R4w|nzE%+SpQ}|>&I|CaR(jqLck6z!V+KrQBOHbv|7u0b&Meuqvk0rB| zRqxE^x>u$ZX{XxLBsU`k+g@^o&O8`451KqMAfj!}!(`4sOn6Kr%x_*|l|&u;d!p~< z*gd(J;Vf5c|0T3&$pao*gT2mLoUvszXd~{@^DeIqSayf^5milp{AHpa&EC7N4oKqb0 z?JGvTVeLLOaa0pE@#vUW*{_;repPQCfko}49SdnGiTmedu zEVVOP^=7x|;L8#3kDWX^nES+QX1&JQU}HlC$D`g=eT9_^>LI-5rRE?ee1rF|5fi{}H<&utQ94wrYjUQw?EMAi z6W7bf1v9>0mjtI zPgGl>{AFI>NRXWkGdokzt5Io!a7wRucOw9)gHk0ta*iehLCgueuITW~TOa1mC+Na0q*0M!0wZ`MWw% zo{~(rD{Z&o8c|fv<_n@SOC9a3l+xt+pK|u2NVoeZ3N%6G>GIJr>GEo~3i+?@^Ht*9 zDUVXur}2B=he0~jJG&l>$;=CWfx90fXXqoApRAp9M9vgN9KWqfg;-V7#IRDE+UoTm4dSCAD`N(jrp2^GG8x;()e?R~M3-kPUN zhb^D66Bg>G7)&VQZa^ojUdT#9R?tePgtxW&xk;O-o$-!h3caZf!M^S4{j<8NjF{AR7dZ}x}AM{W=7 ztx!$M#H8PUTQPbp<+>cr`92%13C};MLcV-S;aNG{$us6A0qIlV74Ro5CQ}+yCUrH~ zdd0z=W*O(+7}R!4!X_=oBZ8`bqWH7(F?7LkuiQb|e+%cxxVm%uu@z0`@cIl4#_rl7(hgI0HwLpyvH{hvNAdo$|?8LFmJ_YUhJvp|Zqj zaBmqaq!X7eq$o76=`qZ^85Fg>3QaB z&eyo|+m!#b)M9G3Z3N!t)p~XDX57gsC5gd?{< zgkExV1xk+);f0t*&^codM6-+#CFYq$;9w1ujhheO!orF;Foc`kk9^wDBpSJ0-PIO% zkQFrIcu0ScgH0mE8sT&M)GD0m7Mr4Y+P;4H6Ti3VWf%m^rQYfNhjN=LXI-crY*}#I zLU7Jv0%_eAa@ML}<*(W7V;6XSyQGYDAnIx%NSnxp#4E3UveD05)6&g3|9)>)t41V3 zVw`R4p(fT-K@B=&SBw#`+>r074h6^jxzj|%7s;f?9CiCGpD-dI~ z`|P%7-bKiW+X{RzSPG|aDy$_>R_t>cvoR8aXMGzF*`R`vLA+K$pf=QW;eM^EH6K8UIn*|?%Oz1ww@ zxZ<&A!~RX)W2L>z!?xI9-Hqy) z1kDzy55B82JXPBb8lbhnOfJ!0G%dQClZztof{7-GfQ!Bod6Bp*X%*4$<3+)4DjQ9p zA{*8(X@SW`Ifk8BwvsAj$`INejxl3Tb{hGxh+ypO5lGA`VH~mO=&gC57nZq~TrAnc zrK@)PH?1WfkX}y@L5z%O!x)t@wQ)%QDj}&q${)TyarnlyXVMv;OrkgiFV_;CO`@H# zs3J7F3fI!VlV_nJN4T>i;TQ!4u@U7%T)KiA=-&;FnR)MJ-%&;4#(&BT0{x68%CnS# zoc4eEB$Phn{}c3{R+}PME}nMN{D;55FNISxo%f|k+}Y&9M0Cwz_I_75tXo4T8FnG~ zCsUl#rmAsY9-r($xN;*YsuE2Zc}^Yy;YC~vKzO+p$hSqE3d_By-$#2j2pzrg-oGQR zLGzy2KXcC|T(aj7U+wm9T62*-DJ_kEqMbrJ&ng=iL|#rwM!c&LZ$y~-THR5sWMf*aRMN`1!x&{bZfX139c7L1_xX2AY%6ac z=3)i2A2lBu_Xy`Jt&3miMK6TgwqNIYz%7aGmDPC8qh^;BaecfkE?JZ+`Gh>oT=$W` zmelb3oMZ{@kwP^UORAb9Nm17EaxkK^Wt#+o1;J+w!8a_kTSl-Ip9KVfi(B6r@pSwj zWuFb|TVFqO4ui4Y_W6iSttrm`k)~i)ynk*!ujTfVfsv|n7N->Ion$v5E~bX$OJoiI zYKA3DD^t+#akUg<8pE<5vBLrFv$&-O3sq3wDySe`()1+wNJR$FXR@>y=d=DHRrj-b zw7k)DXN>;BK8e?Th#GTvml}N^Vexx`CsZG{DHKmI^0JH>2tkYH3O&_)pT?U$F5#`u zv8u`q&e2_cL6nZcamZieLd;pyCZX-gH9Vjo(C1ngFnVqsQ$2?`=S3^c44V;|uiydQzX_=SDwPuu zm-PLht@M4S5=EsAxp!jd!FuUmuYmg!l0q1nMvb{1)_E(DqRRJynK}oc(M7t;XF(`# zgSg!BxxF+J#J0{YwI)k*4ZXn+&jOpu?hPoNfem)|8e9#g-FjL|Pe%!z^#7WjjU}abRb|P=9oK*<~a^pq#GXM4VTtz&6+$aK6pyNwZsG z-}TWX_V_6@e}bqd6U-Y~=t`=2dvn%e`{(hN%Hs=yAT$44KQoX4`^A-hbDGnJ2 z`is^9Y6lzRnC7qcmu2(v$1Dylchev=pWU>j$_uCqE)&gGMTB<{zVC`BrNTY_xF8JR zgIUNpiLJNxAhC!VAHY|e0kIxfCJ@FZWbF-$i*%C#t+GeUoi2|Hs+tz7i}Zb+%E?q} zOt7dl2_~JJBz6J+(tQ)a^m1S@?*8?w06&bkDeV>Gi0Cg7pBr%kTUH2ku_W?R;R>_{ z4n|F(qYr&B@zRbVVh!<Zt(RzdK)msmnhYvVM}*4@RjzeF`v#&^9As_X_^45Cf52>V~jiJ z=-h_0)_C93xwYi3agAa=cB}r=JugT2$i~~B77(xm0|=vrq7rc~wy)NRw*CN^p9+d$ z2(DE*0g5faH>S}ODC>sM{O@>DxFQ5Sp^&KWuhNXwj;X#$-PEi#gsy%muaADNYGjS!d3FFZ1Z7M|5S#TANxOFSx)!A^I5?W=U=a>FBL6ovg+ zBQ~pu&@uNW_{w?mj8`eqw=)5P=l3AmO6^O3x+W(j|o;;KK^Z{hliTLe;JqhkTm5 zh8z)-?yF0UpAIYGdm?XpSkg#;8FvynhDVA? zUuxnEbrre_sOPR4@$6k{Vq6H29!kgYsDkHqFS#AT-zBtHjCg*%Cha?y4r}XbUAnY{ z^`yB@UiCCG>X(20bI*9y!JX%&QIu6UPtQ;8 z$iJFJpvf>;CHcg(xjN=PcEXwmBBf-y!k?+B2YV#Fnz>xRSGD5vTF1KU0f$%n0rVLR zc33lN5K2B`Z7&@SCs2|9iQU%YPK?}J^B2oB+(Vvj2Z{$7e3FXBE zft$i2J@{RsCyS~Qnw@`4^Wqofh?jO96@(ytIzVbEy)*g~@e8RxG(q@ClrYbzzA2p) zEkeL1DKVQK(ZASFwgDRhS^rC-HO%O)o-u;-GjrFj04*GT+X^JUfj>QffDa&iiz+AK zvVW=LqOc!)6YJDhwBB|@wEm1iY^6AK(AsKz(}gRo>TxEBv&Eiuu(Rk^ZsWcm5v#CT z)gy2*teQz$&x7x%OB*@;7^fj>i?awkGceFnRSFlMt$fp{v}F~_;Spl2e8cEw{0OB0 zkezW@e3aFT0{JRQYXsx48Y*on@p7>_h*k9XdG~ACymt*4Iqw=i2 z@Igb`2Yu~rUS`ZWN%oBxqW6xjH~42T{GMBfUr#%X@+z?Tek*NkGJHVJ53aQN4t6fl zfkT+YjBAA)^zdW`bpxlS<*SN|iW5<=VUkl&Hh$6OzQ_|_VfpqkrE44Pz(U}=OqQd~ zLmGM+)>naie}49n9LA^$+m|(vtklNKTBId2#EXX<89y;^Nad%6i;tg^T!#S|*K&Ty z1r;~Q-wo?{u_0wq^j3W@rc&^EKF;6VI7~Y+(|6qSr^CFkl8TlaA_?M4(cqG`pxyRL@yq^2*H5T z30`1KGcDxyTpNNKm`xRLJ&7jtkY4c_&PWw#(f@a5A zu@LxDvLSb6VJlumD2?{b?oakKE#8f@r(@;P)w;1`h&-qegBUB1YKGNN+C;`|GF~6k z>_}#C@$6(Z+=>%Is9w?7$9&3^kvjS(X@>k_c35yU@p*6{@^J}0%JR@Rf5sV1teuM$ z@dQDkA+nO{;EfZ{SdWp(g3B<KPy6>mxhcOl z6s>|1mlUZKA78dG{yG!2)px!#wVR6{sDhr88w9E>Iq$XisgpBQhOfc`dP*&!9*N+{ z%Wh6=a1c6Y>j1A=v|_3Hn+#eWb49ko%*eWPfQ_O1c&~XYW#^G+%#`2a*rizPLT@#~ zq^(cU*;Xr<_YKoDS<_n0(QilH=MlvydftIdFE&uHGNAnl3|j(vA}6w@+9W=d!9(+m zdS7L^x@;_wzkIZL(*;BR+DHx z$en$}A!JZ)#Ix4rfov(=ML)tr#!>$oWw%MR^h&h$@>AybOIl@RuxckBsoHU;gj&w! z^v{)AvJXXsHLKy_m9(6tlPTRwm}WLJ;Bu=OAWKJ#C)>05PQC}20A3>;F3()E3CpLV z64(u+DEp=T8gD}vxzdH?gKE#Iu;R%DhT;j3ZvDL!Jj1Lf{92O-Z`~z-SO)(?NaoJ| z%0C&XH4RxQ^#=ZCAybP|4<@E*{??c-0=0LtX)y|E$|87u-xn!D<_s=$V!WTPY6oP# z(khOL(Ff(uxrI^k9#wVAI+XdY1lInz-GmXDNk@r}5yUIJ{F}Pd!*Dhyl>msToV3To^qi8xHxb$(=^6JmXnC_?ah3)FO+FD2h!+UJl?yTIv} z$(4U658(?@KGDWj#N-CW0T&{QC)&?L#}`)XvK}Ll??41%v!fLA*m}1kgBHJu_1K`1 zD|^uI^gh~7WrM$LCtyCoGB}TJOLOWc$qg#b^|VX2bf(7;hkip|>PtJ|R1cv(_5-CB zy99Y=~^%um; zB&5IKYr2A+ThaI{P)J@T=pN1c?vMD^2PkJlVU5tXh;lq*dGQ%WRuuSX7l|$lc?ahz zb%j9H6o3m&oh9b8J!2Jn4WqIU9-x8N|MD&M8A22?@5d&=Qu&uuo$|?D=(0|+$_a{T zFNd!EeW3-_+mlW8$Y~ov#gp2waT0&Q^oz%whpNeXu?yDAl4NduJ9M$m1Py-_@Q1Lr!YO#w}!sR_z1^vJ zo~x0wCR6h2{%@KA?si-`piV#JJ< z+(+rxe>Fx2gx`|+kX!Zx+AC8>>84?+o>)$hf(Jz<&F*e*tZ^@&P#fS0Fi* z8pF&IHlFsqgc;Gn38do z6I^&uIzC7$9PN@~AQ!q>ipd|`@LHel0j5>)97Qx|4e!&d`@iY;$qCwjOmzvgN{ho8G&RJ3s<JWnG6t z{bgXm9*j_B&0$8$Ro&LHW5&58XPC{c-tEpVAg;~&lKbvEDxv`13gZJK8lneN2kX65 z@HdoQE{+~veY<-LuXg9&vsIf7?ra#+E{j%{Pc*I(`BcWD>R1K*DBeZ=vG|26xlOPV z4To=gj9%sz5?Lis)u0kLx?iMIf`H;JM|WlUYIIa1HhB#sUOj<658xsk zzh%z`2;1CIZw&ib8%jKD46-)Ftm{z8`~;W!73p$r|OSmA)3M>CH|k>ns|5PdgG!wNJrssNgkdIR1}Kp!CeK z3cV>b-WC%>YffFAsULY^@G;E-)yf;pX^E3gtlICUIg2ayWHIP|d2$6t*e}9T;9*T|e z?8I=G9g?P~E=ga|(c=p$G~vlZu98e!;t7h`#FxIZ)?n9a=&-;@t%l%5W?Fm%Z_>@^ zmNz_a#T~)|%dmiINhIQW$3XI8V}qvfws~)F`*+BStE16$T47s?*NXqO~feY`P@{8>WE*=6ZHaUjV8+s zz!6h-2*46k$DTvBVI8qb1gBRLXDw}rz#CBqK!kPJ2DuOUw#f_+;8ZUo>dvW%R*M`U zX+f+IPNXjo4y2Oxv>>(9k&a>pZ)e9+7WamwH769^AF0lTYt@R?pPVCy9?gIEbZzC9 zHFRyECtdtu>D$T=HCtzUlnHNqf7pwj8U%B;4+m#%%it=7@sSJO{3~_9>`>}&(I6Tsyv$B&n z;yT)udi@&*u@eqDFX9G*D=NB9Bj!RJi?dUcewgRi%6FQsckiFK8^ZY3WmiroI`7TL$>d z@z(Etg^EfTp{qPO+VpRv2X5at^z*EL>4R+ll79;jRv7LOGy+sLnN8sC(eFW;$wj4^ z-T(lazYoyq{{^nlC3G~V(bnaM9H*$oVr`Y(f(x(9j}g);JFh1D#dEx+A93D2Z{~hr?wf%Y zC1UdH?0UP}NRwU9(kz#MON5GgT`yWL-!o}*&#@0{ahq&i!PPkgdU8969d8F4m93KB za(U#P+41mulYY%QbMP`oA6e=&GW;R%R>Oa&akDZdt!wsBq#n-AAjv)~A^K^el%zTO z*Fk0Vsl)qAzTeYD13^hMa-jgdODH0=w6O6kok#hTi*RX(^-5+ zBFtQ8_v@5h*}Ij%4{LkxKHcAG`G$9N**i{P(Uu@RD;&)|sR~AvfGZqg7(_=(q#EQ(jof>~lhf(yBt*Sf&p5m|9S1)=7hclHeViGNA=syA zrr~G?xgVsNAN;;~jQkB!FITHgHB0GVddmmEYE{NZI%NKoOl^$hZ3zfF-f7gshh<;; z%>h7eDa&E%_3A5BGjpswpUF_3b&VeY*^6!6$B8^Lj;wNt&!`>@p$LXKb;JadSnPz zhhK&h9~KKzNH$r=_V@aVdyB>7*GeXSB5HNx4ltbLA*7tUNAHy?wCi}o<%=qii777( zKRJ%ku|Gc)NV4!J!jP<1IyL~KOh?O$-FwM-aZ|slB5Za=*`ME!I6!nE(gROt1sx{ zI|+sMAy{mO_I>Ij^VPlb;Yq--6@b*Mbd&6`Y?8BV{_ex}*?B>|%KKs9*qB-QE-^Oj ztUN54GIS+fh%5%2D>wETe9<5_;0vQ8`|1!zrH~yiANR zCz^mWNVeMgDyQlnRP_-5tMTHKV}k952{T!BeE6F?zn zU*C+d##bcn4=pAQ>Da&TM|C%s`6ySUe&1_hjdbLhJna^=RQ_P#OR-x1(6I5u0sDK< z2>G)dG;RdifqQj$H^IhaTnL*Wp}UC;%Pocv>ZAvDBAjCQaSN2QCR@Zn(@K8xyXuN_ z?E7oME1Ci2lpHCUSS>jy7WOzu^20PL35?v^xAa%jP1$8jZ_Fh=s0*RSzm$sMgWY>+ ziHs~2^A1aq#y0)2#MLBgb|&6fhvn#|$E*31kKj%wb2(L7J`#O}UX5}4*$pmSrj15E zLum-foEYN)){fPQC9jmM zEn7CjE83Ny4I%>?A!6EIx)E>A@3x9xhgKJVm6BGI!ZpBE?$HQQ)w(QJykaSeiO4tk z?x`LU72y@1Tv+nzw9w>xp2>IGVv&x@KSy;9m>1eBLD#L>*%R0{p%P@r?=5kl7Sg^> zoNd@=k(^!MvyQT`zVuf3KCx6h4A)LL?wr1yW$(#R(YixM5O~E)XcWmuc)D&o!!U;x zmpnUah^4|7jZ5Yuh1a(3+<`_Q(sFTaveJ5$$vjP!gh}V8@%T}nEF$ftQU9!DAPkly zp_}4*xIHh2JkHK;t5p!_sS(3W6`K!_5!RZJfTDM;bNS+4FCt{9Kcc9K(ymMoc<2yqpNrL41CiashwHzqBMw!xCx39$Zn{vXGth%4OB!N4n+C$soCB3^@ znsr#)1SP$D1wEM~7^rFXn8X;mm8nO`973*~{_y8W8fC6$=hMhK??VW%?sIhzE-ahe zQT$vC9?{rZR9@;(Y{ag1N3WN8zQ|Q8}ce`l>y() zn(}}J_zhTmsa<>BU;IM59%{0-Z?8HIw{Kq{{RBs`BcLXsi|W}L3pS)p2?JO8LGHUE zX*`b#sHXUB2IDnfDcZ@#77PIu&3WdFB(`bJ%hy^#hGkq|(; zpyC~ht_aV95hs9^zEOBZeGH=rrFH>1vx-uwd$Vt*Jio&Y_z^!Bt!EezvjWA@_kgaz z&xdLT%JHJRen)Z(Bpg}|YLk-AGr%?2)#%Z` z3iHa~2wx;L_gJRPj$d{y-8rL%DLEa#YA?XVb_0fSIVt!Iav2yp^C`FI*}xPcP91UT z9k)$nH-YQc61Dlv#_QcYH zC#x4n1_?IwxTpx%xnn$YJQ_uwe>+~Z#^eM&=^***xlwQ+LJ&bNN!O~s&OxtKy}gK+ zj}-SWo85j#ghdxKj*0KAIq$1K!8y3uZM^eg`Z3qYN;b4zrZB}o)Fyqg;w>=myag)| zO4m;1tPC}?28rZbc_T`qwssxND~qIZVr$%N_#}MlGD0;g$=%eDE;33Wj#IO*U}0vr zg8CoCKS{4tldV#qs?m&X(t7HA26KO<@zjV0ICUmut9&Kh)3dZonct{ScnCTKlBNXw zznICft-(mXVBryAmAd7LPZF2B-%Yb}XtX+&QZ4o)gE>m*%Apo%t++fnH)X&3M_X{& zvfriPTL?1~SpX@8Nhjo9;U)ExBOk~wjSI9Qm&=jl#~x89(5n^i0|Wy4G~U|yg940k zSPC+@$5ut_f{bd3%aePVKgRtvY@jV183lDind%N5f@Ld#-r6;b^13mXl?ASA z;{uG|_yiewSi;U%{m0 zgQi4n&2QR?Q4xWv8q=Ti4O;zS_ISf|KeePfU9nl;+&q#u4v+MAE`;7Le*zwzt=Oh| zIdzd!jo>$^RrgPrJI;z-)a4)E;`u&yx;(T#y54N`0QYHW)XA6^Z`XKzm1y zN*S6ah5PjHNHDwNymW7s;1u1lsXgTsK`{_T=kk@+W--W_y&*I*Zw)18tgIlHL^-hO zpIGuiYpk<6^^In^F)vg=8<}YEv5e`(6?Nt)Cr-^d-Oc7D%p%wxi+ws6=W{)=<|Fbz z&OnnDvw-`!Yx|al-<8E8r!GL+($3IYn)pE7%G<+o2BGb=^O_I{QN(V;GOYd%Kdhbc+;I z3}2?B$I#w;;{9|>#kHSmJ*|!sp_Q&47SFwee;E3)=(yfZ$0wZvZfAGS-Sjq1 zPKsg>?B*Jf8BSV`iO0KUSsTjuKG0jnnaWZX8lNr5x9vjsPU$WtLwp zbn*-J7H+?V{S8`sHs4CL8#6kHpBIW}7IS@k4Jj4FKj3eTs@?f! zur=KTM4#2fv^VCTK&@Vq1Mbu+P$D5^Fg1&N+=BCD#hEv{@qeqvlAP45f8+;#P`pfJ zmK4~DsQoN}%RJ#QXeNYeW+oH|W&^O5;etr!vmy$!R#W=G)x^Khfm?O>Hu}HQGyRn) z9ZZ+&`85jyVbP{&B=g{`*4?J4Ea|xFuCc~-3x|$VX99;i|D8=F((`+hO0pFPgq|@B zG>M8O2bS9dzmN&Tp6LONcW8+Y4G15ew{<<1@{DM=~QVG3`AOZR0(Ti$O z`z_lNfxek_epOW1RfC(epb(rEiGna)o``-j?+EEr7-y>Bj3DxzW5N9Dn_|_CiYff! z@nU_!FYm9@Y^BwRF;||S{E%t5N~7{Nw0J-|074bdAsOQ_n7_%LowHe_mU}tn)hWSh zfO_NONwN{HCYG}a&zNI2g{OXn7zH@{wHG#6@ucYhqKBXDJPHg7= ztdr?OZz?&x{^4F>dT>Leba;tE*;Yg3*pvcBp$)YD51XFrw4eZ>K${>3sulsDAi6ZA=Xz~3zyh`U zUP(Ax9C|pR-YkiZ{uUswXhj?nmuGQ{{T8faxjx zEpO?p@S1`K<(x#Sjv3>rLfODLwB*ui0@B6n(#i>ZJ*^dg_b6}xOsHpXEi-Qpxvb6k z+g$l2og|8El@o_aBraA4*m%owjYfU0F4ds~XYs5~=x9<{3-hXt0xr`o=#_A4d zpgf7qla>_iTs{6YN^$Y{iuc$(kl}mO9P1;}kieJhC<}3rhdS<9O|eI&T3)dPcb*GG zVK>SNgg>Z^MJp|hn+2v5qE<^JshZ{QE;s-4x+!L^rH2N`J)BVzC2H=AK22f{!be+} zed|^d5!)+O_SbZnL`z;k^{htB_BYdh&kF~8NN`K~!2D61d2&>G^g#doe-8|0L>m(p zrna(Ty}cy^b1y-?kJ~Il{C*8JQ6n5%L?4zeQ~Zx^xLa?#%J$^;6gg9#D(yEl9 zmn5PahYn~q&4PXop@%4gIw7{^;2B65Gbetc98%C}F$=KevhnbfP zT_FgE*LBy!&{<-KE5EidxDX<+F8(84S{usFM=E@Pg|Tke(+++FL|H?ki2}E#veFVhH79 zry{td9ji);@a9|WeRi`V$toSH8hHu=^^>|1R1X<4nDkMerH}lbv;Iot=nzXLwx|{x z#OI&wek+?Qi%6d|jw7f0;QFNZ+QzYKYmEpS$_=-pVa3IyCvRzqe=YS`B_j-j$`SJ! zyX1m}hMEjA1deOuKoe&4d$Ic4Pp1vl%G|q8TZk1MffH{KnDe z=H}7;O6Jk$c>RP{d)dc_U``6j6iy1a0WljY4$kqgX3xjb>=bf$v`Oz4A}_ScF($#+ zwsW!=@Xq6K9mMA!DluJrP}O~j*fKMIjGEAClZ8{ygb7|17c}7=L+%2W3wHh9Cf??Dmz0bp7NWe0@eBESwMZ;D!GPa=Ru@SxLwQPlr1sc(vWQMwTR zXI|R_z~#IO#E0m`b6;|mk3#tlFH|A%zZ5Hgyd5h5+!iIky24SfcE0_ktBwC$>H8SJ zl>g>;C)c{f-_Z(Ad!Os!RtQhDnLo5Fx9%e(zdsqA_z|EH zvyIpcv|`%nB?*8Fzg{pu(qF*}u+-|mIFOXM_jR-XE=c*{=WlubrQQ_#7H!5qc3xNKg@KTr%?qsJtKUd)`lh^- zKVI0z&3S3WTv(Dm^5dZ0`fTIowFCXk53utx4>t%r099;<5424~)8$uEeHS}M2zSP%fY z{d>K*#7sP(g@xF76ems6FZZI+YpdFCwN{O(Yxu(`U6K)2|9}#b6G=ZKdH=wK*jE&} zgrQS|*#dRcwM{LWMAMO z_I0Qv7v}1b9;=VHVb96oK!Pbz<#@225uGVY%}+r-SqXOC)$^G@@fv7Djv*k;UUn6Z zE?e4EqgUUw{*V>{1oiE}lim*FY)DI$Z8L7$jDdE!iXlyjN;qVSR`t~Nm6}h6NP<_; zxtSS!r(y_<*elS?9T9a`wjq88rh&`%%`fs4b!ck z2aVj&@@@Jv^Nj`S4uWaZehN)fKx2@;6&#Abqpos-@)LW;*yiIZyg#_zVFf!WcI6^na9PYOj7)3qWpT} z#D&uZ!bTgcp)e~)yPs3xXA)!ITH!{)hFfRh&_cD1y&X!8)w;2U+w*A=8y16)rM*_& zdrema>L#S>;+WxT6By;%u_rZmZslxVy~S!0m;nh&rW;?qO9eP9s~xYlo=g@viSi zf+bZzt$dOLCUsJWRky`Xt@zfXNt8kcp#N@h3pwZ0TRySRBKJq9sy}_B-Wnmc0VCGN*vYlK!bwm(KLsoZhfG7}IRX#bK?e_MGL@Wpb+( z*$+imRnY#G6HLdLv0m2w9pm~PAO9~hpzqpgjJeC3*!@^q`%Ee{Klpo%;6Gh*(tq37Rc8QpYF0206P!U0 zdpBAeUHh{*mq7tS?~Gtb;$?mOW;Ac0sY*im)LX(oxF&ZjS+O+~$>vl`n)U z2(~EWX=#LInJR^`cONC=jl%vy_r) z1%InEWBsA+=cjq==sz0VOiKo$x6{#IF2}y?uKMd|?5>ig_*GWQlC|ObSKXHZ`oVhR zIIu%c@%4Ykl)Lzy@?Z6`qBjo}^y7bcxI*brsZ*4zzx%TgZiQDoC_~)`l%c+36m{vr%;wS4*Y# ze9Y?6fch@1>x5@pCr(wa0b~TCQmz!jFFwNxl27?n9l z3he>Tz^;yd!9zY>b8GW^h)<)FzUWx{?4TeVelZ>x5s+>vGReqmwr2)391#t*<+DH@ zQn6@nIP)T({vDv@+J>UP!gGcuE7m``9TBA9mV6 z5TFeN0oqb&9k6M5L(|ExQ;ENv&IXZToXHLOv8nb^$R0`kwy{zb5a!5%tx$-L%tA*Y zLGJj8c|viE!;>b206Sjr;l(NKeW;l*(NVfw!1DTh)aSzvy<>lcE2jdv0LBh06+?|1 zTHDA|dVitXk-?K|ZZ4{3mSM&(Z^F#A49jX6^a)eVK0P|B41F({otVcHY)QA3Xve8# zeoa}!oNP4F`MYEf4S6{85EJx=Uc1$u>$OKVdGZH@zK1kj{;i?`- zkqbw=@{?ZzT0|UJ$p->Yk&+~J=#AQ{?A9X6{U3Ci1hX*U_OpeA9tH!S*4p6{`HC6YwCS-`W{5&Fu?)LG6EMGwA0e;@x{Ik)p+-D*nC(P@Rhm zu;Wpil+xUgT7fdP#H`13>XZH(^V~JjN~;6niycN#wIz_6YD|gmdDv8Wlq^P0+E$3Kc$skSFv^Opz;?yeJCb^$ zh;{LiyrXc2v5y??u^P}zwsAP@zQA-+XX(AwG%dwYFlR_lVZ$?*AwW^Fjjj^u(=ciE zI-A}1+2Zq`y?SZT<8Zsm@OtvPgeSC^`QScZp~$XIR^GvYkzchOWK`G*yAAmAxC3>D z81V5%o~ds$s{w(Pf`>bV7Fks7-7v5=CxHv7Kz?I@*$a~iDDy!j2eJuqAxE+;HA^Is*`@rdxw-tRxT_?^ zk;mw2c`GSi8h0!7w7@NHwV6)(lHHe;?8du*6zh&0-t#FOHbTz*Nl;((j{pW zHQ>}BAW*n%l?K1*LwsPxiUUrRh=ED`p2tDV17b&V*y|{b*MY1Ua8V3dv5JmZsGO_Ds)0G>zZs7=G*Err&ydjALH+Af?KA%^|%L+jaK#Y#Zc9vL>jU;Y2&~J zVxUYwwgk%Eykio#R@l6P0ADLWKZ0TYZ{`f~iKVADKmzm;8LR&&qFw>tv~CmN6Hrx# zJ~Tq^^*Vt0S}t|Cd*AxZablSPN*>pLV)%^LAR%{V zab%c^nm~H7@~Xjpz+Xw#^Rk7k{KGq|s0h6=FL{L9=czqIW zt@~v%^D)DI9LZ$@og0zc%y3m6KxkHKZ50e z*&P0p66B)~mUXLm<;!?WpAGNq$jOiDLm2+Nd~3o7E9IOY(+(#W(;nn1X?UNqU{C|! zOIGx728CD8EcS-&J1|rDy(W^!k3YE<_3C%O3q(Lh1u6gPyFUY=S4YD1k#HAlyTbjzf5bQo?8C?*evdk z|5y3%bgRmd@ghU~LfVgKOMw9CFIaVRp1^|9R|i z@UA~e$|HB&u0K)Aqx+)yZPC@Zgovq^>BoG@UQ@4JUX}bd3j?^x)uxJoU1ohd!&@2k zou2d97F7!`_X6mO$t@Yg<_G>@ay+0CN&;8RD?@!024-()Z@d6`*3IMTf4}KIW$EDK z=7P=RtGvx)H9(!O3aIm+#8NT2gP{ z2=mTbYwCT7S+nRpX-Q#iCbmmk^JX{k;7yHO3p(Y`w9XLPxxEtIxZ)1=ob+Sy>8q)Z zI8F}Qy1|wRJ@E+S!X!Q8IARhc<^N=g}=GZRZh0={%k8Jk4H)=e>~rL zUt^-1j%j_oLQTOED&JKNj)j-L?zhI{?qFw}3_jQ@?3pa@izw%Gd~n!NFL{dXqWPV* z20m#)}(B8y&f>sc|ZI+A!uusSKM$*z#U);1_{S#YiCOQKV4yCtpUqJ#{9tkgns zD6~Nl?njpMUoaR5$yzN;w~PctIKP6WDxaQ#VdFT=oS7W!LS0k3JJuNo;Omu^ zRWc!CoRhJd4SouK(m7wZs8vsY<;PJ#;Ll$nHQ=tVcK@HT$?pI?+1?rY|1CHoyO~rR zWp@kAT00dHK5Wv1T8YxZ7NVfc3Yr^xGf?YK#$V>shpFj!LZT|mtSr|48y3yMsD$q@ zUF24jbF*eC>eiOuK-Zmogjpb`Ow?xt$uh*JB#4lxu^>Hn0xO!UR!y3#nD<>@6++)o z#%wa~cMP^Tb*2xsFems!f!KUA0&>3zh{B+%r^24aQ$sD+{kXxBb2U zI805Yjh&J+bSI8k5b6k53%Om8$Na-}6>(>^>Heh)NnF`mBJOpJ-hzHk%-Eg_TV_mI@7sZw_dVt!wRQ6OoG8r6q+XWyYj0M zy$^MXN3fAYb$UDUIgPK+)0CyW#zY@2OEAWz@X0cTtQV{W3jKLX;t9gaxSD+jjJGLnKJzrsRH?4 z_M?V6OPI6#4wPlVcYIee^%5bTTr-5Q?y^=S+9s6%Rq(-aN-i{0U+{=4>_D@u*UYev zaK4I+gg*Q9^B8E^zQNb`02g%8&e$Dr3zfRZi?mlYt>~Y>=SWnydtnX}mSbC7m z`RqR$z;nbn5umx~i}YQ3#p#02uhB1Wi6lBZvVR}~;v)wvmtT~jOY#GI-#e0(B)Cj2 zl8;NwvS9v=>nb*sp?c>K0pP%C32Kc2qWjw$W}v&$Z{i4PmJ}KArh@pKaX;7go-6wM zI~C96ejpxsR;9Nh{3Et@fAK6vs1>+4^T74jlF&jmqoG$0tS;=;_Ho!8=b>P{Qx>wE|wt^Mnw1|s5#zcnR-7oShQn+c?!5)Nen54PB_&T02d5@gRu(MeZb}usz%6~-oE>)_Mj`EQY3h1=7Us!(?MYcOktI}1_|PL- zGGV|~ODMQarJW@>Y;&$qt`q&Za8Nbkc8qu24Z_EnKy7E>^QL!^)3uOesgf z^^3}QgAKE)N|A!Ad}P@Al8k^redB>b-QUGj^Wnscprk8!vGGQ^!|F!WHd}YhbdTEm zovfEcqN^7=zvO7arL~#2Z#-U!z5lTi@Bw9}#vXaKstHw|mN+p2%4vQjwj-2Y8DiEM zlwK*~Q+H`VTOjAJcL~Fy+Cl7`OLf}s4jD#z+3P}lDmVc#oqsL@3f!i##Tpkz0!jFd zkQXR3@81l=0!Th2#kEOE?ZC0nH&^v$szCWal7hOhFj7)nO@IzS`wHhSoZSm~U~u1` z1IM}S@5GDNN_to0Bi(}Qug||BIX~+KL>0~+*2$K->oTeSsy0j^^NXs z!|?0)i)O654U*ojN}0n&Va>6{dSN`)*QQJ8aJ(v!fgU%iH2A43Ecmd1l!M}TpCl(d zklvBE3oFAm@3)a6+4Pr}XV6w4d+~X=2*gJk0#0x=i6K5coB`ZiMz{z6gl1biZOaTKVbN+?I6`~|Qos(l z1)CFcxtDXEodH1qd2q!YM9L4q{c;1Vu)t`Q8=!0j_`M7{C&^$E%)K>z%1*D-O24p* zZ)>&@<6e$kR1}b$n3wz%x+1_ygc$xSv<7$7D1Gc=ON*lU@pIK$52cBy?kyG(bQprcYBCs>4C{lBgWk1m*l!!@i0}3aHAvY= zkqR|3=%W@3%}d0*_HQroAy1vdYsXR{ZDWt~G{0BV!h+j`1-GwrNFK`$Fz;=^Ly9y1 zfdRumtp^xWql@ASyk@1*0_?-o9lPB_m7xhdAQ8guR{-QVA#Fz02{=puon!uR+M7`z zHg$jANO#15Cl6MAwagR!ZXJpqu_t9JUOi5(6edQ3#rPI$d5N9sr>Uuwi^|y7Kfl7o zlEkTg<|R>1l(mG5wcKa(tR4}d`<7VnoEIr!qlX}KzYopm%BqyfW6>R}#c|{P^qyuf z7;&_NmUhRGu#eZMBnq3amEdf|`RSjaA+7%BWABC8M%wk(!zNshJTt0UhCT&#hJNw2 zJhPflK@#1s-Gr%edEUzTcuB|5un@(QtxyL_;0Hs1Mlj1|;+DF)b+C`0SsgGg&>Zhq!U9g?34B1K*(qpHPo z>lJMe?-sbN$5*z;B*R-Q?xpE7i|BioR67)hZ0k7P{C-;&lJP}X z#c{Z|M}G)z^aZO$$eKSZXEV=T@-_T|HylpJyJbOmn~VbU@+{R3v1_>aWw-3~N7f_( zMtpXEE97als`ofq6^EC>PEdlJv#qCnn%@S2qkS%U9Y1|cYkVAgeEI$T(OKiZv#5TG z$SDL|nQS2U(e@C+aFE18{7TUllp5%0C_%SAfZQmJAn>{>(4Fw(NSq>i7$!VMSL*hd z#CPM$8n6eJR%5@wz)H_JFriv|?lfk(+mo5_!hfFK_;yJuf>!h9SfhnF$!|sGlIjqK zvGo2*WK45+KC@bx2UW(uA;xMK19w^ulB+yE;Gw)5l^;0je|2-^lKH#D05BIE_x(03gg@92s4NN-Mw#ggC~IS* zYAePw^!r)$`O}s1Qn!0BSD{h^8kn@6D}cX7mT%?P4S+6Cqh&Dwc_MJdT#MjSFEUW; zOA_oR+g2r)HmQ`ER3poBWd}~PYW0~qO|j0Gv(2m1Ym%>38RpS9^Vs!t)At)Ehq=;s z%j~P;Z!TLUQc9oF zM$Nn(PviIjpCXWc8>jicG%3lChl~z?e1!Y6xov)~rfL=Hf9c?UM+pJ~7FFM^_iT!T z-24%gqy#hs>+qt80LsK^kqB5WCQ{~w67#M*=T3diiE`1b(bSwwNaZU{`OK+TRMeG< zZj*>$bK)!1L+BIte&&VHo@N(vI9KvpaU$8T*>XGwgR=BQPZVWrz<;YoE>hM>0>tq2 zou1V$ORb#W73YN6D4|@u{NuB-pkbZocPT6q{M}J3`@Q=U&3wL3N9&z)_bRIRK$0O) zLZh>ANd2cLV9F~oph>L>Ed9KZQ&pfoy3_r)IsN;STiWR3{iWu8m04}sT#c0+KLqtcy+y_ zwKZ4oR;rxzudWB3$6^wV#Zlq{EsOXAtwqAu*>{`Gm$FVr`HjC(vt(G%*TU2geBVU5@9(2>uu)b!uv5j*Dt*r<%efj1bj-*UtL5mvAD%<6}eN zFvhzlB?$TyFU-ui1MQD=J_Sg*_sm*(2mWX;(Ei|l9Sx?J$X9D80d9JrhH&s zmSZ64alxUK{36D>@$}g6g3SoFC+dkmIY@Rc-MIJZ!J^qfT>nRs);8G=!&&S>E5x7e z6xczqM}$X@Wp3V+Oq~>xOx;(Bj0m^cUycgGczUHma(wU_n=sYMwor~tWtAb=cPKF|#Om@zi^19jmXP|z7CtNSKw z@zKA(b6eP`qY|*EUhVsxbMyG>^x0RMhzZn>!YI&jtOw{E^jP*0WTZB_8meQrPA>9u zgS)4roO>gt`5M_kt-+g#6V@=pYL7JMt|D^KZwk%+~;sz`Pi;*mi$qt6Gl>;o0aU;|cat<%dDz7**<;P!|2(2(wW zbWt@&reLr`cJAg?Tfx*gAZF6KC|%_PL|daGJ_SrP#}u*Iwr@P+z+W$H zX2%BBFw^w{6GmzQIg5BZ|dmO>BDCUhq7whZh|D zK_KO9d}FpjIq&bs|E|w~^b}0eVk=p3@~0xkV>-sqNOIcK-?!Vw?OcZ6D?{?|F#gS< zr9~9Px|KkSt_7i9)hnzl?^kWjLz@aS!c$5kEPvj6@5xDnH9MCqGA-{tQC}N{7AM2Q zqW7~4Dis9uZ|G19+^1StYvrfkhs_ju!Sbv1g(;wx>0B%n>!>;Xlq!&^N9#b*6HI|= zuzQ)m{)0UBvT>_LR$OM=IAemBeS>}MkKER1l!5E7el9FtGgG37x79vG6GK!6qUzj! z!nJ7P^28-cmhbMFJc_hlB+X9%zoQd>r@MrpwHfsH7ZZh5IX};C?!hW(NZjG;o1Qqk z^ryVILn_b1cCOJjd(}%HkyckKefJP1v|fy$FE=^t9S^$$IDzWV_Rm&JTjd|7slEJH?V6fdjejf3qg%ddd+`0vS z8O%aQHOoQ={ZO!cwpia3CV|`+1pU&Lm5i8fWnN!@rfm~A|CO%|AqS6SF;9Y594FfvP!6)_J-4~;46to#XSx7wbuTXI!PVm_#6!Vt$en4 z38>{??yeb`z6=?B{VIceia=zNNCc3@ix$>XhJGa;>3+R;_(}B7K{kO18A&R+%k4O7 zHU^rVL*7}a`Kx6ihGda~m|>hwAC3?fGC6YiT-x9p4;1$A96v=0Vx2Vp+{QJE7?7sW zpC`l#zC0bCD77WmRILp$l$yW`loQu^$@RvLJXyRpgL`A8+zAKF_{ za+Z+y;IUx}kn?Bq15eE=3ymuRDV5nB`_7)7bq0z&T~Ac-GGNM;=v=Cjv`HOu@{xcj z`a7T2>T8t%@~1P>gRelSB6Hj~^}C)!AJT4^YwO6bUK?*$n|d7ejAGv^jl? z_Q44z{K}RH#M1Lw#!49a2Uir^_RGYn&uEQzUX%SxvlvmszAd~zE9%9rF19h3H9pM| zj?7}j4Ewa0nQrfEQL-Dbl8nq&#Bv?>cg_2JePgS6TTve~?C*Qre?Pk@;i*BI$i4Il z1&?a)zYi3@u;by=70wiTz84$$arIx>geu8rro|ukjdX zw`>3h8vX328A*TQ5nze?d{I3!zJ+kFfrn=7`lA& zvI1&$T0i<)sI`9(H95?44Q#Enyd*ZDgF^~s`~8|023<|Sv2Z;=G~wrjr(WYCGTUR?D6*zbTqlBXK1)r6JmU}Ue zkw*uN?1wKKsM?AsaNJ|8hUIEc+i%J zgZwl~*8Tq-%G~*_e_OUBE+;Sii7Eox?8ysYRrFa!R9K`2fN;>sGdG^h5gzM_tN&d88p&@s;abh(RZt8u3;R?1>?o}`` zcN0IPB!4wHZ+OX}6S~XYK~r{tv@U5$evI9+6|K}Re3rW6cF%eou0wacNU1|7S=+$s zF0gLij$e%bwNz$+pA^!(@o^xYg8JA)5vwm~j!xOTemnpFvUA7Axl|Es04Fyi|I8{g zDSNAIZ9jJ`s)5F7^Mk~|q64IP5_p1+1LWR>S={rC5JN9)twdhiHGp(Jzpsajth;t+1|PW$V_HI`4gI9kP1 z%W1x|JD`^uiPTvL?7NBvP1}TpRvHizmg=)JbkU8;x^s3$(H0rM`U>a~<2Y+}xoRHy z$D&q%X*01|+|Bqj3n)XYf~zQqRCJp|}OnRXa1s=gLM$1b7t^0cIXjhH|F@&SrAbZ=;^QQf^z7 z8e%pEp8erD0r*J4k|vn_4X@EIIg@_r;*c;Y{-B=#;J7RR6s<{%ugNgf5?c(L+9-Pf zs0(L57k@B!PBYU^JhFu`{`>07eA!6p`8vv;7@UhjsmL$-#J(0Hk=M)tJ(&>hfiFqr zbj+dWyd@@nns#1d6CS?<;#et?Bcfg^Qc%Ly^@snaXQTKQ8TIl7y^x=)z~en=(&S4o zTtNjFtC7RrPmA%kqmNJDksjDd&mG*yh3Aa$?yuh7Fyr3|;-e%q_)uS>s22)kTO5SV zD_?fuDCgPMg-H)t5U|RGeHMIRk^K@NEAzh7OVhJEoxk$qVHCkB*<%YI<)f8pcW#p} z0e+RVJYCExgD4>W+{2`98+k{K@$nzqh_JUH(m%kD`HM8?*#t3xK z>2^TDm~cbM?IgS*Wmne zvw^iGurY>zzUZmQ#ERHMAY^&?6ACXKAcG^FL#^p;L0UFJY8VW#_^Ua#5Gueap1{ho ztCb!jm8l93XUF0r?G1G#M-u5?1Gs7E+m~!q7je)Bz@hVJvB$Vt-a`I2+B`Ne_kfJ- zP*qD~&Q?rfy~vQd#xfgY7;q{()IyTIwGue# zcQ5x<_t&#JVcxg3gn?|Nqp*tThyzy_4=o2Hu?cI$7kzh^E0BFhT8?I_oluIF}QK^tea~r_~oBWRdnOe7UH2TW`li-Aw5)lAlUukFW}>myiM9H z@G)lIrneZ-I?HdV_^-p$Y8tRU;Xr(VwCDMBhe`R4?eO7$ym^7{ngLMy6Q(6NlNe~C zr#Q^6K7un_=uwntqM)uVi7e1l6F4mXmEgKn38yBwWb=(L8^+_d+WyZ6*P(B8l8cCH zKs!w_AqN3CNkuNSuP?pp?_c;FP+$v(7zsFgz9!Db)$QXl6xb)Xg9{aLavhWCac0q4 zM}UI$KNd2auHRsa`}E`>Y3}u$+rsspcWcUyftlYe7{!S9mLDvtXlJPGUQNVR(XCKc z@0n1VOdrP#mJ&jL7z`&S`kg{4vO8{VL>hE_9oQ&1a(tDXL56lG#fK$KxSFcM&1isJUY{!HWf;`^q9WgeBR z7;pj;4n}$lEGvLhJfLCd0SF5pt8{QKAg=d3$=%HQAT7;=<_y?>a`?aZca#_gylK^o zZrU+11|b5QD%C`eL0dGGXq=mpP^6Y#Hr`MlHYI5E48+Iyd81;*GZMEhWEa+M5a_6G zCz!q;2A+LIqYOSzLC6bbf+esj6c$c=kIjq`TKrWuN_j>6wIsmUQxWK6#ceAm*@K4~ z$SV5WhuV69ZW|$B!^oKrkZ}JDkgr3<@&EE-y~06v$rJ&!d$hHuI3h#}+4WtSB98n) zu9=njh?Tc9!E{|9$YP2IB_Ww4=w%T5Lnisl(vo=wbN}vJ1#8qK5CIFdXz2d1YWG zXJj_|L-Rg)FkJk)zLHeYLec=d z2Y~8dX>?r$%9fv#sLd7?jyz@3r5<*r9&(~|V&!H7@QA$oL5i*D zckRwnW~g&zj6JZaCZ|$&ZL#cM_F8Yf`@!R`?|_$>dZNKwF1t;VH@z6TWF`Jfj`!ZD zyyVywhfY%-XGth^-|z=hVpAw}ftVmK-mm*3@UQF*G*US)1zLmS;^J>d+gRU%PSGg( z)e8(Eoa2~9opykP!w2UeN#mv`mE!Ay62}eF?0{$@O=iqz^wtaX z?Yn$Op1iFy4&l@Oh|?;SvLDO;_M>&@ho1?K_^2;-PhQVy-?C^9!m;q@-JGX?MdEuv zTm5`LZgAg9V9yNmsk6DOq2(?rZ0%)UVp02B9(wxJtEET|A8g>fKhS@U zgCvTo)p$u?f906PBan(HQ6Vs?TWZvUXgN^+3_3lw5qm65IA6mmN+!z9^etaZ$75eE7vMZ7VIsU zS-RMg6;|P|6I1D}LuSyvyhbXiboXd&l>fG7`*9+BK51wmdd3q^y3~5;pZJdDB#|xN z_aOzm6@q*@P9MAyVQRcI;~m=L?`?~rZtMf)>6yIwEUm!CPz$M&1|D-O&$m-`c2e8M zdZdy+w~gOhYlv?eyHA-2(b%`v7RaV-d}tL|^+R`N?(qq%_B0=fF30)EH!q#WcW1Jn zN_M6e--{#Y(4wWN`N|d-OT%>|5pdBb0kx)L&e*Uc*2=4r#zt4=aGiP;eeC%crSo#2zS zPq7`*Mc8&XcX1%gN(^xlK$?UDm%e8Qi&I+}FarD*So(`PaFe zvAZ)*80PmH3w_3JV;F)hUTyWhwm2Pp44N+(y35G+S^kdo4fW5rpRV4EtlT{P5#;p! z>hMzH@Muf(-E=@uYr1Rm>}14~ z!P+U8QN`bT9~)O5?gT1nKIkdnUQRbv3CJP&>Yd(nNnv`6Hz#0duzLLRP1j!Eub*^< zv@d1YzHu9kX@q=MOnHNP$0m1Wj_Er*^Ch;O+X7xOngHH8{JZE%gSb2YXBUUQrb66x zRZrU7Q*b;*RW>g-Diud9x-ivwYn5ZgMuOsNy77*e0NT^?VCgO3MXjz|9i+I5?@GN| zm3EC{*rn(=?{?Vgk_8+6!DM@#0#`liKkr`6UvI1f#wY;jrRIl(4k72$&wx!{( zs%JSc>0R2VPt1X1e44p#{wbaln_++3H>6ilFqc%vqOdV$q7U^$I#0qI_`9m~z{UU{9cd0Yf z?hsSICZRDD_Paxz5lk{!%YElj?d=Er{>1lwVxjk3-adKins{&0;q1XX6>Ro&$6IjP zyHTk_uetK^^bdsJ#0O&%yiGc{H7(l@AF3Z9&6B4<|36|tP;%H7u$%! zQab{&KSF_=ZD{AcP1jYwdEz}k1fd~g&|Sl>jgwXZs`k*vOq=e5^z@yxrYs|R@DSk&{a_{je4U$f8* zANt=dXDh0m>IsF1P$*!cIEIZyPkC^~K36IYOfHI?4FsEhsAts}5hkd}{3N zrh+4G@*K4IIhT$YqAR*=BocTq&8>NH?J_8{(iWv59yWPi%**kR5b`Qx z&aF3+tbot5LrFd=xBs>RsHrL6i||4877pKCG6g~oRh)zOkyLV5R#D(U&IItQZrR); zosJ-4oet74jz!sUpcK$kC?bEgaV+*}-mT4}5K1qKLY69!scMLehI$mM6zOv&u<3q; zW~+22IWUiJ&lgAAkVLcH=tZ$5KVZ#Pfjm!OYpm?kp{Kl&AwTFK^=FM{9~e7R)SA2S zW)3+*?}8X`yIa>DoeE{L`d0cM>7JLuB+OZpWJ0vTEsF}^7A5sJ@C#zL3=x?Zr!79k zN!>{=f~5#ZlSE@+&Kd$SuwNWlj4?W2-X0K5wDP%9lAnxI72_l0nUijwR>FKm3SiFc zz*DapMuKU=`wk2FZBjYTkK3AcRBYmaXJX#MOdtL|%0@nOl9{L%SZSf&{~aOi%IkLc zw|TzaF1U)#B7|7+02I0I9R>H0>VPniMq6p~4Pxsg3rbvcuB^5Gul7#V2lKrPx z1#N{W({?~+pWq%-B&ycheEt7^aOr-igqgK=0Eq~m2pisbT$EgJu|u{D-giWq%%{|j zH|Nh3h+}G>5pNE3zFCC<&4d^KtpbT-ZXWo*s7R3x9O-&v&Za)q*oQ*R@!6xNUu&_QDg38ZnX-zmSOpbJRBSdy z*srl3aw*>XqQgm@RrU(v0{Ik7z#DY&49B|v%Ec&tjWmFDa;Kk-gtZun)ISws}@r%e5*u;*MD2) zDr}xHD1}oSk&(CIfA_~{)iEK*g=s8-6wM6fFr3JS>eyHYCQlz9nXj-SbDxTLFbNs< zq*)kOl1!-*nDHqnDwOKZb4p$(|FISHkrD&}K-$y{s3a(%N#;B3NjLfRut+OP-Yrcf zGXN14%n_u-N!>VjG%;A%B*VeCBiFyahL61NCSC4hLvZF4mODqT*E1INy;7kA`PjBP zlV{b_#`M05;+=*xI!7`9d-t#D()hmMDLjLPy`uxs(t;MM=s*>>$hhe zlk1I(dXaP)NwaV+81urvPZhLqUMAf3%_x#?{(O}Wc{`z`iSH{}cU#q=O`1Z)TS8<{ zB`h1bWZ>f?Cp7RqIJVdT!s2!|&wEH1?OXkw18d_uE7k^;=-=gC1T3W)yH375+w};aTPV7xJ zpXyDKuAteYAL-#QYi$sMyp$XXgFV7j!AwEKAg}FE7iQiqe5FiKu52CmhhI)dZnWfC zUx?v4rkxN8-X#!YhMP)!-@a{p-BXD*B&M!!20eM9 zxxk;1c7c0wr2YQek9@GxC^-?dy(a0ESC_OX{S~i)3;erj?CJ*PQZXaKX!^n|cco-o zE@Tdm9wgi*i?D*TPrvo~ET104FFyA7mnvyoSEK{YSD$>G7ma7Wi&Id?MZ&Ng zLj&Kesv@o)zw*n)*Cf9*##5KXk)_*6W|8-E*3V8a7zE3fzZjF;C8y|HsLJyXst|vx zGQcObQbl*Eto(9pt!odp#VjtH%O1|UN&6;AKKN=e<<`cVa9B1aAyTyvfYbJC08Tv@ zh<`XAz&>yM1a6Ngkws~+E0L{h$F6aWjg4#e6}Nq|YLvM23w{=;Ld zUYQq=x+2PJb7&O7XvZ9|<#ZGT$Ex_gu6Jzgab1db-^0nrYTi|&$qJ?ESR37?u+|NF z3w6Y#_ilO2cT2v39?l>JW+{A`&TkoLr~Q(_LOhF88vljN*u*xQd*6kX1S*2YT-@@X^ERgeQ(FX!K>-?)pU<|&x1 zD@8YIzasZ6lxN+PpXv#riOKx>j;I9jj>z_bB{ku5mC5iTG4fZ1SkP_x3)^-C6QSkp*oIu|MMaa&O1m7$=J(211b^-^@uF> zO9XlaTU0+a%zXXE<8J)2l4XWn*;-3%VB|d~0D;q2%L^`U=24nUoSRR6dRa)V97w6N z=?un(aS>V^ zc=R&LlDt(SiND8uqFkE+9s=ddJo`re*nB^%=_=ociRWV02c;C=%@db?wJlEh;G)%s z=|SP%iQ0$d@FSVWhv!p|K7<{oI1u16vOYenvJdzW)^?BlfzPN3(}RnC-49`u+0)NE zmc`fnJC?agFQa-s=N^%zU=E)~W#%4`rDWtv#zm=Gn{|slJ}d$~fILzC5cb%(2s(!Q zayYq@SGy4XG&Sty)XpNMt_~I(axXPJyF|74NCkwu7R?i{HrwA4kgLj7UDik)Raqwv8t(ZGHeOA1$zWERV1@o-<%= zdyo-kLzVpJvXR!+_it>vdC_;l}jJu7m+_NRGL zxhu1yOYre8qTpj1kjfoO+{OFvK6}#SQcMOOTYq9Mj8?I^tcLmW6CsVV36SV&SY(59 zL@Z99UEuGZV#18kkK%DhMDD3f7=z8MgHJ69?yhcm9 zNrrE800QUMSOwkdP51KK<t|S;iuMf98HoKgD8{CC4rra9SnPW9DFKd@Wn&AOz zh0U$Xx?y%gGS%bgpKU6~(dQ==yUENPaCOhs--09ru;I-t;aiFg>bGL*Q*TjH3BOZ~ z(N(|N_j^nWlYaK_-v?@Tf=7V5fSt>hSeJDW@)MQ8$&PtHiW;n}=V~ z>=Bk8at}fLsAccB0O6y6<2h(>#rJR)U#n}$BmH272rhm~9o$HV%6X6m6+?2qF^1xz zUe%`8j)Utj=?e(vKQ~kUzi#SXBvD1@bA980v|()|rNMl4QehGikR%clf(|M4oAz6# zKhMQxo3=}{p8_Ow4+LNVwj|a?32*$vFcp8;d-3yLcZ7^N^|Oo7Pw5amd1gKJV2=m5 zSRW~SWWwHxv6o#bR$nzezr`+07Jb>K=o9?m5NqRB0<3Y4&?6JV%}cI8KWFX4kR7W~ z+KIJ6M}&0ZNvf%Xp+WVFxv&oh_Rfm(qlj*OK;2!|1~ZCMsTe7|X(y(1M}U8wzZb>1 zpPiu4vdD#VpS3kTzBWr``-ga?pc{pork7wPjq|blMI<=-2Rx~89kLF(26;pU;!Chz ze>odm`?uC|>9%Ll{lDrcleE!NF`$mhG!~ybyq`$)J4%aA9Egug92h_6uwZOBU;j-O zlQ*nMai^Mg$;cC|Mr~yQ)^$*GR^d0wRCnWj~gn*7^wNDrZ-D3@H+bBb|`cH zB3LTE`>R)l>``?5Vs%{V49q!Iw@i{2O{yXy!>eZNW}5n5;>$Y@1BJFCn+F83x*O#8 zpbwxtYK`i~sgyFQ@7DX|jgME{j6c9zgJvU@EXd@GZJ-44H(#4c<6G-NbkF^bk0%BT zNkhCs&I;Z$;oe!$mLE)m7a_~S6gaUj#Kbt2Ep;kV2;WNQbD&l~#a(XrkNZK){2TEir^oro;l))bW z#(rBT*m-Ch_D9xQw4b&9_lZbn9IMf2;DI?U>Tcy}EDsJM`UV~*AGlKVqVe7!eYir) zPbfp;8}2v6g~^J>-ZtLKwc{#z(tczm(~PGgGWs za>N{pDJc6e6ctO6Y!p9HDG91FxJ+wSV-@5QpsZL1RVTwgr~xt~xNKBpe{4+~Tgw_t ztG^XDpAO``SVb1h-dY)42An9hSS1PE0H+X09i#zeoa{dhMtmu^q#m67^Jkxf%c%P= zzK*gFo_`%BTJxXZC~=qBKVGIqJvfHCzp|a}Tk}7TK1^Mwn0YWLoCy7y}al3Jh z=qzd@Gdl{)$*&Ka8``7bB0nqcX+5#hswJo^W6C`PD#E(5iPO5W8iQ5n`Iw2@{paM* zhwz3wmGio<$UT`u6OF@^zjr7PBS@5;$Y!@zl^?WiJ@&f6S4f}51hFBYCl%Gj^d^MP z3(-<2^V&a>)v7BRmAl5nxgA%tM8MQA-yS z3w@Va6rH{=JY1irRO!BY99i=4zQ^-yT}tj?Fa2-}$!4x?qaOs{F2>agr!l^PyAVW{ zA5F~a{mAu(E{N~08~&iQ&d~b9L)(<@)P8OKzf4!as%14JS8Ng16ZM+^;yh92ITgT1 z`nRd;p-Sml`)KO-(PF)s{h009j8N)d7Vk5g7(OSPUbES2M1@D+`YelHGpJXgbQQQ? z+sbO>#VRP`)y1O6;6_K51t1HeqLEdt=JJZfMpb{QNH;!KMTD1b{ELeIQn5D5|EE&n ztlv+?LOSZ;XQsy$Ziuo@#XLGDH{W)DO1I6VuC;)%jfeO5b;=$Npvy;dR)KE+k<8|C z`o;dm=^g*EjZphDzD)%IoM;Jz6}hMHR`ZeEpRZ3hCyKQM%qr)qa8d!|4CXhm=&(N% zh?clsZ%&lZkyIJm=vwW1>&-k`=2j*?0iyIv&Skw(xGTVR!}Ti2XQm28;*^vtcgL{ThrjhMs!0kfDL2Y_m%23nLcC5|n%q$QF`vt;c?5zj$I$b@kNL(q7WEG46b<;D$d~av{RU~{l z{z9r>b02l_2fC_3&5^EN*QZ&Lt3tsvjU}LQw_|@iWBJ)caAt!vj@9{Q0-n|RGbU^Se@_K00e@SdcphU5 zXg~-@FSwcfzSfd5%Rkr(<-j=zYhr!I1GIX0he;3AMj>HRgB`hsTy z<(B}|B~Nw^C!|Xd-F;$;{X&ztyGvVIuVw=MuhYlB!?BUp8b5q74Z=x@GfEnvccprTGHZn%7EX1zZU@ZQH_*TSqa&dj^I6VJW&Y zZK|A13&>QhX4MsnGDgUA2HNLWg2A#qi`JRK&k`AYAMh%M+>l4wV+d>YWsfk^71Z zVQrkM@WO;P+Hg5Sd&>IE`VKbBs9cLS+zRw|8j)yr2W|{CKDa0{m=cdG6Z)FZUse8= z{d?FgCJ6;Bn&!#5LBBdyL318Bf_e+I^Iby0ua3l$?-Vs^i;?@cf9J*2@M^l&|G0u0 z8&-P$$M5&>^Hrf44CDZg_O+uPg&|(!;?y(9bKEb(uDU1VNF=>M1SOl#k6+74{(98k z$tU}iz&LQ7`=j8{kKL5+#Edmx7e8JIHdl!8vgP(i#+Lgly(6;9-oR?UL&wC#+)-) zW-wM}iJPoY97L8a*+~X5FM9$0rziift07q-8xx7|8YfDB!AMh)UU~JmxS8(}{#-e; zT)ag(j1x+HoUS+AN%j({xskZiNd|7zNoQ?7NwrAcS1&69)WvIg7i(--6NZPE6T~NX z-pz>;SY|ByDi~(g5!(1R@Y(G5u*N>G{-!V5UKgWBffe7H)&l(3r7h#X4gNsW3=c*C z0JtU3ZsQQtR5s)}SP=Ds^ODqub+{uqa z17A0(n}Y@Prjhx!eg+i$^x)xz9Sg!yH2B!=*58Hw74Bh`Oo*y6RtR0e0RIiI5c!E> z8-FP4ExV@#_|N>=P_M%jN^j$g*nw1Xvgit**|4KJ}*%_DniD2hvIyJC5>Bsb@KHvE>dpv!)vh`^)qg!P9Mr`Uya z{{Dy%8YGPrg@ZmhjU=L!K`s1!e z_m*anIqt9-xF%tpH|eJtxStYtq>%{eY2Tem=9V-Jimy5H+Oi6tag+LHqifs)NwHRX^gkC1uASy>-2~1z0$UA4j?rt5Z0{c~Fs?}r2F#cYy32yRc{fC|*K}Pv-0271HcolEp7&m*Q*s?V z899VqJUCe_9&|0Zo=u&r`Q3kYx_4w6t`K2QfNY3?Wmn-NebWEBG!VjbTwK ztU0eHNup2tE$zGEy)E87$i2Sh@zJSJ+q!;^dwoWK?)p9DNvAeq!jE04Vvc>zOgQa* zqeiK7&aI=cP}>11c#+XT6pkWy3)?DzG8NXQCJq_(Dz9^|upFlyl3iY&o2Xl;avZ0H z$y;o=6xO1I_cpkxxQmQ7zsZ?rDSmd9Log@zUY+iC7H5Jw9 zR*?rlb$O0Yq=Q=nE#A~3wG&#^)J$YF6ba%>Os(nEr$F(~86ux69T<&p^jYLwFV@7f z)Wlm?$6LRRFRqGTs*Go;jJK|cFD~!5JQ%ILQR&sE7 z0zdZ({vuJ-1aqbv&MQ2~J?6d$lxMaEkQ!|j?7;&AU+0^HUC%nV`pCbkVxaA+O+Qq| zs5xT@mP08=g6aEI#m!cbX(?(XX(^`}X(@)9kHsDJ#2pbSBW=bD$m4u{F-}Aa`){a@ z-~#f4|HCfT=z!AP)Lj*E&K2I=R2qjLss)*6xyPXZ!Hs<{l>w`e>^0xt<|X zbUnjTl4A}=7UrauE;z;w&N&QTc=@M(@rq7teKC8a!`#xQ+@sy5JooBTw=!qRz7Ch# zImZg!kxs8&mw&2orT=kN!9^qilbPuk^f^b!ku&HUHNXFXj3QO*igS8PzKCBIb{Y#p=MlI%6ZTjjxY_EP6py$JC12)7gZ+DM4^OQdBW@4JX?r&&E*)9R6a^k@(WzjR#2)QREv}NPPf4tY?EceUjvd1>ya4*<=ui2g zQDOr-z7Jd|0thSJNRQYqarECmDN+eDCi_m}bC?T_wfT15G`}aY6=k)gVuek^3?++D zvBifY-$p^y#5>4y%y^rh6SC^4{bDNO;PQEE;o-Nd!q=F_(ok^D?aq2u@&pKdvU5dJ zo?}Ju&6efvx%MTMbsmwcS$Y@49)*Wx050)wgv%4wcq%A&8;2{urnS8OR(IB6y``39 ztc{OIEXM)vKU}ru!yoRN2Z*iO<K(eF7m%j+rQKUtmi0iX` zVqxp#J0yvYzIf>Co)#_QB|x!M#qy)$oU5lUIRr^RDQ3gl+}tJv`aQM&>xfeJFb_95@nlRTdp)iEb1!0e_nV7}u>nD0j*`X^3^ zH1ZeppsuT3TbrVeCPrIvSXqz^Z}&m61H_T_e64S{`^;wH+gto|p$4bljnV=b9Zx;G z_rB2$w%)Kc!PC{4_P|-Mfq*KKGQe2#AWA zb%E>5d!Fsu0pok8mACJ)samlqO)+3m6nDyKvz?v~+gVXFP@p45Nkxta^_VExJ_$F8 zIHGoMl)g;r2~+na)etK-37PVoqvq1if>dp;EFzdO6OdmRQN#u28Y82w*-ZISZ= zlv_=MuVg|$wM{7SEz@b0a1@pX$6J6nswjMv+#f8`fwL9p9)=3LTsr*zJxr{{5D%Qy za?AMi9INTleYRB>N!hZgOCS7oQ_CP;hYDn7YrwkZ$6%xws1+!j)E$QxQ8YXclBQ!Q zQVxYDNYeYq*oW4ZJMZT|4W00P<<)|kWRZ1F{_O4#S-!h3?(vwd2w}~+By|7MI_QM9 zLEh#CYvOMr``^Cn?l+r9H=9H+J(mhmCq_YQwU-{#q}MH|%OccevT3}1alwfZx?LT8 z#RZqfiRj(pJwp^8j`PxT`O2R62UZn;3>He-bffb{^Rrtwa?46x#n;Lmu{AgStKDx# zCqm*`iZwj6?U(Q!&ekGl0-9FdxNhrTl4X2{=nhXbkbkH4YeApjovpc@t;Of}Bvq}} zL=ZTY9oP0hDbthEDJ)0bH)o7__?Y=^yhW|*j!M`^`0TQNSZ*4zM2AUu2_YXeJgk+_ zl}eM_#=y9ep;L97!koMLq<=SYXyWr1S!?6%=uy|b)PSm#mi}v>CN#^Mhi+c@Z{4{W z?uIn-ps}>zOy~KA;8DDL43p32yEzBb;zLlUB5m&I_>i6IDdFaq&9$vB|DtazZ({6g z^S-$@bs6##9*r-#unWGV4!;$#3$x>qUG$-Fyh22&0Ohc7q(k;9NMn@Y zgEyVY1;wAB)tr%|OI|Nf0{bL2(X%L#q^|9wPeQsIFpHM!sQ^EF_Wo}zz7%SLO;0&` zcqIDX=_cQAeZ3G%b04m1o>|BmAHbWUWsPX*)m6oAdncYSKXEvuqEH*oDE*?9 zv@X9APN|+SNW_U_M6RnE)zloRqH;}c4Tbo7k|L50sf7Wi!Wvc^!-|ya!=u-d&ZnR4 zlX^1S_anTPU-UGGwjc67Y1Kg>X+SvWFg5R8l5CJ#R=5hePlLRV{gjH(rBJj+aD*^g zQ7)SW9j#!pa3;%}Nfo%$R=sg(R@b)o{o<*3^rLsVg&fAaAVwaqD3Wx^Wscf?zvvaJ z2CBeR(A#|?a*R*R>bw!!4e=V-g+cZ?UcG*3%#Xz6nDYjf`Ew5%giRlNR&RFCof? zQ33h5!B+kGo%}KwJDxRBM~wB0ne3-c%;fs`>8e zj7(oZg1km3=1?RZ84kM?yk>`@ACqV8_K;@}@2Kp#?j#=vp1xM$+Yrh>*4-hAKh{kP zKMvgdf|#vs$WEG_+O7e&)c$BXWfiQEh9jKzKs&!A6`D>-#Cwrv2I*|8v&Xvf8h5dg zq`s1@BYjZp5%jYsIWS*U92M6kCl-QZ-^mt)`M^H#izk+q@uGipKjomKB^hREhxpov zA(PEp-y$c>3`d@*x&|4jxd1Q!V7LcI>$~q-jRbb+<>*(@m@` zOj4fw$c$rJE#Hb)XeD2Z7oQ&aR`kmIR&4EJZ#psoo;%HLH6As{_T%h zsK-?EqCNlDDWQ7O(|G&S;KF^#I9jvN>xwGB;lQ6(`w%fkQ@7bNv~qxaHK_k;mxOGx zfkpG_+O7Z=`Yoe>_?p-1b?kJ3iv>*bk|9AzI8 zhYWRfo~PxxKWLrasV^$(i`r!UkTS;qVe~|yU9u^8jeK#cuKNzDzhw1Le+h;gNHG8} zy7f1&X~(fBun%F~6gR)((r5iaW_`*|9>CTFyL|L2VwaUdVgLRtbt^>cxr$BsT{ziC zx2RH4lHsvVz!3a3=8D#T<~})AS}|6t^89?0M4P@3M_)5(_8yhLe#H4JSjr~U8?qyqI`3G5x3$ipRwzuID zBjy(AB6l=RZsssRUb&Jdv0hzdL5XX5CgimC>4n`{o>8yt7>n{uA{ka5+PF%ghr~bd ze0Yx){exaG_-f4^3z-p1*b%07o(#LeIbWU6NO*~Oy0M<)9N#{oL!R~DXli38mRtPU zr{+|5iG)7&xm+eoRza4yLWeMMg+%_yb+Xl@B~9wB!x6uD-urEbx*Fiy3srsUTZg)> zm6W1|@0721a(Vblg6GoIfUEu_0dUNZBFr50Np$3cPl+Ur93 z@)m@|622Q=3^c+ai5v|9eNzMNx0XL094F`=n`*A-!A{|_a&0_&Nh&BF;iU!+;XIg1Dh?Q}?wwAr=N|#+;TVVf}SJRpQy?qWL7PY`ISk zpNW4)9_a5!4qklER*t@Nf3SU-erx4B!#+jR!s~vLi@9#0Wz`JCRjoMBZWlrLrH+=g z=-3`65K$P|_t~(`cd(&xR&Sn&rZs9o>IA-T_AO^NeNBT^usOOg>Y=#85nH6g>~hl5 zK+fuBZ=X!0U)V!N4t4qCJHyDj)b9LBv4`2$;KdKrO2YY{w@IH=Fsw|vQLfT9EpzzW ziuJzl<>t$YdL!Jv*5LISO~*-P4}A`CII_-kG^!>TkMc6?Bn>Bc_3=P64icO;X_^Yhn0#&dl&L zs_}Y!r`82S_6m*JZrwa(^7&jqw=w_wX6*+f^fM++*7ofVz|XI{LekG`yCte=L1Te2*`v!#4F7q}xpStP~oUYo^v%&H>l#P6~F&r&OdyI z!rVqVEd-FByVeEF2b(#YOy2o4#4wK+9(qGEO_~qqX|q*QkWVH?M0W~A+qv=-P0@$G zcuW!Pz!2)d5a7Vz>A>LXz~Jb>VC}$Q=D=X&Kyt<6RIl;$^!9s=rz<~oCn5I_B8hdn zhhln}bj21)WnC`d=U24R#6cjHqdUP@x8-hI*@3U*!2L`(^>cS5lgyS)4WVqxX_;ep zXV35i#J}8~O{%>0EI536lV*#S;s>)Y+q<97YGk=oT+j3`?CrP^ z(l_PI2-RcHq8_-u3cM*^?H?d)nX34~6U_JYoH?S4|Gn4bpzs|#rJ{UyG9f1^dWz`4 z92U^1n58w=M!O%5RmiI$=eWK~=ePBBFHEK~o8JCu^>&_D*4H(?jt|f@HxtQ^zW(U% zP}Owh;r;yN;ljsp`}^gOwqaS57P-?9ieh>$ieg!>r09TE)%K3k&vqwzkN?BzJ7yiT zjE$|8i}GE2Bn5*rRSCE$x`TAYVS({xOIevJ#{!ka25lbt1bSvhUap{0>=NauGjO1A zI??S$k>pcw=Lc#o;rw^oq#6~=%lYi=gLEHj5(WYgMv5N0u^>tbmQ?~TRr`)|MlttY zo-D@q$ulDe_#)&^OX=N@04H2v38aDAzG_2>)A+;s)M$R&LWwp0biP!n z(Y#Wi8B$q@YL>@8y*oRSdovTbtSk3icJFq2yt%?BV^T=!Oon{@ ztaNx^A?vg)yi+LsdVw=7@AuL6vPtpm%+>eYAg`km@j-1Ffi>FRvQ;U#&r6xh<(Ka% z9@jsSd8wkX{AO;Z+2tJjSt_!%NxZIh&!zRspp9mXI&;e>_8%;Q)69CF7V6Qq!IV2~87LQ$| zeYi?YoYG(CA<^c%d%L+dN0RX~hKaB);bBEVn@AY$sf3n7Z-wV?HB)V#@eTE|AP;ZX30U2ioOkg zGE4|3SQrk(K5+i;@k1Ek-hof?=V)o#ynq?4BlepuBVejraIv~t;sx0sx8TN=#E|fR z=s)ainHDSBcjCSvj_MoQ5Lh+@ze52|g9T56=tqEn-~J*a4I=!fGhf36pCp5O>m@*> z?$fl;XZyMSEy*4f`X~XVq zT*da021;{}jc9i=Ay*sx@Hc+}mMH?vBzfJ3agcfCTfr+B^0!E*3E4mL34s+YasvP$ z0002SM_NGm^$Fym_zU&qI9?_M@_K(dyOrcXYS^&3{ucRjWAE_&Cks?BFg}EJ}JqNk< zUrPA?hZ5Wn2-YD0zJI_R8%I+~Tqqd!!UP!fEpcH5(82LCplwT_Y4dwYyikS>_cnTp zzgP1Y04yT@0l*DEj){%Oj$}V`@v8&FnKI(P)i(j0g_b`{G&>cEBS*fjmx_B>#&IXg zF$@Hdfm}HbKxQETnaMf)=>TLF@{T2Be-$l=vv7cJ`>eS~G$+wgOOBJ_FC};Wd!H9a zO_!i8`Wk|NNVp)|GS)0_46r*M{fMMCz>iJVZcWpM79=iod;8{SpHp$~xQkeh^$+YV z{=onLpbMHo5M39^YWKI##0%E$`H-t!)5!>aBiayknS6+pc=O%A7cYn=q*>m3z$qo5 z_dUQ}IRAkTxEbSo=8TI|c(kpG^OFXbVDuI=a6J@#8vy=8xu>5AwgfQNuSqJP4cbTf-x`4Xp^9@3;YB z`Pl9!f1WbCGy3GYEzW=BFTPBYB~E;hEsWFG3LFOmzdEO4!6(P`zB!0{4NW7{R{|IS z3%~%#g#UB^2Ealyh);*fo_DvGVmWWiiX!vqp34VTsv_4M?3q5OXq7ylbyUckC@7D$`$T}1f-D~z1qI!g7HIu}7XE7;VK9DpN% z!=KJqGXwH>?&L4#HZUxYxyGU}L30}^tVO*f z{ykTXjHKro&cOMKwpTs5sXAlgtvcHS%AEcl$``X+>5D;cT+4n0 zn$NmsF%OA-F>~52L9M@(_b|9jxU)gmZJ^hG^D%D>O84}J9(5~<{8XlOo9SMZPw=n% zL*9D@6n~AiP!9|O6pcUA?A27Bp{(~%i0`s_^vu&?StR9mZv&s09UcI)kN~q7|Cxn! z&*I*V6sE^xK?^uo>ThC-JHm8utf3A_WJ?}05tDZZ>3%pEkX5fdvdF`{Fv$Z{{5(vl z1ZMog%V_*!uKH-sdk`gS^;#U}d9(4XYXCDrDuJ=E$on(;8pbK_2-A!LtzqK4ZmRZ3 z{~@MU%pK*6o4iOZf^P$Jok2t@07XU7R<;J6T({evT+aX{XA^BSH)m!r7xcLm!_ai8 zB?eQxZVFnFV6$f)4V$ReqV zp7gpJbtxG%UN#-LzIQ!Nef?*{mQ?k{ThuS6kkK`x3e6IUGSrkigQZlPTiC&N)aKO| zMVZBx|2U&iKGUnSo%HjosHW?r^T91s$Hhl2v)8_%=%X*d%T{|YQfgOflx{ zyoZz7)fS`fzC%L$l4qAwrv*&NeObd)#8Q%=5Z$)qu=@lie$Mjl=QZI3nWS10+WY!4;Wive;HLF30)sF z_wM&Tt&`0y7L51Hca(BEFX?4)k3IJfugz6-28_|J$p;N$tcGqwt_Jzy-PHMx7i|gA z@&Q#=zcI3zS~Hl&0<1rwJb(rM#*nVf1d%(Q$--_@!%Mes+z&TzjLu|D4bK3x?+jJ3 z8{~KT%oe~^p*N+sW5e(DXuSh?Awj+9z zd(TGP#A6;M;jRhIRgpt%7U`sxP*P+(@QjlaP$G`m)DbAs)_?T>P<0kSaRghV#@!dU z;O;CA2@>2TxVyVs2ol`g-QAra%i_9NaCZo9v43;#|K6)tHGNu6&*?t1JyknjPxn-H zwvh5{urZOi8>--WS73nEDnMXyZv%xNKhDFna*8TKR^%Qp6=cDAUuTQcBAs9z%2*n; z6w-evNM;lk4G77*nB2s8kFrV^OE4Cfoc{q@AuHsU#HcLdu6;RuBB@yfwg;`s!d11Y z<|fo2IGf0@C_|pgkYJfJrTEedijzO_g(=kJq%3Ap&QdjX$drm5BjQ(cqdD zxai@QVbsfG6`u3Y7%o*mOaSaE{-9ZOW=?xrKuk*h% zC+}36Tly%HR44De<=&Gesm}SZXJ)D*JHJ!=mirv@-Gn7pXLA3j#kZQxm@PIEqf?N| zgk_2m{%c{{sFPlw>i`*kbJp0{%vG*|j*H8C|NGn?#!1tZ3D*>F!HMBl=OmE)N@u4` z)tXq**A&l!DbtkEJ-VqAgAHwm&IV2i{4%3&cMphB-Ya@cZHrg!-nxIUi-B2|eu5K= zt|?|x%Cc1=n~fDCzwL$pMHIF?n_n14R`M|nIz3#!lJ<~Yd*g$cGa~WWFzI#46}ZS0 zxT+27R`l>zmwx32@Y5O`pztMPnI}7u9$A*e36(!f#(-W>34IciKZ~| z)?>94v);Cm6^cEAc|qxGS%9? znK+xX;DV)6iMLXTXeL=-=Gvwy&A!J-G~pN>oTyWwpi%THZz-8pg5Z{G$q{#S2AGc2 zf@|MO9LzkY;lcTp*4GK*&_Iur9eEtl`#CC+VQ0A_BL*6klyZ>?-X9 zq2vuiyiBPe(1pUjJ9)aGk=}bC+kK#O)2NLUyD=6bUM}m@6t}IpyVYyR6_WWpXm9e4 zJdAZG{m3N@i4n=>XH1Ds6|Ft@DOeu^=?kF`no8Xoiy(ut2+fS;$DFe$U@NX2p=_BR zX|lE7$DDLrJMi>6=mZIXTS}$^JRXv+gF2eU9G0~Ob?{&RMZ2PQFd0b?Sa8Om6~HQ> z`>%j_yI$vDBX_MI(EGv7FZr0@Pq+W;-O9R!!NUo|oI2rEg-`f?o2Usr6WbM9Z!+qn zvt?+5_ye9;B3_#nvPgsh#=R7KL?v+nwX}0fW^U1%t01y%SFRvC@I={mHg`TxpjgO7 z(qkKR+!sCL2gto=;6G6|-@^M6^($+`ZB(a|15(J^%pj&99SUlG48X69uiq)vjb3{b zBQ^LYYjN>x>-d{8zW#?pay9R@K{lxQRt)1pHb_X>abtOe7egv+F+@C?*HyI2{{`=3 zvApuh5A`)IYqduve%yvFgr}qOjjqHwXHN0JvnOEK$sIPUfI0cex2y#lZp~}+ip^1_ z?$~U1oBw-gIp^-DMkozU>5=Q03TfWB%PbrzjcW58H9+5GpGfOXVfLZdye?Pj&gA*( zz-fhMO1=}~k)y;T)UFqZ#A{#KjYr4>c9`&eK{AY137INrv;4p|&NLb!Rqj4%t&nwy zm|en{T~gV-uIwIa*Kp}*pya_{XzjSY=s{sO_4rkg-?U++`_EW9lHe-IewSebH;9*D zmd|#om6Fi3w4>Xv@dz0e@9<4L_mjXX^15N8T{nFbRVjz6s$3G;u#RYJ-rH0xbe^XJ8)m$w%rM04;qNXI zaNbl+R36B^!g7NH=!BL_^qf^bGD1jh^17a`pHT~D5U^(5(}aE7a2+)oQOE;NQ4(Sw znkIT9mA9t^e^BzbUz%xJVvk9y5Sb=ZLRGGYWswIoVtLJ`6<(pav##^lMPG#MfH#uy z)^(A*`~+G(%)VbQuJ*dNDH9a)hV7qiO&Lk!S=UONx&498x{V(zLP^$uY?P#w{6VPL znAo{Aw^6rE&33kT6Tm^GBY#S#YqcjC*Sh#fWpvh5+O;+EXK`#BjHC%V3z*cNQR%0q zYAftq{ii18mPlT)DIjmdueL%@W!~qeGdG$Rn!SU!Ou&>YEI z4Q;N~Z*2+B=bzDAs#~ZQdyw!0**e#w3L(X>o8yty9_McZ{uV)xcQPk9joxpT5e{W_ zcN9&PC7YxnDpbM|Rn-Jf5@L=R(fJ(MWK!neibnwv|FP_g1ib|G1zZ1oQN{XaUuq>W zy=xqm@&Hg54Xt9KEK2UcGhrKw+zR!;cl#dN`dk3z>=IAvI)3y?KWf3VDD>R>0;u){ zmwV_x31~G}QGMPmGLcit*)o1HfF>e#?ofzb6tq&7Tn#Svysyg0!B#G+jUy?Nsy!t? zW*8$McJ4sP$pUJ@64c(b7G7shWUuV>^w4#uznzQ$P@VjE(TOd~^$-*Fg#w;%S9dP_ zpp;5?%nCjD_kzvc4jGva|4OHnD<|0FOzMUUtcaw?G|qF&87!67Uroo#+@hPrPGC8O z_+3E)9J|HK)2Z~H5yKb_M@3=SlDUJc8l=B&3r47+BPtAEn08)i3<_+UPJ2;ZPP3AO(lziXZear&Owq-u}xHL$ixKoCmHqv){Jj?GpZtt?jI}06}YQ zN`7f`^B;RkUP{T(0%G6R-v|X0*Ih6dP`$ZLz%F1q)T5hBv;H{5*4B`jQcsV@W z(K%stax>>VV)QhJdKY?PtmqJ17WhkP)idoGu2Ki|pNI&4$C4g?Dq3CWRYe8y+W5z} zbxd*6m_I=nhO`c z`>8Y{<~^niR-+?riGTz}ww2wEDx+GBeWzg(R@9UqXPQev#wc%ACuz{`v9W?5ku$jc zZc$#(P#a@)a%Yp!g~Mj1(0yFtRTE2(*=2TC!7iN8`8YAmvb^uFQ1Y8MNUxS!D{0?a z3%;6abVXwXDhaO3f6=Gcd43SV^r>}akI9lIe;${wKbY_8CiR9{v$2W$)X9^~YkwzmhtuUpzcYdd%2l#o zL0Vih)oW@L>)%`BA556ykb63TC04EbW_C%gM!W}5jE8RA(KL<1#_-CGL9Rtsxa7p2 z5Rm^6tRj!BonM}clN;nyutdSvw!(&F09o92#J^G#6Mr=|B@X44h8w}bz!-^vAK}8n z81a=M5gp|f`6754A0D6fTB1@EC5?ow-04Eh;E?Vgur6>2tG1Jg%-#J_%{?<2; z+GEsr++>o#qkY>jG`2hBoI1Q@AzGl`awK_uKt1rdZKyNe}OiQhLPyKMPBczjT;uq zMC2$HJ476fDl)>*7>u-K+(k8!L<=U#uVvW4snIXs^<#bq3)VIT0!gM*soEymMt4~x z+bC{(V0KWai=&T9z}+u5R~{*0LBBtOg4G>iL!K>@^<;^_RpW}2hpm7V0G+Y1FqOFk zF%EZu;Oc;jx#)eBJY|8aH@cv$`gw7O_HPsgd-~8a?NG*cJkjd(U1*1I2@q6k=zaG; zq813M2aWRhYM5}7o!&Wgc>Mf=^Wl#e`LiGPSkU%}X#*|sbR5FLdu)cEpI%IL%Vot2 zZ?*rfd3c>+(liM;7DlVirqWA}Y?ds{1Nd@Yum--UpL3nq0*R$c&0ze1&gm#Bc?>(S zW_R=Yxa9h*p|hN;=zs}@5olY!PJuv zc{6YYU3ISfkoGa(S^Zl!iW?B-<-O|Wpm7rGUdj>S86-m@w;z`dEAev`gOl_DODRTH z`er!t{4Rn${t3)+`=^}MCPKlJL)g)(KRL-N`Mf^lT$bcnB2LP*a?@7^fCKptcLQh* zTF_+zW9Ttxl&J#&ySS35_$V}P3|7w44)clQ-mIx%*d7V(deo0y?Qq`(llo2s@SQ}4oo<2RoID1mzh&~bA;N!(#L0pt& z{9psA#O|1B5UJq!P)z+oW4nrBRJ`@qY^8cmE}IDtf5>zb52NS(P!VH;`Ugo{V*Su_ z8D*nSJ&2wVzP0jI(`S=|Nq8V;e^RkVi3*eB0hbXt(TfykE49ZVy6x7^Ujl;V6*FJ` ziFz?vdlv=JOTgF-suJZk2U^lqk!|N=H3-X#DJR}Pp4CM6`Y!5Jg~#*#th4e=|BK!F zrQ$BJ%F|LVVDLJZgp`+zKiJX2%l-<`3CClnVdjWbO&|%03is=faV1?b6~qkX%0r?* zsDSVdtt)@Y##4M~HAvyXH13gyE4E{cQsXkdT|4%p+Hok7!neMyW2+3Bnc@TqITEQ%zFl@=CxZ$>gV5^dWdJ z<-}tx`SDD!7S;x@=dfdgt}Iv0>TZ>BSZPkrE}XA#crr)UMWTaXq|y#jqIxJK3c<@n zUxGZ+t>49sp0zu=RUwQAqjCuID?|-tgoF>mg+3B1KKAKpmq)mQ``uTFFVe`w6mzw@ z=mvslK|Wjo_?Wz)&k-;1IFkXb;cM#%8uN34hnS>5wfD392yg6EWuMhMuy_gP8*}y zSaV{QZ5kBaXG|+5Nye39Pgdoil7>q3Tm+c;V`EUtO8Tl!a?tGQH@{IY;?(TGIl?v3 z6_}O?k}B`x<9(E3x@_i2u9~xgp(dyA$4N*r)@1`EL>i-1#u=j^D{_X1l@kpQEu!za z-pDX1?wQ_d2QZ~yjWJ_V@%C_$!LuBGdgoPc)xsN#HTm6io>LoHUaRfx!%FE zha05+KAaIdXb8Y4aRaKx5e>$8czIjCa=`xJs6(qjFwUVne~b`aOB)K}!xPm@Xme{P zlP1&A?BexBJ}^vKPSCJfLQ11-huy2fM3Hq87qo}xmqLo4xS3>UoV{PE+sJ`4Me!y$fRZaox`l5zH1N&*NhG zswupu!5G{VMkH>f!L#S?tgdf~nTJPEKI@Mzv)zZ5TA~EG@?G58^RawL%)Rq%ZYpBzf~NCZ%w*+h5u3CWM7kz+u} zo=d?j3Efe0YXA|b!RXMqVe&Wr`5FIs72J=D|CCGUsnrQ!@y7|}tY(WQ7ru5y9FdQ( zG?vGp9SXM;7ZE*E!&0drL}u(?9FqU+?z&h=p6986%m_a;6rG6YR$10v-XX+FH%By- zdXl_5F^Ct)4RJChvXR*5lztpWh1l`u!_+KPXA+()^+vnj zBZyI+t;@1*;?I$;?*LL4pWnaSe0uzyNJ3#xz?ij$kjey0pB*D}Yc37hag$EPI4$FY2l>q3>b?nF;Qvm5Ft(Q@rjrh8 zrK*_6!o1PcAieZfFi69J?3-UpcWyBO!YQn9jv2r7j!~Lo?$sl3O&<@#kT6Z1_XuNQ zQ;{hItYK0^u_AfXd6`djf$&-Q57cWYMHV+0IGsQNgNKdDgC5nhI3akD!cDRRZch}1{l*N>l+y-syC#A_~sko*we8v1)b)DWlfsz z3T>+&Ti_$Eh<@|e%gA!bgj^+RI^g;{^t0&qa{fHI*&WhHg*Lr{&==wM5*P{3z#qH?9 zX8&fT2!P+p(%csx7m zc&}Xmlus$5P~aj_;G&p!6HXga+Q|DRYkXPZo%Q{fHT*O7QDLoWLtwyPwb$5zo_w2G z6Zc3Xjqja>TTqJJa5J_)B@k}6wS{x6`ZhB+aq81B9Q5_+&rO!=H>Y=s_&FdxN|wg> z)Z9Y!H%1+qzvPo58WFW6pO14oz6H1>tWwd>%#*sYUzFGV6gFx zc=WZNAdDZzSD5A&xkj$`|2?uNaw&g-R(pD!9=8rY^ScYjgmuJiFQ0QcG7C$uCbG2Uq z<*Su7IuL4pJ5CCZ69cJiepU^zW=&+ZS5#pYpWcpH9nQT6d_<)%DwC zG^*CsTZx%IoeJLVm^AdX*e%Avrl zzq$aBt?s5CLvIHv` zqdq@WUnJ>uoE@H6V6p?Q@WLwOsn0XM{{Cv;U011g3p}rbufX{R8v{|H77k-tlA!oh zy3k}KTWZ#uATuH&7N4RRw~P9dl)5}BhXAk2EPokUY$xERT+s%-U=U3&FtDLag~yrZ04yiRDTF2xf5%a=A;uzr zig-hnv>(W)QFtDy=E%M$^}&bRV7Jrlz@Ux8kE8JDB_rqKnwIiP%%Si^q?V@OT24}# zAnVbs?*2mJrX5dH{=z>}t71uh&{d0zI3&oC z843%1yJu4=m|@t@7CujeXV3$p=WKhc8!p(undlvLz+kOWh`Y;hT#i?TLb(KUvW@6^ zoq#j;={OkE&5%QO6lNA48Onkwb6`$}U~e|pPA1@>*McxR7W#!du#8`mO=w~LvraQIbA`%?iVDTI-aZG0`d5-M${0AzSK z(_axpAE_jqkxUcvaSx2@)a|C5f)ye;ZNMoRg zSaH)9ij8WnLrgX4uIn`e2QKgnhtAC)cU>8fX}Q75A%A5aw4ArkfjKRERXyS1GeyOXNg zY7;TAbNg-&#{a?JDkvJ&5R+Nv)e$R$S(o<X^YCESv>g*0sGbSI+S#Jew|%xeI+7 zJgq{5VNxMmMHW$cA=$A$4=bdB63_~Tk5IJQOYo1Ebq;q*F|_|Tm%V$E9jVNIE7d%j zDD)4|TFm}yE$jYZ3qQJW|04Ws0*E5}ua$v4iXttbmqnj)?#n-wO~OBFiv?xy zWUAhj{C)=$vm+$vU@3z~ix6;X*!d_-JR|aEWItzjQsIEMGT(18H$E|P9mq(9si^cn zmn%{JwTaI?L_0snOS0BR+Axct1HCe(yXR1Kb~RSP?LYp@`;Y&2b^4;`(A>tI^j|93 zYtj|mGWde;|Ka8TRN00g1l0cX&Se?uz-9eg_aHt=-*Rwa$Ip7>tZHmTr(0z<49;%! z;hXMvR2YRnVTW%>h^R0O%D$)tff405N<1~vRALwPhQiSi^$cq4nIU!(+C47KROmb5 z%2s=1OVw?~`s{a_D>TG`_rAD2a_*b*FnQ*+WqTpgD;ZS}Qjy?flk zpkiy!eJdlxDH#%zL_#iUabC1t@A9K2jaqN>Gduk(PK<#bZkwYaGdDS3s+29t7zQ!; z{4Pf&Ku=y3nE%wG;TNG@R%tS8`<6N?u4^k@uyzOKyXSW7D8KU}@$UeLJVu`x?ad1v zB>oZI;xCYYJhvsKpej0m#D4!jn0Ls|HBR1*EP&eHg7c%(WyHAf??DOnYipPj zlN6%AOTNFT<%>|{X2&83)i|@5m#7hN{nMgl!T>oikt?kQ1&>U`zTb_p(TUicT0+>C&_qW-W z-PO~xnkDuVNkT&gP~+VY;&6#%x%CKlnz61uKe^OdMCQ#fsWBik!RxP}38>SGNWyBh z_?VFLz91{k^ebYw73h~T6Von%i`<~%Ytx{Tni=VrGrI$Byc-S{rt#(64?Kv7-SlOK z9lO6fn*TGEuv`t{E>Qe1vs=EcbX^qannv?0U!e#2>?V(}7RxA!gP=TC+Aga;8TjB& zhdK9w?jNJo+DqwTk7cyF#gF0RV~s|>23t7@qt-3Pj(EVz>%?emqWd#nBlhDzhZ@HO zNN|q!7iI1n@6M>FVR^#UW#o*bYdG2#wik*Vwr?CctgiO_J%wel*gzM~>t*eO4b0I- zsQ;aF1b%yaT&|zFGCq614*BbM zdFX7Wh_Lq5-rD8q8ppNc_$3e)frfHu5CH)maB~=8W(uc`m=)q?3J3mzN{q~4Dq4zV z>!g4sOgY3FOy@E&k!0||=aEI|DH7W31cApOk8{eU7?q?Ow9dIKu2;^slSN_ znDINqlGzqP&Il>@T=!X3}itH^} zD13qog)L&0&V5b&h#e^ABI7hPM^nQi4~j3%)M$px%-7FPux|aPb+-qaw_Xn?!gqHq zC0$*4s09UA^HX@bpYSp9KX7QP3;D|6phY20{QCax7tAt-M_`_!>rZphX0we#k3yM|%Z7y;wgp??3|pY6BG7+= zQe0XB`Fli2JP|`H3YMk`LS!^g=*S`YP*H~SHZoI_=K}`hpLATZ-5fID+8WJg__%Gc z`O!G7sGUPYm^#8dbpUNle(=DJ;KmXz?0|n)h?njjt}{<8Rj@2E3<*|sdS#WB+kjJU znnAPXVTXP7tN+b2uMC}nbzB41X@y+4Ga9Yc@`}1XsirYOBi?9=jZz#Vw%p&iA>TWg zr*l(Lpn6;+LyX(Xnili7aePR?$MfGjL ziL3l89tuRGba!|Vztq7+t&x1Sd+({`{pLyyJbU&;fLH_~xwGhQl|ar@Sr%Rm>^hS) zI|zqkyFRM-!%-7JXC^!7lx7q^DZl2ZZ;tfu?AViZ|FQJkd8kfX$-S|sMclt)5v zU`Dbz5bG3N&-0Q$ap#B%O8ro*<#bt8XhFQts?x-&hr0$!i42yE++LDX*>uuBpQe6K z3D|^nJS}h)_aBf!wNU|^#WCK$dsm(6p=wx`jO|w5(yA@F$<$CTvPaho7W?ET#PqVY*A4YSs`y# z45?^Yx=KM6{H)mI%z|E@GAZd$(K3&&p?4})&E}XUXSHN>KRmzig)5r)Mk^Dnc6b)W zhGJO7_e3KOme(=IXH5=gCsyuZDwRJCUuas#SrVNv4nzuP_|A*=2K-Lzbr=s%bu+kd zUG=e!_oi?y5;0vh$efC88&yj;!rFkoHQQ8%3aQ$3Mjcg)V3&W9OXKLdYSY+|3R$B|(#t|!HPSSszVdX*l5^=d~-6eeCm$oWE?*h zl=#)SY{7T?Qa#UwRLg>Wv50iUE^F-!!*SSGRGnx0om|1;&fY_}=hBM2=j@O?+uV@+ z;oMM>f|liCKY89)1`-viR1A1lygX(e_4%QR&|Z}v^;4_PY#TUUkv?;2wHvFH!E505 z-(jZ=NnMN!%DTe3&SyC|@z{$joVFgJWhl5s#Y(=5mptxVaRwL&sU%=_q|k{?-~_4s z0v8E(D5HhJjb$DRRvO&_BgH0j^iOPdi|}klfZ7fvi6}@O$nl#!Uy4}z%`%76&dF~1 z#qIlEuFl`z;5Tn;TzE1Ry--tAfzVX#w4@n=Z~P*|I!1XX*6RMFghw>86I32I1R_Ao z_XrmiMj)iRHbPipL@ilUqfmm|RkZHi)tOMX>cS}(TmkLB)?24kak~|x(w$|rXa$~z zLzN`CWr^4Vaf8!?nz=K^OL02o+_Tg4>L#vBRdp&FA*Y4YaG_xnkq=50$^wkVhv8$5 zruo84V~donGjq~h0F7#Kc@Dlx%qq5nB3u&H3eiesB$b@`p==iRwMY|W$Xq?y28k1o zOxAkbFHImX2i}ET8t<7%Xr(6h0p6Q(B_;bzRni)0C>oI>4vaS^*Tg{a=?mYPt1jT( z%fQ*3uJj^nk(s5l3WG-F)AZ~I5EUInAXaSIItxyI@BScbJoET8tWpmMYqXkX&Vgd)O^-Kw(qOi{vq8I#JGk&697Un zzSF`-wwaz?OkDel4d5`C?gQb;HKqIL!%^76REFDvKLK+A9tQ_NHBd~m(D@4Mq# z<6rfUdIc2ZW~=*#-t@_sEjP&-`V2b)QV_r!Wg+j4(~~&VnWJma3g%-5eiA4(e9%r& zSPp*D%DVN3K7gd{C#0R3*x61Fy+kK6)(t3>V`bgu_2JKM^tS;o7Y7p|z=K`7vaKQ1 zc<7vOV|Sn8r1_6tqTxyj&hWWpW0VtEU~-wdMJ2F-CiwC(f-u6#Q{{HLfN&=Ay)^UX z?E-H=;KMX!uxKlC%iJkbgSHGG{Bft4c;5TKlFyJKms=NBml^r3TbBST(Ra&~P*8hM zeX;OEQ0$!u#qyZ$Amyc#YH`8$k$syd$Cqre(;%<{D5RTSotAlpGFYsX^MZJA(_D3= z;MQ)f#+e_skhl5^DuoMS`7Yqpf=b&$Au>hUZw+uzNtm@Zsp7Qt;vj*<)n6!*F*{Q8 zzTJpgh-{Ox;QgM(DWCWbL(E;73#&A9O6&EN{Hdlx6Ka08Z4BS1V#tY-l``wRU)Tcs zQ{FvuRXuYAwkO1Y&3OtrQwD~Dk!TBw2FH4p2TjzVCTKBA+3JE`h;`xDB=->lnOr=P zeYm`k6Z20ytC=ZIh#0wq>GIdtt#ck2a*+!=NS@Uo6Mo+DGYDn2R@c1?| zeGzN`kIig*EGK-=H%rA4f*g$?AZ$PRc2)>^u_5~#cYQP#^M_<>3h|E zKEF26UY@?u(RR1FrdE-GR=?FVTji9a0~p^>vpnW?R0WH0&IQ#MKEjbE<&O2H@p4jr>>IZU{PqwlQ#JNJQbmvADd!X zP#j!u^@G#91n;wmck(3#?*-N@-iOQ}-*m(|?DNi`thta8%9BA&vv*@g{q{jWvLE{^ z`Qd91rc-*W>rX&D$$q+BA+e%onwE1I}+(o9XS>8ho&{2$fhcO#4 zl5#h**ZGJj?~{>}#b^=_A_-Q=uTfEd;I{==HjX*r>x5%_Cc@Mr6&6!gn=xi#$uT*( zE0%OulLZL~!=*H#A#d!9D#cjkB99#alZdxuSgltUvh@evx2Nao-EA_IHzh+~r2CBW zn$thku>~Sra{pZ-w7dpI@XBAi>oGseY{1B1Zb&g~Vje{wI(YcqXY>BumMZN?XW(a= z1lazY$mo*PU^KXhzH0t8;|LELp+POsYrR=*r=_aSyjpbPVY_6?TAvU96#JMEJXX=% zs-{8noW%6S?#fc_JMr5R9AM-9gvZdaP_r3OL`v0Bwm?^m(U3Pi!b`5g`$5SGeKrNT zJ)gsxzYEwZ=Ah6YQb4ErdEE(a&gZd6hw|b6=?Sczd4BGVytsSX^vLS)HU?Qvlx5aa z94UwHg#mut(3$>GpY)P^50p|=T$KDS!mhW zuwOK~nN`RUt%=YSy$D0En`&*D^=chVi*EGhkO(W03s4F%jYWXuiC@bRr0`{_n`?2P zhg75}s=&11S-^j3b*`z#$HKGmkT~j*f&JdA4WqkRV;)eXzex+)he@5N7+EruOqF~H z@DBdHC+pnkVt6&UK|xfuz5csa+#~7Zl*E_3Kr8V9AXQ<;(L)~FB=R*Qb_bIS@*(_D zYNLKiYOPud1iqDyQMH7QvG8i~^$Y|&zseQpaBgg9blL23`+0Z%WWRb2@ur%YbWOqS zR*FnjnpNfePWRw(uU~kcohaH8e6?_4L=fp=K(5jP%(L_h$CTA_Qqp9LlY=D4@p4qsTq2-0`-ne4aiIujpJLVla^EZXT>Cpa37b`<}x_)XCK zRqWI4llg}7)NgD3YXOM+w>{n&g?){0yXkz=w@F(uSHfh0A!%ST&L-v<#ZS3SW-&dO zXYV~fH>=Jfy&XE5wiKHx8;dgg=vm<84LUV>p2I-j@ z1f%6SP-l#U-6m@Cy9ALr=gIrh0Ge{mbVf{aoXP9bxdgJ+Y&jFLu@w1-QqP%4q!Y36 z!?9%w`{t2Fs}4|mtv#s>tu>le#bv^cPpmPD5xoFj#eI!YKOuc}(IP)PI?w$@xl_<+ z^1Q}(zglCK09rcE8C*I}FWr<(VYZ3b`rzu#bAbPWHh$*1)9_gFF}ewdNa(N7GA52E zW`Bq}K9K|X$8V^`V}Sp&0X`9#li#k}H__dZ1@zmyE2$Zc%hV}&53c?{6S2J;itsf6 zqR0bxKQ7M9N;v-+=y%{0zBg@|auRGuE7tfxyCve5yxMk@9e!A@P3u>^EN^_CM#uTR z!o)la(3sZB=qE3hl362rr}0`A+-y^aPgV)Y%z^%f!ZM7;Y(1iodx+e?jmeX_W zNQz>qCP%I>OS#g1wO{#R)lHqJcGBIwAgh&uRr#Sc2Fn1?IvTz7I3o$0eK?5|3^`r1 znP-wEe~-$6!)LoLT{3TEd4|#{RGX9T9s4Q6=x3Qj8_F6V zyQcYRo|S>C)3gJdv}`{TIN`x6AOzP=p)G0iW{>=`mMqQM%yEmc)CMwf%AbQT;`hDw zP})P<%`K`)M-PuEa=c9R<79DQ=TAn?3c0_!@Ei#$dJjj2A$?4o!sPHjAad{hCkrr+ zw1So5f6SLwp366P3u>w15sByvDGkMvLPyI7w%%Q*^UzdO4ilsPpx^5&{Ehc9CW)1V z8jWM<@!;zxISbXXO1Ag%Yl!c%A(m~KT+MAGvz1Tfo#_S*_DB3hHb=jLkm+{}P5K91 zb5(NKx2Rddqvl)W8Zer`+>C*3Mua6L-a-rHx!lUBlM zrfLh`OcDYrC0<(9e3o&9Z1$_O<$m+6zDyH0QT@Oyb1SaVJJXo*A^a8CmQ>21No4DJ zlG<7;nYG`Y&Fx-J7vSS%*#<|;je6A#<)(pU#_^eH3WWsiX1tZZK=TWl^%I#1gs`AR z;ZBBo*n5M&kf{2tyH{5~Z#4BrmaV}5)9Xu_4L$Eu>P1nY}tvx=;Fg1#%bf4_F*rR zOXb=yH1$17BVQxtVtI@LGOM1Y7JkEH7YK|IVDtsA!%2)Ip4oiq?8o4u2 z7x2O_M&20uLmLIGpO&~f|2(@QBMThvBA9k=ja2My(^xpFf2=Gm0HTXAZx`;z^!WAX zVhS{oZX|Atheks<2|Rrax2I9$y4z%oJ{5Mn-teykTv$KH4%`-?RZ2wh%;ebR@IioC z%rkC_wb|NE`!yLk-Wpt2bT0ZGrZwAiRhcU_>H6g{o&C&<c z{J^89$~K5j9ULDz+O?J3ejgt_x}()3W7d~5^8|_L37B0Q_Ah)HrOVY3=v?I=Yt!A| zHvasOox8f?Y{KjD5gUR`c2|;(`G4VH07fc^(bn7T`>j2eSNW z7i3s)R4P%ANhF;z3QHWf9}4GED!Giq>^B&8QcdN~b*P%wf)hgCE3G)mLl<>+f2Rk& ztI|>|)rj{epJ(mHt#-!@)5Y0408T5J3oY_Amq}M(pSvuCLCSqnxhJC&Q4`Hse>-~I zgIA6mbObtFEGb938+xY!FebROnZl`_R z8~@p#!5Yej$o~2eZ*ZZ*uU(B;5>?#%&^~>YpLL8n`QArlqTcu-!D8OTbSz@Svq%iA zUPwzG??1c{MYWmJG1i>ApOC1smu}c^`nU8~bElN79<5+1DPp29@&5~QQW&MT64`4y zy`Ci2IOoY>#?yRxeB?FZCt4Cx>8?O6RM}LTJw;njT(emwv=fP8-XOg9?@{Npd{e5q z0#)z|s<}po7h`Y}KN6Il5fLc)#`2UDDRIzhSqvv1p~#jNy5b+vlJn8;F-@*6+JYx| z1s!3A)x&TLsyQxk!fmFLMCAg=mC@NTA6~v6>}XBFemzb=6i}v5Jd7Bo&HfR!ZhS+Q z8;GJxv-T0d@||>qUxnG<+Cx^;IF@moP_>f1Akd&-(*k||yM z?5(A7g-iY|5(L=2v4P;0Hk0N(DlW*K6$ZG+n>HC%GW&x<9;&_#Fzzp8nf)odc&Mr0 zh`eWcigU@YD@lH=g5F6T=U&MPDc(elbeah;Uc7u|?YDD(^QN3Gcy0)BwB0}sSNBkI z)l}uF2NjabYZY^5myjwm3x(S-FepqREQhCL>^`MPk&~Dy9Ka8cqg-3$G51A{aeYRI zrK_C75hu==2)Kt#Z^4;HjY!(T@`bAx^KP5wTdK%%78fY^@^FffE0ghxGHs1{L#BDd zQe3OzHo6M-v-f}_VObx{^NuA8OK0}v6o->D!f8{L?WA1L=1yyAoj_?X_hGRphLXEH zaApP3ya$t!%e3U55?yu0M9*%JyCpcXRa6Ao(sh=@F3$|aaK297Xou5zyhU-H>x7Ts zX8LJ#8|C51Zb5>0gd*%@P042BH&z=8T_z$EbF?@Yyx&z$#31vhV!KFpIwZ!eH5l^L zq=TIN;Qww9>~S-HUaT}?Hn`e-wmu%s{Hn#OS8v3z6J*mVNyKNu;xMb?C2zPC*l1G7 zVt38IdNL~dI|Ec6gXwb1@o74Ut%QzpK2QTM(Yv#uj`i8GLf2(`!_G$k zDk8&~UAw36#7SfO-W#Q`aVqxtk65)}Pv`B;3>jG;TuNgPy1yHD8pt^bN&XVaJP9C|syXJqTGNhknl3%lQ5-JDGs{5^7LP4@t%p`E`YbtrPm^(f+{bMPCuIdQ z{7|dT9xE^NbF@_GZ5AzDy!M{0Y%}<9&wO?KH&CJ1M6eLAWdK90<7awnx5^cGEpT$d zZ!wEJljk)_hM#_hkXAFn`4!a?{BaR%&DA5NgmY!({GLB-;dgie{y*J<%5y`akzTL+ z5p<1mZ~go${~hF~DCjbXCb1_e4%X-f9KxYuF{s#7hM4Aw%iyHGNCH><20$1VB{IDQ zM9m7;?9FdnPLs+ni+Rq5p&L1S5Z=nwv_E%>#xm-|dMl@Mow#oZ;__?n7 z;*;=q>Yij3&)Y@kIuI%`$}4ok$-nxE!A8MfXV2XOZIC~HGZ!=5*M^;oI#l7LPdxY0 z%XFx86gNn~P4!snVi zO5^^Twu|6w8BL!Sz5PP_gpxvtZfvz(Sa$R4blb#qg@BVV@9W>cmq7;Rv_cI>+U}?^ zk(SU!4tdKtPqkJyNA;XPJ`(|8-buh9n|fM#JztT0*2;*0Poa_vr3yo^S*EvMW@ufU zEL-=~aC*=D%0RzUc9`uMlrEZ@VzXFZ_A@KRM(b|gjpo+i%s~$h-{j`$h-5^x*rb~Y zSN()@?&WNXmyrImA6Qv}=PJ{t?+@Oe@?Md= zOB`(t_!FpIu*LgOh~O2ngsM^7xuT&nr=pgaq%S5TQNLYYq^+u@W+NAIht&kObd4D( zs2Qk{WZemmV*DsdV{R1Q27;%##&wec;o>5H1hIemNxv-WmvZrA#i*yXRc6(eF0c$({l{I{ln(Jyo46X%veMeqnn%y;HQUznb|U zuD$^}vL1NB0}e>$A$I=6-U&a%-DcZoH%(YGxoum!p93 zUkdk~R(Fu|ms^Q<-N{tuJoKvo@_Jg+hwUHhPs_hchuI&u#~G@Dk5w%`rBH_)INW@o zOQogO8l}9znl>H(^AEjWm*;9HJF-OobLmd2fcKxKegE6yYkP|0W7WMQ#q57%T|L?S zrHYyUpZx!^(RpkB$99(tOCON9bkgVlx+(vEADhfRzbmGK?xT;p&Kx6EMV0N0*)2G- zmSh2?sHY=Wu72@+Bf;m4+39}3R^aRASN)%CK~C>zf1u#U%ioQYA<)>q?`*e$OCRgw z$FmZ`U3PsQ&i>{7`;g;d;M`5~)Y^2~Fdn+e9pqQ+&f%pMy{R=SEXMb;6fZZ|A}*Y@ zpK0(g@&v^0VT*XG&-LUFmPC2=Ts|Xf6&p_x-qKvl3|j|E?e`1<28Hbp1mEt$CZy#1 zh7KVquUWiD%~$t~weZlK-M*g+Ur+O_%D2-K{7_N?bY&J$8OgXe`bQ~fvjwO`3X8{- z?wfpI)r1m2puZmf3f+Qk-h@876>!73x5x_NKSRJ!FJmIz$@gF}5)XJpMC4RTJrWe)bV?N&Z~~Ny?DITr5i7ud6Lxd? z!JdaG43-fD#s~qf4-3^1evNOFwLL}I9+NBB(BIp$eM-<#4z2egUsP|h?ypGadls`( z*6OxDZG3R@J`?;za)|Arjc`vZlBpFvR2_u&OzUz}Ch#(!V#{wMbCl2SNnnF`CF6S{ z_g_LPrI=c{Y$CHC6tGF`Yn4h*PbdRe<0;c)V0q$^2K**jT7ZR3s6igoUC12#X}8!9cdD^7Rums%2%ylf-jZ<+e;FNpX#VA2)afUH-axC67 zluRQRWtqKLzDyF;oh6vLhr8G=CuJS?s`$NFQcNQga?bl$jYJk3(M`tNlt?&|!SQ+G z-nb(48#dgy05*bs!v-bE^-zABmS_(arS(x^vq!Hb>V!2E&#tt2k=%sr(aKP6n|W$? zG%5u^1cC(Iw85KUY%m*i=JrX1WR}bgHFo+67=K8XiL_}Pp;_| z<_&&>?#zq?^;NZgD#hw(SZ@l+m`On7Jo_~Eetv6JgI5yOrC?Gzb9>-nSO!48q&*#s+!C>2H^HBRD^{sMcXdTz~SG<;VTIGUSJH%x`;bw zx%C9@y?g5ZQ5XDCL_!MXfZKa4gunlFak^|#kiQd_Z^Id4_&QLjfCnwP4HX>yP{y|{ z2=4CCKur$Hdp@6mIE0@E?iWP}zm2CnW`&~G4If{mwHr%+i>s3)PHKTEIi--D^wc%T zCs5Tiz;k(H1_KgVb#Uj2d*Wz*KV*9__MCK~8yWzri&zd)JT$vsn|^&>5s9E4Bc0}42QwPqEh-s33DO{C8G72=DR4y6ZCZmEG*A-)JktNZS@t#zB>=09ZQMMU?1;K*s< z$W`FS(Gh0@Y6UeBBa@Ic!rO0lu9OhoXOL)LN}Q#l{s+yFzu+7>Z{eDS@I7#V=w07_ z&hOeIyHZR>t=`8pNaARMM#|KsIwdoApPaHnGFn0`{@9c#jiWfb*Slt?;zY7 zFGvbvBLxi&c7Jt1bnR?ZEox*Ej5y!BNc1Z0cgdX0BNlePkw*3i%X>39YimxSw$T5o zs5`(P0X>$?>>FT^cY`pk9k@VN^C0iy8LmPJU{JaoKKc&Y9L5h}!p8x_+^;T7*5VQV zhdJ{wUO|xb%ttKbElkMKuUE8z{AfV;pvwY@eMbV{><=0B;Ub;6d^nVjd@udC{&upHq&qkSp2yPDbJJ5zcj<6({C8yh zUezm3CpTHVZg;$H1Q3_3R+(A*R|rj6Vo9wMGYs~rVk(IYvs09|Cc4u_f*%GJ506=} ze?H;^7#4shN8wy^f{rUVf+yOILnj0SC~W*1^4!R!Y&g+0%WjS6;(h4lat<;J$>3`v-UV zzWN5UyLx|uYaxGzlm?>0NnPkOFVn?14WECcBIPycts-G z2N0&!zZ?9r(OQ_V7ytwWVMCR4fY&)|FFE`eLdXhk6D<#{O=9V!Gr!nCz;o>u9nG&# z!sE3o8yvS0=5qo7XAqICVKpGvS(bu4=D&n6u@@3JAzP2>LF$(L3L2d1dPtr5=u2D4 z>Kh{zf{&rugVD&CAp4!$a zAB2pRCB8{|X8J9S&B#CKcj2d1WW$W&?AWu(Aa+wl8V~J3ftZZ=W6kHk`c*=bNnZF2SY{!qG>PyM8wvCVfB6;T0b>tApToF zD~E^J(n&6%RCX!YvRnr%tYdkpvooP=29eGwL72e>@l7iv;OK7-PkV&o-e;<(^2gnW zo#YmsJL9))HKal6l}2QX((YPLCm6ImwJ^`HU3=2&?c{099ShMFnx1zRVkNJ?=!U6c zW0J3&g%yUqkkl;wkR%L)HJ;lP0?K4=JxkrBaChPrUboN^ZZRv}5C4O_8s=8i{#)z? zf<3@4F*bk2pj#nPz?_pgYg_){NX8I2`Hsaq5+wQ3e~S<#z%so8fi#_;Dand*dJfrF z9A1k?@J(1eiE2@>OHt$mfHtR@+rrMS=R5-h2E~ns0jprdp(c{Szb93de4SGdcNf+U zRQ4RKxJv$f54`>c*#`>lDGuEK1_`MAN9V|*O*)PlKM}a~i$+84JiJaLT~c!f0wc90 zX!wwxn1u&Xnm$E>R}drBwR89f>Wk8L;@uHHAb=C*Yd+Wt;MhEFf0X6z()?S{BTC2( z!#d1KfeUmK;!dq6Fm-EXq;^6W1V$!5OJP$x=FGvr=G{gCff~oDxcdo zKuWwFQm~ItW@{X}RcX0wd^`OGMxIAdg=5SfJn0eV&Wkw#jAI5F2f(A%XWEUWQ6QaJ z?S>QKSkoAAMbqfOfG2}+Q-!(Dxhl&1LH{npx7|?#Pa|~E_x~OroYTw$lF*4?W zL)2~H$ATr0;7}58;IG^fGMY}m`gn97z4GXnv}xRqEl1ReAQ_2-x6wU5D51#cazZ+G z$1zCEp2r<+iIb&o?v^|#;nC+LC_WPf`&u=QeoJg5C5Pi)6pDK3Z~as>5h+P@_e*3Z z3^KWWS0v!)gxU>p|DQt&uOuUjJ2d`rw@QoBzwrS(9*m}4ZW8ht^-*W@*m$Ru8R%Ep zjG49D-$Zekd3GTDK5tbPV0ef`-H3`^qrM!lBCKoU&*w>7yISFDsS*&q{9j)V?IFdt zNmw2AiJN!Ss>fo*tNU0XhSHX_B#j?`qHFtGKxrD`1&@N&60By%W8Z0*S;ocS8w|@U zVDqHix50ZKrt9Fp!OvyrbP~2|J(P$E{Y*uQQN$h|2y)RSU*~a43>1GT18W#<5!>N& zV_IM%=Y!{%u z502p`EH$@6&fBmYI&YiR{$yE3=*&aMw+q+bf=e&}xHOP)YXUkS(0?5F+Zp)xmLonp ztdoh$x#p6xJIGqyV6nTESCS}%->ELtD9ZqRycS2n0Y2%Azrg{tDF9~SrfONtaGRZMIR#}udINFG@ zuNJ@SB_Wt0s!9xf5eVw`7`2Tzp%G(XB}HxvX{oQMp-1v+3p+x;VR>FvC!&pnRu>W| zDEU;x36wy~fY4#h{;Bww+I4{e{Kj9Z>B6S2<4LMvzzP7&)gSokzy|(?QGOjOBGwHS zI&)5E&G8?fwMlEtx+EfGO^o%v`lJQ-ac1gNEtJY~Ak zbV&MPfhl95AB4iObf~;B93%~Pgf;>q#b&~c5xT}VDe{EIt#2O(f!d6XKbu7Pdhu(3 zGN?R+Cu=P?VVMHP*Wj?I9rs{b(=K(Jwyr1SBz%$JT;a|YD-MDFEO{l?nDnIv@=&h>cblN z$da(m*ivTOu4wg4X1n_OM!5#ZAutY;Aux=yAuw0-Au#pJU-bd_VuAL-mb)aS8W4ru+~L+5M>YnDxAt6cLcku!7)ooLh?o!|$rth6I_4MEd&$lX8yML#UR6|<8r}ZMvD|LD z*~wMR#c&0LAf%XC)UlMbkO}X!U6LU8!(5RPioeIHZ{*duQVVt|5kZ%lQFadTRu$7L zQc?hwpoUggssIm1(p9BZd|26O!rRgc@F$~CtJWKQ>XFoktjD>oSc;4bvN7cZ$7Yr) zKV@R3{wyk6*32m<)g)c~RiWeIB`27(;Y(sh7px|iLiYM#0 zR3Qm((DtP5nYlrF(JZTnj>z z-}Toh$oFMv7#& zu&Q@x?(&;jL5Y#9(Y%Ab&H1I1G+z*RS7Vib^SlqjMap3wS`bG&_Te&T=UCjF z>O|<&N+~w$P9ZH;E>6W!9&DD937xrMVO`Rij1dVA3(?PIc1s>Zl6!k&g+sB>hKw@l6wIUg~Rrx{=>9B*w;UW9Bpb0{n*pbNimt%B-kuFVzj1xk?O-MLx)-(zu%iz zTCJknMcKS?f-B&Lb1L!h$e<^y^2Q{~2b0uI2r(2WtnH`>0byQeD4E!oR|{J=RF3 zl8??mdV@9Oiga!Ef;QyIvRUP6<##EX37`oY)3!6K<+$@F%-?d)jvF z(-O>Wd(bGCLRIX-Vu;s%AVzEJJ()akfUehxo~Oud`&HbR6+M<+^I_cFy0_TZ5Y1`w z|Blr@jMU3tMqoHOOU!_Fkgk?UgWEV^jsvf_Sqg{M{l+XJQu#*AWyZ4xR5O_}=PNMNw+Yjza+zYDiN^p!6}mH{TH&A)HCoi*vOM*}>=n|2ILE<9H};wU`%PY}%dyMM3R zH84ND(&5Vqj@ptqvWA%DB{u2iT4;xx{okt$+HP$AybO%#C|Nb@-EO zfHO}%fj`Ras#kzfBsS?Kt}Bqh?k;Eza+B4Zjzje;;M_N7JD0nl64-GLM@W49AOJQ5 z`yKPHP3$NE%qDB;kxkiMN~MG)q?d+PXdT1wG^q5`&?KoU$`9nn6_{_<&&qr`gWd9*$SZX`J zpq*0S?S-8p$x!ITEemUQ|AWcuu}zTd{S}Edpi5n3?3!bmueRRiG?R;HN3*p~s(%ZF zNWk!jLX<+aWZ%5qDSXH7gWsDP`i8~2f0}1Z&Qvot$0s8rn9qah$XnT`7kXE8Yvohf zWBp}fsdk=ZA-4A!BI(;qXpkVR6?^(Sti0&pEN9W23H7$>Ns*P;Yr`yA%M%Tep!c$A z93+_};iIna%4w447TUuEsqY~J2i^T3$hEic;0gkrT;W!mTl*-3;3IU zTz--mc};fdcZhwwaerN))X;A*+iG&B*pO#_tpM>+_)YS~MmP;m02>nACkN(aM27fx zO3~DdMo}y3sQdfJ*h?WCp8_uXo+2hncH>Hz4rY0zDLUrf)63tNTYKqWGNofUS;sCK zVMh%q@Jp;~W43IFHnxP%RX?WH=)y-Ekjm>Dlg7zH0Ee)Oh4ZiQ-_pXaRVfDHy?XTn z3ph-s1QG%Rzt1Cy30=Y7+F|um-r9ZZf7)NE|CGr7>2r|bMqbqkt|=Ygpn}OMs8+@y zs8*yfJ*6y1TtHLz`fXmCE3EME=Jo|DazGTdy|(JI#7WFNtt5w_FjC!dulH?nkaXfy?; zKePG|Ao<5jJ1WdpA^*S2AdwZiSu!%GxO1Mn-FuP#d^2-nNx}d@+y}WfW<{++D*;cE zb5In~o0~|!o*(mgx*-+PK;x9~qgm-2i|Qw?c3-1oeu$-xaKFwt{oAzYDVp@566fZT zL0pD23TNlMvY8~s+X9xJ8V+KdvUMM?!LrhX(k4vd4&5uZ9jd&-nR+T;aH>-j?-82& z2?+r1gWMV{V(9@p?X7e{YJB-kATcsJ_VqK8${Xi?3*UoIpFU;5@u;=+QMip*5LX-C zgOFN3xkYn6*Si0VkVl3+ zo!pcODddbYs_gw2VD=X`JG4ov`+{&3WD8qzQtnSbUs*ua-XHra=)&I6a_PRFZ^>_@ z>Vv;-w{5oAGgj!GC~{92YKtFwP851vHft?->`CPVy)pLDwFB+mfjIhC5Fr09z474D znHb+wbt4b!jPa3pW-JXWw_cGq8{ziv;p6S^?dRA+s)^tQ1C2j4W@+mfwX|lB*xMnU zw2hT`l&6(UA%!D zGZ=-g!bL5mhbCqy#bvEN-xvAMFS#5)WSYkvJ`rEPfcKZsL?o`^#VyRzmb}`i(7Fv{ z4rX0}c+K;5MO}3=j4iH8d z(#GcHyY3r1y^XK1*j?E*b_&cP8Cju?`7(QaM14{4hxH8rP{~xa1{4lhw2pweE4eba zChk8N=ssb%{Pg>G_vg8nImzP`eAg2CkPrM-QMeDY@*4io6Y7<~-cc~f!5wOCEBN9n z$jei>hcAhUHsjb_3~~!kzEz6PO{OT@9Hexx!TODZy%0G&2pRcMYN7l;W%t=z z4YDZc7!>lPWUySV$~gN>JruChQp>J&Bvfi_f*;&e7v^CjQ2@U^l2R{;sTM>SmybHN z$4*Us&qjRIaX^szwX?oWgi9knA~+yugIXLno!-KA1e`lr4&lh&S|%1CX6yN=Fd<*@!oO9naQ) z*QKvJ2+0}Z+g23(2C?B*gdO%(K@k>oN#H>C3gj?=pc_wjNEcJ?ufSgPe(f4cNR=d)E=>DJ}-@Zsa_NBx}^qEleoh;ijPvYC!@pr9Qz zMpmMWBXm|CF*h>%{cHOfGaDwI52w+sBT2~0x)44%&y+F3)k<58QysL{%5tLE1>g$YUsR->Qd<~4Ziwn4tuT3Y-E z)+y6O)8(xiR@NR!t99i0WE>Oc+YS^>kX16AOx-kpwo6FYrgfhl*(Z)A^7ARzY*Py| zERp_x4#U2jnh871Meq^`E@-Gt`-LsKLCUF}g$nxu8V}_K2~8VXY{e9bG#TE-iG+yp zT<*^pQh6pI*3LlD6!+~)(t==jDV<`HS@ef)h)O9|f~@9iR)WOw<7{8M(|9u63l+T5 zid^SNJO*^^=Bpp#QnXaOltcP2wP_Yct#EX*70twW%TbDf;H-JeV22p0N;1unS@LdD z5Gf{ip6_VhFeRz?%<8<&QI)XLbq?)?qi#&5umU72z(n)bYpbOla52LSrAevA| z%ElMjwkX8ivtD4TNbaNYr6nyX@_skD+`tD2j~Kw9!b$ORGoO`Wk(YGI``t_}TF6E& z5fe;IFCZ0?TFm?Be3Mca+D<+X>Jm!@W2bCI#mPsqdC*R6U2|K6O=Z^7prL@PSs z8uC8>XtA&wJ>2CcouFd&zL7xvZy}h6nMcQhanEDE0Xx5kE$zumUXAEZAs+#8=TEEr zU0$L6jl$i$(|(zZC{2OyChOy={r!1N&v18QK?tvyW9oBgflM(o-C7vRK}%lh53Y?5 zinJNPPS1uIHUE6o=8L=Y$I(=6z z;gZzsMtO{&ijS_z5o2uyBM~FdPSLuz(HzeN2@^^4EHcIB@HTH2ZRTm;T~Q zEwQ(*$zLh8`cKwpUl^kQ){(8u^2uk{q{aKym!>OUqU9m)z!Q%_j9SIPfUmY+4a#hU z1wl}QP0#KbHA&?zE)_|#D;&8&twYfu*&6JJFa2bj^XI>sPg%-%2>i!IvDWkT{p!re zKixABQ&F1C;Us6q2YX$PB{=1p1>Y`2=bZgb^Cp-O@r#Af$ z&nK9@t3Qc-;!|c^KK0KZZ|n^<%e^Z#NwYd;+s8JZc|RD~UzC$fIAqC(L84!aPe+u> zChNzfwUocX7usOU3(at~6&C2)N>e0_gkNtO1#|ZU$5*HjAZ?moM1N22he27tEMio} zT{RR$9-$#P0&1jH^Jqjy>eLLRMe4d$8QjH(;nA(ckpOXthg_dpA(tZNa4VB|XnA6- zhM1w&0#Jx^-Dm<4)~apv4P(XL)Qq$smlDBr6*jI zF3m&`?!Mu?|NZa_unc|te0oWojf|YlcuqE|`r%R>Ew6HgbYx9UweC{!kwGef&WE}? zqyV>jpbWRGhEj-PxCKLYw7rJ~`I`NBHU*jXaVRE5)OG*Af3EvrZHSs9{WOGGEZ9d! zse73?)IV9faM+}}8v{K)>fYg?S)|S_=0JB%(cr0fs+RC{raw(tr)2XDZtVQ!4&2Na z-1+ClqbBuo8$s(%&9_q1<&n;=t-6J4B$G<96o31(mCLquXpz&@81#DN3LF_R*Ln9h zKtQa{q^Hx6ul*TwkL~JL2BX>7*%UcooFX$D?%Q&n)Yeod7&4Joz?=v)W>wgOglK&` z20NP6Wt6RHf{S+>W=pCmaSJ7trhj8i6taE(rN%0w4OJf18A@XsU=ouVnn@Y~rDiFH zE*3Pp+joK7xjOe#ST{Osip!SaD=V>OJ9iJ@l9RQp|qL8W6pocbe3wANRXL52HQ zIIi2No8Gb*Y##`-F!}FGfJ%@gWb`#V^jA3M7eb97$}8Wd#Mdecx#ah zgA_z-q}D&3o5n?UUhOgIWvjzefR7fsf8T|lb`)4#5Mc2!GTrXn?B=dfV=YHPauO2r zJ=@g+P{qHL#99+zqZl7$x|QSAFHRS->LpmSm(3z5A`gpwkO+F{27zX{d`Oe)Ub2x~ z97DxXpvm7~o{e5KWVkovG|mjHA81sbKa=(p?+lS~h^mGfuUYVYkURw<%o6twX^L7g zaY^EYdh`D&B4b$!J*BZfb=|El?0=;W2U+TfNjtE*(MjPNfmR^nK(Sj5!LL;;#CIDF?Bn6I5l)i=fBRW52>VxDt-4FCd9d6lAf**u^lCopp1`>r1O`TptU=HUI|^{zfPTjZ9lK$wGFEiX$+jSfK2)54xZM9};n9O-Xm*Mk|^ z{tpt3aXvP_2A5}xq|OwcS@qcye~%D#r^VO_PbL>Ep_h3*AaIx?_*EH;Lc$xe%?zG3 zu)4RQNJ~Lf%EDeU6zy1mn?1KTtW|*(kE%rjpj!sY7i3Y7R#!zR{2^1C>(Ic8Z^)ts z5S?kU_g$039_d=A%&{brDWsgxWs)b_GoLA2K98<$2O9pp{d;rJdxa}^5!%?bfW%C) zlT|;2RD39&O~6(#lg;BQE)aD*&3qR2{aXTEIJA(}p#P6&d)YlSr)WR*jT{_MQP0yU zf?W|WD^E1Ptfw~%{4#}6UY1Sk{PRS=^9T!X#Ay=n_`q`S<;A1@N~sL6Mc5x`Xc*Xc zSg=Sf5E1F9)`bI(r!8iHvh75{Fo$YZ_-9mRc)&q!c)*tn9a3+ln)DSt=NN$~K9Tw% zK~kLOIl+8U05xja06A#1Vt+jEP9?#QpfFh-|D8|REDHn{Y`utZt26$hEO|Ykp}w;% z(oosw=SC`A^7e!hD$WsuS~M)>a7t+rHfmD>cuW9^KbBF5g-JfzPq7NWMyc2l!|VQF zGV;*J03tKEH69l5guq2@>sZKP!LH<(G1$OpvI_Mfp*zM z|j$}{ciBIoY9N- z?c8KC4||eq6i#gzm*x|Cn?8JHe^_5VDtPZaSNUqo4+-h(aj-DP?B)`H91CMij}aI%_mT&EKx+ zi`GnJty_Gf#+Q1l4|}BGCnCCk+=#fClh=4pyL*-O7Ww|gc=}TxzPtr-m*^tSBW-}p zCw`FfIG`)r$NvKYnBG&B{ z)?T^YAg7mOeEN7hI0}7=x@PL%5!$jh;r(Ho4O$UuO2O)8Y|POq-W zZf0F!TQtNX#L;ry&h2ATf9*XRGbOUFr!H}|LXY@h&qATkUDD}{hmVp=P-p+MN+My2{YJL2*o>Dk*f!vv05mSn=!XHspOIbCF zpDIVhbvcz0_cQ_)$!!P{rfUxza_c52*ug8;S)$}hcFf-&Xfq3S9?U3gPYR{&*@>p@ z8G+{2=x<$h#R{2!U0~?Am@&|NkWw+Rap2h_u8m8`)SuiukVJZ8G4WRxX9jYShNr|a z@LlXVaH#N^ixqMW(No&$$jSsbxP^p4~O%@D@@)bcshBNA5qw_Q_#-_`Jh5pRV9nVaGMzTr@NElZ7 zsFdLQxeJM#g6q^wUJE|!b$Y5!U# zu5SQi=lWycrF+^&!06(~ynlZqdiL=yHH<&sTwNT?7(W;6eX@#~!kod%qWTIgrNpgf zp6^sX&fi^mJbbYDu{T!yt9>kE^6{u$;t?p_&aeErHspnH@)OVl9cmwO@TvCI-PK?eCPf%dDj6S?8rbn3Up&n4XqiICJxBRfzy zarj_X4T1w2q81dBFu7HNK^CJkS%T_1M~(gA0(vu zP)0GqB7}x8Rq%ByX@mQF!Rg64LdM!q4u+D+IT<9U#}-Ik-lZ`E({fHyp^(hKGd%pr z2;RDp=%U7?-u5n7^@j=3EA3k+g&W%^lUN)x_CycT*B7@eD)AdtO*2HS$(1k+YCa#4*l0=PJT*VGS4bTDv!r&j%>T_mMrUkX`;)fi->`Frj%MAQaNpAF3@pJ> zPRY6_jC}i95i0`C*%fPg9imBR=inkg1cpjK1$1Tzy-aa9wgyEXO5t1314vqJ;>~O{ z!JBChWwSo--I>eR1jdI9WN_opc=T=QAs*TDL{4)w;|z4W%M>!#<~eD}>)iuFvku`= zWDKmhQO%p;RcE~$AULGn!pmc)fK!yzz5RT-c&Ob2dXdr4CZo7V<^ zmc$H;*9X&1PdBl5IJm zVA|I2e??Tk_XQ7;;btT61c}lGB@to5&9U6FEmskJ1N7V5rL4oD6Wfk?HMd6Z7^|~? znKqYlSi}*ZA|SX8qRP<%V69l#G3$cGY;a}dJ;?h1+BUOc40Yi7InPG@_>;%o9AC8d z!Ob2{Gc+%}MOi8saJUK#s=lJ1kR3}Tw&N_NR2;z*eoZRJ$i?9R@CVEU-nhh1pP?{qm*2;`l7as4K z(5)FSVyl&6nvNtXCu`anuMXab^`nK*2oTo23^3<+Hb+=my#BmVkY+MQ$r}6!73Fot zg-|#cb4H%N3(g-WL8qTCJ<18mM%6~d@<)=OIq;3nPBgmb@Z%IRhJJx5I}&40E1=03 ziqg)W1K$BH`tg4p-hhdjK((yES$3j}Cj$oQxk6;Tph)I}NOna^Wh@s%izd-c-Li~N zQH;}x2ABzg8dz`0c4H);Ss13rf3wKbPp9#PFbaXSuop8zuTu%sP%Vuql*>p?4A_Nu zBLAWh#!QYpBpC z&SVoNrFLugAoPD6`0rK5?hD2uxQ~KP?YgbnK`cn;Z^Uds&#?Er*bWNTqSi04>OqSVeQW93XN%jUaj)tXh05u!0SfesxvA%yq@1%ysF(0$-|@ zxZ`y3uPSlg>H^XmsXLKW=HKQZik;UhMO~%v9^=r&OU_*`D!}5ixdOb>%wXPP(kKgj zj@mMG{#~&B>MOhj#r5LAmq>oDK=*^f+c$oG`UVm1N0OkL7##>JfCDsW5*){x2n~u( z@_{N+N0p2Y%UdU@Wd0BNSlEIPo+BN#(qgUj3BXFZBr3e3>Bx8?!Py2TqV|=F*|8o^ zqkh!-r2Hd$(X)9#p*Q%L->Xw3-9(2?@wd9=xk?G_&7+bq-d{S5LQAXIshP!95 zNS6{}3f23|#fml}$AZb)e|~&I0r_V&si;?JJ5mHxQplPP1f9~aMb+VS65!toZld<4 z8oD)l9;Yj*0t|DtQR$9H1x$0$oW;fWR&e&~{gnGBI2kj{gj~f7dlFdn$+b*mKD8QiSK`*k z^CKdgWwJ_}wD7ELibQeBByD(RvPz~03BLS&;1UK}@7+)!PT;q`6=MoD=N~n#Ey(hN zLBdiA2wzehwdeXX!@*7jq@u!H@%btUYR8j66eWaStj6CB76$yQa>@Y5U1n3(qslc@ zR@&y!i$|u(70H7p4i4AA(Ff}nlc&T3Y0|0XUt3uCI4G<|wtdtu zOy_0h0e|ZARI4x1Fcr#vvk+5ei+&sx76fsVnF8%?LT5M>^+@gO%V^x-w2%<-YjGvl zDdK?Ar-o>=#*fSqbDV(!V3j)vg9tnc5nUZ3!KCd_aL7R6>5pVuQAmz^t_44ro*yl} z$8Ky!^vXKz{tx1v)Q;RK+=@u|aEp=FAH2j(SuWNI|6cbyTl& z8xy2o%#ymeLyUkf;fH8yFi4IMQ*sTZ&EyR};n3=Ye{atRHzYWgj^nq_y?p}ybqEOd`+tzc8sK427bh4x6)uQw zuYtH*P0>N51WBN&+YU)zCQ6N=d8VxT$AZ(^Kx=B)NW)mo2i^t&XPj}P$nlbr)oS;U z;M3Aawf$s~<|Rr5D82De4ORwxF$yE?2~05AMU%OE>Gw0R_nP=Y3Skd7lk`nAo3|uf z4GO7djQONxxm}&PwjSiPm>NpB3D)0wLLViEAgcOwr69XgHl^Y`RE};d0Pjmu8$B}n zyRz$=uK{T1et9hic`S!EgXv{EyH{M&$YxATVxP@`vBDGA<=c-fPG}$p)jhnQXUL(Y zAF^F}cy}pXh{&+qKKDswP9dZgy|P~3BJr28q5pB+A7&j6UbH$_4DlR6Fd#3EC>9jJ z8c4>DPlLi@o8|rks`4rgqwWd2_$B>U45HThueHAcGzD<_wQoHP>BnMSj1RCqjGLQO z`ydT}LVr)l+c2%d&qC)2s-BcQ?}xmeY9N;6?9xhSm2}I*%Ym4`_37P@i3*jdNk}e% zotX*MLna)7gmSBM!JDsbam*CbqGzSqhHIj!gD28m7YqA_5=nNgdu%95SJO-?Wq`9@ z4X?zh>b(~kBtf~|D2?!7?K;Xn7!=zl0t_2y%LlFcvZk8vNjn>tl5ld>YHv$yQdLpD zQ~N;OrZyhjq>-4we|PbIbFD{uy|wq|9|V?;^Ii({Y3s~;O%EPfgT(jtT;0ePNs5=g z!8OEo1c}Vcsf?v%naX&si4je$KlKFWe?GRHedp1vkIgSH^Gi;?o1gRTy5w18t`T$G zPyn!ANRScyGGX$P_Vky0V)o3Cc1CUnXHqvecwM{qz`yd`7Ub>eRif9xri0hRX8uOk zTL)Zif_G`7zZKH%&jUb?R<{$ppS^xZ$-$0lwr2l6byNjLA0$b)N&eiZOEMD)~d!@_ceyP29NPt)w(h#f|p6= z{*58X>w>Mwi7+Bsi0Kcf1I%Rv66cbBEOQdljcA4JS)OR?dO~|i~M>=*|GcYLpZ0# zglU96XXYxjNVRe60#H(CFQE2opNt~_8fkK zgfATqW&(nBb39`)D93~gYk7LqCd0$_j5yJ1M?=P`w!T-*f~(Xfx&iv`$M23H@a%v> zY6kcU4ci#0r<)C)| z)S4o>ryfQN&DsgN2?lyQm)7BChFiL;_8au+)UP_c&^8XU@ixoxrn<0*wx76yejC{) zZFPfpcF1WkP}a}U#*_?0Inm`72%_Np@zi_g?(xLSNAOw=9Ojw@Rvzw?YHG?BziB>j ztY0PpnCK6b_%Tdo^`6ZkUSQ#0M;XDcCbnpBVE3uFSGP9Z_Qa_MKf5rpfmjC4tI&gZ zcNjoq9Q z`-hBu$HzfuRxdeu>qYH!K<+|R+5OH=eIp6L>aapa@Id*Fb-~L??S%Lpi@PL)vXK?H z@!$Jz#rH3hB;Qzr?3cGX?@*4_a=xDn>Pk`d}S{d~mj%eE!N)`KM@@i!Nyt@tN>b|Z=5%@xf zUr!UT8si;Vko1m1ju{0^M`(eNH(~oo(d9U_=1yOx@sv2X)7bp@zXmcYsNU2gfa?Y( z>oJ@Q2*Nr3NI{&b^ujrPwsTcyHDKXdLTt7utsM9|1Ox0zQsADm@_#c%@Rt%6EN}j< z)|P7#*IiLB;F#AvAkxyMWOMq*!UHWgA+YidE3Mfaz}MsSf_?|6%{A^MN!&=e!EqM6 z(ZijTLvU&?g~V(8GG0~Ft3gm+<;4!KQ&YLLg6qbk!{tXKR5LOKk(}*vs z9#z&LQ`G+A#fQe1)~h9;+A^sHtqWlnmW+0J zhY#>Vz8bSJGDP*{A&@#&gp6J!&wBTeAt>|F1ogifENH7XSxY9PpGH=vv%?U1+Gc^Fc<*frNlB$gZgn|37RuS z9Gfg6JT?g2i@L5+Fhdh*7`EX>3IZ%(N`1Pwqz5cM->h7EKez;-;=*FxT_$!klGOVK zr-*0y$`k7l@EQl^ro@~Ak4YFEk4>hsycwrcHelzb)I`|t)zPN;vBfEWh5R4V-Z{9E z@7@26CYso`jUC&zZJQI@HYc`iTNB%MGI28D-2M5S@43HoPu;rz+^T-Cx_0%h-m7<4 zueDzNyvJGe9_&m@D05udq zIvR@tqRW?f;CJE(#4K|+umhcSP3pWJ7@OyMKub>mT)@F3iyc*=M_ZxD&C z{S>m1@4Rr_hip__;`f7F1S(0cvA=TD3&kZF7)efrhS34@DZF!`mLrl%31*z7vVe;D zDI%)g22`l^Vc3)Y)U+^Hlf|HnF{WJB?c}yM0nn@pT(4GR5Cwg>JvI0^g|a6ou(2YL zPu96q)UDkmOupDag3^7Yq%_21iwjT_UX>I=8P?8?w_zwK!KM3xa3nziv3NwsKTR=nESoK+uUdR#>Eo?$Q<)iA0 zXeG)Tao{>&2l?Q1!I)y4&OaKDM7dS=Y>^SEnKLz~@#ytW?c3KNt&$?Y%kLXB!%@&8 zMc{?eaCqP6s|u{=E1~d_7RMuV zAo9&2gyJ=3?);jd!j~y)V3EwQJ21U!fk!ZFddcQsU9c%LPF}5^+uZkJ#sLbUw#SO# zl$$x#hivl>P1E$8i;`aVqZ{QMeU; zf?#LVztjJ=2ql86%0r&wVEec&aD`o%QZ4wCOoB){I~y*{n6hC-x#l&gI-Adk-sr)n z?#HYh8FQi%m;DT7bqY}kGQ0q(sLygHm=*QSEr)deM4Pvxjy%5`P%Pp*FCTl+myf=B zM6>v;^EQhkG{EXAAmegxFhuJMZEbi3Fg)`esw$5t&d*cZJK^jS@STL!qM;A?P zExac_nDGuWv(|3*2zFii>vS~xxSHIQ;w>*s&3(+;JZWV%5k-(^V&4 z6V$HKifA{N82mUhdOF3Eeq5-V7Ts8MT*%YO1}@Jdi{R^e7A%5^3bU#TM_DRKTj*xy zf+P}6ZLIPib2p93fXyVa7!yTlG9l4Q1an|tXPLzdMO+E#war5BF6O27FchHbLtH`K zgNDO95+F<#&|Um|3EM`TGjzvYjJZCS7LZVbT3nDypT`brB&AVg?*cPxqWw3hp=riv zk~nJ2Tu?X?`}u1&Gu5V7SGzBm)b;)jdX}#;Vzm0BI?{L5Qk&1P4_R?QVt6XYup)ACmzce{;sqtytV%T+K zP*ovAT=uEjdRWy#UsU!9L1I{Sc28WEZBS&mnYhR(Itydg9$bab1eYl`JA)lv!$cZF zRtLwdav+&_(FImzoAI(auq>BJoYx|*#9+voDDCGo204MXF2b;CjHyAc7cW+Wu0D@r z&(VH=7Xom$&;7O{cozSs0?~VWMlOAK$veEUQf($fow1Ycep~>XCR|VxgpTY4MmAt6 z_%HHe=}&g8TKWw>TN?W*IwreJ*Ax06!d9kf9c{CzVL;9SLE}i8tPb40D<&pcFO#On z6feNRYT8Q0#$I_ljey?d6wlxtF_!=r!aFUjiK92 z^}6jb_A`yYb9Z6$CEIkPu=F!czje28>fgY^`waXtnd1}s8WsnuR8N_zU*UeM+l9rR zD%%HIFQ+B|T^GqrL2a5)1};c=r&15LoA{??^x>hGX|N{el8Ke{2WS6|E$e36^rF16 zwc~P)65Y6tDWxIE4!m$h+|wszrs35tU!>mA+}~`iw;K1ZMMq`w_iOV#?s(faWB(Pd z&h$q#w7-uPoo`U{xF^&#Y&!9Y7tz6sZqS_FyRMpjSAb8)x(%6X{DrC=_N!^PfAQ%H zGq($#cfXPCZaCV~d|lB+JNk!bn4i)+zYJ#%S=!re(rlv;s}A=n2GCeaOjA ziyZi#3=B)XT=?tl-QoQ?eBWG=Hg%)A{cEJCh#h)Mk-W9SZ1@~w&V`p*j@J(RHy=-^ zBjjW#*&SxjZPXK$fW6T@VVz)s!0C;tXwG-R@TY~upMb?;vhL4!X^rhENT;687{{+s zotGSB3Fa4gNo0~Km}svWoc_`vpXuSbBVzPQjGI>*691XSiunSg-i!9%vo6MNykU|) z%JOC3C`od@yGRJKHr|#A>(xsDBvdKC^z$2K0VAB?;-VriYe@vny|z!S23jIkHD9mZ zStKX#S5X-VFgk@?lG%+Z0DjvFtNhGGId&~cR~vogdA)D^kCs;AWi`Mm#mOwYCEs@+ug?y& z*IT|wu6XMhd zT+HfwZ~N2gb3?KGvcf3miI53QgC%wh$>ncdHxQJMjlhYS3BB&Js=PBu`Jqux@$e+i z2=pTndn0bZA!EIW^aNr1^N(M9u@rroV$LSDL4e}Z4yBz^>tj!Xup%e{Lel(|N5MkC zcQ1a;D8*~xcS+uK`jwhsz7=cpjgZ*sa$#1i+w7)Yy}^-*ULvDKtdP1O=~xfmGp-7*i5*4{kuv@cfzE3BMR` zPB<(;LW|hx?E}-ddbBa&D|S+Q^Tp3;3V85dv%2&Cpskbj{yhtBP#l=pNo1EI0o1>3PZbKG zx-nKj$^pXCg%+2!>X6IDl|nsh4hq2Ew-`3MXz-w?d909eR3lq&NgAlsjY1&le>q{1 z0xD5%46F%RQA&O|@tw(Ue}7P#dStkepdZ3zwVx`^!RBCgn1(nL+@uInn*XnisP*>RNZbnp3lXeOJv5;p2#OdCH9ODgXR1%ZjW*vH`QLGYr_6pCH<%# zx>vfURf1imm}C4+`BN17?C>4dnI zvTvm$?)<9hB^a3@LeLO#%W@4#@}>DgaYcwMlqEZO{S zST#DyO)#ljjN_C0&!$K0omCwEE*#d8KD0Gmu!`YYLv4QMzR}6K1b58T!5VpQbWUUz zvogXUy1mXp*c?CCxf6ai!wRs4IJ=FsA-xxa_{nuxYZ&v!PmJ&Vl24R+Befvqig?c_ z#U1!tsFzZTa+YuWh3uRlaI=BL7P_9f-zRo17K$VD#xVCBj^Z~RkV=d3ju@Nz!GDK) zxPOp{cs}aU?s2r(K`h@Z711T{Kl=Ig{?L%zT*RG4Alwb~`Tu$n(w`@5NH^v7z9brl z4(IqhTNb-J6?KO(yE}D^r&EXPtVXZg>5IlbP~UM^$Qo>ZxP%&e#2D>*n|j0<{oqP7 z{K1^Q*M-GD>R6VSKn{1OFHk`sX+89W^eXZ?Zm@yNnsTM{_|_Qk3a)t`hO{byxriw! z22MPOnH5=FIQ|L2T7WZh^b7s+bL$H5P-J`V6@muc^N>RD#snx5^7tU=X1bM`tqw@1{@K=U zi%qo0;Uz%V18e+f`~Z%Ro`WGT1gvuDgl8pnpwUehNw}6&{BZ~0MzyQvq}022Pa!|bb@ww(Q8C%dcvZf3$pP%36u@WvN2kzJ= zA>gOUm|#u+$i4MZn*|(Pq~gLdsHdX|(qmP%5dbHP0obgogN-aJ6`7+H_;jDRRVYO! z5%Ms0afN7c@eV&Ozm!o@^ar}TzkDVHDhj;d5bwq0M*8XJk3I5*B`F_=GDtUE&Y0^r zQJ8Q4fz4W*%O!n1PoVo5aPlb6@LeLDcaY@x1TRm(1P_O7kK|vergM;Sq{JRvLPl9u zb8sTWYmf+yVi2_-5fsFpuJJdbE~ZZL!2p#GHvtl=MSl#XeE2D1vLwd@gaMUGH@0%B z2KRTsdl#dEBg5orpfK}f} z2yi#S$rXmCIIq^l2Y;I=ec8lx`OIK>w{5x(=IaRih+6*_VfOz0QMN9;!|>F)s2*G_ zgsJNyn3U;^W>OJ+s_`CgXX&kg>RV;dYeME!#c}sTq<_OmyRvMrh zch7lz-qweLwiJAsm>i54<=Ut}iUTp)^E`?8l&}MYGIKDYg4m1b>p-d( z%n4~;T`$Fy_BZcJXMs}CRR^8qRit;LPc|IzSq=~j4u_^Gm$g1t)`3?{Yu%G4DM^^YHG&?Cu+r-8 zll9djJX39@Idh9zyTr5e;-6!1L3p;as{;=M52t{?BT7q9n z@-$EtRYu{Kx{U;yG85_Ha)$VI(AA{)fy>IU37v!gyh)-Nq33+#7+=>Xu+uBh^F=3tKtA^R^!40(zh;gZYtj5urcQgM$Zeo5wl*MF?|UM1 zb^M02_Iq@@*!!|lfaMdIk)F-Cme$ql)7TW$HS(^3U!Q;FogHZ@jvJ?O<$%q95~Xm` zQn{9@f5L@llsheVZ5*Cx3ry0#*qJ)Tca6Sm2)U}zNh-TbG8JPM{kbe=u**HsSM{cL zp=`GD3iBkBrEx)C79?~qn+Qo5SX^R>Kb0ji9x zLf8LxCa(VtM|^AeKBv5G2FbfC(6dv{7-%*|u8`a|cHl3ec{uW=%t5wP@| z@$cyvj~*SGdE0S7>OD{QXao1^9VAMf9dp0*p;K=C$XJg)L4`&UHwXJu17xHGo0HHIfJ*|`XHu#>ktoXurJ{i@8>*PLylj^33nf6klF?)9Omdu=-G*`PX_OHY?t&Mu zQ@3vw4?;3xh8ZC zx$6+as2fCdp|Yd#!LJzl5kOlJ>$&<%LB6x0l5ef+J8AhsK$EWuzW{|RmXL3etagP*Bb($KJLqBFV3w%pGQ2AL$ zvTrXRcPxZ)y@Bu*yC^CCPiN44tr<_Hmz+W7W|Sy26UT)@>#2i&fw4?{==R92SXboBpDRD zEy2P%+BZwcn)8ywrXwJ*#O`?ig3^+^V~2>}o2o#?mpegf zfaB+P7GXALSVF9DjX)&|IL@abc=Ck7{I^}BXavr?+uP9lAYDJPx7q|78m(`bVeAF) zH)emdywEl|zDbB5Hg8;}QQhOkI*#wM%5Ll?A1^_*O8p<|#Cxmw;G2tw_v@dBwTv%}j&vDdXbv3)oWHU9D*r`hDUVcEbPN3o? zbWoG1iQkQK zEy4Z2*Q~$|p}xQW67F}^N3aE@nfdVM_h@H=k0bm60)jk!fBXG?ai=$RQA6shG$6pCwu~w(yaGJAk7IwlH%s$a$T!R0WYkLqHVd1v~N6 zJdZ^hq^g^Rlsb3kq2XFWp<;o!5-T(;#L!THJ^SK_w+!p?mogUiZN#xGrY+s{B-jDv zfFtJ~-vV0i=$tLKPx$Prz4VZ&qy;j z-N&LxGoQp4N+%4^!cj^GyH3vaPrf*$SR^Yl-D4Z*j>&}Np8(M|hFDyYbTXuaFJWsT z3{=AQ^ilx;+LdH}+VY7nfhP!oEpm+=HvmY#kL@)8fE{89&H~m?6k85w2ra<`E3Vjv z9Lvx2f@qk^3?kqP3CYky<6v336$mfBp-QxoS;TxHKba$#{0nO@uv*Ie*0F4kESV1% zTaIPD$rEL;=yXrwKd{-sU6tzp!Oir&|9|0T+xIF@h`aJ-Lcg=;)g|7d`N<&Gw0;ZJ zU|lPe-{_)LOQd>0k!n=gFa6O>MKdJ5j_c;*8<gz!ek%GVdf2QKdJ@NSflVACzI?o<0E)wA z_#sO*2KR_gEyw>f>3^MF59G{LqIxtS|2JpWhWP)%ndNA3zedi2#6Aw_m{-z{nu+0D z@Xg}6!Q7LPs?OdGryLH{cD?!gry$RgtM(~3F1C}8k2uUXL{O$&;*F;=Db$7( zVg)o|@Bho085DOgVC7w*m6h5?e|;wag&O7&yUzhj1) zE6s8tNpMxL@xbGdi{4WaZUKU=qg6%?K7LM@Sx)rs7~=!YIYG#N@e-T{#2fNj(FkDp16bEf!3dv@kO4D5sGo4(j~GA^)PU ze+5|e0L!-|mcE8KUs$@2C4;_RCKA_%y_cnt9-@{@M`5oE^@RP<#*k$$`>YZ4rCE@? zbJ?X=CrO=9ib8LbPZGDt4I%Jsr&URuV`RKqg-|r!(Xk|8U{r8iF)@+-LKb0OGkITZ z2Zx}>J;1!_qQrK`Thp6(!%&F(ZE(+6h+AX_q^+ZdFMLng=`}=eZQ{GvH-IraZoUBjlDJJeRE8Q0ircS8mo*Y8Ryg%s z?=Sm%4DHYL@MnJ4+X5c5<7`IG`iT#ayg{Njq730sPpU7$#hELBvc@~9st!{;yEqn^ z?FL@HksXdr*|)E+!*k$2`94rcLF)E%@!|3v%0dazrbR@>t(`yy)ndd1`<;$Re`H;B ztr>l$((7;scR&U1GWS86aAZMDP!BO+a;kD+GJM{*Cq|qlQU#^`Gh&o&3 z)VVxD3TZWLypNU2*cuD}=z~|mW3#-T@TG7i+-4eKPGmui;xNomJOUe1eStw=1j;2L zRXaubGX4JHRf=r4i)+vQ$5@_D!)M+@VB{8=4r=z552*|9S41Bk9h@VV+s1#6fK4G_8IIjF)`6b!se4q#K9IBkd~c`omvj&Ic)+`sE-Z7dI@<&tLdiJ_>ooW z)(@uN*r{>QY#jlX-MI_TOhtWo&Qd&l9ph+Z(v-g-*Tf%?8_1Rvp%;D0ghm@Pk)R4&Z+vhA{)!%#3Dt~USmX9;X^NtebYOoCHKa5dryK~v zxcOSlY?CHO29t7R(KdNt)pOeZ^l%r-$dRQQReBgHmxNxfV_zX8_2omzJ33p2b{;xj}9R4NlGF@L{)En9Y zklYi!%pxBrnc*`Ziw+c`IT1?!nGxbCTVW-IX01^-ogT^9Yf`E&U%cS&( zik%6V=NC$JBZQDgpT5bd`K_+9S`k=7p;HcC?Uh!`e@3^p`=@`WeL`h98V;VU#e^ z$14YEeV)uFeOSmOaj9Bbs8h2~_EHQ02iYU-mE+dx4TnqK?f{m9%rIsCJ`L*7q(K360)y>(><5L-~{9O=UN-k2vQ6Hduw0E)+;;5>c>RwG9?UjZ$$|n`w%}YnC(|+w_ ztr;_@_W;O?@y#(6Fh+;L_>Kc)&yvfHqT=aIDe(7XSW-X10i4Hs$%Z3M{CiMwu>Z)O^VT z+6yXGCZbUNFW)*a(tYDl{rfUPGW4Az>*iR>8n{6zT9ch(F-QLe5(b9_<6oK04ATo+ zQ1iuVLI5i@BteQH65P2a?Oa@ehA&6Lz$(dM_MV{yG6qWR zc;!^O(yC|-A~cgwVLgH|w(=wyRaZlAa0iH;cT)cI_OgCtqT1tWA~))hORv|-1QHn% zo$FCrg*fHV=ZWfLHu;h4La8MeLneoDU#CVOyLrpC}@TeH00|9 zY8GgsW%KwYcmmu#PVO}GY>=K(;X7vlJRIL?C_3$TEUz;mnn&&_kR3W4s)+28^-iTf z0J+2b5A}+)#KL9mEOf}8?7%$Z2Cza67^E!d+f6>yc=yGHuyN~CrsykVVr zbJ*#!kb)QVm^D$r(|d_TO@9+F>lxf_0j<3Pd4AldW`w%%99xb>qpU{WK!RiLB8weV z-nXIIaNv0|y5`b1J%RAKK=LIM_^|LLN0eI3Oe0J$HsIE|@){2BCn0gns;Nv>tjC3{aS>yf3fAk$n@!3HM_ zn*&wTQPyz(d)}*P9T(W{FHiQRNw`rapFY&J5E#uG7)`}fHR(m?N8wT{E|QHjlX!hk zLy3N~%kMt(Fno7z@S0fK3|5hm1FWAjv$yAB=FX(lPl3YifHSxm@5EA-S;IOCY6EThl^zOgo(Y^~ zG84*uoy<}BpqBIEQXv8nvMMZfT~aY@cr*5to`ML@j$^K(c!!7In(QqwicX~K0}uNn zm8*-ONC<Q0|V)*fAt&Ue~$(xD3Ub?vtH?|lMvfb_fdd*d5_{NQmiC01EQ$^n@?RAsE z)BBi~LDoY~vEFMdQRaIt_=|FRg!tw3I9($+{bLob806xwANEnE8EBxUHC%0;ze5KpaAQy=4^0^JoK^W)bs=~Pj!^ThMKXGP@&Th$FR+vMC;q&6nKRE&y2F~rk zQiY1c9t7P>_`K73tr)FdQn5L9O$&O8KimKEW3{jO^Ir)tif^4$$OYT0{k1W9hq9dW z=$>plL_-N3Qi~YerxD$EL@#t~hgIU#UFIY`nDMQrUGBbm(?FEt)eo>H07!IKOTNSE zkM5gb{B3;c4!Y~_0z0@eQBGP?43%uVd_a)yC}EpBM1)a;$-AG<)9D0}c=#3H=8TqX zy37iV52s5;Xp^51a1JEK3c_roGcNezA}VryV>2$+SN~s<^Y&0+VcRhrC=Ye~$8p?TvOP+F-P*1?AJL(NM$o#uaQLEg^6-g~SxT?{v+Z%&Q^p{t zt$D1w;h+^qp__;^Joj9dZ#7-XR?IT@q!TNMag{o0 z{~xaG0-h|rXh+bQYm`C4;9sun7mzEfSDU@O){m&;cp&nL&3?yu_grO8x#k}BJ7aq` zuFF|!!1RWHUpvOBL#!>6pEkaE+_;mkYgR)!P=UJfikhOj^eVx-BF@$570~5?vt*&y z%N>IJ2PfMe85wlBczDD-`mS)cLY_;%?&|wD)IuiOUXiuK7>JG0kRdjXiBttMDE#;w z29@fx-kC!w2+k=vZwetLX}Ef)Got-T?YjAXsABq_X(19jIO1ho@zUm-rlOHTKiyuy zGnZ?eZc(gv&G9S zzwT(aiy3)-J#7F6Jumz0bxGq`j@gJp6X*z`nk8?ahlbxj)Wc5%&xKjbqW32>9kJX_ zisHk}?b~HPoDh+wnN`NeE&igJ6jG03GYR8xhF_gc-EvVz9?uh^g!L_JiMvc<-VHR( z4DA1HIV@Y+gR4bP=tH$4@n=w5xP6t91E-PI;4=&RJM1RsSTNpkVO|2dT6tz$N)NHM zC=Df;3?nFlaGO1NMwCDgw>bJN3XKi%#@o)mnu$njL(#ieLDlsJUnSkc9{`{KR+c86u=|>ocumopE$e-5y zii-_my%U0ur-TZGH>JGQDHcpoQV%`-v>n9nm&+3tlkH zVu7E;Sk&ATZg||38z9+!5u|gG=4gl8RhJ>pDa2K`mKD=UmPYi)NvCTT(n7(+VRmN( zoE`42g1D(A$E$e@`GcF7XqebNx+Kth<$~_UfxGthK%6T^<4r&;8qqod&}3ik$L_bd zj+Hs#WXF#0r9>phj+MJXWW!^RxolmjVHcemC@BeHTLRA8JJI?<7ma3*+^9%~ChzsM zM8o(Nn>aS~I17gH1jmwIqxeZgU^u&>NrpDq9&o4BEEK-n!jl|DGXc0|QevGI0F6!2 zZXe5z;uq;f0qLWoZ#Lt|XmsnB4{^Ca`G-J-kg!{^BqD@OsffoO1x+(J!n=h`Ghm<= zfSv;iRM=FLEF2jplegHM*N5jM&mlPI`QoM8PvN3%M*CEQeoT4gb8PJJ`gs5T&z;8? zRg$_Fg~r6m)2!8}3$+7{|0#lY1OBySN#EtvSaef1)wQ(;mDF%l32bhU=xbMn<{i_> zjX3kVLTVrG2nHdCnrZq&Hdjg*xdZ8V!y zb8)kE8U+1$K6!~+2braml@%$BwzBq)p`*^)pSLQYry zucDPIB-d%E#W5Qm%pl}yV9R>?d%;C1QuCFzXLAk-jCOW2J=H&+jS8l7+sa!J#kFe@ zqk!zpJ@2=3Ha(kGrP{U7!)e9-8k;}j^sdRnkMnPjFIyiy4qyBL|J|C&BQ;|kah2z_ zxv|o#25N8HNrLRg|4Q-mI<88BT6W%_W=GGm(Zc3j^F%GVHAw(^OvqXCSFWGTFv6`4dR)Y_>B3o-za{TdF!%DUYVxBa7VAF_OU&e+h1N=+^vyWK+~i|dh4pN z%yB%sDXm`Nt0YxN(ypUJ&RXX}c1>ELl?gF-mxoZXJ(M65{vQ^U*8EhMRJ?p(AyI3x`y1||qg}VKiM~s?WdF}IURE4w^?BN(WQj_*IsqBuO(QL96C(g;-hOGxWhG#Ou5hP#zuoNGT+;v z%S#Gk`+Z|shQ#v5>&ckaNY4U2Xn)SLx~fBXj)2?uDlNpEKqJ=?S+zv#gZG%#`=yHf}M4dw>yNr^} zjatBI&d4SUa#Z}>rU+#3-B?zaK@pM9{5$8s9Fxk0@`*8|nW-{=!@Wwv@atZu+Bc%6 z?W^{I`JnIDdw#SKAD^_SYpewY2&zG9#hI>#hkX>T=_y5ey5k>Lh@|0`KNo5 z!oJmA#=l7SF596zd?ni7A0_BD^h$F}U?P%MefydcR@kmPYOQ83$$q?Qt$tbY^EpEPQyKCah%c5Yv9)*74+ zTT<3n~QgT*~ ze{2Idm#4#$BZwX~KbSt#&SCfvgyW3`jsOwQw83nj41N(Z)G8=k(PH;fbh!$~O1>!3 z*D9HTa}J43n&o-JU|(ePDp$fkEtez_>g^Ng>^cwJxP|*JT>#+~eKww#a1nm1_X{x< zSaDg6)j=$vn%|6-+U1i|@@WE3q`#@Eq^c3IDE{j;%V?yDFig#GQt@!)a@Gqb44pQq zHMiVhG1_v1E_n|GRiYMz-F^=Ry8j+3ysAT9*aj1PF z!jNE}go}h%*zhmKGW_PYC9L}*sxeZwWotGx1uRB^70A##WkoE;Z~r44)5fF+seO-M zSZkLYc+zm@$r3etZa1$ZYc8A8yiKf@XNlYn~s`pdEDf` zjFx@J_p86SW{nZVS+FK7$yy%cTS^!7R`cRXYRj#z)#6(;_|)O~SM;B#HdVDvTCTk-G7@yK8P3}!Mu-h<2+Os5 zGE?eshL05Hwqpv{RhUxo}5<-*{@%tY1)Z z%Z?GBdDG$7uhP?F`m9~9WytoU1+S2&PS>fX;i6N|!CRT71y8QhMxX^>lq-*w95Pm# zGE(anLXTg0R1P*1PYIIlTW-FX1m5K+Dymf9=nq$)%d7O>^frrXO=Rn6N%mxPu>r;5>pPvUTtwbwe;AdS+=q>-SNpl4-@ zT@UkocAg6Mg{qIN2Xs;b6Y8UV_v>XdeTDgp*$eF{N$xqtO$t)DcKi|-&Egv3f4XmU z%1F=Hs2dGqR7MCG(5z8=>lhl(+nB7K$SN6DfC*sV=CU!*k9L(Z8Ks6fq8ci(#j&OA z!g{K8L@*m$3)%CI>V&$x73~2FAvzO1+|7r%ZofWb)lu_Uqs`l;-7}mV7&L!-SzzD6DI%(9h5&^mMOyDY<8)e4y7|QZf-RSB7F+n{ z=_@KE^2sa$PPY`}9S!?FyTDgUIZWFt^Qmb~ToC0~ibe=V~VfEm4xK zbU9PH8m6WbQ&}Vabzdr_klv=2R!iuQxV*>hx9MKJO|i8ian7NX6ZP?@sT$JZa*R-v zZNIhqqr|~Z{>xbwQs=tC3b4=aW~TiyVux$LXfkCtD3aa7P>s+w@z5qHjE!@8laN*a z{C%U&(yX;zz11FXn@jcZKD?c!I)=2tDY~A<-yYh&QpXB#if>hChE zJ+N()j9aD*lT*~Wy^*xeDCpUdu~ieZjUy59RqCapTIhr2l(ko@YjAQ^Hx;a7@|Lg^ zG}_EKUoaz9}o!Q6qg| zG(}B9LoY%tJ*fFsIT!&~AHGp<(hxPXMjJBQxIT6wMzvm4et?3SIaJx}{4MqV5Ef*bet1L3jtCM8uAUoB4 zGP4$6p(#B@p2>DZLd--KGO?DmnbDP^sp1JEqvY#DPK`o{scZo@LTVBNF)&Q1hM04b zsUUkD0L*BFZSbsR=v9!lb%cRwQU!Dk@Ter!Rxk~#G{nypBug7-2;RSDk^U;zb{BN&`%_HnO01C(C6eTy+p8Tt(ZC6X;^kT7hTm z$U#NCFW1rAXy&STsuF^!*>ro32ygIZLJ7sI#L7Cwt2P)v>>>MqF!+n%a zj%9RH)*&~QN5nE?@pfH~w!4n>!jE+cFfh#~^I0~O$I)zM&@s&@?jlCOF)&wWrD=;5&mC3nE96Aq*Q86C7}>EJuH?f$y>_< zCt^L?PR06vvG>+daRlv}IIaPLLx4bV39i8*xDx^-xD#No;2JczBte2(kO*P0!8HU3 zE&&F2cXzS{`M%wIcJJ=~?zy|?_s4$c&{WrSb$8WU^-ewS^Ym0>rx7c&cxE!dOf^g0 z-j0WanjMH%;$Z*$?lN`kX`AwXx0+rcxd}m7j0@tiLXVzJB<@PZs7(^B#Te~o-E7&Q%c*no&r-6ksLn2jq<_?)Ak3}o!W_s;z@%V5WaqqSRDKD zpYymSuM~OaRP#V29%2#Fm?>TeYf@u}S zmjlI7Q#G9p!FxCoxC`3hj)%_o)t#3}xnA#%u4Zl^kGS-2kl&ZF{L#FHD34dYAE1Bx z3S9L+{vxy0#a^y4dutm9Ye@l|&x0|H%a&yB+CYNhXBSfXO-gnR)YiGkX8jQ1NGsc|$+EmlNK7ox(c?e_jk&9xD=$ zz-3LUJ^Li3C-#X~ZCgs-TVs4!eT^)21D`G zNH|<8=v^2Y`u+ta9uLM#gPOdmmSXi<)nTdni@lgs+y%1Exr_LgD&)q9VW}%?N|!}V zLc}dL?z!ldEf4Ow9x`~Hd2>qQnK_2>e0VJ%4qVOL{VjLuwg`h$JwhCm%<1U$;`&eO zx$}1CtMC%C{xeUmpw2-ya`h;#IX9lhJ>o|LP8oiaf%es>)ToaN|2b?Pkb@< zv=?h-jEHEOE0Xmn0E?yicF;na$kvV;PbELF2I>@i`(7@zrU!!F$9l`F5X$Z_z zw5?piBV^f718-k>&ehd{7iCYH0NMXiB;{;5RZRbcnAc}ol>dQJPewprW@2w<;yy+& z!fZ!%3Y1PN?u_s-5E~7DhYWXtC_p;`v5=vrVN_)UYw60#@1x8+;xfKv92tKfPcL!m z+9IxC5<_o}7uXqD5;IvGq*ageG-{+nnsmc8zDb9$u(pj1KU-svim7ij%rY*)i{n&p zu*IQ*Y?&yho|5>SA1)po?YoLKHHhbgWf^6v^{*xuZqT!xT(8ex$=IG`w}i=k3P5rG zKv%u7IuI5ney`H?;^yqc+n-RZ&c00ldGcxZVn?o?MSZvJ)sg#?h9R*IDkAr&;mNqw zvDMAo|CpPR)T-UNd`)uIMj1XfWKZ}wK%Vobwu(a?Sd zA$k~daSa%~%>VpYZyJ-%jgyyZnqYTU!YFh0O+7oScV3SA*ug=^WCstD>9M6#X>oUM zKIeyR74oKfF-CT^+Bww({znO#!*)_EQR8ITIZE!2L*@+L40xen$u|VW8oF-e9$Ixb zbMG7SA1>r5Qy+J?xb(cd*gsMiO8&x?{(~5O zT`Hqc(nH1Q-k7dB~CMp@8m_vLwv-19#yT(S!4ftX52Q%tz7m-GlbROcCVP1}hc z8-dfQLFZbmr-LjVF?6R3{jt8Um-6VSDW5p)SsIB4rDln9P&Z|0_4?gssR$@{W1Rhd zpqeJPnP=fnb@a-o`SMgki*!vK<0?%u(2EEDV!VHZg|l$7+XZSj zW~Ue5KRVidfg|0&yDKpxwc9-~>6m;t%;seA3-y3>*Qji*=GjM7K3pfn{>?txH8;qkEY^u=CPzP|(~wPW(?*lad|Qb-LR@+|FqDIW3|&3y1z zrGfpDUjrnG)#`y6(CDd_qZy;5hwiB65F5yqfzg+osTuH{GSkBIn*I8C{d)2Ij}MaF zb7C;W5R-CQ(LQ<@S(kqW;Vq#Fv%n zU;jovU!8Q>_(l@5c9&iULuJvH^lewJrQLz?TuZXV8xF$hS>t!fw46s36+brVeEC)} zSIW#N1`+*AY650wjr!c>`tG|gVDFu+UjFDnhlJ&)FNL<4*Pxla^XpAxN8U3y0+0Tu z2-AOeqDl|=!n&q@d2W`38%{EX8Zz18SRXL&Q|Qh7Q5O3spc2{MV_$xD?L>fdLljj9 zzsxwO=4(it9~mwO@!MeK(D}J%^DVK_UfOOAqHiuwLdKr2T00Nryz4ecX6N#3UPEkN zBjoaF9)z7DJr*N~c!R#fI3{*ZYyX10#}DHR>OHq^+w_NXk93p0Taq{so+lw>m!Tje zG9VN^MwGO~M5r^wM3@Z0ykGc45260C3If>%iM?2Q|8HKt*B2MPDP)iRguix6HSa0d zg_ZPo^Wlj!y4fJEmexemG(`t!%gV`-0-WKFzbDO05pFEN71NC|qh^VNaDIM1*NHAK zF8tcs=g`Z2sqbXHynOn?*Y48D`{hdSWAV(J^TYMyBNUhz8;0C>tRU`^Ad`x3G-TEw zyUU{&#GuhqfGgm^1=V0CGl(ljZCDq@n3A!@ovSB6m@-5P2(JIW`}%0D5+RwX6f&%4 zFy}&wI!S~STK+z$^`)YeRAIx-{vonR&eUMw*IyV;{N#w=8R?owEKnd-oC@CPZ?mtu z#-%wO9e3mKm?lh)X}EIq9tq(nvm#LixOQ*)u9jK`s;4!>6vc0@)>P=QCpP1<{Wpa% zDpd3~M4Q|R+A>YOHs+T@A6AIXFP^TBISTx=^Sq9*ApPEix(V-29pqW1=)DyGYrtLF_{N6S~)A2dr$W7S^tJQtxJiq31Et zK$+9Ti8o=n66!&bO(s+m1f?QNzJ0ygjV@~8A}%p(4nx9Q4fD`L|L~(>GSbPh%5V~+d%~Ix4PL9w-F#9Ki71TK zRL~n)PUWw;fpjxm*@UXT^J`)jsW{_XNxD8??ziF^SF`6UM>=@pCy|3n{Xe0I4EIiE zqE$8IqZ>r8SA;1`C5VNiU`-v zr$UqutG2J8#E6fh4mJ}p#aL&-tI+WUc*C>|&gF9d2&EIa%_wnI3!pmHosA$PZ+;E) z4_qn6=qSbzgw^e9I_@9HQ|$5h_!rtMGo$n3Cw(A!sA&Yz{S0d^SKHhG64u6J#G9)e8O;;sUVL5OaQfu^ADt@5`z;{9%$5GavhmGr@tWT}4@ ze4sCn3*&r=JEQO^dYS;|A(wZv&hj)-3x^LXLpv0DDM}~l-1&icDcu?@z62DoC6lL$G!XZ(4I%!0;M*8fLwN}#e zMaM2IqB{N=nhMmH9){anMdjreHwUlh7B*Mt<_?Y$x%UR<#P~e%3}5jF#_r~GYC&`8 z@A3H{NtQFsnUu5WOJqK4Xqk+{<7qmuX=#DAbQ}uaG{57|qwr0^SK4NX9O1|h<*R^Lka_@y0 zKZTV1v>_}=Gko6QMz|MRX-_mg;VV|^-C5DZ4z(?K=YTdyP|Q(F`#I4`UjVD(xSsdD zOvljgpzqOx1Zm?I^tEQ4H_!PQYqbgn@r*sbz8{>J6Q{RRLXqCoF3T^djX2Mpl9SM( zh$#Hb9`{TxH_|qbdD2u%r=OXZ>m-Xg!GfqUs+O2JL)~>by-$HHiUSa);|rf1WUERl zYZopnU4^S^0|X*Zp1BfcB*E44=zl$eybms))m`Vu?k_nfHMxsj{Zud~`(du~w3>tTmQLfg!sg36dG zL@FjkUs3USpmknxio>3L&%0{zgkpw^`^O(SXye`~x)t#jZst|U zF!cxyvPJ0N%__{UJ>j<@jF^0$sG$tO_xh4guScO`m`!hc#Av_9!?-#nYE2(8s-Rf0 z$zLGkETTM3ft*^Ml4hfr8c+%Bx#sFA$4H%f+3QNQ+^VXc(j#rYfSLQgXhw!o6Bght zw5U{3`Rr+Q%86d+1i`SSjb1KA`wN%cFOc$Q!vvEYqe)EW-5=aEL`fk8NW2Owdm04A zl9|%15#LGG$rEKZ2YOBzjha47v;DA)P0%r!ihRc6(V>$)G4?I1hX=CoB=kLeVUu;j z=3UBr23iUl>)oa~K3-*`uC`8<&?bAobAcO}ew@=4i zDAm-S@5jWeg133(YjV5;44L-xS`a3MrM`_v{BCm*FW5A+sCaSAIq=vA-4>jos&XDp zR=XMM{%dk%(NA~+KX@y6m}$)=CUZ#0eBp@*S2QP>`r?pf_1vCvy6}8Nl0r7QDP=#O zxprJ!8#9u&k{J-5`ZL|RUJ zkevA%7Qwt|FM#}^cI+v^&z}#)--u>O7-u#b1xK^f8HEN#t5V+BAUdBFqKaN$Zc|!7 zq}r44OKO6nW3*f{F?nF0sEnPzlU%WSy`6h)dPZ*`)lOmoVc}PIFKr1E{_z4N zkf3NBBsCoL=Hv+dWhIPPjoEi)4JMqRg33D8^4#nUA^B24d`? zXw>)7Z%Z)nb;9ZAJh%is=C|~kw=ae!S=-zsg2_UNY0*SN!#@X08+ZgZmi2^hCJpE& zkvqEufJ|pVk4Gn#1aw`_~-=@-j6GExi3!+Wbv zQtga^KJxynosK@s8QvyU1tvEMU4E`z&WoK5XXSKRl;>*zuHsVqrXZKxw9O9FO7NdSSHV!Pp?aSDdK=JbwQet-+c$_Cbt(B^%5NK?lpugU^#4CMCQS?v%ygQJU) zgSa_6;RnIZswm`XO=j3U0+=l}pm%cEg27RfW-3itaB^^SJFBOYRG1q>;H&Ah92#>_ z&K1W4RZ5dns=c7}HV>mQrxOKTGTO5Y$&_k`i9fJ_Jh;arfQSB_t`i;ABqnhOMEvDmK8pY zr{2ehe$B++OVN-sAddcyC8vu@mu`njKKVJF-Gw{5hQ=Hj3>HhSPI%-$r*2?R@X4=? zXUNr(vjz&bI~#aB0_5^z!|&xmTO&V(vjj!k$C$ZVeuoRXz5rED>P^x~tJ${z-A;d6 z`~;0W?cLgz>KJI7PVQIBa78fgl^zFb$Cs`%+aiGxEuBqls|+C%1fMHb;Pyg*A)n<} zo`MdQ%l#I&%QQ-m-{NX+P4Vl9ym44t`MKb#zD1=4E)VR1yxk1PVv2^ea)o$LPY9>k zC_(W$jd>j=kHDirMo4=zjky*-$lyPnG>tDEv2Z;Fbs&-zylqe5fofB1bPVdxpNu@P z?!&6xF%$FdaNQgebHc(mdXnkDF>fhYbP9cFsU;v$P0Sa6r&|Zbu-kO#rhi3kQp$W( zZ9yiEtqUzhrO;RE9ZplmB7#8na{9PPAP}xJ6Z7BztsgB64X=~&TiU@#na6eap55({ zVG0P4I%D03KnU<}j2=msV&NAQ-PcuD&S@cSyDNc-BOrizM%B}6C{#z{RM&U}1B6#U z4Vr0ac#GO2vyJTgV}{xNk9onmP)8}2at2hl8J=wQ)0v={V%gxBUMeL})7hV)Bgri$ z=5?(Ubg05^noh$Fw&X(Xs1#}FKb{ysOBLs4-pxKam^AV#dlmPoyl<;9n zb_635MudBAt0v~dom;eXp;iL-n;<3+lJV;^x*i}Ln3!({nDk%^z^-VV2wU1$O5i~; zs?tsmKv%5w&6$|9kvYjf9|R}UKufV68VuwZD$?kkS~0^xIh^SqhEf{8m7%3Jk8ktf z*M}H*0s;~IE>F^|p{2!fXcTE^z|21aH!%cY;7&R-s`y)FObEA|eHJ%@`#2#&Y=7B^ zS4Q7)2DBnNTbern_$Bx(stFJl6}E^6M_ zph4{xj8#2gOjhe|gL|(y;BW*mSjg`Qz;d5E?wu?I_mtcXlb5dxe!rD$>MUKab2XMdSpBS_f3wvOIsp%0cucv=sA~pIvNAyA{2#&+suA zFNtfm=r9xv~F)W=F0J7GB70a#z5 z0yB-93l4v-3Nmd2?qm=MKl$`Eu&?Z3FX)$-*}lV^=H|*l3ua++Cb!-=XF_Q054`dG zAKr+r?*zT|#yOK)Z@lS#0;g9?hX&F5F8XL_fHmNz1_p1YiU`b zRYMF4R;6v7Ws_mndDKcoys%-z!guB%bX$Twr=^7vu_?Hw2HScJ$zd#cM(5G@OY%0F zZ}++3EHWxoL<_apN6x@Ct3t%T_k@2%zRjQ=wakD9RK9Y8);v{of-X~3Loay9C?UF@ z>YpSFIk0xBblH(Rs^?4&O@zX*aRo4^L=Hsc{U_OWeNn%ht*&=Bv)1RUksV<&ic$>oGYAYT0ih3d}(N=QqK&hR~40nbU6;Kngga3aF>v1x6< zF#7z-zJ6HGqdSWt$xqI#&Y95NGG8WDY=|alT!;&N^4I~%p_m9yr=JMH`FQFuJabZT z+Bhjbwp*e&7M7s}hzh}lX~+(Ny(IwuJ;39%c8*i@!asDp7W{dzXl+zCn^(KqATFlS=NP^YUu! z=iL3)?THs^v!w2|V&Mm8NVol#di1?c()guSo15|)TX%G|@NLFI(s-um9F)$SfjDu)Hsm$_V@5gw;#0P67Gx>VDce`s!?YjRntYB*36gX(K>y-`{g z7hbM*UNX8Gf2SVn>$((7hmPCW(=D%Y=!)~|OAQ`vD2WrS548BPCpthcY+`uCMFDeG z4FHzm1MC+F5O#R4L?_%+N?c!`b5jrlAh*bZuNv`I0A&USI=atph}7GL88#0Lgcu3RoH&LSVP@TA-iCT%Q^Q z7C6AnVaQ|nw_(v}aeW%?Ez-T+CdAF}CR)lY%ddyR8Ji-eHsn&FW(dTQh|uFK-Y3=J z#$45Ttr+mluFfrDoO++mxHAm6c0b0M3Nzgu!NNmk z0g?SYGq?Q{J;nHLn3OH4eMU6McI2Sv_c%r;Pm9jmwD|=_o2u-yHX?v@<+y8kbhjvk zzkw=uTPc6-o$@RLWBmQ)C}nVTuY&7I!6s-M+u#UK8$Ce73`xzbop4toenc=t9t~hs zG6+Od00YtMg;~LXAwLgI_<-_snxh@3gmw`_CbgyIF%}p1X4k(kd`UZGFVo`zF1~e)Y-O= z_YQ3QmKJ}L+HC};>1fZ|lP5L?)Bp$K&aHhEq%$onK%BJc`4{s27xMiV^8L>u-;V!J z$amKnDkaOr6UK9YMRTic#(fRGhK5docJ(YcU#Vt&h)wDaTik`Es6>>1!%|8Rmd=^n zg{Aqmw_)imKn7uHz2@B>876qWGzd#6|HrV@VsL!M9;)F#BxtZCE;IQrGH3dl!~A{S8ae@4`|i=zl0Iz2^O|VJQGh0d!yD z-jBNtLwn)f${aaLEt= zhvw!?M9dEHa0QJ1sm=qrB6l5)=+ihjYBHx!FM47+_kiBlNgGr6hW{4Q78d{zxd+oi z^DFVf1>o*t^M@;9AzthDVij)6(_8aXpv1x&`deD$5HwyQH9P1cH4B&`wb{gyAaG(6 zBD}42ae~_XJL;{M6Vy$TYXv|l|NGSu0HEpuh|LJ}Q2-%bwE#RPzE@561;h|G_u(&4 z@i^Avi|Owd`|yHpZfSYzF#DZ`d4mB!V$ivFy%vIwp4OIHlite<(4;&-z`-E8nQwLj zXcXLuZMOj9Hd+N+0IZ+v7W^V~SdVYitF2zBz0i}>$RU#ib1UR}RRx!0f%M)^5f{=) zEf0^6Eb{Kd13Gae_Yqzsal)?fyRa4D-Vm2!V9dmFOAa(X5K|yv;KJ?!jHvlV(9>sM zYGv#eh{GP&XxSp1uB7=W^b%i#)-A4i>-B}tnP|NuqLy`n7HdX-@9DjGi^>M7TsA&r zdn<4V!9$iB`GI@z5@a;2d$+WVD!EqPqXu!5V#IPW7Onu5tZFj|*)S@k?IUmo<(!}_ z5u-UN+rW@tDo%_*d4+`I{p&WpT)vMETM)Vw@gE+wwhlx z3Q!m*e7{7TLt7=j^a<}<8uLSh!cZ^WQLi@LXlq}o_P2-~%y*b%s-oTipe-q|vFQSW zQ6AvJ+}3+~3F3w$n~}=#rBaZxEVcu5aQAkn_|p8{el8j-4tAP?RrWd7XP${9_wyvN z|94JY@|RmmymMlZ;mPI%+)^}f;^BQ4CvI9V1n}v<$1TzP<(7EvxFxAOZYlbXTe5(xb!750SMK<+*154w?q!OrRY0u>2|36U*VR3G5^6W zfso+71>lx={u{S+7ZluaOB@4&r0-MfE&z3X%PlS81F%ZVVipPD?k%^(c7j8t1FHN_ zZYlmRwXOa8S zoNzeT9GT@UpP81HlniLYCkoI~uVpL&fg`Y^{rsa;6o=T@H#UxzcC^x8{w1Bp^=K3n zKU&(8#3g?Oe5|Pm_?TH0@Ks@6TTe0?iT!^k$B38T$uZVdjUs&`d5yD{*`0C{zHy=MRjNKzjBPg|6GoV`S<0R zm^(QJ{#TBnNCR>VpwodI11-%F2?iA9UpXe`zsfQ0f8`jHTejI1?@o?s0dmZ39+10q zqVRvnF)g=p37=b%E=A$CuVwD~TYeWCa zF_3@AG0cMC^S^Qo{I47%@V}O01pa+F2FMS!)zV|=Su;=M2jgff8 zr=$BNC~rcAV!hb!zkdO4v}QE*JFh$KuWi2s!xmPG3EJ3Ayj&Vbm`4j3mkt zdKUhZmqdLbTZ80Jd~$!{xQ4*{*IFwf_ty5>=`cfja=u~ZW8-zFe8TeeGkECbby*$h z$mkl0>E6xaA;l-)%GHmw(#I>d1#emUVqgy4pX?SmraM@JJ-*QN9ecl|7~D-K@hol9 zG&puRe`UW{W=6(V(yKHOF;2{BC(s-(p>XydbCdNCJt3OJVTRZrF%MBQ*B9y7eNgac zi&QJNuC69uE#T4&A~FaE$b_XcuLW#=R(Mfm#91RaK_s>|fNU>a)F%P5Y8{{bU3oFz|sihz$At0MfWI$L%rUoa+Us6tyLVfY6& z^{!6RS-*=vt6$Yx>v_nxXFFA!f*xK~Fp^g{#2XdPOj1xImHT7rPwftWv~Yaw{?6#K zn`qp?7jWfSh`1HWf^60>faETmi6|1>hd@R^7$A>%5up210<3z&Wm4W3zz#u!9P^kE zzeoJqdarpsR8VCgxm$=_o}7+E{Y7+PQNO43WprUvKUCV)oRUc2c<+qe&!dy+_c2X+ zl6UYs3C6jiz*>~^G9gl&08HhVkR+J99Zn_+P$Tk@#I1c2L}l z)i_+3{Y6*8pH5dkD`Mgi)XW{@+ea!SHmk7a$X+YT60+9~e6dWsDdKZwPDW~<)Q!CN z2Eyh|+&ZZ#Cegjz{rnG-RPPN7?sR!$+B(!Dj)WW+K@HQMNwH|^-$)soXx>-ayq>3( z;ASJcRDVO`j_#NmCloHCn7tkoO!+fI2J_tA&-<);W$@^5@nU$B!5yOhx?XsxjxWd8 zeMx?Juy37KmF@cavwqCe?{D*hDa;Klg-t2eCQ}Qd?qxr2lK5P9l>1Gu3azUS(Lc=O zBVUE|)rEYMIiGy&dN3N5Y?B*bM|2Om*z^+me(80xB~SRW^SQ%$F2{J zFP{-)lxfEfhKe=wr6zcJXi4Pbv1b2xrf0q~P37BEXq-i1A1z0b_rxn#H3OkZPG{w$FDXkmLgy)1;-BF4&4^#1udJm;IKaHfwO?Z)Jvepr>#~VhFbbgoN4D$cw(ko>(# z8M<~Fv|V<%@G0h(_1=N@9WDA6{M)5(9v{CG6<;2n>k#!Y7&%-#zdAoSI=-;1OmUuBt~q8dMerhi!KC-TXJ_(#Ira9J zd$^D_wwAHK7un0%Gxp@O*PC#}Qi9T5TJRe4%m?N*aFKT`&cxg_FfTnx$i2z{LpVuO zWi{&S95FZtgu;`~xk^KA@j@PVsN2-en1xqAVqwdfiUK}b8fXua(r-s-Gg9>%m%E48 zf^%0`Gg9dUu6;T+*6)VSal)@U)CWGfJh(sqfi(@C|C?c=f7I64Y0y-FRY$Rq6A#&` zqWYt$W_>2|dU>&!(pCP+I3KKytWO&m%ZEfOS>#9kug?pwu0ELhq7hW(>#jBV3K3Yl z$XMy%%EL+H53-RxxyXy0Rf#7K&9v;>@247VmGGVuGw(jz&UEmP`T!nk74I~q?!r{V zxb&I*p!YaAKMbdcxXW&Cr_OpWeb&3z&ZdeFdO{w|Il(h3p}k=K-ay{`4|X3CUzp4h zuHbfUqw0&Twuey9OR|XK{WoVl_r3{IGaO{C>nxb`eY!f$3`f*uV1cm4r9 zALGs*zl1K|$niDNz-TC=ZDMrqQg?T0F8b$L4D0?^{SvwBxY@%^O&T{4T#JAANFhFgvV}J&kT(d-?&d*Ggt+Rb5ml z%V>}>1+RgIf|iFRfA+9xvki&Jyzu60ADa+^$HEUR?v4zH+R91O)3)8C9Ll>?O?CAMX$Fd>(W()*FUI{Z4=ghbdsPYCs%cor~hZL_|Mwt8=D7XDS_OMq0Jww zq)mGCbACk|>~7Cf`*5^S=B>%TjN>S}TK2-iD^r?w`7Q0yu~H;UN)^v~@(`-wADLZD zD9^AMv;|N`w_sPKz(dSg670J^XxqD6e*RFnk;FF`MQyYR0Yp&euG8R=d z@9PaH7eDcS<|2TduEbq=R+agQdlX4+h^+UIi26|U$}}&IAk&qe@KaW67oKHDam8V* z=f(R{sf^k#2dlf{ig|ka`XTF4>lH>R5=+R`mMXp0az`|5aTP_spOX*y(Cj*Ei;APH z$vy0qA`<+PMmu|aaeF46>Ibp4;ShfD$#VG^ z9=Gb4eu3uA(@Gjw+f|?s_=v;I`^Hry1(3!A`Wnda!};|FEo94ysfsZumk>MrnsaD) zSLX@kzVmKM)<4h{K^4Kf{I>nO-t>xX6;j*b-ZrHA$jp-VovUpJ$s=;;p$6q-O8~sY z0kKXk!d%1|((#KacAL*(zzxv|e-R!V?1>d+F7nB_yk8EKoM(v(j-mRNyb=vHNP387 z&FTzUWslu{?o2rePL>6~?5!hFI0&YbSTJiZrNRi;Y(%xfbLzIki?Bct?h=9#cDOIV z)e@-(b3%k*bn(>Sc1ZtqDEi-BCg5If7kJ#CRT_S5`6wS_ah_o$FIf;*ST+0vYuKqazRQ!FB>jFHMs3^1I5Z;$L zNuO$Qd*?Oqp&I2Ri)l>%pM%njKqk?w{u12_pITo(A@-!$`E)Apf_*DC+?Zy4=OoR~kQQ&}ovOSkd-!Xxh}A{Bk-e^Zg+#oW zV#L#&-PhvVyFkuWVe!N&ol5xAleW9$yyq^d8L^@?qVjPbs-2X*>_7N2CHu46Jm8>f zLiXn`fv8AQ7ZR1pdmLS9h#ag&7)S}pTO6!?lP@dM>?g3D1|4#ScHTxEv`#(!iK&Kt zpcaT8Nf$*zBu~N)w}*en^5Shqt`knJg$W#R#73QDZJ)%IPD->p^rzKYiMr|gZP?}6 z*=tpesTmro8rrMe{q-2y{||rNk4k(u9gO|NXO2w8OILq%i2Kfl2}ZhG&&zw`WSROW z?nv~?^Z0(89lqR?TR<1j8fiABUaQlj$vA&XCdlH=^nJfWV%9PHXjI82nMw&=_&od5 zksexf4XB^3r% z)|f-04?0C6xr0cq>J>k}zp9`rW15(5d{uRsOXVS(XL*$Q>hia8)VkQ9dy;+D%vwW- zEltL&%L?UD7}G@K0}Tl{p?#M7ucn;{SidI#05X$frb`He5iriAwY`iNtzNEXnDW0P z7}E8alE_*`N<%2N+a^|@wEK+;ZS(R@JevZirwq!0m!FH+)%N$jV)9V0@DqQ3l6@*O zSvZsN^nNeO?VeF8_D;CmhZazc`XhMho4Qr|{!GCq`|b{OeX$LjVveh?P^KfxSGNu7 zyCY|?3{Fv#mD^- zMLQKsDl5dv*@ZhY{riWITEaHgU{N)(tPq5=%iYFCVJfn$_{oDUST3c&2yLIz6K>eH z;zNjAE~Kj8nYX?Eb~Tk!!y!}N_E_<1d&MQ}s$2*Kh1YH|^JKeRqYqtVx~qt0fxQoX zM+1K7)rn5Rc3J$`Ot`emdJHok!)#=kp^Y95M0VT(AywC2c3j}?J3QK|*WiZ$j^Rmi z8?xC|_pj;CD4jW5t+0HPYDfdspPth0d2JYlsLJl8>=>bl6VF67f3GBcRI}=E??i5z zZ0oR=8}8_C4&B{uH~BLi<6K9+=)l`v&^~01K24VPKsk%b)g0lHa94_@T?b_VeFEc3 zTbeUSs2@+5x2a$ze1MvBcyghb>{{jQ*p(Bh32`=@Mm!S%f5^xANbm5yk=;TBSYi0h z8@V=TQYzZmy0s$bt7~%n4nx;)p`F~PZR1*29-53p&ZL~+paPMzdqrqdKi$ICE6z7~ zh3(F#PPRlIc!hHR?v6~bqdYz#31=kqC@NCs14blWa=ink=}!mBL5g~z*X&C_$MI#? z+M{S)7iIC2R%P*vw`K7K{;_|u3HtL_lyrs-Z>*DmLpl`}iFj)i?R7YX{285KA1-#H z|7c8khqOo0%UEh~*fwb~1sr+_4vBT|QiJQ44@L3r4D?q#l^DjoRuMU(6J(nfx=qwU zvZdj$QVYHyH5?@C?vn7o$-rIG$mO(H{S-Whqzb3foU(Zuv!FI-OY|m}ZlRh`3BMy_ zDMDx`u-7eTq*IkrF?_RIyA0n^(LQjVT}s{qt`}nIn*Jw_KI<+Kp)8i&IbW;k4ta6H-9^GIMUX3K3O-Enr=A_^ z@3g+~6U|6Db-qCR9Od{)efH6@(**(8T?=cJ+gPC3RyL-0+mAUZEO}ph_V#8i^oO?= zW9xY^5%o&{OW%)8H~XeH=|98H^O&hu;&OdG^USU^6Yvh@HO1>qwU08aNN1s6j`9`J zXQ8P_`O1G2RrJ|4w{>M|YIS2Qw>_NePn?Oux7S{r;qaf@uR(aj8DgH_d)>>)`Orf) z^+e{usmy~DnTazLd*qq3M*FEeUE2mDS}pnQ9rU5|MGv>ERVL@Y2r;Q^>2n*jr&nURHX%mr6dl7wUKd_(U9uWGAa$-I%ChhsX`xf zYEC?f#;GJb3zoC}@U{venF>UGFa*<Yy=8%N)VA9}-A;zC&7sg@6<)_z zJ}4E)7>J_EFa1RskhsuYti+>_hg`9d>@xz~anCyG?#Ik4)C$oI3US&96pQIDSFq3? zD9F7P9W(iWOnXGXw{WWCYAxG*dV~F)3f8mkm`;nwI8GcqJhzjc;s1wewbT9kMAqs~ zmei#0hzcxt!uPblWOC=sF_W&!Yb#}^mT+mt)o0DbWOOov?z8~*5QX4!i7C6f*yn)< zvw{mgFOBAX9Fwa6x<8>GteKmfmu3=3ao|Mtr$qb80^I4-`1I~4&(bqU>)-85jAPYs zyO;{q2p(FpudA|U{L-?vole<@efWrG%fyX?f_^1h)sB!ZvV>Wo^~fW43#oy;;=`|u zsH+KZBLhc=qgcHU)?(rcs{?L0ap?L_7UF{iU&8kXu{i&i+ zDSk-UU&+P~pC;c8C22@_``6IIh{o1M>Qkjnh_upfw@fyReugUFLal*Hr10{liE7Wp zXQj5<9X7B`>4r*xOigSq^A?ZDf^ex#o7;om;dr3}uy8MLvrsw$T!j~_BC@aOVM%V3 zUABCST5Kg?bL_6cAhq*f?AMIiONl!g?7p$AJij?yUW|~#WokdKYBBDwVnY+ZS?xu7Sc^p-KbwG=>L3$Iht?d|aCyAW^O;ws^qSOZwR>{# zS(9IPeSdv(a(Vsm>PmkTiCE~UxfK1uv;l7S{i(I2kcv8IZcTY2w*XCaqGNr zO);N~>Qw(erj&2x3h3!jxG*+J-ggBl_1Icw)Xjw*7GWI7vYY*6mtz!T&6@IJ z0ZS6G&L4)5%BL8nRIk45EkC>boG|LonqQfv^jWvcJ2oKgqukpHouCDnS5$SM_e)Vm z8dX=WfGhbX#<#&bzk z9mL99tfCJNsK4`}NE>F)5u)BZub?#dU+UMO8gbmtCswRQK< zKJD+56hItD>_np>oZOv)|JF}ED*L9$*=_sA;T|f+@$ivWJrR4ENW8LEYkp6+Z6&)~ z62D$=ncUZxqp$e89~4`?%nN;N$M?~)(t*<=EK8z9g0qCL$(jAX@bwnJaqY~uaN^jG znVFfH?HFQaW@g6>V}{r|=Pg(9$~V4%w%=3To*jcGJYU7C>3GUZ2fUw#UL9AB&1Fka0DE8+A;4!3K2 zGL^@$b&NZ)qi9j63>KHEdC(gBoV-cUNJ7jg@%*baMeszov6SZ|QYs$H*_&C)(YaGm zx{Ko-ATHXi7=8yD$lU1P$mm+OV#VSe6wLzi26nRc3c3(C0oL{jdbW9!{tp3Br`2ODyiR*bY-cZS@hjrZjW_H>TR=x@wWIHv(e^pe{=1d%DWh<1K@Geb^Ol*6_Q+;S zErTNTJI@MPTShDe{Dflb)?sPE>7Mf4iif(z6?2ZOsVjQrxuc8N zapB9!cfIoEh=A(>{qB`|jOUURmhEIG`OdwRt^CHloK3Qsp2koZhF7H(UK~?sWKpSvQymnZv?&3$F?L@j>34U-MayqP$#$3IonWfo??0x~ zy}04jpC|gvqMl&po~VmTvJ;X6qba2VO<%*65A~@{pA+Y-d;eE~Gq8FpGz8XwtHDeSW^` zoep#>b;PlJ>`^XMt90FhCle)BA19kWU>^Ne1nlddtZGGbL|e=*4F@(p^vd7ad8hf@ z%)f?bn{_TN?Y1Smbtk)>s3*>%$`3}(F@`03*9N}xAI%j|Dp`0ldPsCjBxM=$Ei_0^ zonRg*(UFaE>D4CQhg*}sk!ZJ0GcR|Pij+FXA6_|LNxCFnt8hH4u6J0HC%THxGukd{ z=_Qd@lEGIvS9U}Fe7cBz`H+1U9ldcs04`5rdf4gsz>V)bL>_%R5ipJ4L(m7EfWLjx z)d(aU^m&ThoQw5|`Ng{l3+xjpdia_N4kWyL-fwwt(e&AvTeo-*3*sme$R;>0xUZE6 zA`XX)>hgZ}>Nh4jniQa-d>0JdAtXltf@HgQLHE1pb&6vD$=B5h1S2vdE>&wMM*`ma5ePS!pqsj zu$J{2;~qp#Oa(+dMvAzMs{8ldgEL0u*jW`Hwnf4VTGazX>W}A4AJgD$A9DHyH}@FptexGQ zgIMpIdKe(T!i*`Al{Zk(ezJ08j**}xjP9+Br7HG$keo`sK;QjZ*C&xOiI{mj33`?W zRf|;f)bXD7;Z!xrQswRYPZIdh1eW9kA98^jkoAxAFX@l>ti6^MN?j<9^>r(Ci4Hwc zWY;W_7EVktW6vC&?XsfV*sLWe0^mwp!XZ@c{yZ`Nyv0&^1F{tVG1;fHlwBnYR<1id zt&Q-hwUknggV9vmD;$DM-CQB5^_S<-FZ!OHVYmb>i#4KIFkx;c$O@@tTcEb%K>j8Z ztrW9f)uS-3R9R+x}5|l zKzMs%He(YtyYGVQ7r*hu=r+wz`R;<<`b+qdkXpm|mMDWqvCKNqq(S?6u0zfoyl+uT zEbfe$1c79)^Uu#@%XB9}*#s9}hK_xQ{_>I7LxX$cyc2Bc%Vk2>GejQGDFJhqA%U@d zHp6{Fw!nR-f%lQ>RrXPVWg!`>r^801JFN=$NY?7Xv}L17E509TKX0Rzc2!v_(X*uV ztj6ZkmK%zA6JeqYmIxcB6W;67d}gBbpBbB&C5WGns#lIAPUN3HGCuBxmJrtvq(3Y! zguHZ_3Iu)V;Or9I-jC2O-KMLOyNJ^P5YWP&=Te;5s6uhvve$kOEA2y9QYI4bf_a z?l7Ak-;_JGPQMHB#J)Ma(rVI-0wGVC$P#`iPE%+Z@V<74U~zu<^&XTq#0|WZ(8Q@- zfT@t?S|v~FNVJVgz4E*@hutlnB-W!<@DE|B$&>h~ccH8L>s1QNC~@Kh<0d0$4@C>u zLEUdeb9~DvjzXW!>8K&o4rO}w-I}T<-9t+q&GcvCZ)gp*{lrlZ-RU!S@1Gpbk?574 zkj_3iRWA>E-(41EOSz)`s$NjSyH3kkJ=gHubqlLif#A2dCGIkXeX=V48zSr@An34A z_O~`ibrJ*v~y}H9n+LyM=>TsXexXK_cU4En<+lgS z0!Uuo5>KiO9>3yE%uS`b7obn_*oL%setlS;FGw z8#o#oj)rnccZ=ykn-7F}GmAXW7^7u#hC`WA87k+%X7Ujy&u3ye!2$7r8)QSwOTv)w zXsCTsA$nId^rN_XuNULSi|H4R`H*NR)rdbKNM55$Vjx)-rTY@EUXFyHWCAd}Ib?B2 z_;EKsVIJfYdIhyue}lgZGvpeeNwAHK<36!m-_gw*eFgQhPI`k+?Dw)xaf5Fd`(F11 zT8emyW3k$yeB`A*P9`TGH4mFw>jbg$sC0ny`*d{j>u)n;Uy0pHi9bqQi!HHKwL{w4E7k9;~?=12pgp@{3c z)K2a$v6}M$OR0z11Sj&>oQAaMbA0y`8_wI|y!rk9cRTx*JORn&Z24*t*D6u=rPU#t zyn{Lb^W0`Qu0n^Y&G1o*AA^F=YBr4M19G)sA(B zA%6$3#{!CK7PbZzEljzn3owBYDS7E;6^?jk_Kv37~^7G{c*JtYCgVt*J! znh9}E5w|*sU+jU;xH(l{qsmcR0-<{-@H8~PhwV)d+98@4?US zc6h28?$eP3xIdktIGb0NUUfHL$17P<8FM6?)p`GsHs!5|h)_V~;qWW{wq90s@m1#V z;qO}EJB|3a8k1`2?5VEWV`E9W?7?zF?QJKIs(~D5anvSxxXiiYz(A_Ehns0yRId{i z3ewcbgOx+47;b!?6dFVS(%&{UrT(jPn`n+`2u1nnyeDY0xwZ&(=-W#i=6#KkTr!nw z0f-cXyz*!$dU=H|Q=)tVI)n-qe<=EzvOZK02~YcJPS*t@leRGEPk7B9c6@JVV$0-_ zy0&=D0lYnU;-u6Fwl=$k+w@yhvOmi2Z|~DN0;9)is3FqT?dpee^YMT@wJSmx8w$Q!2y1YAhyU!++eb<~yc(p`=I~z;>JgTW@h}VUy zA{RXKItegZVbtj&lg%ggp6)FNaDOJ>|E)twUJg0M=u@S}jb88d-WD=`FEqN^*cjSi zN`Hs`Ky<|@^|WJ>0HnyIV?0?nC?(|UPU~tNTL&X7>5&r^&qw6OT_hw*^~&Ara{@Fv>q@Kft>AtI*7mlz3i)%c2B7}>jE#Z<|h;sIZz=U=+K2JhUd=B`H_o|lR?Kb0AA z`}&MqIkaREN`Pb0dI36QCX!#pOb#P0JbG$ojj_&Ot9`b-6k9XOx=d6Ee!eVJ+(e4O z)3^BmBF(_r01XWx!r%0mUh=lcBy5I5sW#r4M|#`R7=xAF0!Mm=k3CY-=BKQD;mz<` z(P`4l)b|-OTO62T()I ziegPH4W@0i)MCgXmHg2-1YMXL5#qNCW7G4E@^m~O?8R4u~TaJOP1y+UQ%^_@QEnqKm#W`HUUADbyC zqv?NX)??@=%zpgp?QoH&B5=)Sx}Ffbov9W%zh58XV2g} zLE`gKua?PKxHQVpT^N_k5nV*k_SX8HU01Od%^EGsMKs+$yq=t67vl%5Z6E#AH)+`x z8++BP&_vojM`53Gj5jR1Y}j|_)I>LJViHtskNpnK=cMCh**U)!U5ZLe z?pV!+T@3X~rc$*O6ja71NO`?vroLEO zPgZ}_Nq4QVpp(5FUz9szu563_sF#_}!9+7oE%p8J@x0U-jek$`nr-@~O=f*_x|&UJl;DwXPa*%S>Yam?ng_Jsw>hG zRYQI3+a*phgLy9xdex8KKF;*))yzc*mX}7;FIeM<9^<}8SLS!}wpPcJzto;f;K@R* zJQL@Vloo}a+Pf{Sbjb&g2-n2jXt6G^?W{NAiAxqH5$d*#wBW*4D3#G3URZ zMfF)4mA^ut+cZUvr0Z5noQGAATr(7R$?2RCHCsAa*AvQK`DI+twAVIrbk@bqp`B6W zuf;C3YdX(Wgqt^0KZ^UwghQDEXSaF z%Wt24@a#8OuHyb-n>*FzREjiNnFfzdMo_aNY0|f6q*~bmCqkFxDWjpMM@;3;BWwqZ z&k(xvqA1PCuk@7GQfcZfD`~DR*p~w^jBB%**MGJ-YG}vrkb`VJeM@af1+Sxe!5+PA z4Jc$ywjRI`Uv(%I>@4?tv^|}YkF>)07F;yr61y72K6NM8sDUzCO8>PHVP4v<^L|;{ z4qt%LZ0bA1f787(LzIW9W96 zJHi_(dOn@6+xB1>nGJB`UmIdcfGsv2xUp7N@{hQdYoa~U_7waqDIf8kpNSZ0{E#zCM8^49!#_{D>3OQs?WQv#l0D)P}j)82^;ihLP0QVUtJj$Ufg3tg%_ zOci7<&EuCEn>qC4_$MVU#kZ}U7hm$cBGl5#d1613)0NxQ-NPHlR#4h*HDYDO+HPRN+MP1{ucD%sLoBd$|%-Ro26=r)vvvny^I0`2It?zEu7)#+()D5@&5wywxWWVPQ9;6XosWyz`=pR5FVE56ulE zz3b194s|7w5@mHI$+lQ*RxEHF)=vLk1P{zj_LtYQg4A|wL_}Fm*7CA?+(02U9f9GE z46*!KehJrI398U4E}Qhg zsGYNNP(i^iCTo8Q8@#>Xf#pq#&|f_P=g@Ra)h?rC$S6aZwto#gi6Z}jJ~8x_Go#jc z?zhY$GM;n(u_-an=n+gJcC()WP39{)FIVoei%AapNrl3kDpA-cX_|dIx|0{J8D+WvJ4);Ef=Jy9iFlUr#gBE6hRo^ z^YyG1GtZ9bWab)W}Qb9!mbkLD|v4Gqr5i!_GX54d#RW!6IZ?f|i)iM*$c$ zHmyke6q>+0o>D0-k0LKw^8j4vpuTG8sz6sRv^!CKLrZ6dh0ewzbWi&JjEf?2R-9{S z*l;N6VI?(Dk;mD@kzP_HUcab1xeCrH)63dugm6%gCmSL0<_zGVwXm@WfqJuSnWRw?FAWN7s`u+-c zpgzp=_oa+5Y-GzhA=~TM!$8}dmwgEEcqJJX#>QOq=NHe6tH(Y=6q<+3kt%zYY?1~0Hi;y%C?!lB9QNqR4yq4%=h zYtMX7kRAJiQ{VpRCtggXe<2$SR_xoxe(1;AC!{$&+Vbna{a{cOaI{(QuZHRqAKY=~ zYI$1r!7TEKV>fatz8E2piiAA!PyM}yg9h0uIQU1juv?DK9!qDw_MLKZ{|_yDb@FiW zpwcvpxI}We2MfKx#)I&*A2sI(@)qZWSmldWhBrxugK(xt6^=c1;zv`1%%3 zLuBt(X?#c!DRxT@{Il^lJF={UaE45H?+FFXaf4^-E3(TSyjoD?u(^|4 z|J{p~VzjN6=Cosk&(@d_dB|nB@v}`BuBj#*E0GfXP&nBL)VC^6e717&9HF6cDkHH{ z5}ZiR5V3uD6gEq8L+AOD>fz~V4=g#;Nh!{JOFP}wgH43T{(k52*>7w4t_|Yb*>Tn3 zGZ8RH6jbn^;{9mD7^vHFjx+N3M8AdAEy_uG^l*q2ZQtNDX$Zd;rs42p3NvT&HPt=Q}k8haTSyo=Isf+)uorHG{ zeRsABDjL_bHL&em>Kcl+H$TGL(MH`*{HD?QVQP^K^)8EP-@2EGOWOeIa^m)_&By&X zm{Lr|wRQ?WRPf8(@`&Ej@7R0Wliw?Z{(06wl9n5%XC~4-5-}0cju6LSk+O$}bkRO< zO2O;0D>D52%dynX^Yg;Zz7XXK#wWOq_EiWSalei8JV!R60tU`6;|t;tnmNoP$@>z5 z>oyiej!^pF?~AS)a!$U9g7GmE#hIJqo<^_F(eAHDw99Cf_yp;uhWW!``;9 z$~pd8)%n(A?a$XU`)eJ%MO%49=4%KA2F^ewwTbAb5bgAkbVhzY@$(7aUq%4GOJ-I= zlL@+RRu0D$JQ7c9L8cEKZt}+zpDHmNdXD7&>9X*$FO)FriQk=9Mhsa+V5}#)dvs7v z#2|5n>8_hNa;3hr)~mE&lNCP6DZ_^L&*XK)xw(9wPA#j|Q9i^%2B-rEnn?Zacfag+e*G zyu+8Eh%*S><%vltINWgysg(W=$0L;ZJFN2Q^}K4g=J9)jmyg!Bwe>7`M9USniDBABN_f&X z9t(*7R@XSPn}oA6b7^2f!byeoDRHV$n>y^4=27cuWMR!ZG(XA7be((65mxJiJ9P1|;pHsQO3KBFwuSxH zow~6gL1Rgw+!){7$CFJNH@mfSgx_X#=*%8JpcQIR889K{r^6~KgW6m2dQ*=Bt=mnD zOn1lw=O>{SB~a8^aOGhzyPZ*4e?5ce$T9)k+%|}!L|I4`c8oI#>tr+HczlhYRv6YI% zggea9<9(Na>5DWADJs#g9kD{n!DYqoOHQ=Pq>>3N%SC*GG)buk;S7FkDi~Dl<}Nh; znmOlrsU>h6XJKGp!Em%`M)eSkff19532eWy>e*=`r?sz1!X~Hm-Q?BkhT+(euu3==>POqVGNsG3>cL%dpVP_u z_4;IXpo(T}y~i2aSUJVDg6ZBiOZ0uJx3|o*K=&Ss#rw4MgSt7OcS6{5?{i@4qpT!6 zgK|uwag`n_y_@ueZBbw97d|N=XvV1|H@|xF+#PFZYA$U6%v4eds9CvVOOOFLmqO%Z zkuLBb?a5Mh5>&i2asvaV<>7|4C6W84PS3&_VR@#-jz|I46LSX)Y}@ghbw>@1m^f9p zU11(|F1v(bsX_-AH{P44CD@i-tSCCQqq$t-hl!^i_O_h6G6)8a?D4UK-X&x!{? zvn_%Dbo*_TXf_w!BA@K^i1`D!S2>jZ5vC(1GO=;vOE9GC8{5*U@05po|q=@SGQ}WQl!AeB$sM*vKSyy+RSDwC(?uhu<){IhOw9R~SoQW=)DoRCxnZ=M zW-^RMGtP14%QU^kR6d(%sNHa9z{0W2Yas{o`Jdo_j;LUp^@$-i1xJWI+<#uHv1I+(DrKiK^*!B#(00UQ zoo(bSPqgWhm+_SP)2N{*UVR!ZvO2F-j7zdIHF-)&0ZW)TkW=U6dP?qLXXkU?H?`Oy zy0VZdV4Ap!@-n>=8PsSK%j8LEV&JxcLE3I#o-0sA`IrjQTYr@iI%8RSs5GL(;26fUsk1x^Dba=$^oF_2v(IF zpOkV2*=%92{RGc8{l+yq4^{-5%5QQ=To09MI#MBIhR>27vkFL*mj02EMtPE=_7XHp zg^3jj@ckF)HPzr5YH)yH<%$e5DJ6xuwKI(+yCN#bS_@8C$HQ z)HVPvv-k*NOpQ>0Zz9$Ih7GA9no$F^uy!QF7Fgt<{|2K~P`hYWg`X&4Ppk}$5J~Fh zbM8OjE?TOA4*&VdO(ATW+8q)Wi@z8O6+3`|2aJLRN*4ewQvjiB4=!VZ)V7gx+Bygs zdkC+T-LlbRF<6v`#))3oQc5)_D_R>XX`*cFxF_mgg-1t{L61r4p*&Ml_{I zzYMC@X+tYucZme9Iq60ac~HDqDOR?C9kg!Zh*iTvMqd@t(ic%NLA7ueyeF$7Pm%or z4;={WRMI@Ck|Uf8h7W^&tWXjZ;ENCl<3#nh%a1*jqqT6*KsHaOhC-1+Bc2MgLek9r z)sX>PZ3My!1qgZqpjYB7&Oubg0Q6sk8p;0~a^O#}84QyzDDztK686HB!f2&HW6@ER zauB5+L@z{BPf&svb+92ji=wWAFZ9bTa9MG~QV&+r!IyGyrW#z#RpGLX4?9`nR5u=vxd?$c+s+({`xr zMN+lHTnPdAMpFF)?Fv=o(gtK>5Mp^cAYflW!3vcVV@AgcRq&048jKX-EQo~pLW)eo zgpvyef@`w11A{*_m|Bu?0tZ60lH*Y^u$5%=yh02OaSiuS+_vOrGO?L)3SR28Z~~u^ zgR*}?^*=WBUGr5k!PmAr&<;jwQ`?41Iqa*2;WjI6e4+WLV?%0iY-boTosAg+PT&wJ zh|m!VRUjBQfN6s$U>A}YjuXnT1py@G(gPuL(Kw+BTLh^FA8O=oW7E}Y|FI})TN)_` zWyLaLF}4y?ZRDW!Fs2Q)fKcZF8&ND<+CF4+!U zXVS3r0HqDGguO9^zf!EENO8ewq)<@$07r!lu1g0~$9~|hoDkL}S-|cagUrm8)Qz`# z*kij=$9Cf0TNT=sYoS{=?cj?p_151@m|@X)C&F7-eFJY(y1q;|jwInBOUQj97|4~R z0ikqz7}^8$O(ELW$jvJxa|hqSHv+)QeD<62(3(N)sH7VFhZQ75gp2=t>HmyF^?!_m zR`5t}%Xdx1mQKpSSTPJYTq*L0!(*Qw4su)_b=gbjO?THL1VMP z_?O|Rw4wiwX}5_4PTl{v@+*-oU7x-2Y!Tq!!OZT++=`-cLKn73QVlwTwEd5So+{G7 zpqMROt_`G&O%K@PV}!eMH*85p3i5;E??=`Pqbu9a_vw8348d}Xjg89Zo{i)IlEfe#!r}KYWO2vIz*{AM zVl{s)Y~iCCybz?mgi5ds>4g_EIr`TWjb!cpk^bjwgy4-5MQrrL3u%wR3sKu5NjV^b z*qbgTih*2(kq|~lqC-!Gt|`)@g>=3K*uoYD&}Y=z zNC;Tj>-t++m~8D}z}v3wsKHm|CMHpN^*C{3Gv)pHr5J{YRS1IrqT^pyIz#=-R`d8T zq>2noC_u2lg9bzwZBn7MmL4%2Q_&>nku#N)& zSIe_Os1RxGkxGEol|&&w0AmHc8!GTGD1-xiQ>if1Bol0NRm>^cAPo_%tdkO8+vG}m z0zke=;xAZ+ApgP61%sUng1{)yF%TSUOhDI&0r)~tV}by_5ag=hBwBlZ-Rg1Vfqe;+ z-%NmsB-5#dLdTJ8F+!$ci?bgj0XeSbpxBMPMeF44V#DUre%SNxtvv_{u)LrUMydGM zS3%7M^dQ|k(G`ISBhfH{=l&0v8BSq9-^9@HYvQf}2ZFig>M)5V!fpfta#IJ+P=G?L zq08iBfo3Sx(gVrhW_h+jO8e`uCU^1M^w3yczLR!I;BW%7BUgzceK!=5c8MZr&966^ zaUpw2)qkZxki=l`l}V-mnVc8T=1>J!*uwIU*Ps>t8w9YW989SO6LVFV2%*s3KHC6x zAQE6ZegS+j6{525Oe8~MaE9y@IxM6d0zr|WM1_qae?QV1@AG`HOht(3$UvRwI|&cU zv>LJtYV*`A4M`Yc+$_c4`iIfAU>@3)Zefco)gZlO>59KNhXbq)2@g0rMGDDqf)hy= zKu222fu4G>u>fs3P6?hFOg(SzYZXYDps?S90@PZA!9W{>fQ142K?DNeD;q?1r^@4j z*o0D2H@O99|H9v(QK$SjT)YMU4HxBdHUM)n3uY96uh8vS@Fs^xObqO~!96kY@@=Uv zu?Z)NBB&PsTeB?-UAZbZE{V!_l^Qc86Yvk{PJN3g0h#xqA;`SrlnY4zCp$nfYevlbE{NK} z3z_Bs3>RBJ5T><9Jj|-#Z4)v7L?M%=Q?>Tcyr_1H0243i+`uP-_$4Eil6zOVL+- zq%;WmzkCao2uLjfFcJR+>3Aii-5mY4^IuZ_avDT!gAH2D2v49^9e5DPR-ooO#Kyn; zFIp>v{MHs8=s^j9p%YxkYYTTn&(%3**i#MozY0QJ^=EoM7mzS58kSX@7H-d&LV@V|_wDnYs?H=mvS z0c_#dR8vMe;#r-Z@xx=CFM8Ke(w?$#Zaa+%;Rar0GZ!Aep^3;+homVXtvAE5H^Q;8 z?`h1FET|YND2L}!=b<%x1SC0jMySyn03A%o(8R@r989RfNQDLQuwnK_6p+M&{J2=q z24ZuGemDEAY)g7T<_-PuwQ-U0hEV)pkJ+)d8NK!%J#jp%w7}n5SPfs%Exr`x8!uv8 z2{|-SI>{-rEd=D{QlLR7qrs~RvZ+AS=A#cpsUdyS>djSkpRDzHyS=DcH>A!ad@2aF zk*8|n%U$^$V6=`t*hCv*qmHy*MbxA#xJJVUfS4UY7a^6Oo2ST?KNF_C`a(6|eg zJpWVUk^YMp`p(&>?U8D>qhgA7eQuMJm_Xq}gcJNZ?gFKO((dl<>fpQgTU1q%TKM%} zWzhvrCqPv}#P;##{)_h46!Sp*s4s3Un>3}*^Ea>aFV45%eiOFA0*Px4-L}CmyPx?y z*MGKOKCNoh`Na@`ldNJOpvY3|+K=RZ+qJ2aronf6qWs+dW{h zgdA2Y!=;Qdlew{s_KPbV7M4F zwR{VeX=|J;A?A3b)m05n7GUW3E5K5(@8(L;H6|J?DXbKywHE3z=*=@LMEU;HcmRo> z9>ZD-wHVG7qWEW|wakch7^=Yv5IS{nw7>7sJ)gt)eu|!%$m=uztV-cmm&ukcnkJt= zifc3<)oL)I&+E4bVF9^jcv6KZ>{1?t)vRBmd9PN30liiYzg7(fy+%03W`jRh=JW8; z=8c!vz3VE$f~g(j%bVF`B>?wf@IRX5s zc^v(O>u_$D@-m~gQ%l{hRmW3#M<6&ayFVWZ!u<~M8Llg2wkc2Wzs)6* zvkvi?rjVskEfdT{(vkn)T4Tx)MMG0ZE23E09deJt2*Xy~tk=b4;9_ZyqL{1L*Db*@ zE}$CB3wtO0Q}$Ok!X4dT(-axzNvVTj*fF|}QADgn?T2`RX5U>Vx&0=7ou@g1r+!{# zKZ2^m_**CSi$e6a@`d$35{(^adP7FePp3FMW3$Q$7!RHNnBaPgz%I^a-oIxx%Xp_^ z>#f1Juug?k?iQf0<%LpxcK$K+o!xsgm02ND-_;CGWq&yn`^(}VPzJN8?X-_VMQk zzk`PjAGyv29yF1iTV16PT|4n?^${6g`Y)WG00O*I^K1OG?Pn)VAKnNiG|E|n`5XyI zzXp%84_8ZC&$=d*t5cHxI>KRDiziErg@w<#-WlTGK2dwWUM!{)q)^ z-gITaJI#>?JI!5YizUs^j`21DuJ z*0Lh{>AAG|m^6!puvf{AnksRAlTJ+7E1hr;?><1e*)qcJ(#ILIw$~`;>P)sgTaCyLfy~b98qa-w~mfXU~ubq zSK-$IM&^iNgD3F8_TVIrz(p2+iiQFSVDF)F2t>GGa~KLkF__*Qq(V7X`w@FC9)Q0e z{!o=q`z5{!_KwlifNsLqs1dcvW{HA)VK~DP@Cc_oB`iLBaQWl)3S@`pZ3;Vp9k^1OALG65HvUd@qp3<0% zO}`UI%}H)&iJ2kY-L<`~r=+d)vvA<-qU8fH!a@hbe~x6E9G;@txXNUeWP~E932%Mw zE~sTZ`-zrIP5n79!N{sCk5!35U5(m^%4ucOrN43xd(e%g>&0Vbu{Qs_a=_wCUFNfi z$Ur{{xZY)jT;)W>woCM8^XB?^V}~VcPQQvz*ZA`RKu#Pt(NJY$|E1A{VXMoZc-6P6Zgt#g#~pS3 z(`6O8#~1tWyxVx|d}4KycDI}RFVAioaJ}ANPWUIF3WW!LJFdRCVxKHhCHgAyhR|wa z(%!ZixofP3=FzZMoXuHQ;Mgs5j&kw6o|rzyW7~xhn)rQtaNK94ExH>R|5+jf#kv6L z!^puhRaWecba!Up4U{Q10G@+NM2P{A&Z6&NX4P=9Lg1f5j!JK6$5?FG<%as0u%2?g z&KL*r`sDP~+O_NPv%GI+#pRk8EYW5IJaKXh9J`-Dt?x1Y($a(xK*XN#^AJ37^B6pl z?+o0ASLMVtZndI} zhXiPfl0{G_QTOq48me^#th$gzP|3*knJp}f3^nWiW^@i954k$T6E<~$f+GmwIzWa*zT z|Bbf0J#7KBfiot%3J#V@SPPoJx%g9(uAbQ51rN6Dn7oS+Js!TvPpnJ$1?Ljz?fq1$ z7o%>?j{G&6fO042#9}Y=`JLGYJ9+w>$79FH*&PYv1GklGK~u4d?`Az%^)yV!LV00X z4;r>q&2uG+Us=yTMWy^7jPhLyC$s+sO~XfiqI^oqiI&uW0?EkT@o!lFhL8*_iB0De z2be(7g;KyBRwkIBLf)xK^+Ef)(~_SA^mZi1eH}2^avNndV)7|Cpl43u6u-^InouP_ z(}ujWjZtL)J<+ss++a&e^9DsjOqW}_{o<#pI$BTTH}~EIE-gRY-zs_Qf@oXeb+jyY@=(8E!l#Kt#-aUJ`)(vpLz(B7 zJ1uQEJLt6$LA*|uZql}tVRbP zt?+fHEguZ=os2!)e|WnaZ;ta}j*5D*0j+o$M~N{QJ<)oBA`e8P`|gd^D?rCLEN+G8 z*Sjql)aL$mINvE1K(JI$@YU6~fCF~5<-9uMw45p7&ftkvLD0<_W>L@t{do%dV^eJy z5^v+WeqoJy1kg0&qkh5uxcE!e(+M8+YHN+9j@G6?ds6qoxAUlH7WF2QK+;i zwsGj=?IM##o5j?NJRgl(9vbOFCLh46jzBB(M)vH^3YW>dCi_m`TPi|5e=in~N%j%S zt{xqKuRYCED~Io51Ph2`ut3vM%c@=XItlh=qG~$nN%jVHjJ!jfgZRSYR>&ulT8k>d zuG0vSs`>xJ);B;`5- zo-;jns;lc){neh^iDt{+J6iWLb7gMH=;OoPo&oFA*^)u)13kC1E^Adg9dv@D3)@Q^ zV>2Y`FkUsR2av@B0O?9pP$M=Cxcw!L3;Zg{6pRb}eN+zH8j)ezeZi4vm|f8?dbN%) znD+U-YYYOW=7$*|SExs*Y2<+oqc$<4umK!k+gG?Qix@=Ytwtai8q+b5Is`N|xN#7w zip)fCrBV|y3?b=}Sh~REXku+39)Eaz+IM0X_;jjz%1j$3Jgf`|_Sn>a zG~N#Jy1Fiq=%D{b9MB0y3k1wbvQE(wZ3<(?5_l7&sxmQ!$#3i2H}fE-j06K-11 zBw~OTgi&?g=fitplNdK5we&kuttbroqKxzcnCCZ}jkdt^{V|bK>G|1*cXGQ`0%NvU zCMvsW-S9a7nqsg;SR`pvBwZX3^$ad@z}h6SYy=%1i$`RN(l#CutJttMh)Q3UH-DbN zY{b_7*1mfHcd!04WI%t4fgTr;!rQF$F88@P5Abj-xNvm&oKO}lOQ1m&%!B-$qt|nX zCQhS?b7^M8c$^C&JzvY?{G_N2q8(ogIej)V-0^o$N(sJZjn1yZda#jfF!OO8bQ>|2 zwFEjYy_RG{zEKH2ORV$Rk$06K7Urf8ryX+r1xz2_?B~rf4|o-dw_hiJ_H-4DcR>Gfz!8VyY*4UJRXkIhlU|R zKi>0=q0B07t#C8_Q`hvJ>jpsqw%Z#O=Pf&IesN|TFZ4JqvFDG#LROzNKf&dYy1(kr zUx9m%cQo$-Li51=lh{B+XMu*tctA*u&D~S-UiJ2qK5nYNt8?xRc2Zw1%6c?8k9)hQ zZ&&`W>Mv=`cx7-F=aEYs#z1L~Z_NV8%|sI=&~U5JEH04K(|8s*Q6IR%r85i2^|N{I z>hT9S5@mDlKG1<#x(ks|?zD3{SY(R!>@JXS7CW3B^0}hWwtihH4ih+-ZWXbXCr_JN zNLQedEc+KiWXeYS@>AvLCa@C=@9xh*H$d;%7b`Y=zy%-paReZTC+Pad;L0>>PSi1Q z1NVjuc>^#7K2~b^40k3U{uNHguhFz~ntEP+bx1_CRHq&W3%5PRyIyWp&b^l(@emWh zgAz9DJ0!EWRz!qIO~0#AU?}BmH;lzdSs3snE>LyFYGici0CT=!3FPWzTv_h6GP1d} zq~Oe0kzun~?pQv}QCbuA;^WXLMHj3j?T_rCNZ*4kB-GmzrbUE1(MWaZicLd;YbVc$7l4MH6r@v^>Li?JtqB$h9w5_2bJ6wJMhUH`dH?`!ny@7X;{kswcU!K!+> zeOcbxid+{P<{_E3%}yvA*Q#q9K-Y1GX3_C~v)mRtalr8V6rjLMkXH~Bi797t95ZHh z89Ems-|kV6uFIh_fs}ha1~NO?lv8Oj{>K&K@Xr*t!b6zR$qySSNd15%8FJ7V$_k+q z!@GBDXfwqzhbHpGPRoy3U68D)F^4h0OmEy@&J|2M=8vgzrTEqPU*IaRCX9W_S`?{E zGx}eOKmN@H1((tW;$FVZH3|yDBGL(TydwFR{Gqr)4$%3=an>3~-L8b4!}o+O0-Z_r zg;({R`~Jz52@CKhj0tac-aKo^YSusE=JiKH+L*jZ{Y4otk28T|sZ|?J5U$U+(_?eGuE)U?wCR&4zL1 zpqrtF? z!Zgh>+UWNU)%(-xt9;1ql(2=-rDtTL53)&$T%r&9NQ=1cDACq_McZ3%RebKjXbbDf z(n_f}TY0?J$a~mz70g1rzg2>4z-c|C80J+>&%t!anNDUeB;)FbFPyQ!Nq7)iAo%@+ ziL=+H{}gh@vsz({5|ZA%=oFnqUWuf`SBdYE7_Nz_cQ7?? z{ctmB)>RX1{l@XRb;k^`$_sKl9O1TM?_H!yVK^;mH2tY)AJ8YByr< zX7&t?jX<>-mSq}TMi4O~AiJP=-!{r)u+uF~Zx#g_^HL~@dA8@c&G(QL?b1vnT5oJ* z{f6L<97A+rHGV+w(lp^m1P5&VlT`ss1LXJAk03L9vTAMAvD7dzXNkLEo#HFu{Ob%=O?6Gw+ zV%JF@X4m0JW1ke?QF7&lufb_`pu^~Z69vr>w%I$-htu;-3W_;p`BSCv?JH#R0=lS4 znArCg__zXDQZGQ{_XK=ijVNX4C-iple^n7>_1QK6)4xrStue0>e7NQH8gbkqz{tNF zh|AXYzsmtTz!55I^v4+>nN4)*mv);k2~YdpkMw<frnHqut3nc-cdu zTF}r8{wLXhXY)D8zANg$S}=oW-@h6B;3CT5d7G~E^@0~rlL-@R<_5C^4fQ^L-+Wn( z!Xu$gY5d7)akMj%pKc8D>TIB`9bhX;%rO@kDha3RI|LIbeG>_f7_Hm0ny-H}wXG3^ zKAkHoYqa8mV&bqnv`T_Ng}bFAf&D-I{W^CA-L#EGLjnYrHH%xSa>7e*G9HF5e!>~_ z(pgyK>-5y6)5NPW#^kKX&U-p7ctfkBztm+g4Q_GHnLP*DWbRdWQtA(ZF|Hcb83U8B zzIOy>v@2o ze_(11(ew2$Fe9Ph-ti%1kMBodMgrlV`@8?HeA{x^jCO#cRY{OkA*YQX3}a5;kL+4~o`9#e4d=>W3F;3IG? zhVYL!;FgU3XO|;@sX{a3F5u^UK=$-}&u6?Z2oI57y#N#Z`3!{gz1;)eCG;G4fd({# zA$@lNO+i2t8PE)c^flZE-sJ@}Aqd`jgAUT3x_WB3lA)H>E*3%QD zaSE=h?vLiC;ISfRsY}}fTdQRmQQGbol}1bkdj>}aOFh-(SQd_To;fkof72?_r5ECh zTes>${O@(JH_sLXaq39gG*>}Tr&1O~4I0vTTJ*uoG#LX%GiRRTF#;09R{rGrO@ivj zbhXlWC^Th(Sa7m|SQ6o5t)UCb1)X0goo`P`Fq2wS1{`e(0LUD08XUN-q-~P8m@u z5u(>JZXTo8I_?%@%WkgKI&7A9scv2NRAa2XM5LRo-NM#vWo@yrDEB0wO|t7izOMfY zZHdkEC>zO*85jOVg7sEukM{;W(nON z#;&&nKB4oUr%6fUhj-nNW}W6dnrt4uIIzrAPj}a7%%dTDH{M4c^HPw6q!E0@hd2nW zC!&!NR;vuI@CBFU>^*1nyWBZG2XDzLCDkHO3py4?A15$lm6dD&>~)V}Q*%UDRV{-- zuTD-4aPhNVNCM0ri{%6&o1~eFxxyLNS);LwE3LdH?0cdmmAMwOcVa{q`VF%4M)5~s zIoT%a`+sLL5(+;P<&g3RbD1p@HuGp+zFnT(-`*TwI5QPsoEKnb2{Hx?@XwHRuu4;T z!-!`ES7Aa|C7+d{mypZLmFCL`g$HnHRSHjS<`Ded)ALbHp90! zWWyG=`1LHFf^2p;*Js5NJRN!+LxG*@wH5R{lGhla6>}9s@o$OZ--)Xv-0kdT%hiR; zsWNT43^?=#Z$@{?7bl8hqKZ@u1}M=n8KDPkyALBV7^5RG!5yB;NRnZ}Tr_CIud2ei z*66v4$v5`|9v@F0tAS02Y^9cX3_47XO439V!9%hLs&-(A+m0QNlHtdYPck3B&xUM; zO0VWPpGZaq$&~z^|2hk77~W4W&+P!*P4As%@Ttgmz_)^=?aVc#THKJ-THI=M0Ityk zAJlNAF;b)+`w4E^SB~?6KJJ^qHySeiGTtcGQJPGSZ4Da#8CqN*Mb&4q30|M&zS0fx zdl0>OZtqAKEB1?Gr~~HqURK5;XTH4+TBOPDSLC6L#2Budi@qP7OG7{Wu6z~*XnTKw zxkc*$22fTiU7*{znOTsRp9U?;Rk$@j2225y+)pQCmou>3*ErU_>4Yy}OaFBwt_PpE zfz~6jk;#j7kP69-1TCZZ0T6%-n-**pD%Inycb@8JO(R{P$kgT}2vti>C7{Z9cQ*1! zO|Xe&I-p7>dJvUHd^bGF*NlgYijr^*7e%QVKAb`;THMUG$ico$riBxU%13uFPA>#+Bg|eckqzm zL1>JkLTz8UKuiK_#i3XlJsvfy#u}dJ)C*%kw+d5{i$19 zD5#POcS9?X3=L!}z-ro3g^E_{ol5~;gR`}Ys5(;uJGDM$TLD>DYP5hYEMcJmcv>_z zN}$(&xou>u5GvsJV;{Au_h+ghLc8oyz3e%x6F!r(a-whO+f(q+&Obfg)7;)ISh_wL z>(hL4_2Dzzk~mqroBW=OFszH%Ng1uV2>cfI@BeHYnsoj4^XDe_l1G)0@mDX49wv9T zb#tTfs_+lpK<<`SY|A>GB1-=dX~v@%wo77{4asBQsGE3}cmHiRb=rxgE1)>CuAZVF za;L{Q_I_ORX0zRD*Vq(k-5^gt!BRHJ(MkrJ1rc-E{r2&zHt5G9{TE!6th49=%zEOP zVw_M15*rx<7Yk3`uS0lJlaQgqxa@yygYdM`=0urKx~x}?xy><~NYCrG#U;vdjRtA6GmVau zO029STHF#YW(^;;h|@$(A5SBCP{BeLUzM$Al99!$d(>507b+0VGX}5KP7ft4PlSOGBY?OG*I)H~{rE&=@?XU{^KJ5iTo7e0(u~amAQ_{>naU>xnRXAvJVjV0= zMHaD^ujP4uR8*I8b(&a1K3Iz#S|xZv=ZRy@j=&J_%DkFkZ{9P9uSOi1~02-WMq{7&9ojdBM)^b|4oga_x2VW569zoaN(}RE<@zC zld#f{JjshXUCOw%d77OFBN-_BZRf%}w9#r@M4l)7_mdA)hr9h;V+ZNaggI2x>Qg&RZ9^I+2ANw=WCXLlP zNUu6q8um!%SYC&D4P;fb@99S$oQuKniJUa^d?X(z8wrw?7}0#BP$6=V5GhEIM2or{ z=`2l__`AQxG+@TtEL5jnR{-u@>-3KkTYXjCgBU zxe;oEBL0W?c$>Exn~3gOew;ZMpN^9Rr;(Tv?dm6F|#JWy2R^;1yQ0YoRswR2W{v)KV7QS*Ilbm!*G$Gri4>Z=elxVA3 zX<{3B7;PJsah*Vs)oiq;)sn^0NhcF*d}@&rv_`8(69tH{9=P>utA${r z_MhUgCf)ksi0-^PEksreXX@aC1|ARLBI}p-zm=eTc4ly4v?t8*f3)(}{X!-wwIz(5 za<15U4g#QHP2Po&wjlj6Q`VjXksAGox1hah4R<&go{*dJ3KOrv!fYw2%y@-~ZJuGY zH_)4CG{<3XIqkiPOwE-dQ=3?*Lf>2fV#oiNJ!YeAyNxCnm|~NoTRHu+`W6EqE{b3H zY8`dhX4bts+NxWhc{P=}F?aaWmBWGj=fk|Umw_jD)$3}IOqUa$ci zVfxEUU}1=e4aV?u9nsnFe73qsi^W=+PP7mgTpl}ZsKQnFPBjuwBd&YB$a*iALj`>1 z77sM;I)=O7B7ukoSXd1djNQztA~DyU^nV=DF2VFrZDi}wMmE8AP!{mQ%v-8e+{JP> zP%zq}XT1UQDka`$;6b*GR{BR#^RP5k*I|7RoAR00VWGkf)_!%6JFGmtnBKz7XW%1% zj6*KHLa{4P!9s z^rfC4b3>{co#VMZT=#1T6wO673|9`?<)UvzB2AY_5vU|K1sT}1+|QNN&uhqBoioKJ zj(HOFN(+4$r-o=%Ilh?G%qIs*u!}UbhMC%oSA}@X24(|-#>`Hg*zut_y!dY;+IlD& zh${LxOg&O8SkM3rILS)N@1RN{A||IQsjwI9~x9{qR?I_7zia9QswU_klA>cP6Nm0pV1fI#4|t$ zF1?8-KoQ)ON0+z}fkQ%vheQ=QNs_=Kg?D@iNY3`V*12%tJk?xrut(c{OC8ZX)tW+H zg`Fa^r#^19qs#CT^;lTFCUvm(C9w8&u)}9j4vrGH76w7N3)SECgq4t0M4O&GmrS0) z!{Jb1#n5JM0)!s02+dt`SZB_BXzlQu9)%mn3$+Wq?m2MY3OHJbmEPT)J>HJRv-=1z{q$}z{iU~JSgGTm}Sn%jIpR3 zrmq^06*?4eoDt%>)70BJMA;Po1`0{WN(&=|5LaQX_nNc`xaIisIOC@`G_B$2!>B@a zFUi|WpU-PxrI0XcwF)s!#0xt|3?7h4f*=P&E6Ra|yCAS0Kmm^YYYv@J)Jz;cI_-_W5)cpw~QjD*d@f=aLI_X(^gl5kV(j+W^yfUHG66 z9rC3Pcj7XlQKNUZjYwh-|+Zl1WX2`ekxYO&7Io;IfTe~^vbjO@iUlVjt ze(4e4dBAX+(_QBOUyX%z{`QQo&fdql>r$V(ov%*!_tVYm0-JWimK;#emhmq~?^g!V zXKUidtkbZr1hj0w!o6kS=)_#rN5{AC((~8^4im%JJBc<^e^e&d(aL~;5HJHM5YK;?Hw{Lr5{eDGpv`u|S zBi$FLS9r?OMAvoe@3Cql{o6$I)+)WA!gBG}bvogl_58gJ7JV0g(@{jOj;pTCJ*^IX zS8b~op!QP}P-B0k@d-(1>}o%2TYdWv4aYd_3&{u2iEyyI46gNGFsIQg6?A-hqC3Q> zucK63g0IfO*yf|p|7_9-a@}5~D~iyXLGeafrDG1DsK{S$g&8k?k%s0UvQ5_lL|%Gn zh`7fp%J8XYUKtjoW=_@1Z=z&F9z0nAMpn?5c2MWX0WjKMe|=@wYh^Eoh+=$)1ywhh zdHCx050d^e@%eI7`{x=UWg^#^W)MX?Kjh(ZduoAJ7zc$ZfOVE#Q)fz|q2U0pvBpeX zeVLhPCtGTh6q&Z-7_!2yG|s_JjZitr@kB@a%Y2+e`cuPG?4tb_sY*XOLDC!dd*m`= znPiDS2Or5(^FDHA0epf~oHGjS+QbvdLK#Ors$qzt@vH=J9u{uTqj7VvCH*{?Rmj?Z z;eaxrCl`2w)!Z47rk)>|>jKn7Q{C>sPp!2e7dE=!%WG{gXQA^ng|NLE!1|@FFnp{U z+EE;^fHmZ@{`YX`g>W$W?wcKQkT;jL6)_N(xv)) z+O%dowwRtA0BpQHMq+0V;2i#OxHUQ=RF{9f%IMXqg;+P!6@Uvct;Y~mlPq%S(5)r5 zTBLX_GJaT}z*!|(+ytjvod}Xi=cij)P>{_C&@W6U&ZErG!2&9Pg!NsdHtALpswn~j zN=Kld@7pq)3(IOH*z)q?+5fwej~M4(!-GBPqP@xdp?1_sckLUjb_CkQw`R{PN`mh7 zd+FL1o_=xmRZ2V`*Mj$(4Be})%e_mE=3dY3dN~i!c)wgxZ>dKt%898I6OI#vcedNqT*4DyrC!vaXz6@+XNCd zu}W%wiy`2=wZ{Q(zXJg10Z@7XsNU~A9U41oiF7k!?N2d6V%;*me?`umt#-Aovzhi69r6|>c`EBrkGaY3O4?g0`>-E-}*TjgN%26-L4sVd)jnfmpXJVjOZ1N^O z+9xKz`SAwbZ~dV7J2KHqIwznwU!nx8RReb$waZ~C-~mfo6}+EAB$&SXGP~8fm}3ds z?k$R(QQ-I?_~HHh(fXO1&{2S4O%eBXVim6*zb-&e@$<`*_lh9m^Zd)x3lF06K}7NV z@X7JryfMJ5CsYg**-vbm)C3uMDpE^a?5+Xb2@v{$^XhNu;pfAR|5EUln`sttgXo1% zU-FiDQARHHRI;;{v32*Ak}b83`h$eImI7r7Q1nKM=R48(*^`uX+}io)}*)w?04p`Ey^ow~PaPulfkw1+xV3Wc15L+J_2Ev?t#a zop-<7cL+0jT3R@*)ZS7RrQ85GGsh)w4J$*DFp~;DaWx`|H#jdTaFdcboKQ8Q@XxZr zW$feOX1M4LCaT%7cP5DbTtpw1A0?|DU2cJtW&4@>q@Xt3*Se z{rAT$bcU+OtR^tOfl($Y?HEaarLQ0(C!86%=3G@f`?X&9aV6R5AB5Ax}%?<}kMxpV#C zC4e*HT*%jjS5dPEvLl)gC#C8uGT!$RAgfkSjlVtn5yJhM+yx4|0YTq)Q}5TuPCe@z z#zYZrzo$OG#2%dq620^xc7E3^Y5C1Dr)Dj5aW_`%Nul4sEhmy>K8adlr( zPiTfAg@CMLxwMk6qi?eZXbgC(s}v3=1%pg;W`fwCe28_|J>hp1onhpK6d#P$2o0H%!|CK8UK5*3Bcj0`dGdAyY~ItuCJz;66nVNg&Rx4 z+X^f4gd|*_htnhc6#iV5dk8!ne>OX@ogP*MIOpKqvBQoRnRO2|OHN;3SftWj4V0ts z=NMFh6&4D;qD7%erP#lsNe^~7@zs7+s?re}QP0s7@KbBOw#W=lQX0TWq~mN^FIGw# zKNG3lO$|IuSvH7JB41;q!Q_dZRWDMucN|?*fs{?Zjz1DFg~^cxT@V|QxEPGKi-CyU z=m^#h`G`>eMtyBoCm!)3323G%BneTXK_g{>DivWCu^ZQ6bbdxrEEc;e=0t9Uhl(0^ zB*~r`R(B?8BDizQZP@yV{>PCz49IK>sj=F`$%NIK=}B-+cY9(bKpU!#Br1Vd#9YYK zLeFryk!TH(UH;f^pDaIK0hHWb$EO38k}wJq?vvvSg~_yyczoCwxQ}uaB0ec*&rWCz zAx9=$5^{wGmBKGQ;0S%MO={fq6E;&SSPF6j5%NFEQMRPMA6P0uLgJ&{&O$Zc&_ckwE+7K+IP8|w4iAjVQT0UhyZ5z(#E1m>;8cv_x0=4_zA-2J z<2+NpXF&N5S~N1wHD5Z@Czz-dI0_7Ui);WpSsBRw5~sH)4U%Yu5Zvd1tz4K%=q3{2 zY22H~dFj~0lt>xMAyx{(6oHtZwY!@F6lxN0#%+l(BT(|B0$3Um$dO41I2TJ9fav`7 zA?sule(JRh#Vd^Id>-=H6-|K=T_&IC)P{TH0}{hmSI5CCYL#DC)~dgxcuz|3T~-k^ z2(2M=!^vY?L`lFAXz}@s%TvJK4aj(T3XixriVtAkO)TSSR?jO;$)uqr+A9OvY9S#rEXK{gck||D?nz+Nh3NE#GRgKgtNM-V^>!aHI3^ z-~C8VV>6~fWmiBdva@lci*ci5Gm&BMMTAB_mg*zuSf-6v2spz>Gfu^E(9mt%0F#Z!IN{3jOoRDr5MMGVa&0q5CXsYj%=Bje^!Jx665 zofbVBxzn!{g!zEIMkNT#D^LRPgnQU-55ap?im-|}4(I`Y5s4+_S6n%zni_nm;mMz$ zkak*W#NF>7$JbL^rU6MKRH?bVU;*+XkMZNNYl74Ac)QMe>DFksAU%kGyaE}S`kef> zb{y8G<|4K-U*v9e!T<6Mgt*7x8h89n{9%vL8Q!lr_MrGv4Ze*_c&V&?XwY0RZaVXH zV>yhyCfAC;9z%7Ao@DsO*?*g%e25WIdU$-gDs|(dkTL+jU`+DoN5(TsW%zwC2CqCz@mbg#A(UgjIBQ`0>H?fLbFE3xu z6>rd|K8XNa^LkP+9Jcn)brXBJI&FF*dwFJ+xY7w)wfOL4uE{{;dbX-9HZq=k#p#Dn zN?;)BOO>JxxtOiE*DMnhhd}Ku(qMMuopnC2V7H*}RDS)gzGA}Rma$eiz^Hg4q}S?O z*@Go`$tbFshN&e1eD{y=Ddq?9POmS@mJTUAw9LDu*>aM?^eVU0pgL9TH)p?^!<%Tu z#?zeL$ot#IX~yCGxwip$kP^=V#?!eWiA%N*FJ3*y_OZJUaJBU3s7Ni)KQSjCQwxE6 zk>X1-@8)8FmDyL13}O2uKMyW{-YdZwySen>(zH%8Iy0?_;)I5V1;e_HGeNHaE%fnV zb1k6We;AY-QTB5?UcKOBm6dm7FJO~2W{mD5oq-P4Pj}Bl#md<4WA_i=&d(3$6ps%K zcWM<(AHCo4-9s5$`~GV$K?UjfqxLgN=08Z8*5pg<2UXT>SM4}T^gx+v)Ci!86*(z$#wfWv!nLI(;(PQ=U_xSqw`IxFcWQ%os%fNug1&f-pepp@f(tESt|J8kcqpn}UAwmC& zCV*(P-9lvlN}|Q$s;!G{xz91faidkmtx=AbTx-#wDWU>ZJK3D1__ujfZd3!RMttp> zDf@RM7m+((xfzJqm1{~e{|Hz zsZ~}-;KV4X6n9T9*qr6>C*Po3mb};}Jp0G|XYVW0G0`Mm|%7eN#Q&8UHx` zl~QIg98|`+mXu~S{K!>Otp?sH6dBz{G}l;cWK(O?{nMGRw;%$2<~3PBQg7oz^nmzf z%28)NA3FfW}0lT@;acU%c_uran!rhLa$(WMc_#q0_q$X zYLD(ah887-SD?_@eXPey@80i`o0|KQEoL;8CFgRJ!g8J)8Y{9yy+60;7a6v{l0y;So;2bb06|8W8>*f~eg z3c4-$GsiPhr$d)uh^c(a)3KVdqezy`>v*WCI=f7NdRvxzH^gBw@9Q{6y{Ve&t$L^U zW&G^JhrO*;pF~Oom1K9AyV7Q|mmCBOvB={Cv6-h1QHy)&@>q+(M~JzmgrGnKHp>vf zO?QM>p3rpq=myz&QCU&P0C|k+6so7MuawH^$$qk&hBMi>BcjlC zwSnyH#(n-VoWC@SuU4fAi=x=~SX%g&ItH4U@|#lXXxI;MvAjotZ<7xe{CqAeM6G^` z-Ili=-u#4wF9ScOIh8!66JUXh)c)@iqC~+l+FHQYLbIupNxrry%V~$LY2EV8wcjSg z;_2WBIjA+1SdF00Vx^NiI?yh@_{3I{{>@1Vm}eV!uae{Z!_+YyUAgQExF&NzFbcDq zXbCm&W>3fxfAJ1W5^t6+maaX$(h|<=&D8>?6HwyZH<;s$pArsb@5wt<0=vX4Gs}=0de?sE!@n){izmHB=p=JI>i5CCA37@N8yBhkxRsSp z92JvbR%@6Ze+%Ciyh(Ujqcfm)R}oGA!xBxyTPpVL%B6?cE`tOyXI6%(<$WTFVB`+B zT&JkCNN5Jm;C1scX^SOB!%->?nrpCbpBXqs?Oan_RR^k#$DP`}uH@szw-jU*dsxvgiJo%<#*8yUaM< zd24#xf?yXuLGqmQ0BEVB+wgHr_PW*Kb~8QkLC@v-c3w-Hs5kzP&fD1KO9WC~dZ#D` z0w8<$%mw8P4xW@1*O}pvbtuzbk1LLh5aiOlyn(C6NTt1n8>7Lf0MlA&!lRD&ir$=F zoL8O}aTmDUXRdf!Azq3EBD^i{HyG#KrYRZ1*5;>9MV_Lxh7B%*$4!TrjrJH`=y;7Q!O7uscMDeS0&lHi-Jl(06bW%Le^djSJ7 zS!U{KAjmP6S9(;bB;ct6Z%L=*nJr zep8ZiS}cBYU6Z40s(fF3B}Moz*!lA3PfqJ3Q1TM1V<9lFIe4W}e`+YoG_%|V{blhZ zR$B$#2v@k!E!s%2tYET>^83Suo2~5)(cF^tn^vXMTb{|8r&Tw}71&q<2RbB*zx%u^ zXwjT&)r)d+rV{m1c?12F85pt!=7w*wYMnbF8{|}3b&u}{Os=H-IZ1Qbvb>fL{X^vKEnO9dZ)VA zHI$2;PPwaJ7AV=+)!5_Tk56wu!JQ>w(WeR;9cA=YhY_<3rTKjWr=dE)J~JBdwYf3c z>o!xOjMGJ!C-bl_Pqg9f$3>ba^RzDb)7o}zNy&1$=wMHCPCZpCfE$Vq`B-@(!rvC{ z^Rv}Jni^I#YOWRj1<%|CL2CpOr{D8}Rr&xq(hdB8wb~WgDyTVsn8KE=Zp6G?iCD`B zUy%fe+FX$?HoCuHo^|eRlt zsv7;P+`Zh))ng%2^gFp$nO*6u=gzw($xF{nxlA{?K$iPq* zJ2{B>1%LVbFV>cqDrukEr#`$(Btn})WBF8sD4~E(A>v)qP(qm^b8n3Md(f$Jw3rph zyfm8~=FH6H%@zyY^tkf?o&1GMz;peaMfkojh3A87IHSdp3YHC!UsO;*FFIH<k}PS0g@)^(?|KKAmgM^QhvXcRSUVOZirXqLl{^1?VH ztV%TrnWQlnMFDS1iEzcp+Jk_;v2d?rlF&9zA5nwFZNzgNiZa9}tUO9;|1CD^P!$T;@hJBJdQ8%Xglt zUgMJZzH#x5R<-nR*L7Q0lBJ$(0+5L!f?N$nhU4X-G&f8x^JX43d|=rmH!N(43!M4Y z;;Y}#AGMt|cg&Dv(aU3~nb+F4H{>HNPAW*JJin4+_WeywY-yP>v+cQ_5=)~z57@M} zI^xC;J}OJ4I=a6vb2W>^$hnQh_NJ+%3dF%?YgK+R5q-5x6=>D2C!t_0zF@_9+(&4! z39Btx*|^lIn)}gI2`0P^6lDs!T$bgRLK>P-dLmiW-K|q7R6JP+@cE?Y|7q0I*fq!P z9wcD3uY+2G!=5-g<72ZssN#`i)WX;7Kn3DAGp;P3VAO$bBzJbTD%g}N9>m*tn|38-c9tv8LTs}%`1;{h?d;~m!0D4|o zBae@PuW#fW$KwB=YvIs>V4#@{IY$a4rEM2wy3w%-a7^(l+ zSG5B?T7LG2is>@gX`ka?`Pztm&I6{8$!R3ZnE0kCGW6$PuEpF4hWR&I&KiTZzK%oK zycBkGYWRc7oY4jt#VNjZN?A^{P-Tk~hJzuf-gc4@I!W9=AL`E953fOZp6B^peMhI?KN_@G;uB}wtG+c)o0@3GeAP%G!ig7Q zKUNx9E#N25Az)P_*Sn0ML15og(SGEc)e{5HjwqM8U#2Z|5_qjQJ5rFAgv%&%Z<>;1 z@M(-zK_^O0G{XAZRY;B2roJ_-j$7T_nRN!(QJE5l z)Pl!UNV&8ozuHZHXo?2&LIJyEg&l&E851p}D3iCUEVsWf<=M-b;oF*lP)vbj(^|Qn zqIPm+OeBaE@heC)NQ*k*Z6W2;5F5r-@eL8}>9CP~GbA9h!Z4~VZCoSmMaIOKpn9@# zUFUPZk;&wR?M;g$fI3I=d)SkBOr11Eka|HXJp1<32r|aw^AovG?J{65F&{E&M%<~d za&Z^u6t}%=Ba|%jj%*nGw7~fBR#3Qs@iz0}@PpF1=WSV6GAqmCY}{ojljaPK2) zxCDtWBA!eW6SAt8EmKde?_WD-0%WSe7LI0EWlN0GAGaQ(cYlzP{8qGz(7U7egeTCg zD8>?{auMxar5_h7=`j9ofq1_P;fp|fs?r{53OfQ-X7UE&DRTu52O7A0l2ZQ`F;#>5 z8XYfKv3@-YhAnaW78;FM1lFxibRaCM2dL-Eb1p3 z&Y0`5Gc?t@azHu=A=+xL;EX#-quPt?(8BFS%(*NMJXrc7ICX&voh?Q}7$%@F#SN06 z`*W+zzw3?VM2bLKH;qgfa}hVsMaR5%C}??KedseA7@&T|0B1a-XPql538^wylp^`| z0)L=A!tdizRum?o_l$`11^OVuIsbVF5-d$kQI)Yd5AzAw4e90m(09dH_bnCHiv#|q zaUgTNST>1hwRLO{A0GB(A-CLt-y^78arAtc?i!UpqwO-yD>eUDlSJ%7Z@F;CqBWVl zmi`Z}G^?YvF^KBhbtqWPCDET+hMi_kzs{*wg1)efYseZt0ZH!qov#oNE&iTh2;iFr ztQh_6UFf~2IuQy+du`rNyqHY)LpdIz+P{r`GmgDOM1OK|7&Lwj$fzjjXf z{P~~%_5b>(|NhVa@c;f>|Kq>^@Be%7^b)@KUjzRSmrwnAy&S3=|JUVz`}GK{)#U9ZwbH(P9$>(wk>E&D~k+2o6U)pv`#kfZ7qfC9cb1FotX!;@+r_8Na+Vf)|+xM2*0kbx^7VGdNnk9&_Fj|^g}JfjJ;TI79xB?`k@7j*=pV|wx3q(uAj+! zpX*sS$S|zIy5%r-y48HRV_oR^Vm)8XhJAQ9A4HkYHnU!e=~dS+MOst(+j#L$cFcGWG``C{m-*>ddR zdB0wz4xUe)x>)8ukxmt4>eS7=Tr3CUDE&CE`hL(}b+I1$e(Kb9m-|OLbx?chN73MP zu^szfJ9Sxge(JQD*wc19*p-|eh}!$*a=GoL$Fvi=<$N)puTq!wgQc(L%hgtXgSYE9 zT{ZzZKc9@EHUYVzn1EK8O`vpYv4Kyg!~TbK(0|?hw?U3hI!6D~p*?iYX1$!PKMfC> z4;KDOL|cCv%yu!C-Nt;e8AgA%?G|#i8HR&i4c*bL`o*fcTF++XYTYd>ZY{mP*$g7d zPdpDpXO*u8`G#k1hyB~UTP+50h8_@0&FV>)o339iRts(1X86DLr}?0qb+2#I{GWgN z*FWzE8~m@U|Mug5{h0swm%(lJ%a!;UY2;#TyTPAOHH#|5G0DV|bXjhWw1t$mJPwY%?^alV;8qvV$As6xoNXe()`W z9+!jMt8G8(SUOLxhJN47^w*^2YLIR43ZeoPs239$blK0ub&EXrfBu*M@oBZ$jGd_s zRv~7aZ5k?b`6=mbFI_rY&qZCU?R>a@-p^<9^7vp}^Tpt42jd|w@kRt?Ta z{~|w{zLo*44o-efdo4a+ujDtd2S?YXkt{z2p8I1f@@)r)v6&$G&Ajb;kTvUVD^7Ga z4BWmdGT(03GOJBR7K`O-u^78uOhSLaTrRr#Fs07=Vg#E{|37Qrwqwb0W%n!n2hRJ+ z^Qh4fg5a@eNHb#(1fxNUZH-{MMU$<;=d?+34621yrKmSq{j&3*t8HE4Tas{@BuD9jxB@ydD(J_N+fSAdsb zr18pm90v}H)6Vnle&A5R=kF)z=Nw99RqZ!`Mz85QhU7#ul9dW}Ka|9kB5WFdKn! zq9jF;kRXUxtQ{mj4*xC&fL$J=fs+H4gVUdbqf>@X02HGF3?C9wjPBH}T!L^|CCF`X zmU~K&3#11jbdSVYRzS>=c=lwY zU@&?UL`}*yh0#jPYO-mLZSD!~iRx-!aKPcs?RMODa?I z3izi-ZE7RgI#v(0YD=t!lJ#yMYR$R<*KZ4W;lPy{qiMAf#pX(8tkE4(+6U)3@RO8r zEKs8c8yuR({e(_M!32C71D>&?6Gsi3#!9y*6{#JPbdw|nC)D~QqbvGo*FtRXvo-Uhk-x}3Qh$II_F_X06l4# za6MqOVVT^v0SdI%r!12hmdS(IH_HT^0qdk?GIWy#`%25?9QxP}s=WpKx&qpk-|8Hngm>i2YyvE_IN74Pi=FBAGPQ-IV)-xfi()-E0W->9$i- z8=PAs*i!ekjW*|{_{ug2h0mZ$@7u8Q;@Nf|=gAT9V%vuCBhBK@(U~G;h~;5p>=VTI z7{PE6x{f0syIvTohK-{RQCm1_VGuKzU2H=Lx^bGx?1qFX%#NdAb{qw>cY4rl1sfEM$ zA@dWMw$TuQ)eF#ZVrKsB_dBf`;TAkNAXRXgR2+y_3k6c&&tbJD!`+X{a4{+j7sF(@ zdesC5G_x`Q8qM>`{Tv4(#UG48BXBnA&O;3!!eJe-#&*(YDJyNP{?q^c@z)c?i9zO%k|OiugpHGtT^HYULSKm9fOQT%JO zE0p=Q9Xz9b?SS9v>8~wZsedhO>HOLTzT3XGLx}j-`nHj;+Q;_btNAgYblnie|yh6*-D>o72fi80hM z{S?@64F2JkCOlwr0|9IB{60_jea4p{()6}@qQ*(V8BY3nSbz%{@zr;5<|lCM*yuj6%k9jZ?c|3^&E&7zFQ zNYjrIykMN3{D*#ipFSL&efq7V2f+6g4lT$|3=?9zrFhwdq?Vsq(`gef4@$STG}eSIjWuCQ+nPv1KeML0 zO|d$g$Tb1!!$C@<4^4*Yw$3$^K|D;>e@=rR2 zrmG3AD;WO#%k74!$b7tp>#;&2#Xs85s-tu48C)KCk^g8f zLU!bf*b6Eo;oL&c0y|K*h#j9tzzZg20COE|``^^}AywOZhI`lu)Mhk9IV0Sav)i7IW8f z$!qQ!bp5t#V-4n3(p=*?lqi3(&NW`FTXUF407wbK#oFkFVCO8^jgcxug#F;B!Rd6A!oI!3 z6XedkVZ#(>9!BZR!zi737=<$rQKB%%ZiD@VId+WYr#YsPn`89j3V30-`EhNBE_TIP z>;c3f7`{zz1qIUHml?iLgn#CM9|-xRXJ>Xcf=YX~$;uiIc5U6^(QS^YZ}X$OjbIzr&;G%Y?o=tlnw8ut;Kzr*!PT#4Z+6bjy!w4j+yr zd~9cBg+Xf!^s$KUlRL6tM;uA3uM4sG^mU!EhuqgS2!-s9!6}T8Ljm(qs3l$n&V@ao z?+u=l*aBy*PiG^*>xCo^LA1spDH{PCQt?K{ZY|#@M`6qzzX!=N4izK;#?r7Ktfadiq1CQ2nS+*1X1p@zH&d- z8wC;|^H0X(h<3!b%h!&j(6ZSka1`GPoh0Bi)~y@@gVPcOpnX{a1|CN?PEJ8mw9S-u zVu#N!P|w1uC^eB{;9;Vt@p2(vx~N;ziAlUn~ATZu^f7$IB3 zb5J(_dvn3xL{aaGXg`tf(nULBzth7W zgEaSWx?kdNq0ln^=2}0)Z}dnrWCSNBb6Man*s)c5wqZn17?cwp26Bh!$>y=$Z3KO7 z-={Vj>?wXXR9A}+BkN>*WRhVFF0nyaD*T2`q{}!r9K)W0gz#I0V=w73uu+ghtgR^6 zcB|=7JDg#W4h29n9SR&L)1h`Swah@mumc0*Fn~#0Ns((m(hND=H#qt&c6EaXJXIO_ z!##GzVTc}k)uYFm`i{Zfz+d>@Zay3SYH%QOCs(HtF$gm`!Bxg^n0B#mjITPlmT_<3 za+Kh5n3A$2=MSEa;BpQo^C43|#CCwS;9NGOM`{GB#MZ`WUr*#N4t@X=i>M?^hzmRfoj{P4xdBdYtBaui!CqVO%{$hUM1N+#HVS}|~HrpydsRx8(JbRe_g3BFtdt+xn3CtpU6 zap%j(F`n9K$XViYFSU4_Mx?IrH1LE6L33EJ*FZyxJ_>!^B2naIJ zCb)eEx3N~#%#E!AugeMvPdFe(?uy~mk^dLo@EfZQQ`Gwl~&r{@L?98y}TrNG(g z<|A02%TY2?SJYd@XvyRv{BSWAin;|H^bI+`E*2KX8G<=+*qGBX9hP};Wlqc-zlRE& zXiMhgikp~IRwUgCQ2s->0gePEbHX zK^>@L6G$C9C-@ki%D!EZeH(l&h4H}s-0gLIPT@D~Hb&z^8{KvsMM8$&^eplN*^L1& zv$Qk&c9A0Fsl;$M$l}JsS!x-`0biX>yE4T9xx~duURJYVHO_L*(6_mo2&DiZ*L=g|457eNDMJ1yBg=Lc=W@&m-25%IztFVf; z0t6r({D{@@$2_%TXfi-#eQrS(1+pY2{9>?F1?|BdAr^Uz@^dnoD~h0$047~#Ouez9 zF0`PPN(9vz+qxNK<~oi3vY=R)%*hrv4Xq*B)q>v+-DJ8$!Qyk4KtO{R^e*9C2dO0 z@Vq&g895@!(NJiAp{y#De4wZ}@PwMmI!tM3qwzS>y2*`IpK2~jBo0MN2ScxE-C|Rq z<7T*nR?J|VL_yCP|0&`if$H_R6!KNon=yh!)hy!k(DG;XT>NaDg@q;)nGXm z$*DRK_0s7(5MnF^je5ZFFPZ976+gk-Rv9DeAptyLvmY3Uq`)Yp=EyNd3M%G=a+pc?s6Qn?hd@yv5 zsao%$E=Yb0M>_f~tfpFIrvMn3F?f=SRcVm0Qi0w#uwp#)2qGSi!-yO*4tpWw3@)67 z76GJ`*HE8gvtS4$`J(J1v#(ZkH?jT(w94dRfx~HaBS!WcRGWZ`!`7LAHd;Vc36@4$ zB<3f<0*8}eZ;)9*un>eWN+AKDJXn<N31Ve`N#^57o0 z3Z?v-ZfU0`&C;)|XC%9ddPbIhc|9YGeY~Dgjvj?0!|k&y>j705ZXadIuP9C2t+;g7 zK&zRogwZ(@N6i9b3F8wMm<)HPnPRvvMTut7hr2pB%6uf2heSRSMv;$%B)HI_k;W1_ zG^KL&CXQ`Ce%_ndN+X66Y0&ogY4s+GSAafxXalQ7HNgexS?QgDG*)^?AdQvYq*D+W zlw+x2khh4$h|6Kby6>b#413Yj4pI9vMae)ZFu*Hlh`JR* zHPNU+FaDeeE=abA`5o9W7E#=EsCq~@9Y*PCLu0r>>X zIR+jy0=t1iAOXS=6nMxyD*+te-ZPh`u0?FmPd^!cg+?+Ls^ju zjU8n5hsaKP4eexEuj2>ISCftG&tWY`{lpIWJP#FPgHcDF9Wpmk1z57upU>emt~1IY z0`W3K?tUE9i8&bh;7linhWr|W1o#>&uOGigGj_ATKwp<4yk0=As9~LAs9^pXC^#K zF-hcfU9&+Wef=>tGxDK035^h+1TtIrr}?R7ukhjKly1zM;!d-pC9|(jg%6My<)r}3 zi*jW&EC^|Au+980)uUqFWokPb2u$-o$S861*o%(n?RFhP_EXp!1#C~8jii(GKr$p2 zJ;Ifm-z>0DD!U!FZ%o&8i>UF z9JTpxXy)_c`C&RDiS#-@vxD6OupwaO7&Qi^#S%x}UP<#qbvVDscj!X~ea&3HN> z+cc0JI;1v<#wz%{1L6wmvw$GeXE|Ll$U}m56o<6l7c^8I&z`RRx6 zzxn(7@4o*#s3k&?nF|F`9j+K8iWW0|@b4j8Hrq7QJrEU_06WFASz{c*xcCv0Zjd>M zH<0y{Dai=4aAZl`{6IR{{R7P2kbZ-ZW=o9b3v)?W<`hcEB@nP(OWw2~NEqP*gHLxd zmV~Bz!N{+t{o((8{loVDFCT|+K79w3cflQm%@@3UMMXgzG9aB0y}qLy1sXgQH<>$t zL$&zkE2bJ+eZ@1{V>DkeKPFWH2sQpP!5N`A3_gLs9Pnkb3KSL!n&-gh9aW$+ghW(k z@_Fi(5)vD{J3eZ^g|D#H!g7A|g<<*p6M8VhKf)e5BB|0vU_s7WbP-r*1myMHqCl`^ z>T|FJTaHEzq%lnaiE&WX3jm*2W*^$eb<{}rh_Bx-NBw7<^*b~vz48*UqAY|N+cy60 z8R2LGWCjKdT;$Aq-nZst499W?hON}+{P*{NYOOU)t(?Np3blc#7OAg9HD|0`xH_Up zcf~^QQI+xRq^3Jf+k?W)NmJ4jznzI@b|G z$%i%b#sHxU3U+#qH3FldDuqva zLHtgRGi(T+FZ68AY)m?!#ChyPT?8&J2lAca#Mo_+tewO7b1i6wm6_e)Vh%MrItYUk zDu|q;Mim?W5kwAQeIlNwYe z=3hHtBzWJBtI(NfagB73`ad;$k*dtWM6q09^I}z^6H?+p-KkblFw_6K&2` zG;mWfaG*H8M)$gXvurYy=*O=`@`QXISQdUxC95q9ZRT=W0}JS>0#oCly*#6hTYBZl z?C@orJ_hl!C~*ktczeCJUQbHo|AO(r%`U1eu6s~Lty~@CF=n$g&IhIJzkU5LfB5SC zzrFwOU;hD@@4#}H@6l&1lY!*24Xtq9UBS^l5+MTFdB!Smw_~L80YNHq@qVHi&`_PlwX;-*Q81Ky5d!PEz1X=IZkJ_P z3Wu92HxJjfF*?miZUptHO<1dzY^{a0W8Za}#VD4!rgY;)+!GAgrr^03+9-&&Dg zFK-~(BOIm7x26d5j1y}1?B$X`Gheg;4Iko*fX3DeC!RnK26M21gxU+n2{p4snX3(* zMk8on6S~*3LC?g|l>LPk$S!R>G_N5gGi!#Unl*zSmWzB<3v$0MOZfKB@4x;2Zy#XG z1HYlw*cwuWMwhyZ--rDo*5Y`lq1CG$cn?{P5vpvW!PZ_73h^9e`)Cx>4~R+2z_4=2<#2WA-q*ufptGsQBL{o`gT6&t35Z zq!-%4VRj!i1@bH8G67K%H7xb^b8{0j*gWKkxxEHQb9)UA=eFL?dWd6!d2b$AF-yDu z;B%7`8McR>P}+14SZi`zjzF=;g>zG#QBw@T#SnA5Y5#zU>6u23&d?-pPMyVEfD)1B z0+fhm0KvhV1`z*(06F?DFu{TqYyci{6liToZ%(bvf1p5}83G%wg2awVxdZrLSFO@=;I33ZE_a1dGtl>evqoTjq~}1lv*0Tp6B*2~;;ERWs{N#qRxr7> zSJC=d6Y2X;L_-|Ze~_8D+<*KGh!*?rAN=h8qd7Sk=_tiCGDPKRVrQ+RwZ4@ zcO@bLJha^IVF@nwEw z!Of`B7XYb>kOo4~64f)q9c2RHM^LNKf%ahOCT|7eVmVt3_} za2^y6681y#Nn}=Afs9_M4d?U``*i(AgQ|Fif1$G&aYSWb+d`*fuwCkLzOD%w-DCmgqrXY5^g;SJ z;%ymPa2m<~Z_Vxr5j_6kRp)bMu!w=^u`^RXj@r%zef=p5#0rKuJ+r)qPYtkmnt^1v zzZClfSP<0_30)4@5~WmYM#^PtzQm39${L;)($$mcv#6iJ0~)i7cgn=M@g6^X#IzR% zMpKM~gEl+&yN*nvu4ooMq?&yBL$m+)NF$>kd3MOJU|xH z)dOs*5P1Y!^Z2G49do-ay{*3L+8bWYG&Sl&L%ywE)CY0g!|p6qMxg*)7+92A!qGS| z`cQieuCs@`^asfBX=_jkr!UhCVEQJ3(v1NFT4Pxp6M2ZHZqh~ zpavZPMQKcg^jFkBGXLVv*s2r-dQfL#c6M;j(#ct@(Ykr&3{eb~I~|6DSo%Qi9ID7K zw60P{NFvGv8jK==hEe}apy9KcKtpni1e#~ajrQXb_G1@dsndeonodh{qewT>kd9On zkF_I8m(5d&-frAM_#*+gi8xxQVO$^s7BMcK7|cdq5?IfV0Ok+QEN<8Nu}rg^pYKYc znx>ai2$R!Ok&IJ?fm#>gtpf?Rg;(>)Yj;9RkU_4hlN0z-rp4ed;3`o{E&3q;3~}U5 zF@io4HT?JTq8Kt#BqEq|z{|2AY@NdnzerP59pYR>s5?E$oUyZw6L7e6RJe0Ly{(t zEeA@uy!mYWTwxuE20*K8kRV>i=SofpCFb~^RY?sJz-_+Ij2Iwqd6&4`96a1dS=EQ<);>{XHs6v^OmI*a-7cq}qz$}1ORX1pT) z;p`9Cz;+C-FHSIYBr7U`rl}zEtEK{oC{zVLZ*~f4%(>jqg3O`Ks3&wHlXdznP7WRe z(efd_Vrw?)Gj)cTsub8jk~O<#N$F??3bC{rD8#`e4&Y!K6T-n_Nbs0y1VYCYIv@}% z%mZRung@Jl<^ey3{Nxl9c$`M2KE0Q;zwrZ0r*g*tHF{%WWGkW31J|~|bh{2>dLGjc zV!9`OZK*L4)58WK))pH?m|pdF!k)@DU{{-WhM|HjLJ@Xl96pRl7n7&RS1T4yMLbw| zQ^bK@aR{{X2_7v5$6nnw?JgVXxh?^~2Hh>J&tq#%!QjVZYq{~IlZ|%11`M=ziUeD& z$dVd@kvl23c99Yv$B&}(5l~eIPes)*c>EQnwoxnObQx|3D#NM+dFf%Z>f{TrR-L7B zCZdP=L^>)@0e(JZ>!un*q1+j-#tQLvWrbD%Pwf@FPQE@NvfU!fWFNSt zr4umEadEE2}3Yhg&2Pc3ZxA^9iU^BjqAy9~QOQIaXKtOb0MU4u zK)wbPrzpJw8NBq*VnnWqJ9=Ed7uUT0JPjc-i)sY1m?w-Ns&|yyY5gi`7QMTi#iI+q zDv69N@e98v4=QJAmInW>L;&vATP*T2T(s%>7;(k6$|Q5e8N$635IXGzMLc$9zC8d_u78|aV`Px z;4viOzBTTV#J&43z+_Q@cH*E_I6~z|Bb2hD9j3{5W;)k~a{RgFP~&`HQF3>HrVE`L zA@0eqY5zI*?wp6*J%UPjuFyka=NVK2ixX)YXsmLJtpuKn30LN$ADGJyjIQpzO>SxX zi!B4sNRpI8hF2_mavE7b6Ojd8DL$;c6ChRwh$$jNnFC@qWe$g*4rQv6Aq0@kEgP(w z6H3-9yedOAG|!kGOvHe|gD@nTz%!P?Xr?0|kcL!Ej^~?ttgf%N7y=ISSs6NbgbQH**zd{vN9yp&KNGYZU9|iG_N^9Ub+Q#-04!=+W^zsdO&n^?8cboyh_?mrHg`#g(aJssTD-txIkm9L?EKW^N_r2PgEz z&uJLBQK%F-|JdAgQGTt}(NJu#>!rI7;crY25{p;d)oUyWXcx696|bOkD%?Tv4prc( z?1rgxltDh~GKiM|H5t^qH{gguhF()2RdxQSzy0{rUxAR31UaxSx*T6Ju)Uecnn~lf zCrmRE6UH*An=4n`ncUD$$d_FiVIjF+1fsP~=Xh0pBavf+AWjCVO0SWaki{9+0MW|E zOG8?{!k9V#A{9SuJ9|Ouft!a==|lhGFF+o~Pxv^iittzgbC)ejfmX!)f%O05$=svI zd{gEgd8?R}?tn;k#lA?*0DKs+G~QVcb9^l!MX(nQFi2gD%- zJGN&`m0K$~md5d*!WD493Ar2cmb^o!k zkA#)@wd#YNxLG7eGs3ZC(EEeHUZonRz;3||dxh=_6E=LM1~ib)v8ZT)qf65S{@(hj z>3I#3?-m{kUjh;&(_@GDWND~?$7zP37_J24yxcvO3v-wJQa#y_K+ML(KI%ohOs_2Y zs5Z5^8u_?bKpPOD_{>p5*5Y_P5Jg(*fr#6Z=uim&Whl})wT+?S6k}TRF|i0Q^KhCz zgz2x@Szhws0MUX6D{|>_Mu`MbY-rokP&~~Bp7}^togrN4kl2bT>>i2dh=L?4#|(%f zeTgKBC{PelOnDWfcCp4dY!|_w9mC+Vh9iVMRO94T;URif!+@G_S0WAeeogxkGDOA@ zQHQ>EF%qH=Ti$32owh2yH%(swz9Y)kpw}5_nimt7D^) zl2&ICXYMhuO%8~n!Y29QaYuI`w-)UA2*BQ0Fp=Bcbi`g%b-VNaIqYRNj#OG;Z}l%) zvWpo{Yb`l+mQFECAUsvza0+9q6^oVU4~R+i5SsgkMf9x(pC_4o(Ahfnocy*YrQ;cE z0SZs8Nrav|S01-Z4fz4`e+Pi%BjyD;D*714x8Iv6-f11w@)y$AE5U_A!niajHoh0_ ztmEg(BQ7%}fskG)RzgLqVkJln|3W(xUuR80@dmrxHdYGnY|<-sLc(#rJ;yyQ2HYej zR@2^eC6(4j6?cNc=ugqQm3JhQisY&dnrg0Eo_=@Lg3;HwA8COKYGLH5pn?>{T*~9z zJCIi7!E2N$&KRnC@hn2XDe*ZnVhT>QT-a(S0pO1{nU885n zyz*}n0JLG}-~472D^UG_eu4a$c--_0EJ+7tUm$8kM2LvQJibJvL9%d~#oOvGQ@2?a zfy_T}Op-sRqEdw2iAuv>MGx#mrEvEETQavLPLfdoIle6y#Y1zVO3qir)-{zKS~L>V zi{Q(M90*rhRf^)>nkxqNk?|Db3`c?DWK|7g_Dm-ZHe*~0{=1lY4*!`iBiCEUf2<`f zg9%66ECa?zn<`1kTI2Q;dmKa#22^9^-rI9(BMu|<)nESwyJS`*2GvLs z+@Qyy#b)f3rTfcMxS0W2Vw$EQx06vI)Q*yy-2$O_MXH_ibva{PaiWUuLI4odc562Y zPWX@f>#42)QJ7v+RIEsbjy=|G2AGY)yX5VQ?F4E9-jjzU%9()4)th$*+^KSTI?{0Gr0W(SW^gq!S&(iqd8r?n$s3fMg$sPl7V~>i zpPcHR!+WO8D3$@duf2AxJ2jPm;eA#9v1041=4ejYh9I};g@iLaWMoKq&jUAj+>@rb zi(yb;+f&8mu~ijUr_f?;3T~jYUVhQ)@}w&m=E%^i>IU`~ch)4j)xr?IqUIm@7uN-X z)zvbfppSyZ%nHc$j-!?q<6;NzE7^uVZzRmnt;E%dCltGeJR#>}mJ(F#UIzSV^sp1U(94BLTVIio_z1VC(Mu=e#71RM|*K zFg4(GG~C{Tk35IlOp;kW2eQOfh}&Tr5VxCYz~u0z=@VlX?R(7VjpY=*`Nh;utOX3$L?1`5VA*wYWLj^gZ`{1P~qV*v{ zcX&?4mc5LML7ed7Ow=q-V$L$h*kX|Z8G02;+^jW`B`i{FB4&eNx2ZKi4*3!2w85#t z4fRq#0uw@3ca*C>HJL>iKwuWl0HVxl_6&GMRsMzTH62XsBuyTtcy{Zr9GW^qq@gk| zqcZrO*{mIq;2*HIb_xSMUG%9W);bU8BB%b zSe)6S)}}FabA%Lc>bzm<(ws)OA}oQ{{P_{|iY`)C5&g``Gp}Ry5QWl*lU`l*H+rqA zKk2op{vgIw{o&$BJ)3S~daWC6R0PM*Q&A-?Uz+V!Pco$}{boiEWDbVz31RB7B>t+$ zk~cIx7C&enB@!JKg|!e}C<-JxvT9Gd$Kwpxf~w<`Ehj^Iu~TTeKi1F0l5kFnWyP&$ z`bQp=%X1x6ljmGjljqoJTJ$2x!-5rX&8-YEMMj?Dq1DZUDB)H9oke2$U%J%9UgxiL zwqE(bp_>2XyXl0s?@^$auNBpL_LNkt2K1Z$G3>koEfD%dLORn65?&4*o8{Lr1Pb7T zmFbXf+B!%V8I^KnO?i^WCri>A&*!oq>Tj*W>curMXhyN z;vL;99vAO$7^=5kWv`tR5_qR#xMLIP*EBO~2fJ2gG&Uk&c|ZR5n|Iq03`1FjfM`S5 zO~(QYkJX55PKpn(g_++tk9}Q;BsbKr;A{v0+JNuuyTu{ZNmnozG%%FAS%27hE3KL| zj9=W)e|-oN6a$DD(N(;=-!`05`3Viq_7iCEPy8g#j9mx7=5J_Lsh{+KHE!&L4=HBR zpYX3b(~gXk_}Pt#5xUStsLAKihAcmukno1w3dxSvp&b&s3_2w2t=u3%W3Bkv0XJxe z1kXDpD$90A4)(=pkl^O24#^J9y+gvr&mQsZT%}qNb zyp%N{IbiqpSs=dpEc{8%f<}~mmYueKorO1I<}7^B)`|qHNPkZmQ~ffvFA zaJqt}LBi`~+Glb1P63`=vi)RTufU_kyZ}#ET>~DSl%DuW&cd#WZ5BA!+D}%*dCDv( z7Vfj47fk=j31-)@Xs8euEZT4xKw=SH^YwiByq&K;o50U7+bZzmCs*JZuSwu>_jQK? zn%fgU$ypd&Y_pswk#9dilf0Zo_Yb#Oh7$YKPZ}2KPZf(cW~}-a@EY$937*GicP6CA zCpB!ZtF?ZCVnNYuW!4*m{gsX4)Cx!kN`|le4*E=^2mYzi1Dfu}&vdg>=ZRB|-r*aE zswL}Z`KR{T8*KF;GpJox26u_^bzP5>}J@+S&Y*Pl>5xe&m0PxPmD zx-?h<_?hm9hRtVzr52O`~dO(f zEcm?m_}(!gk*0RtM7jN@Y9D+^sMNjAHOOPT|1_prF&=Faj(&o zv(T>(rs=2>4vb{JF~e*%(~KS&slVxn-$RQCynOxAtlJ*#Q>TMnFME_rvEHDIw;qA2clx5p z=K3YzLjMwam_PNUdaExgwXa`VkRjH-g!|SfxL$wy@bSApn9q+F>CMOg(v{#xr1(V= z`<*5ioXV&YI?!KVGt+Ye|e&{?i&Wg65QfcZ*G7vIo@p5EO(euU;S1&5My%YfhJ`&K;*}O<;wuA+Ex1Za zLDr&6HUJ;D%rnAOGA-;cN-ip_{K^vCl8C)tdj61jdCA!jFRwbHCIW3*m{YvGunw!l zurRG2*R&u3k5G>D{yECUI20=}Y?Ar!aUTD9?hG29SWHRWmE9!Ul1r-qF?<(rM9#RT zCJsjP2l!{tJQV4~*@^kp^l@&;%l0Ap@*f@8KC^u;5_jaU2vWj`rQ@3eC_! zTqZw76N6i##s7B3J!ui*HEuZw4zjm!O9K=np)?lf928g?mG=X&3kc&>$YJAD7?ib~ zejTYt+=%TpaBsrFc1z5RWu=5~^{gx^y|(r!J3Zh1^y$a1K7Lmu1vMw<1#?79r8#-a z0OdZh?uihy^s;Ohb<2v*<@)U%;Q#jH zcOU)}5@C3>0VC;me1 zKDu*Q_zMmPV5QLWp4kyxW4^5rRe75ss(c&ans}SP?Qa7w^KFD(@@<8B>f0~?@@<4h zLhM<{roN4kP0Q-w{wX5|xVNz_w>2iW5QrOi7T)0J+}E{~$>VcK1z;Fdv1z}dRdE|! zpoW<^hz8>p)1gnt*dSv*u`ql}B!VyT!{`F#kII)6>ai~|s*4oK_|$T}?4Gy%uDIEm zMUq0mUL_jbVr5B@a|?LWqDcuu@M?GfL>xF`DwpgXyPMX`!}WSe&qK{>8jEoJ38+le zr9BJ-gw8q7dskzfXFpzzKBW3zKTbWQeH?7dKK_IOZC4dDHGK-0xA(dXmTl!Md;xrMEn>el*jhhXYS-iBW-Qa=^`op= zW_BAKL)Z+|9$Plpdc9D&e7Ws%DDCm#14aMs!#AYwz2L*u(w;--%MYVquYVZX3jX0M z_UDI9dO&si=9! zofDZ7-f@R_1&6kQ5&ck}8Fu3pnBGtFSpd|j!096I?g9YWyo>;N^D+Vu?YS@l%b<>l zL8-9jGwY94fZMGN`Ln=n1kO)A*%X0`^kx7jf4EHvAx}usg5x&T{vw1V`indZ$xcX| zH}!K$L;)m$D3~%4h43^)0RVw0*UYd70C=(0!{wtx4wSy18}9D%V`5kPI3|w#n5^Nw zACsQ7W7?n*_%S^P8*!NKyLL)~%}sC8EBTzM$~RbPbgl9cFt6>O5aP~ zh?Di}2)QVeZ{u`FYj}ou1X@o>Emq&!*20XFqu2~v#13=%0Y1jGwpy&mmQ~GU=I^C| zNL8NMu79!Y=>^$;s+N&Wt;b$1qYT@Yyoy#>x@*R&Z+N+gJ3G|Z9TfpgTUK9p*SmR! z)6H9rJi)%MXUOglQ{0Xh{A&Y;kmn0$NRz3UknGl}bnTl#!AB0ve5iqvy7d#mmzZ7g%%qN@9G`1ReZr#KwGQmh$+4w$%gv zSUusFg{!Sn+XdEfYh&S$k9LSyO;ln(w;GhBB7UpYWJ3=v#`F{}#`P3JBRvGru&X3H z$~i5eE8U46me!VfH{x@a56brI7TH!#lxj9FyM(?Nmr->Hch~hWHgqXbvO5^&jjfLC zHgRs5(7}A~WC)C4S*-?Pbcx0#sPakd)4E=WwNQ{-38hw3ODMUTD4~3AH7I5SA!s#0 zm>YV7Wi1f=Z22P*3|1c7*V=~ z%Tg`~!wpZCs|~kM7&lxPn;Smmt$S1p3LoKqm(pgOMiMjjSmbLmgM0j9@c-j?y ziVTmFQ)E=tiLPxtjj@> zzyrn)Fbc-2EXgq5kc83#h45g-z!EQl=OByVIiyl;>HrZa$N^m=)eDh71v=d=CCIVK zvF-WgK;uCT(c^M}^HC2boUip@!1-wF#o~Sy-e8Y;lZtnPB!t=+JFJ07S=q(MDIBnL za5{6EV>#9}14_ix$n|es2M=FnhCYT#R6+nlM5@LolvbqXW@DGlN)&}*wTQ3=cKC`) z(yVn5UTGz1e5JndrL(}4kL_#^q%FloiO+3@8lgr&xo<}38QP4h zXRt+0t;NwY7}k;Qpk>t52))8SF*;-|rS$Q(K1z6BCE3wO2}2=l`DsWftKzB`GGiB_ z_4#wVKpj=Lf8&4EO15s&bR1z9n!3PUs70DwViuPHYBnV?(~?_K6Hx5%GvkJ@L^xc# zz&i2rIP1i@Yyf#Qty$`U-ZoZ+{w&0-Bo9Y)ZQE=8MIhM5mw>Y+$74dj$FRM$Z9t~eP?d$J zflM<@XZnz^AS~FgUGY86Gx!5G%>H%a1It>w62jCVn zLC#ZQ+Gg8ifb5&RTszYK>u-Mb{vZD7U%q<(HP``ox?t^HXmfkfg*Ht!5M-EXNZ8FT z*|gu;-Ux5v5=?C*MvV4*UA~5LUKl3d7T^Pz1u`x_1M&ipA2EWV2{*>+Dhgwq{aazw zVCyze9%K|udca|MG&~Oixj;^n31JwCK>?&P?BJ?<0?6O|{;RKkH=rC?fClnUL8(Pz zIyQZcI$e3D*x?G0AzTj5g3>#Uw3*-}%s9=>#ISAVF|2~z%+J9I1Q7pg2*BNBEh*TV zn$tS6vNom zpKP@4`-&i)>mZ+pjDqp@)J^fowsN^h$g;j1^=Fyjjg3~Rv?gb>W>0(m?Bw);+*~)g zOdkCwFnRW$z^-KL!~^XHV09b4a&p&uALyV)J`v_Z9=YaTE+Nkd;E=C)00+X5F6iAG z#N#i#w#(WUk#nm_Tj)iHHG8KRpz108pT9@C*-qcB{q&O7$@#Lu6Py20gEc7uXJTor z?EtnbB!{3(SAhKmwrIBGS3kdkY)(n0uyU4Ads$k=HWKu6D*`|P10 zyobw(bSQI|PpP?s(yQjqe&fpG$iJY!$Dk}ZUv(x;k;5Cj>luca{l#%UeuN%!H*Z>u zJUDiaw!LS=k=puH-(>EO>rQNGQrrOiQE}?xo2A9RrVP`7J+5dDn^KHrls;W>|HSTN>;@I~LG4J*%K&M&LC3w7s)!fWXv<2M^qnYRO3KKOEo~S*ABpE z_xiwIZ`@~YbKmR2WHTO``?A!Vr-@%oxe+hH$rU=}*0wv*xsk{l@z|Go=!5}_f|j@m zkN2VMu)Sjkdz)MWbFA@klyS3~65d4(c-agnXwR)m0^S6nzP@S3#dNUHwx zwxx8_N~z)LI~*{yTuia$gseT7&rE_Os-BjMZYm=12x~$lahWQIfi7yvy()(> zHm&S`8m^^|C4_}igW0P(5{rvoqQqhgsoFlFjfH0wekN>mXypc>mh`u(S`f0&rusTVpk zJ>hc;p94m9|K92^WoS8Ow#1gwQLZ5ilF zWp#(waz(n7BRIRXTGQwoMAEwJc|5o9J=Ml(cMi;YS14gYTuQ-RtpOm}>+9@bCJ4#~rqNInrhm`;}Y1Is`a6T&}Le|c6^BGGAAAWK^ z>?Bnr%oiC+oD@D~jCsycoXWGNjd=F2lnQLz<6nqK4xj zlq-*r%;&prKYjeYaS{p)2#Ymxxng;|fg)x!M~cn3BdJ51YS#4~fx=RIah(J<^{U=r zby=E(-N$Y|i4#00k&qMs%J@K2-#VpSa_2iFtBb-RL5#GrJsO+Zcy;XH8pgDsKWkKL zC#XNGkaJANy_XFMuviIC?E^c((IC4M1velK*2RbINB$($-DIdDaR7o<_^oh|&!7h* z&s)#Ou1(NQ?9<*78esD|MWRTYkKiw(K{=&PYoM}_rm4IAbEL035`g#oxU1vmaXo06(Q)Vi(>IvNRM?FE9 z{-_`T<7Xv7at;i8D)g{xUXid4FT@ft{;mY3YYj?ZxYnoxhO>YMbuBF5-oL!CfXUdA zGm-ib&on$v1i(E`1Rx3vFp9zgT~ZW<1$P#>O&2{hEm}$^reT-K}{1x zkkhkniV-3A1hy}M+{iGL=|mZjVJ3gE2WQC;T<%D}*A*_@cBJ<5QXF3l1AOx35=S!I z3sfxc5f;g{(eNmoYw1%x4&=}2@%Y_lTDJUxd zj2a6^KuJs0(RgB*R2QR=jMj)i!b8X9(h*%Bdys_G2*7xED|Z7oCb;eQCA;AS#GQCo zGzKyUG(F&5u4E2w!#f*;Co6$5H~MjvLoWiRDKMuj-gj{06>v%}7qYQy&<5LY6lJc| z!NrhzA5m0$rQC&LXChZ!*>z7b$yYKNL>N9PsAkSY;XLIum>HZ3Xq!XVb0doySmP^U zToLybb%3*f8Jc9fO&ku0$k_-WiQksoh=0Uyf-B4hW>wPrpay`sp39M*iL2Fdh_wnx7&-PrXo?v&WAkKr^xhIhsK;08%1I zheROz_W;Fp6TCZ2fWEXSpS^O2t)6d`c&ojkvX1gfZF!>f-u>Vo7GDiYVCWr)c)B)Qwig^Ct)nb9FRHD^B^ zx$nfI;TA)>nWCNJtZtR3()@<|!xb)AMIL?mQZ_b&jfG8=T$PeP4-@^LA= zE>agxB?nr~LkGU2zgS|ubAubyar3W;pR91pREm$vGB6)G#Vxu<_7ycD;3a->f<5ld zZw%;C=ODb+Ni@7h;gbn}A#L8osy7sK@ul-G@BkJRt#^yr8&E04dG*z(5EM?pHliz{ zU_pr;>J=3xHV(%O7+{L%II~}jj@^R;M2b4Zz{7f%q_~9V2$M^Y6m2cI#D zhhVI1sPvU`c6UQhmwY7zS-isSw;;T+q1gT%`aAro#zgqor6o^C-i-heiosP4kp2z# zfd57Pdu+3%s`|Az@e12j80wLCxhFxYy7G$iskayuXB)d1tVgBR+SV^+JquV*nEBlz z3NNLxp3E=Sim0Ph!QUv9IxH(<%9`sPi^dA*i}bxDD_}gQ5iOJhhN2wZYYRbl> zfT|*s3Nw$$Un#jnq7ly}B8|vNfwKwhhP%Zigs{6aB~MH+8BP+p;HRZjRvO9tc7H`_ zE)DM~oC<05)!-F{%&Ua<5P!aczub%X4P{NFfeI|RI^qkNTV;^dXf=Tk6_^Arhi+qy z66yASxuaAElpJysirZ zEwn!=+qBJ6pl&vwYv(t#j`l(@%Flv%lAi?}c=+M_2%WH`ZOL|1{Zk&a8-9ddO=jb9 z$rd1^z{?C3i>GYJRj4#8-(At5ItLdoL(3gwpJYW*A4>5~RrxSpwA~HmA+)}b7p>q$ zkwlV3S2Ql&=P1upx!XyIt?q!n44PeRYuD5u3Tg7Qy9WoIxN)jFmuBd=Pl~5XzmIm_ ztSpQCNh>NQjTvn8C9g;N<~qlEJHATHrECJ%0q4r~Wk zYD~fRLM(WZ$$V8>H;bIxTC$U!i$kzg)3O7}H&Jhe{yf$2u;oXm{iX6BRxcI9Y|38CrsRRO7lkSmQ*jUq=Wq#m zeW=e#4hOY?d6r~Y&4&aMbQBQ70-A@F2dUR|R?2RCk44k?3wgx~>&W(xO4Y?WLcii+ zN4Sk8rONCGQWv*3D1$v)nvHf^_nFGp#LHbtgaSyuhDLic;tL8vy9^ZPtdvn05;*Y< zmjD=i5TzG(hg?l$5LA}t$4iE%s9%_f&`1>Y%QYzLqOh&NDzdHY45%MiP6wj(^0`3= zaA5&aQ*?mY)YhtFhT2L>uEvOr+FcT~l@PKXXZ1TGAW{8}0ivf?{f?o| zJs$eSpl%iJA!WX7BTy0-nVU2J6B|)%LT*I22@!swmsBqx9c-*T*M8-b_=U1#NxRJO z%ZL?*RP>BiwRe7#C?2<5nXv=u8TqmuK#Ar3v6+hPfX9_{2g-6J8G?yh27U<=FiqJ>v zRSu=d7^~uTTojq{^V?9LShxV@Emp6+05w2G(QyM8ONu;T*_3kzvZ>$<Uj&{z_PC>ld>OI%Q&A94)k?-5RFWNYIL7m(XG>Qv+xp5I_ z;t6*K1X=Vp4Cuhd{Y=m~5<0nY<+>B?n>uTRhI^!MLO4n92iC{UfW6412aM*S)G#r* zaA%eihpci`>&IOHJx%;x+xM4Sf9hb}qFelHQS-_kucP79`W!Pp&=2}!x zCyI1&#IpICtArc5LPsjj?u>$9FQAbyVycaaZcCI)Ov#gs;I3s`Htt%sWy5n%^0AjA z$%jW$lYF?Np5$}Q;eKvMzx~Un_ka59-#&h#9rb=<@O+6~y<~0Bk088gUHxQdNP}@7 z0{)^ZJV9+PD>mX`a2c(_`7x-lO8BT>Q_hOEM}|mj59}0bcVFZb)dENS24l{?*W@V~4^t)r_;i?^uzfA4s?)ImTJFC)o%Ptd8N}m=Y{djO zaN7C-=U4gwsn9tr_uhHR7w%Z2)FZ!mTj9r* z%)$%*e`!kSpT7S;xmV=CPgtD5mE^!Px*|6YjIK;#iY8Lf=Qo(*j9+yI?QNVq zB+d6D?}G2MvJIp4PL~YbT^wX%4Zf*Fnn|0n!NchM;0recj4%?8LmF`}GCvl3(UFFY zx*TZ;p{GE`Qfv1y{h*w;Hp9*163^idGvcFjvb|QR97Dt;J|x?aun{n@`_#g1++}!^?7a6qd#T zqZ4^MU}P1=>51l=K*4jZ+D>pm|ISFPz%SYX<8dA^9`^%Ahk`iZFI@5<+pOFR^+hWV zvgM^6jcly=8VTvZS%SJenrt1?3U!c{Fe$j1h*Tvl>sEUR&5fE+E% zW;Q_GPxaE$xl$-w^p)!=SIOF@RL0I%g0b_Q-CGt*4ju0cm%L)h(E#PLM&BTlM3;YO-FVI$SSKwi1r58r?D_xIyNfBWeof){5{ zsR6>(kTwvii`zLvV`pf|B`Q4w#jT5auX0dy)cHZZ5#*4UODM0!N4a@`Q<*!?DGKPt zTfN_;30TAr8PvJmqdtqL+S8gE?=GW#oIS>3zgwiKOWFtayY zS2mt6FtgP(z^$mbyHZQSP30CCls7OEIOX)Z-H(R4XoZ4moi|!B;+!kn3fUZmPvX+)~p*LUFJ?aJ5f+U;!h0NFS^3S4dl~8Trg_%v%qvIXD#mlWTT* z<(jjSY`~=;7K9C=wQ_?KDZ!oCh6PKhC;;zy>`qXxj#)Q?_y&Y2!=1Avjobit>%7qh z+{XrF=}?MPI8^h{5vp#7&O{zRRb9mzr%GgvQ`N&bRX%iU4EurDbns|}uAALYL^o`D zqcz`f=ucG-7G}mXugnYwiJ)#pa-4J!b2Ry)#0vK!M~bP)$2&!GdLF7Xw>Mhgi9>aE zopvhDGR>LZ3iJL*ITo&_H8WXmnr?*-bbf)c5 zXVT#Xb~1OQU?-L(hj0i`#;_K0`e;xYG;ETF#Njri#_O#5%1zHfMh+HO9p>R`uQK2&11)Xn0aH|il3vD&U z4WW&p3vKSlhMLm1#%yR?VXvl8;(jDg#(p%OjQt3nY=ApY7U0g4^)PrcA6A}>3wV+z zlf*1#MfR`5Jt&86&s1-5pEr&+B_U>cL@8oCNiG zzJVUoy7tT|JkAoYo6`AF8e)5o#BNuK-fHi`f^JVZ$FcWC5v(_r#LAT*=t7cnqTx4@Xhg%YA4(tz1dbe6flmJC3(4rWP=(US~!YC;&LDEdm|nchkA-Pf6y{I@d|Bf>p-e(O^8r+It$#xms!vz!)Gy#|6!KD{!Q9I*{C`CS44iv3A~D4cZW&@ ztzp_2aYD3Y7W%F$rS~1xYedg&aO-5H$Gm!J>=efeidSy*%Rp5FhB@4R2`WTng31;e z0V?ioS0c<*A*E|ohBHBp-p&M-Odh2U398ABmw~E&Cb;F}3M!Py1Qj{^2`c(jvhyyL zr~s9j?`2nmPZCr~xVEbn26!2$YG4AVvp@|u@QMCVN|2a2*NWXdqnVn3V-!P?7XjzR zB-%&DDC$1CHux-X>>T9S`4D0m`)GbE+sEC)3z!)r*XIv-FIB@OrE3U4s<&Bfu%Y)s zD5X2v1~TmKdx{!KSKD3&wqvUEg)o#)+@+mht1QotpEea!I-RBfsVZ_MR|TmT6mV%0 z@pl`|k;uhmNLN|QI$n$$T!*8vYixnW<1EYe?>CT&uVY8HsEQ8jI+TYbU0LgJO^l#Y z3v-sQ9T)F-8KjQIk6oB2__`d6cV3*(u$b7uv}NN4fGxa(giRF>Z5s{Cp9QY8m70|T z+OQbXu8*28*0+5O7l_Uk140?PJ^D zQ~lZ<8`$>uf(;j*2H=9H3Ao170NjgtTIm=yN7`GF4M>$ro<_YcWP?O}mAHhpNL(R+ zNnAq!wP-2(_);-e8bHnR6*W+S0F1iB6cqw^|0g1VrE@#3p6Iqr^U~$mS$wlZ^$shP zz8CYV(w+&KmRDG*v&OcvYiVi+Fozq@1*nbZ64cgf5vR?pU7 z_&|T*svS%hwhB-iTP3K@RtaijtAO;yY?Y8Yu0FC=axO1YBIl}ot99Tkfpi_~EQRIT zMfUZmu)UbbseBu9M({AX6D&4~K5$hw-ltK{fnR$Hyk+viFmFK{0-SYbRIs$A`&dF& zN%9AZmig%;lI%%&FN50y67cYl z@CWo&1cecB^HsbIa6{XOT_)OM!|C2ekd+%QN3LzSO1t+8DJiHx8i^0u;@aiiwpc02 zFN4(3HY}zNDIE{+a}iR{+9#wk+1sAdXD0WM_SAi5+EaK%+m>3bqThAZ0R6*nfAhOv z{gdv8gFju+W%jvLZg(q4)U&|D3o7&_Wflu3CDT8f)p$tYNf?O`MS){4r@AGY8bx^FQ z-m=4A$b(T|ahpv$Le5w>%row(Z&t^VDX8^?sXY4$OV2mIP|=?4h(EtgxbH1mZ>4Kd zT2gEiwWP~7SjyP-AORRSO-uGm{MTG z<(xq_t6ks@vZPx;svl@JHc&BA88wTw+Dja@SbuKUY)#_h_l#ARJ<2Zg`~rJbmHm!B zC^AeMic)8?X3*j?R7AoP0BH~36lf$h5!0GKzo8p8G@AQI!~iWCGg>oPF=8Z{m?z#n z*N6@JW_kCaknLNG*yxoKvEdtGQ;k_r(wY6U__%gB(zLWMU1P9=7@DzdA5L6jW5fywGhAGUFTD;ZsIk2-ke73qzk~w01I)M_40*)Iqa^E z=0Yg=f(#TQEM!1kR9cjtgF+`ZRFh+S^;#khC9LHR*rAMvu-4;x4d5MFJYi$McpW>| z@mLxm7km(m7E%a?3kiY|=s_?TCc*Gw5)1*a1cP-Y!DwBTV7RVV6AbR{+e5#|bwSO| zby3Z2>tZ6DuZvPG*F}vs*2RapF8Q2`x=C#~*2Uk}az?tOT?D**T{o=D?Y9fw1pz5y zQV2*kP!bUO$tD3|lmx`{ssw}sN&@2HHUh!{y`F$hEX387s~O@1r1Pl+#8fjmqi}#w zP*`CFnxM84WxP5OQc$r^l7e_5GpaWn(-0NWM0@H#wb0w8G zb0yxv!7$BJatvFLF<5M;oP&1NbxIrhB*bcoCSq4rCM5ZFY}8#V!S^;6c;%x-`u zgQIAQDoBY;Qfs)7L?#-6%s(v4u+a1?mI3K_JfsFP;FY+dvGlblWXx|axFq$ zxfT|dTuTe9+?WeLMo1gUaSsrvJW>&7N*2N6p2!`k7Id(v_kev{?kk_g1vsCv;%jpZLvSPZ*im{LaD{#Z}ayg2; zT&<(DIOjU5tT1nrS2}SWuO|brqT|t&LR7yB3;2w?u<*1hv8p5TX*H;f>4H7 zZKD`lR;3s$O94(S8>t!eHo=tF2=~9@8b(_robP2F-7(#5C;6FQrKv;2KmzKvnuc0D zpK|}?bU`iVkQi#&5jbjTSWye02Wn|$wY);0zfMyJ=n#*QihDT&=e`2HabKQ+S1Zz8 zE#ED+6{Dzc(L%_5OTtfZUp}syI+yV}O&!aCG`u|+9L(uSRANp~LnXw~gZUD9ocU@H z%$LK)d~s1lq7oB?8uP`q*00pmu@FeZ+hawGvXg?=wUSEfSV_>j!S1v!*qzq(Fle0* zE3IQ;N$Y&vxGxv>I!zr5gLsS@g`g3wD`pb>zcG=j&S{pw6! zrKtmSNW|NNh9cw&8d1Vnr4fK08p?QX{mP)Ba1@&IxM*ilqqJp40+;JWJE7kTzYWvMuYLR)o zZa)2o1h)AP50tzk>;qs`cZ0kg#wq~&$=fKnl2tY;y0FfX$fzE*L@q0|5H72c0hYze*+s5TWk&cNVLF4zJp$xm-C|IbNS=>bw|PEJ zG%sHV`P36yB9SQ_hFC~<7~))t!*Fd5!?igKNh#G~h|qdE3~@drFJc1DhcVJyJ)hU< zT8p&!Y}8oH#k2VjS-{k-*{Ki-%qs12Ne+v++=28{g}e2#`MF3y7!^Mk8f%jDXImET zwTRS_7cUDeEG{y>EUQ?-T$Yg&=jL5^R(@n5U7(poAxy%F@W%mgh4OHFmui>#cib$O zMliys*3I0OwO9%b)Rz}83p)MzsJ(DiX#}$)Yd3;Xf$Y?z!@|*)^f=c7wUqRyf^3RE zl?`JnHQ{((PfgJ9qjIF$TuA#$n~TBnW^=98Kc_68@Xt-nKZl3KKQ~?dbI^Fx=ECFd zp98wR&gNPaRXAPZT&XFIdmPZkxc3rqp`~YLm!!bxJfky7mI4AwmO^q0mcmz!rQ~@z zPkH&eV7Z)+K?WRGvGsXe&DIA?3c8=zVAbg!qojKtfYE)NPqFoRKFu+V^Ld@R2`LMe z;C#@^gm-BaVcqXqi@Bum{|6`be$Q8y5LBAOInAgdK_!ML!>~b;@sFh5xlC)m%xLNpEhg$pq0-0(-*pW#41K-klfypfK?c-*zS3G*Wvyi6&qRFY>1TQn z!E5P3kb~|srLmGeQw-B*s)yk-<-=mNYh9ThgvZTl$GToAzgJl+ch`m!?^QAz=|Sv& z-_nDy>+UlZ4>r5-B@=>JI8o4^kVk8fgLeg? z?-q&?4_s3deYdoZ(szsNDEUA*fo8K~9j~<6SJ@-?r|d-}{-wI+fV9lRN9|An+!_O+{1Ar%e zXkDo7Ymj@n){7w@76*FFJsb#&)r&!R;aJLxjj$+Uh$SovJw3yse3)TTX3W;GC=zO$ zMcDcc(jxTc2rpk3EROr5W=?u3Zi)MYTf%$9gSK@esnb13Iq9C~Q|TV(Q`4Bdcmdn$ z=M!Z>FJ8xq^Kn(GTDDc*>3F&u!tYR_!8i^!Vj~)PY{U+$#75|%$SfwFhdN^Bd3clQ z7wR97j1b)SN7YG95z<7zh!D#jHxdyl1&MH2{k{Ob1g7!0HhB~(**)#A0DZ<8JnH2< zgJQg1WC%e|TmtqaE&)9fmk4RWB`%~yrtuh`E-4ULi27%|Vj&9_@|3Amg$PUYF6L?# zB0!%Knw~)U8Jg}wWJ8H|4NapUtA(b~+U9lUDi*S0A&=1!6&V&k$yJPCB_%dZ*fTa= z#fTo3*mM^oTv&}w%Mn{*)6ui%#U>yYwqapU+2K`?a9tNWya*D3UV_s)uM(WDf&`B* z!D*h!tL$)qz610}O^e7??C>kc1?ZW-0b4qvBOGP^1{YGJBUngHW123S78deKO^Z!d z#w-s#L)cs;%3N+1ugNl(4Hwy{i}h(WjdIM$Rdt}QF6@mPO0H@hjykoxGK#R#-azD4 zuqGV{Snqphzz&%TTn%8O>V?pFTL=#F)*B907H(=Gs^^>%^jM81W7X*CVRdce(Am{N zL7|r~i+t)q+10B2zF@7Y^d8r$bjKt>BQW3vry#c@u*w~+)31BgD6V_e`H&-?<$PY5 z*|5?2z?~>sNRYD@f|6BK19Bns4uEWP9Rr#`CDl2q-k9rfv=*qOm|O?1-WD|r@K>r& z>;d#{nI8@A>5!3O9J0dRP;H0 za0?_DZni8Srx!23d|3yU^`L@iRZbCFRig-=2kVsqD}k{B|2U8oVpvL0ghoLT9CnJp z`MjPYU~kM1q|J6uc|5qqHID}y9?Xi%+7T8ohQ-IpusCcC3-$2*Kv)2XRmFk$=U={# z8`j}^`Gr87vUwnlvPB(mC)L*G#K-f(H-Ib#Y?gSAK^hN{#HY%S5 zEbo(438{dEDh_TdYziXaD2V{WP6SxVEB(k=3FP(@J#)B{z#gomVGkCP+scJh15~^2 z43%suu!jq2*aOG|dtMGXMDyZ|=+b;3&_itgxiQJ10){@Cht4#yWs4qiWBfbg0Eeyb1?IZf$Sj5ZwRgH6)%{zCcvnYyZMdjw&>e|ep3ngF@Cv^E!uy{u@Lfc z1aaX)kb(}wyI<)rylayV16d}pJp(Lip&A0s*LW6CXH}>bb8 zF;7FS{Xx&RgK*U1K?G{yAas2TS2|fz9=tUM@oYDB>#82M#_0vpfkNtqE@iF^KSn|TOa7-`sZcC z1AVyRfj$65eGIe^WRt=i^TQ~_%i(m+%6_sT5lCFrR$&NqdoA@ov_AhM`&`bG5Gnda zMOT8i2KWzV_+IH=jRAzYks9z!PYq;Z78==;T+ z=*=gQ?3&Usp@oz+jZ#ngY0ysGfXFWNbPG&;Zc>{(0HdrFkIxy~kUl)IpL+X%O(2a} z9n)Pkd#kNGOfz=c3#r2v^0j|I+VzXy5;hi^vP^>g_!-4cK(}y#9Ol&cC4d@kx>eeeIIMZd zCYyk5WfAEEh%OTUWv(wTFi7UNt_+6pc@r5g;>`HR*|rye#T?H z`)g&}_kaE7yZ4{|^F0)GxE2l0rK+;-uaHo|Sy+@>>=^Ckk;u;z+C94vJ@R!F$K|SZ zyaI}9Z@AAP-eAB2-E9`6+Ax6wdt+$8@Y+z3?Yz-Wv%0GF%DIdWgfJlPtauCfPfCV7 zG-VN{M!ow5zt=iU8O0Q_jxn6IhWgak!1H4i(julrxQIgP$28#M@sa%mC65v4H{FxW z&^h7! zggzQO2NCmbTfkJ<@?h0HS9*l7@!%-t&qm!ZI^)5qhi;cFaq(a}N6`Uv?8y*R0}s{H zdtlKo-jjbHw&{PQI=eWT_Pq&BoO|P%xJ)-vV&xR7$~|AN;r;aKn@=C4T^mP_vnmoE zQq-HxQi_U?8QSP>V+wx&$NO`tDIib4FHk=PT)9BuzPK38y#n=w=RqvZjd_xUlq=sX z-eda)@c7w17~zB`#2?{t@kelEdg_sBoXAH_;H(GpmjI^*$B5kvxa9xnEK^PrBpxS; zO!N&GbBg_0{w=ajw2!VO;ZH8+Zm)&%s$IgU!Tm(IO!kNzpJG%&P$ic@!@GLH9h76a zi6C+jT2o6xS3bR6Yv|3<06leUCXJQbjNI*%uShL1O^eqRSw_Alkxm<03$JfdP~gqB z2N%yi(a%QLH+gWwu5ZfVhF#xutZGMVrULF=E6XMGgAJC;EuA&BX=aA$3(}Uf!`2WO z+NkUE9`k>*wc`TX=y_8G2-coV5^FEHMp}wId3*T_E;C1fJQnBNAYVDpZE#oN8yF%= z9<+V4l}2hpG$xwRB_Y0*SAh~QkhWpndo`5{d!0lr^dQ=JxbMxj4p-Oi58+?#T>1&Q zbBtG1s$d|g8k{C!3SJ=y<2Ef8Z!s8KJOgE+Ou!;FL+{O2hf8V?XTT3c;dk9*c`iM3 zc}xl@M-zHGf#+hWHHoF5c)TuMl`Msb>ivX=6_v|$GLuL73PX41YvtB?l8+{UDE2!gba$KSnB%K&vv%HV`xr;j z+C6VlYcF||M*iNVKpOyMhRjbm%Z)22?bfGZ1>|^T^Mef@sA&9!D=WSNUm8{xMS&>> zX;Y^k12%Q~FWU%B z;joK+^Y-f6cU}coz8U(34uUM+7=d=gG>5m_5nQVoRmsZr$sGx|9CoCILAW^D5d|qC zdAn@d&}8BKk4^JqjCDO`90Edved>cF*`d39n$CUpLA3-5$$5 z_PUF=+hg3Gc|PGZCRv91C9SiI!I<<5 z=sn6k*5f9uIfeLsp0v9SM7cFh-}cZv?{Bt;(D1XzMtXX*hc2zLhfP|OED3DGD0Z(e zo7(*-dSzwKT=FWFuW7~jBs^Q%zbHJ;U$00^DLWo=P$SQ`&n{rv^YzjNsJ854ZsIm9NPT+?mjTdZ=5hxvS>waP1B(93Qw?5 zY^n&{9fqT}ifvY5^me-gqv7^wPnsZgzalBNRKFzVAV07mmuq(bUlubQMZp2l|O9vs8H6~oi zO2g?z!WFep_6~4;JVi=c=q5E@c!e!HeMU3~jZ6e>AeMEML_v{x$l3OBdc_1Kw2RBg zt`n%QV4XlEk1w2noxsA8wuWygCr~%4IsuwQy=H>4MQh|2Z zbUB0Lx&o*HFP?yf*EvCS7OY!@%B;RcctX4aGE3H>_ zK|-nJ?yXmLgmY@`u&3Xej%0T`ysFk>R*h|V=t{*G;=Nh%Mf;>xd~Ky4-hm5&O2yY) z`r#e4!+OP6>4(S1mx?cB`k7tx3&XYZH9JP+aVippvyYp4=#(#B6I}FR*;Nz-aH_>M zr_6j%DTv7tW+gR)3O`U^t);AKU|IgZ+ElZMJXL_$vZ_qJE zoJ*-?lKQGI+PZZ?lcfPfk}PWwfU1fjsHd0z-@m5qkwct3Wkx2-k9)YUz4n@?yb;7r9f^NP)^I#L*=wH3vC$bAzd*hhw}Ss}y|NM4xl0!xFrS z`zUYLY6dD*8zG0m@TS2Q3&&(|l_O}#a?RQXx5v(??c$ITHnyQrPqep$JG=Hoo?R6ST5?dw^h_@Z#b#fH-mcHLNA8WYqLx_%ylJ4?1yew zr|Uzd%flp39{Rm-5}CU0>fQTsS)>dG#tF~ic$`(`GnN(s$$kQ(!NG}XG;ry+hY+(P z=O}gD=J4QjPg<07S%di6Tgf`E9}97JanVn2H8WLw5cR2ai0`7wqfEb7;R`sJ6ObcDL$ zxs?5QQJA7O_H?2id^+T6|Hv_QBGhdsQ~k=wQDKscmh^Mgid4~(R;h@u1C-_x#nzSp zrJo>atO}j@i|9xbI4m`enkiLYaXmr_iO^^`FXt#K(F(BNw4I~(@Sv)m>-LZEFdosD zvMPzfpYp$tqTq3UrQeVNhVfZxxs;r5Q3|c)oIp!)J(uJx0~-fY`GE~TObp%Thi_*K z;z>P>gxTbe2l(Nk-ySV7siHI$R5+uGNV{hcu9J)^gkTnv9f_ETll-h+lg(w^Gy`beFy%0__ed|0Y^Y@F zCaGK&w~@(vwZaY&%Awv%&vwv_YN|{$x|(XV_PUxNK-1KuqmW zwV=H>Vo25KFHtqBvwaPG8wslHt&|s)y|(qah)x7M#T%_zd?VOY+^QaF$p*lrxHavW z_jbxnFcaaM>`7fbs7|`ClJ%kcB3U1bP1bs980t^yvneJ^1GR8pKAwq5G6zMEQ%)OU z@^7^fo)jm*b@YxJKe&#$XE|J7&%F~FfBS^Fq;V#({FX^#y524^U1lhO-g>a~>pA-V zFR7g5LS_GHJ>q?|O7SC`l)F{MHSrczhFS{WC2zQQlwYRcjd7==ZB_JV?#a-S+U8tBgFBtD`g4qx(^&8c*+gh(0N#?8jPaCt(D@{+TD@`qkvijPwdu{6OssUN- znPPyT)bmWLI`aKD3xz0`j1+_nFRoT@)Y;nAUvK*#Q%EQ&6F)4ycWbp=;lGs9f2-qZ zM?H+piu9`SnabIfSLDo1`pFcjqruMln~%@X?{EYtbC6bYTk)oxWk|PQkVfhIQ&q8& zUpIN2+Q<#xv4rbVB;GloFk3F&Usn3bRjh|06>OOIGmo7iE1zF>aqOk!aQ0yNQucK9 zhYkbIOs3oN-Z%NUKvkVc=W{-#^M|) zTTCkA&-pDzF9t|zBbtIDj(&Tu1tDs4U;a)slu5YC;U+yg+B5wqCa66I8|rVja}t&v z{q0$WVIGoh!{UX9I%egYif4)!&0x3Nl?`jhJ9@jW@3ls1$-izYKP8~YqzrYFSynAG zE5d7GmKruuvLL|LVHBR(+oS$I3(xE$%%U?$D2vWmrkg0!T_znu#d)fHScRExS*R&q z$iXrF2udXb-KQ~%Gcu9{$_(W)sM!-;B890RS9DHm2$Q4AkG{kw(V zFP}F{5o=a?flyApHR^%t3NER{(Gr-tDCG*RN7TNjaMUK+RIg{`uRF}^Es@KeK5IQO zgCY9MV{ybCaWML(B(JPrkGdA1%rC)2eZ7042+!6v+@)j~_482Hqle zMTjBGYY17`Ih-h9`cOUp=o(9hI7Z=JVRq}r3?NuwCqFrUi z)3S7_o^bd-0~35K7wdSMMEbbB3UV<3F>|{lV)qRB)O}dSaRP)_)Q)kv6o!ev)_kje z?gD}l^_r2Br~~SNpQ?+y|L-0d@)2(pp3_>dtTRg9+u8oh z=U+en_UVs5|K?QDPZBTI4jrfw8_h0e$>i+h)f_6zY!Q`eeLRK4yR2Y@0-;vu^4^#^ zatO$;YG?`l_kNA`_fLQO!!JMo_8+q0z5ui0hEe6>ct=*bfM6%l&qW4jyDusJapxj>}S1_9U6erC7xsyT`X)QqmrrKjHr-th&oKzmtd%f9T z|K;a@`t1|pyPsrqKO;4|8l<2d^s4?x;fNv?LCap(EG#a)4f=Pf(-0z4ZoNAmJvvIG zN%E1d>Bvm0=Ay&V>Zr~jhYT`~X(R6``zvRLR1oxoJ(9o{nb%8F=?WVa*{%eU{){N1 zVN?-wa1y&+F*EZr!-*`DT%)53HNiRpToGlzt7*0% zf0Us92;?y)wdN09=Hp~G|Nbh&YiLT_zH&QOt%M!iBpmA}|jJ_QA54ot6Tdjk={M1-kB9fggw7dmv9IH6{R&M>lgq$;SF zN20{Wsy55>QN+slY8ibyGrqMhXMObpnK6z6<+@3}Kv0?Jl-)BjnWqu{w-qO&Wf)!4 zNIFFLyWW0|#!@A9Hk-grZ<lI@>?k73 zB#Fy$i^yife73+&B7+2^7nmJSa!Xg6VHypzf!F(WoXI$7bmNa5o%A!sB$haaYqlV~K( zob$+sCZ8(_0gWu_VoFm99}AzN5f}C9VbO>khv070$PCFKmZ*H49AYn3=z<|?cCFXW zxugR%JHOZd|5Y6T^~mZy>Jg{7Ymcv*Z5wHBiyaMDnPRIF`Z!05VTLFS88hOTA!E|_ zmyB^7Q!jV%!%_<}f>5l`;hdzl>N+>Qt3qT5%dXiFEjz{4r1%I=Xfjg~5+a`w9cfW1 z)tfCmKTJqx5mGAsQ|BN|ND*Fyke2YGC;ZpE$!?V2F z9F7;9QVjwDE7LPwlqF&v$(00h+(dqz;?sN{sp6)Ru3FJv4XxF&6ZZ6`Z)j;!=_D8< zOW|E}u&KyzmIhb^ZeDUI5X;cntSRUbarL}QFbd95dj!3)D;39-G|6!74->&oa+{o2-9WTrWKUEC!zUTMt5jJ>)GOrMM8YGuqVj85S(9)w5Y0|x#Z&F`Vi;;8%P?f0sVX{8R|OL+m6(fj z($tyOd5ll5ky3=#J}Rni+PCx!>ERjyp00lqK)d>3AW~Ca~esD1v!;+FP4puxpizjnK*=)^pw-n zv5~TMD?MFbs1h*$MS@XZ$Sj<#n`JVd9-)3ctCa+KwEcQvP6w9gx`>~Y2p{IvI=u;r zIbr3I1y=L~YoA71tsSAN&tjxWfY_5uL`i~FsWFtet7cQP`VS6NPfEOt5-b0_qr{vo z5R`Zrvjx1-s$yvA{1ydHrlnxZ4Yz9NXmTs#5w=v-V|c#i+MK6giLtt6x3(k;2)iXE zxNf^j&@$9vb8|`~P(v<{HgfJiIqt2_Lz)hCn1mn+)KqHzO-R7SP*OTnzST0zzQ_fU z>CWaKonek74VO+@iz~QUNoy`EYJZQ@w`y30Z-=#d(9sh*@T|n*6Z|^H=~^}TWfL3x z418gnVJXyDfF>^)#Q|b^UzeYL``2IobHb;?fka(~uL1-+rO&{aAF(mzIFuh5)nV}#@xh|+HT}m1?d)`e4G6E89N{u4%p2?)X1~zoNOzQ1+G?9kf zQmSV-d;Vg^MSZ_Y0{vsApxCO|-kr6TiD~^DOjAD;j})ePLn&I5%u!8J1>slG5p{rVY6HON{2*K|*fj@Uv|D(t7E%oKH13)DtTZxN93PQArA$K-hn#gO-q zOv=P2RScSV1X4|d22ynhVcwozIN(~6)JNtUwDU92_DJ9|K`jc|f5Vwb-&S)nadh0#3n_U@8MnmUsl%2O{S(eR&F&2u} zW>JJ&ECc0?e3mI^h7(MkQFPt(m$NV$J7y42}W=O({$XF8c^0(Oi>n6MF?N1h_&eyz_Dmj*1;LO>!keRQM7BZ*C z`jsI{FQ@~>+QcOsHPur&)G=buY+DfLQaU0daC}^_(ubB792eZ?^5NJb<`N%rExRoGv?xJG7) zh>SuA7+Cs%uIiji3GZFtL5(*h!Uy;|uTLll@XH%j=UeO8^w=q`()54Zf}%9GC!?P8k5pu~HF@0q)G;RdkT>UX(=3F$-KpU5S9wWrZ!` z(Ew2agK@zymHU-l0;O`WC2s~w!^3_eZ%qE{Q#1IcEOdNn^bFs{G|Lh8R}KdsLyTpm zwwk&tGeyOWfPyf!@Tx3&0z(FyY_k3S0!MS1;TV1>aFidauO8bE1&H!Px!HcGE*C$P z1r%d(8!?fbr3HLlOvrq=R>OKbqQGB{DDY256!^;#HT>m>0{?VG1!6m*fQZTGtqu^= z=6eDW5pa3x(D7DhW2Qlz^JtuETkv@_4dBWogj{ZhidEmdN6&uB!5 z*C%*&hOy3+{UW@DVHd>@3Q!Hz{bqt>~g+)O{Q_2gs|BRNn55 zBZ6f+hIQz(YjapDFJnK%0er~xbd2R_VCgsL2_e~mkBS_yoKi%woEZ>Rt41{w`>^P2 z4ZhJvn$5so0G6r^f1eYa)jEJ|^EPcm*$ZJdN z5WX(ove)zA;PPS~jPqh1sK7a8{aMQsnTfz;L9(8X3z`(A{sslztp2#1>hG$8wZea3 zMpj%B82gh}-1B-+fESjr5E$!e?(uqpdjMj#;d?o5mep=4{Aq_sFsVSnPOUMM?osfP z7El~u0;AdEF&B`o{`se0KmX-V|LwP5Vr=Bbl4%~b1y?f;R8?@-sT^6Sb=Qo3H)bnR zyj|wx#fOCOwplI}K*4C1NjCCvbv!>#57kwMm{lV*V)!rtJ8Pz>$TO{=222_6%75Q< zQdidf+|-qTjN}d@2eanKmr?T*_XaO(*W#qtpkQ@-L}%po$6tT@<-h#pPoMu@&V%AQ zhRp5JVen`ucN=Kh*wsM0#7hlCBtxRH_cGY{z>5M-jNn!h~4gVQs2S6hrZbbcWH z9$QK?48bpABkS(c_{IxdPgG#56;l7D2%CR2B==q2A5NA!WW(*5EcH8O3B9Q<#Ckl> z*^Uh0RV|6dV)Y0C!$1$`Xtr^3iEv29g?L`15aBPLFHe%^sTIwOrAQ{eHi~5Uc?E#{ z3zS1}9B&_GL5cZ|%gRRGa66}m$L^69osTT5=2X7hw&990)?fH^g#El{S#bq7+UiMc zs{lOR^?-Hlk@O>oqJWi0*5&XB;nuXDwnvs(RsIk*$#_fU1%Ql5phy*=QIS9{5gHla z*?u`2Mq}9o?TYHoo!5tu(Z6Bd8I?H}0r$a&rKF^}-1@MTJx7x`xz^mZYAt}HXFgbK zSo6V7rAX!Q%})GqS&5&xnTa3Y9El%k%a-8vZrWAIeice2Q7VZnN*G;wL4@+u9`)W) zci)fbzorbBrPjf(stlOlnX}FO#I|1%@AhY&_K%_l_{Wke2h%ly<@v!)gI(JZphhyI zX`tLXNBeB>)a@csZu7n@vgDH(3?(8)jI>D_)j1wndJGJ=2s6sW&0a;A@S_+Q5JOki z!C1i}Q9A}15FgFt6Fz|JPeah46*2&W(y&HIfpNR;MHytaR2V^e!z#clwOV;r0eV3RG6yE+enmj8 zTv&=ugDKvNjLRpIy6lZ zxYUCrB)PI|C-#GS!|_N*mcY46;m3xS*s%S^W(Ej%&4$ip**CXDic>42XOG2uwRi$vL-3(JmBkP|@0A{$(k72+W&e4z-Vc^HLJNKm)TW?1L7&k;|reHKQ^Tc}j684sh7NEC+Vrfi$2VLKoTcNNY|weMe0 zS26A`${MDWQj5&F*={ikENNA7J>F7O&Nn>$0Wl_vpZASr?%&r5nWBuPozEr&A%#hv zE-IXs$rA-5Kukm8)M0SN$PNYi^5^AHaT|d?<-n8j_sox}*%yyYk06m$MU;=UFNCm& z`IW&QZS!$cZ<((z!^^h7Q5m?XZm}(ZAwNCDD&-)~Xu=FH`nH(h{SdZ^GNUiYLCj_^-krnfK@<(2h#JO6U(_Y7H)0 zs~}>L0PPOTKBiv?5l}!d4B#b^?>!vF8s6qvYr-RCR6+e!Em!Qq{8mdr{jBm=spB+M zC2nS;Z*$fy4u7tCr|-cbYGD^uSoj* zT!H=(4(4gc$`V}8?auhb4qU=vxAX*e;Eue?VV_soxT3??SSkdE2U`pbZV;DV)Wvj+ zb-Cz8(cstgqRKRMpzI#pL$*pr*naP~3ZHhAtaEOrkY?JP<6-oH-LaGvyN>;q(AltC zwKY3%6)4rH4uiX-eL@FD+UKxO`)ufKH7fDqp+E^%(TZX+T#~;$oj24gv4F$&)fz^ zM_yxZ)!9c1asWw14qSDS5dckc5WGbWzO9=TCNjJcLfFHLn^yy83*LvKiZgF<*inFT z%`*>U7LPQ5*uO&2x^y{nr1>^md+acYB&r0(K7v;RsY-Y4;l*seKT$03&b)-+o#H|8 zmUu7`rg#8lEgpb(iU+~l;(^;lGcFU}3TN!##VC2CI4g=ZyhX9VyHKp)Es8Zpi((Vo zMX`dgDOR^`dyinhWI@kM1E zS!mcHUd%xqZp90`#h^_d&%DW6iq=`nLhD)!)1c5`K(D_?8ye7;0t2REj6i-eM($}_ z8iKbuV+Sv$##{2{NOD3+Mfw1|2x|Z?@>gGdlfSN7SOd`%)(liD($;NfL{st*4T&Sc zgLZBa4%vZ!LK#*%ae%*ld@#b5zWK=rnwRT1j5%A?&vH?Rd~RJXl4xD7is9Dfx@ujn zC#No#Bb+nyo=N|NrhF?t?t=pbev`hzpQJDFi}X#gMf!#zG89GI_Evp!WGJpWO|Gd~ zw_S6sl`^7+Uesi%j1vwSl}6!yZD`fEXRFX_D^_4_3oB@Cp7vqtxrfp!Imv{~0ACwb zkt@J2#_-CEF}NIz;jQ@^W1LX3HBhS=8Nw>Q0Qyo1`h@p714$D5RmxXLxZBFGabi)p^_VkTeYfHU|)A02#Q7?Ll1 zv-pCWlP@S?D8A6`<_qQJn=e2Tg9Q&$Lu7Dbzc%Ua%i+m7_R@+iSlYrC>{HUVp)cCz za#}-zzDZlRJ@h5DzGrf!hy~uS*hVL*=S>nm^D%@~oB+VZ34C$k1oTC50&=D}0q`d$ zP))XIh?*i{ec_q64Shw5_R!O_+Q+3eTWD{ZEhvFm*g^#<%@(XDyXOFbm}6*XaYcp4 zlq4KB7D2MKu^cfg8%vjIW0@=Dv3!*)q^s3N5^Jg95v--T!fTma!OSksOGwJMuC{7C zMhrN0wPTZ$;md3iz{Os%m}D<6CfUn3i@ms+24Jo9YgW{mDj_d$r8!nwOu|YRCSj#T z>8wpg6<88=Hct=mv;P#qoh9Sa-gV zIku4uc%xlcPtRc8MYPvVPI|hES-8sKC5HV#>Ms=A3Ok*~r=-k_ZUklB6NfPeMr&GA}3S!gHmESI{vZ&RP9dc)siCw}y3htq^LCE*Gy)`6#BE@%eWre^`0^jyGJKes8k`ne5W zNUyk=(#tnzKR4&~F62$>V!?A}k5<^x{?CP(2EFK6peH@^`XWz5mgHH>vad3!y8`$8 zVxeGx_Z138#azlEY1D2MIZ&%^fd#cHvntejYC@sbQymhuzFDZ{=0q(c6$-W79+4&m zmBaRW7Q9$0UuZut5f}Rjxs&~bzh=KWzSlgoT{ZiG%E^8RVvrtDP;>zoPC4g@VOB<5HSf*G?&%9^#_ff{TF^oDsp@p?AOklNb3XYa zz-1`GIgZ`ifom3g>Iifjaba5EPD~5h0nlM$T33x}fSs78VYo1@+uN1!*q~nGT$>%J z=D5^;4XTPCTC#COOU|m0+q%xGP}>;FPaNgWwo-n2+E$!XftSdlL2*nN}I zBTv7B)-?RALIAfJP~a{McvQtiGN6ZP6ayN-WI*362IS^sKtbOO$nAvznY7WFpapuY zZFiMF;kv2VBgqC83;0U2fv{1|$BAL3393aJY3Q|-vgUG%I)H5&UxBr(dscZ6M$xc< zoir?1n}$^&(lo5AreUC&G%Uk%G1D#R+@?x*)J*<9?K6Y zDxM|8Ms1D2fUd(;2_jEd#Z)|96{xtWe1+B)=d;fUxJ|_ZccEgsGn0w~f}~oan13x?b9oje0b~ow-B_!|m(G!TfJO|N6H-|KUIW)91hZ z;g5g*{OMoyw@-iaAEAMtKV9BF{pHiPd{v2<40_n1n(o|zwlUyIM;_aDc zW&lG%03g(%YDWM>5~~55D<{L=;z?&*f@e01 zvjd~Q1MoKipT=e%y#erf^aj8?nw=oUUIR_m8UGH@+yzZF;4RSfAs2!sH3iW4M5)|) z0jfY%!^cq!wEuhrK8`6lYAU(GE3@FDiM%C1nXcoYxZu;3gtmke+bplsWdA@rP1!OnRhcKukYEIh6 zG~_^hq>FX|g?Dn8lli=$Nu@ zZaGrI;zQeUKg4It;H=MHRq0q-qKtgzDjek`0pdl0Z`KXmITn!8~{t217J#X0q6vYc%0eb zIsJjF8sET^E~?D!6mowbX%6s`<~>e-1-_)YfG=qdNQVKc;fd;JQv85irwUl}c6nE4d5BKcg*AG3Q{7dj z>*_DIPwk+cj>>G!h6)~`%i1AfKaoCBJ;7q5#-B&3-?1&j=)m*svJ3?#_N*eJ?9N4I zbQrYn*qwVS*7Qt@Zm&9SrPRWSE}c{hxFturrz0$NI||UvBRr_g_3H;HuHW~!4@sr{ z?UU+%GS9fJmOJd789()-(rStM7rz?xs2VAMwH2X;ox7DpUp)!8HH1+cLWdEI$~kyefbSiAL<-Rt@S~!!^o@<6aIIf~@-z^Xl4C{C6da<$gc1xXIG`@O#?bq| zT^LHfSgEAH4Tef+2}2(41Wts7(K{4&WTf+7f#f`}kkS5z1o2sWh4>s;h>BqMfMi2o zNpo-#`RW%TDV(GQQBeWoWV4u0OnDVf@|0#!;#nkRPwL82PMNSdVLlM#vfT66kKQb> zKL0=e^=}ei2~yh(o6sC;mu05f-7GtNWS@t@p3#+*Ca%SO@j20oWd|c%asB$Cr3oPF z`ENh}{qvvy;n>GmZUEG*Ps8QLlzI8 z{`zmfDY$c#YBB^4Wi|*|QUnNzGYO-kQdbuaw^)>;<^fq)2!S~d$d??(;rItCY>9tZ zO%f1yPRv?v&eY%$PKg;WsdCg-$8t)dcr?^;wucIgK352~pwvIr|7P5GkGJsbZoyok ztcf}7+U7+4pllKa?eeq~JwnP!CN#V!17i_Ksp;Rlbw{=?jZ8Z&wRJU&+|(0}Z*Lu^ zsR^V)EbXp~Ng~rwPHy8m*WvBeGHrT!Kj-KY?*aekyiZ4qYE zJSu^XougQvtsr)*V}0xvlYgdp=s^>HyLSuelQ6Pd7+!O?id>MC78Sra> z9sd;k`ilB~41aoz28S?9)790YEaD3?CvS0Bpy3^*OD9?OO)|Re=6Pd6lL>X6Z*CQw zC|72fLScoyyw=m;tW@{5INJ_RTNFF^5Eig=0phnLEYF5m*a7V^7;1@in;qz48*V`L zG+KEguBMmpBz@|%&G?IY8KRfS97W0Y5Ra9mFo$6W*qI4|I7P6&;4v3AGf+<{<%^~8 zDF;jS+#`O$aYK_S>wGxuHGG*xKn9xLyN%*Fk$P-n8%u1Xz@;-!+n6@B_mZkbEihLE z1a!#eM$wy`hH$6Adao6ypGv4C7496Nq`8k-qFgUPWJlW1`;?{i^$4542uK-bYL8*q5jY?VVNPuXnyy&Au_!clQpWde&~-jwxaqPouC0@Wv-raEq?Gals4uq8Y45?mYZ z*q?kkq&+O0HnH?_Q&j~XBgE>|t4iShIQ?`}_2_Yv;d@k)P?&*TrDSE0iz=8|44_VRIp-?d5?Bba7cRda z**7fb0}axfQcR;^=OYRiXiWU(v`}sJ9j}i=L>;0~hi#^62Hz*qq)ET!`@9DsQgC4CQ;Uq1h7$g`*7C(~OVLPb)6$pKPzWzeR}v_uGg8EFG>FU>%776-azThpO(tQn0qcQi+BK zrrHFst5vaSf1^|FeyIfG?mL>Jw0=ik@A!_mZTZeAitO?`e(j1P{su@leb-ht{66+Jv+tK5>`{-bPvO;2kIU$i4X-MwsK3O2q{ena#@#B@gTprhi z+fi55uYeyxybL`y@0 zDYrnP`zs`*fLE0Brv;j`(m{oCl=Xr`-EWjrbGp9LQQP^-lj;TPmHcFRrS3O9Y1=G7 zZc@sU%o@(5TI0C9-rp{L*QfCsb&@7A-Nz=DPCT6yzv*!i#e_}@am5ooTjSh&1@7ig zHt1(=N%H%kyi)(<4C&3E95s$?`AL*o+}D2uWF1oyFj za#t{6`H6Dh>rW^b?w`D=RB-u;oYdtf8{zGkIsP<%CiORdrnx~28E}8d2$BAHr7oAp z&zFo^=HAQ5+3o%0Bl+Vy9^&-dMJ!RP(LdpU@cEM?huhB2%Dk9AIqx}<^J`ZWlj)ia zzWs?1{``_cY4s&as@K;NYFl2bijUoE$zLq5)jf|h6DIB6;b0SCk;?vf5O=HvEIFXp!VL~Pt*lJe!`9ACl__C z)lV3*(2p}Ve|cQz0PLx7FM-5@t2Ebny*o-IXq>xppfqJlb4KhgQi|8EqL)9d!c7il zie3fue_BPa?ystxc@ZnL4AtK;!BFRMfc>N)-QUU7MX2Yn4x7ihV^lu`O% z`<3E3XM2HG6FM8(GovsT{#1MSD$XrmOPtHHUd8!i;FX^oRkOTfX*py&mY#T1r_Y|H zSG>h*7s={BEzoGzZR3seL>9d1{)#uvDc(V=YV{RbMLHTEV~d@bRr<+W<-+P#)Pt@`mYp_O;NzE=0w*D5qM zWFLZHC;L`oIDWE1!k<>Pdz}mPc~BD)-CrTO%g^jxR<-mBiQJzR5`NNl0wz%mk2-CmyYCJCoSpzN=v+U#R-2}pm9Vxp&?+l;KW5j6DNcR8(N1brbIs~ zO1I#IpRADZr^UKC9wuH~K{Cx1-CrO%DjPIjYYTrdn2yVccNqu+3haf&AUcmPTy&Ps zP7OUd6%Q=iRSgYww*7kVROtRrKq+L>)Xt%|%g&yZ-r7lt9VGhG4icr6rtnb+e?zyRZbcSyP`<wXU2i4ctH3 zNOSj-HysN5{F5f`BRYCz^gUp2(yoJ%6?L6oA!NILg`f0SboTGcaXM{#`O1-+?a8D& zz_!oq06S7Vx9r{aryY5#17uRkK19U*1$e)9kwX5{0*zvU0~$sYEHdIWU2VN%!^-}( z{Aqcu&RFZ$UR5-{yjJ(u*OKS#*HR$4yi%do6>rxyJfXAO)}N?HY5mDfdS(TlKP^Ao zus(kFhU%HX>;4M7%6LSnZW3R}zAB}uaJTe?&*v0klmb8i9k)Np%)Rad?Oz1vpZ>VP z^XZTO_D>5)S>V}S8;TCQ>O)H>I($)!@l`aSzz$cJjO36dp`DM*nsvx!rCV4E9EJYh zP(Z2j+YT}BwESXZ|ghl zQwIOz|M*`%{h$Bs(|`S`%VLieRCl5xtG7v1jNI7G*;UEmvudn7L4SMjqI0MyOVs{f zPMgUK9V_^>T}9a$xdu{>8;AJ>5-#0-7&LKL9KcnqFS`2S(x0sNJeTS%~tifR_B0^*#as$CY6-|HD}YdM66>Hc3^v=0ZLN6H9vzN1jCS=Vf0+xxN0MfBF3RFJFHD?YZCN zu5jYg{h|GeDur!3o?fqH()Mz{_~=5>4LQ~A%Rdcz)f*|&ywn?=Nv)B8!wS?y4i#Pb z5IuFhzP(*F#!J6FMc1n~PFLIZUEivH<+35p6?A$zqjmHqGTA&$?Y*>IE-bdGQh4pvW$SPQ%v>f_S9}j( z_j;XF1#N&k<;c18f`%_?Cf2O>jB_Expw{`b3sG73>Rb6f5Nhoc5SOQB5Vwz30Wm;S z@q~bI$Q&vW5R@341`t`}^IafLL7dy3d>M$Urv`|srv?+0GC`No!;JSerU=tZr*u*{W_`lNW{=M5_YQ-FvyBisL{3_UA0RVMM}Fa>MU!S#lJ#WuqN7 z8C`ac>_n>~?a*fC`%qVcdZa#7C0RX1$KhmttRe6sMRcRWBEaqTL{(3XK8ekA>OKjA zDTao={okiO5olz`zCCFqpy~ERK~wFC^lw*tqOO)^bKtwWJ@JXU*Dd_}-~RfmMy;ui z-eOTJmfZ||trqOQ6)Ygez8!!@E_E2_<+UP}Q`0j7Gbth6^Q zN>69q^egK>ZR;;_wSrJwVGv>-2@seb4MDInUaTQVz4X57wHw&TttdviC@b3yh?F{3 z1ERjJu*gki`lK9iQxq@+HvmnfCvlUV3a{YiDBOIhPg+_yX+yZ_He_jLThL-7f#O_fj2NvX7)-}*a9ldELgbKf|!t@_5r%(Y?2 zkLnx0>NKG08`o9$jUxqT-#Bca_V+jT@`=#t2C4a^JG!d9gy)LTMOao6gqmX15h32B z?CN;fyW?Lct2Q73nX_I z$vvCtkX$h!Bv-=OBnJoj=Fz3E(Y<^eVU41|Je&0ukgfvh*+v#fWg{CThjarZ3q+A0 zFwlrGK`8I{1rTlm;aO`uG%lvJh6c3MNG8u@$#G01A#k-weG7!cCNY1XaY1KWj7J+$ zf^ZJ-2pcgYCy2v}oV-rASJCbLSsIFN%Ud$trq*&gTE|PeXIS0>Jdk1evH=lm~%Rgdo9BVRbYuHOh(-e?7x#T5+5Y#eQr|L>*qeTY85Zy0< zg@Ks+d5eaKmq1dM7k8Pd{ZNs3@j2~Ate!W6SUBqfNaN^C)YDq6K%okuN_F08`KdfQ|3{m@JP%UjOh ziauLaRjkdTdW29)_2Cd|;#hAHK4x4UZte~RxWaPnwu9=bfldjP6(v19j!XJ>I+`Y6 ztX#hu*XN;{)P}aY@J>qi66PtxUq)}f?WKK^#5h)%=Qu~(yp4U5?qBvvbtYB(9v1ip zVPjrMRKC_hjzFS)lB{RZ$}=i%G%~r~bb+bdAqAdZ=c%xj$SNcD+M2X?HV-*BW*KQW zHok`9*_?TtshbJ~v^^iqMlWGfMwDFaz+{Cb>^x;K)0phC24m7g0h9F{-E88^KFa&R zq-nS)ZU&G*RRxf^KW|h_IbZIx4+d83*_za^F>tKNaa+NOdeC}0UkDDpA!#5UFB?!z zB6tE}tb*X|Hh&4hD2tjLWG&YS&fXLd?8NgxuyaMWeXcR+K>$-gtiKCHq~!bwiuub(NYvEUA)&d+l>fB5fMLTFE zWqW$Yew= zQW$k!QkbVPI^0Fc?X)?9@asw>M#H2uifwJrkr2bZgjZDmtu$*lHfgwfJ0UtuAe~Au zv{|)%*h}HHy0lKrOeCL>a5^OxixMZ)DdhEYjFx*o>=R6wSUj;+NeyIaZcQS;yXUUKZ)zqdM$4P4D z*dSEZr`F7RAoXBWldb#!4O>Y3(W4qI{;vY=sN7RV$@t6ZTE<`JB(!x7dnH&BYeyv; zxdPQx)g?Y}Ii~N`(B=66XbNNPww9*u&IPKeyONu#nC{N$qmuSZ=d{K_ROj@opqy?f z<}YO~WZKNB$%3K7U{@c^647}DyRMmNa}YHKKD;9sCCf{Pxjk){=%+#$GC&h2@VzZd z@c?k3L9~+?@^Q9io9^$j1s_PWsvDc6uMlAddMxH+5I~7}r~L$_1h;4QPu8$D9i1ZO z&Rc3T1;N5{?@lAcYp6|rkPthexQ2ONk$xacaa_xJZ!LMV6{H19Ue(m8DfC727p3gM( z`(%eygUT27upnVa5`6BTQ05SePzEf-?83n|EIF;{J^KeSU7iMI7?_IZ159Os0p_wX zPGy08;9Tw>j)L6V1}(ygAB*l^T%)s5QD$X2y04MKYaxZQ3a^_DA`q45`n?^{!2}yZ zOZqya)2Ls9_EVzB|G%mF1pzSpfAljxTZ}rAGOrOkzK!u-0%9Y)3h=$Mj?)3*pkuUl z#6>|!5!YD-Ayr1Df)G!k7nGb^!a0elBQ;ew&-Zv03=zjY=}r?*u#=*eAbN@n4z2xL2_*9mfXr>}ynkdwgLae_fk5n+(C2o8{81mp1@ z6Gsm~tO>13PKLuoDb0Ioo3n$nBE%W1zKVTsL}dv6 zb(m!PXlm)6!~|2zFTqqrJc6k>#rq{3(rc6ZmBVyi1w)4ZwMx8K)O5aGU{tE06R8mspdy>-$`e0yln=9O3ZZ?r*jHGde=Ln ztxLP5xqB)UtU}KhLBzhV;hj6Ql_msjLFPsq#)Gd;KW%dHB9GO3i4!^ScId+37lA_h z)?~F|(uit1F`>G|By;0UH3^9-%nfE)ByKVd_f&QN6);@r@>XSftq$41A}Rs0#M|oN zLI`WKU*ak~XLXZcM91*Ky9z}!@ZvWdRm-3#Whe(3r4^;DM}m3liR9h!1deL{MjxK% z9rNWjQAPADLq*Dmj7-%lnJ8C(F$&aSQn`so#GED~J)D0cvclS^anz4>g0%iJ zFS8rJM@P1f0GGWMG8+4R-X4MOV}#@^nQq2Oh4G;~Rhp?&x(I6t4)F+>9i&--;CjTu zWxS;$R8a3CtsRYg*%&`t!D{M`$)Lp{=dM)g`U7`zNwbWisAdQrQPLk!it z0c1>^3@`OPkV(x-V0;m>Iw?nxWl)eP5#=3{J4bp4DZ$g`3oz5m1nk}Z=h=$)QSC1) zU{$welx0aakg>IdjetzHos4xuhB=U%NmM4xfe*S2Zamq9$Fs}8_hzdT2gzis)7NK% zcBlh=p$=&ckI1by%bbJHEd`V3Jo%q0_DevU#uF5pDa- zDDd=>Q6Ng3hbTHMqWpp+6Bk_xqDzEMOb8`nQbNbx4r721fi40VA-Z3~7ZnKC=fe~o z8>+M}v7u$;ASnPDwIeBzr!UD20TYb(4RHk`vgy?;jq=G_T1Ud=deuO~uCQi6jTTC+ zWk{x%IpyS7s!q7~a(7XOQ7m^E&b6Zsv&7oXq~VRZj5y@*%dnj7-aM+-ysdUh4Mx|| z7&|Gh?cgc@Rc#dZd!g&4=Ll-yS=x#T_*c1!MCh?U%@XL*tPXPpcF19D$J#N+&IpaWT-kamfd z`v9!vz6Yn2+!tV&WFh2$D{Zn53RgF_Y|xe&wL-hZV>IsV@I5(UEQFKtB~lqG1}CKo z^Bfb%@vXLa2xRBFZ6yY^z66E6l9cYNH`lRsS%?)|mtEA{i-)PG9l=bQD~=CHB;m2p zx)4&v9!rLMUINhW<`WSEU=c9@RwXKi8%F>OOBikfkeVMrimI&vQl>J$U6vXkp%OJf zbGLPdsq4!hMZSRKFud>FLla=Wq?h~lI4IxpA`mw269`wk7ZgoEV5VC6!V-5ymT5?a zk<#trtFp_HKf~zmIre2&OPVXESdUaQa+&V>ugt?^-B%u7q$@g!Q@6s2N|%idl>1#( z1}bmFJtyMtzhov~%MjglNZl@<^4001;qpakUj5w0d#n-(790k34BNJzG1)?=FJXMvjWbW7wL9+WRVTj|6LRM8j z>}l8fI)lLI>k0x>J+&Dj2UlWIrb#C(iVLGsK3r5KzX%BQTZeP5fOPiQfMlV+0CB$F zOqCc-eWXO*d*M(RR}BH)_z>YUhoPt5V?8RxRu4ab`8Y62l!QUs!GN!PGr-f^fyO5-Z%0mc*^W6wf% zyb7b+6P}5fj9k%2w0F=2OKCL9@%ejN^rzUOSQ;Al$*{G=%ieuyVJ zSgp!Y#}bF+3%~vykhrqyd8fo^Bh^pL#u!mD*g6=osMJ1rMl>Xga z(&{N3<>u5PAT_5J0V!HwNQ66uUtAhnOhqy=6*x?l=Ow-lmov)G8z;Ehdk|d3RD#PV zHyY%VYo{~N4J3I=*f(6tJtQ`VtBm-(;;4xT98GiBGhypUj1h%{bkedX3XwJ%In**j>6 zG*vg$xi{)C9w9*(eGHp5i6Vq`h_laC2b zji3o>CEZ=5Q!y)LR9&Of`{Tr%ql{8`5`9ylgw{53t>wv9w60cYMVm)Cu~Sgn&J2jo zu&;*>M1KT)?h5#P$%>sFwC)vct1XLbk?r?gajknr(<6NWgoi*#nYFV)s!vC1J^OT6 zc2|{&9D#>0qoA|%Wq}_etRkXJ9|#e4{!X!629Up0$|dkR?+JL>!zu8bYq8;$=R%|j zp!7|(6u$z3<_uJtVKnswS*?UQ( zU1P%~ngnfHXMZ&?;!Z{r4D?KEKpwmZ1Gw?HB>P#CDqN9Qpr$S93xg177%f9dt(Ya# z3GNf8HgQF_^?iWwVoS(>RI{bN%|uGcUM`iza-h2rT%jpsXeEz1YlcEl zY+Q}TQ`ZqAE50ezNP4=jL{0*SU?R4Gp8)bsVQld32jO%926~F68}} zc8zB3I%jO0K94gn3VE8-y=h9Q)94_2mw>WxOyvd|5aB{uAkLjPy^wUByiQta3TcZ6 z0-CE0LUE{S&#kYyuv?pURF|goI-8;+Tpyd*P!`UBRX3e~^2eRl@Rv&xN!?8HNuap6MFq<}A)Sx;c5d-B_b+BbZ z?q({lHC_5#Ica#Gi)uV*WTOeqcvy702|Jo1f=}>{2Wc{zkCQsdwp64oU$)m!(Bb;+wzFs`mk1w)-0hK2|gK#2@q zb+%5x-rOk7Nn5npjLjld?ws!=&et1#Ade$IPtVRl&J zx000=zisenxSQKl4R-*bQZxlM3+s6d=Sx^uph|&0W4#-c!Ft-CTzP zXpHUJ-9m?R!&S}6MIOi#ov}`%LFppPV#hND7Y{51iPcbf(v=}cWR{CS#yw6?o%f(oW zpywAu(BC3(IO#eghcBU+Zp});&X#U@UOj*e11}^9kWz$5D}tkQw%&k>!XD zY~7Ln@&{U*O@ z76Z|d)N9IHN=W%Z%4lP66x4@xs~XB$_R=B6AOG!0)qiAl z)b*)W>`IGEI*4cWY?gxvJD{YK9ZYqRk%1jDBl8LbiUeJrrjcHCP+#}#s4u8$8TC!1 zZxV(+S!17H_@oAaUY_D9e6n;5pR6d5K52}LdyoN4Yf1+N84wQ4D-dYPU-d7xAtAJv zPiVBKPYBvEAw`usA|Z-dWkjgKvU;CA9$Iyt7$7<{$t{F>4M!+thpJ(}ZTnx&)eEp| zDCYA-HN#%#Hw*T%Jb}H6&lTix>P#!qRaS-=9!i(rK|mT$c*!(k1zWwFSqsK)W+sOH z>!7q8i=J;G1Ub4_=vt>I3SBQwPXs)TF1$P?WZdADvi?jXOUZiaLTg3=E#KZn^=Y}P zsI7XsUI0M@f2)JNF{HY-7J}5ZjWMRT2ocyw&2CwNM+hbd98r=e!JiF;+y0$vw_kxy z@%l?vd+Y>2j-g=xy7KVgD5H?oGAFtSUiqZr^OvXDc1Fk;vMFXtH&qC6fk{S4T;{C{_asNi)W;;32Gx4ULF16L5bHU-_9Rtmck%Juqn7`|D6 z`PYB@ZG)sL+#Fwt&64F3r6^|(Z_kcqG@6QeZ97VUbFhG{EtR93l_Rt}oL42Fr0qD6 zsr94$w+>q9O7jd_4u|Khz|GeQ;hU>;nQ0ukag=r9<+ka3dZgzW`440k!`%)a{p>A1 zTdj_3>%daoO6$N!zZi!@5Ej}mlw)m`n-yV~^uy#=Eb&9%J?BbG6Zd!F{RP3|@I>(U zJI%lK*=WtEkwDGVnRP*|w+Y6}p|x4!UdmY56J%ym$d$ zJw~dy9xA`GXVXpYsO?dKc;k+m=LVKr)_zvqYkeh=Y#1 z?nrckgfPo)MW9Y6w;pl8dMwOUZ*nJnZaKypk)cZzaM4vRv`q-W+X{j9&z*>J#%zgH zACpgGe>0mZ+^2V_c)8x81Na>H&5XNlS2;j`0{d2;&?Z^p^oj&Q>@E`9Y;3n z9&sT+Ac0e~m)+GP^9&3gskmrAg4Z`bRFvtnxFvp05mMmxvKd;630M50AJ8)Bve|od z6Ukoi&sEbh4z@EUICLv@mxlf;4w+O-yfCJLC_tC?YXv#lOFeR${8F*TVPJXxN2P%e zU7^&%(qL4uofXiFGle)0&@JGCRae!P4lWc)vXdz|InO7JOyG=6*N?&(gRxcN5mIY~ zvx{gq$lRrnGgqh2wcQDe|r<3|CD?#pvUJ$F=Bs!~)}suHqul)e}jD_-KZK#QpH z(~*!XXutjZub(+e@h`t|AkSl{a79rJR@*ywIij;E^!9jEQ|R^E)qlHh=_8;1;i$B; zgt@=eHjV~{cZ}matDw#@$EylTqVK5l<*l47+)mqHr*cxnoTm8nGVb?C-5oVS^8Ii# z#;fvL9T?FOjol#UGnT@cPdM#>X+6p}Q3{wB9ewj9u8Gy{Nu$2YI$%Xi;Y-_13(92U zk1U9UM(2#$W0Jn_4oFW`j}Az$=n>~75rn*Z$cr^Td5TVjsalO4jTLE+(%jr`KXRh4 zFn1=VsX|HtgFz3Y#VopS2UX(?fL@%!t9tKlw=T-`m!Eokp#Q^9J<4OAN%l=dY?>Bp zL$UYm{&CUp+a}*ePQelA$jEDtD>uw?u9`fMY47(gN98hyPG8qvT{d@g_}$n@<#!Vi zte89tB9&KhdBxp4K-rJ0RqvtGyjY#;*K3>xtMmDv3Oj)cEYHwVTA!TbEiLCD~2keDpd-OXOqN0gI4O<#i$4W9h z=apo54uJZUA=crDT+*PD;x5rL(+T373C5-CH^W5|k5vYP+ZYGgJ;nO!2&Z?hPo)YP zC+_vN*Gf513P6^Z0+7|&DmUHSqISDTQj5bIiQN+X<&AiGvLSK^7VxL1`d;{z^;g+d z><|A8DHky>?@z|OSb?)`$l!!|WV?X5ivjBqM$pVhGV;Z;FbQOzfR&mf#rIt6kb;n! z)$AX5=aQ8(K9u#eQ@u5_b zXrY99m8*W+DE#+de*Wz<%lS#icRx{`1XD$oPt*sDsn472Rvm%7p2!TV!he*r(~>~u z2S6Qg$#Xh%iE$~{F#ylb$bO^UENWzA~=0VBud z`t;}*d3_@yR(Prjja{g&eHeW{7;)L>qe^dKuSY5>dl>_)E?*Ct*4I}HOIC+JKRN_A z9JKIJsoE~!u6^i&2H|h14d5(>iirtf9i8{4g-sSm&Ipf$oV**6;HY5GMt{}YLL-ZrrZ z3;HfL0u>}-@piQq>K5i&vKje(Yw@vK$rdeMkwjHkuGZ*kA%UTDidOGS9X7~P7{61o zx$NWfZE4xNqMQTzO!~bKdY{0RD!rknp}MF_&C1LCqz(6R){_P7tyMfM(Ap#%0A;DNf|vl^VdFdAW8Y#QHH;N`pf5k?`YDwEYsFa7tMyL=-c^} z17Ns_o|6owUT;SwWum+Dl}`tzq?eNahke4g`&>Q6^%lK!uu_n_EVkjqxVN|KMbQbm z%sAzN|5%Iz+BwTO&@!6$&KiMBD`#$pqKCMlL_^)(x7iAW`P6QMVIexFQ*tpZ=%ifc zTk2)#N_QHo_G%-7E5nwh3VE5})y3XQ>64kYDydOfDVS?W#l=ct>|t0b-?4N5{^_s( z@8@6s>Cb=tcL{^EbK6v>qiwVrhS^#3Ug&0N5%X zjy~Bz%sCwE>y-xUD%$MbOzl|BIIQKo`4>&n8-^`x=~&j4xu1($ti*!kB&R({%nWd_ zHA>MqmaVprowa#8wvU5DYWoO=(p{vD)0NUn2UoZ2vP=-)i#Hi5*TbP+^*U0D*KWsR z^WI~Lq*k^PNlO=(WK!FBVgh@QNdi=|FrF?=A4%7$pq0X1=gInzLY3%ND}~HV2jGfS zqRG8XNgNHTfX5?M1ze916bmbcCl=%0v%!b(U%d`a{?mVD5)~_M0R!OYtUk<(1q@ET zqk^r{lj?tHk3u*d8WMtZ$B+-}z#%JTt0b{=bDMUxwDurSY-uGnb-~Rfmcx^LlTeC{go8@K&jwo}IBQ!$mmn`#>(EhO&+i$XHsI zq3y_Jd^?}@_fNn6{Lg=B(WlA|zu#w2_XkS7A^K+XBzzdbFWpvfYU2+~Ao1Wb3mPU| zWe z4spb3KVdY*7cuP8wx~zQ07U_y-%@?i9_6uF37K_gKt$$@zZ2YXL;Z0^+1@hFxf@VY zd3KDa%Cj>qMTBgFRqGnFY*RyA3$$|wo?iiN2>?E-N2FmB**0uyoh#VR4q)aWs-!D{ zTD`#-Kr(q~T_U8um^_=1AF3BSTggNAo7IY1n3CbOZ%SFQh7#)ObUBLap_F>l_U6dD z*g(1kVb#@Y32V62i}AXFw~W`-V2Kg;-C(kXAkz%A)vUCPH=hXHB@%r+g5Xn%PtKlE zM2T3|nEJ<~0+m>Gov8IP4#=xy!5J%A3n|FSe@4pS_0MOWPM0Qh z8cL~Y%Q3tgPD-B>9(4MEUEkz!cw@T1$H#PkMO1K*TxDGh4$`IPlZJTEPuB`DeNSDb zw<3Af3AsWjWb5Is1XhUudc?9)i-6#c)f`3^6Zw)wfQpt^374MM4u=rd%X|A7<8;ND z4R`ulrqg!<58!_n>U@LS7s~ZSsSNA%L@A-tBc){9X*Z54Lzk!TB8gMas~|mjjF$3h z#K_juOjpqGom%ZX4OX7?M0{F(I0kywP{puO*o}@@Y;O^*uF6$ngeK?oB@m3z%yzn$ zfbi)k<40Z_xvEe&+g|jS1@+FG3Zw?bdnbtNDoHa%qImF<1+f>iL=(~2H zt!<-v8>51RD@6{dMw;fv1ORfkJpn*wDwz`}Yqi-xYDKz-)P>*^Qbc8{dBx94RDRo` z`RCvM>)+(YB<OqXP&=7LhY=M+}@WCLV!!Q-9G!7e^n{#Ag(oAk#9ii92zNhX9of z4`Fp|>;WjPGEDpoMfW2&a99siaDY!(_m22!=RFvVN8VXGAlD0cE|rFq>owtFEMHCv zkH6$_g;)gcz|QwNhd?XmO3~suxPrG(ycueoM@q*NaGoX$5eQOeT86wg6KZY*4q;3f zjvr*r%G^D91Lq21kA?_diRqS=ctn3+G~NE?55NBVzkL4u=aC06|NgYk12lCJi%;o> zokcD%zpgw$oi_3E*VE#zAVZhhiay$IrNW}@*6q_#7*B)YQ_Kfk`8}MmF!< zrjC`$iY^mG=ASDhO@y`SZl zxD#t2#^5{;v2djss1y$NWB5u{b+S4PjY*DaXxzRnU!Uv1iBTO-BMPRptQRz5ZE_pd zU>*~JKl(ZCxMlpp50sww=v=9@8l9`mzbBrGIJDz-R>CH}KCU{R#X*vff+q=9A-fh9 zV527ttByM-4Bx{z&LVyAl=H(r3J&Cx)V5-)Wf#~=*(G>fSq2Zuw9TxHp}X~%ypKXB zC3H^GS)ps=)lD{Gyb8K1+y=VkKoFqIAl(l_cUuTMGwUT^xl9MqG94J9*sOQ=DTZPw+*2dQyM)5F^U^Y_9HiaK$#0z1b>R~jF1dXP57bgK1m zdO@I~y(Xv`+59M|Vu5Q~hdWS<1;A^vfZ(}NFKPPAqc%){(TADB1AYj*a8RH^! zBx9%t)Ln*

    ~ELsKN{znEmjzgC7(B4XgO#`s8>THN_W#HTgoI_USYN)d}Ba-@-Qf zC~zo)r|^Y=8^xAG83?-O1Qoqf0!xZsh|J=Q=7bM1ZG$RKxIRUD0Cmh+zYNVl->-mU z{yXe|W|%@cyCHrMy31q$k2_izKnG*246qq+Kh6O4Ic-SAj=N?`pKAut9EV~6`nHL$ zNli-Hx<&&@yzOEQ*RTr3Lyb^}RcLfud=zrA!}&|j%TyDBJlR2jd+w^N5AC9piE|gz z5Bw-_Vu#8IG&=y?@au+R0IkIiptVY`kt_Iosl-5J_4}!J%L9q6WYGIP9 z;WT=E0>XmHh~obsbhLxYME{si527YUoZI`!sY2L0vJnZv!rlIV!78vk}tf z{B{-HS74p2doB;QSeLHkg>`QpA@{+riF0rHwI04h4|H)ZJ}@{0DN9{{&7=;o)lOZWe51ihT?= zQS|cxHo(>tE!c{pK@~?kB;rQz3cCu16{jg!KSa{A>-qe6o@b*j@s*_2V*R6-sjs1o zo-KcR(u<_opd@KGx|RPe_U-{4G$)F>N6pV$TRb0w6>BWzQcF!RQ}ixaliq2cFE0g= zt{{(!k_)z++VDZxp#D^iD;}HrW89RfU%<8Y;p9osm^e0Ip~gLfAT{O3Kov7ki1iHW zJTh}AAA!@%00A^J(EGnI1HJ#j4DTLGAXvo((J);n+l~5GwF-A|?M55>46doZql@>^ zKcwWV?L+lW*`$Wu%QQf}48-4aV7^|aZhm*OTAoY!zj5r8f9ptGbz$7cP)=lsqAeUD z?Kb6@u-{SxlS8wPzH@fmHxR|-P94!3nCj$|F;%A`3R78NZdS!lk_^yN72$P3X2#j@ ztf)5ERix6j``nCxX(Ls?6&3ht;<43mA$l@{qljO(Q{r=n9*pXkkRN~dmEcb90q^$G#5(J z3pN(#j+qqnTy!LywKC;iWK;VTrK%rU3?1jyzcomb)pTnJtBIb{SWQ&m)oKWGF?G>r zmS$qvjqs{%NlcLWx{b+GRm$sa!(yH*t-&}SSSc;(6_gz<&oE!D)XbMFMe5XWXFPFw zr5<8n{AOXZ2L4uDgm9F+`A{#vg zS}Cm+M0>72UZ+%t7Fq>I*7sMP_+55a8y*tU3hlg?cUwLSIU6s1iAneJYe{M$~HDOJiZ19VjVVo#qV<8Lp!1fyqeZLm=t8S9C7hs0)u2)qsj}e7-a-*sp94fkIl{>y!cdo4GDR%)_uxW} z%+ZwNFK=PDXKD+V2FFLXH6+DVO9Ifsb&7Q44z671T&uY*22Ow>Y(u7f2$(bmQ*eF2 z7)0;aam%F~?R%GU#Jybfz7${Uec50%?I3Fq81!i@LN;o`c^Eq}`#No}MM?PwHtmGH zR$0%T$`~ENb#}|3M%Q{J<{>!tK~j%W5}!w>EhOV!JtU9Of@0fG<;J;bHL%#uEkWzB z-R#_k3-^&_vxk)++|z0`b&FOlT?Va=qmPvhfL5he6&JJG&s4uAC@j*ZPu$jq*Wm;v z1_bh0X0Y=|mWdsA`hrwtcSj;L%!4FVWX=6?^duy~iW5`qRVw7kf*3TKI1;%fwsrx9 z_Fg$ym#}MdK@g{M1r-y;{vAZm2@|j4gsWB@op#rKW4N>;OxCPLG_WPVbc-p|H2lw#@7}u8ed!3(ndPKHFT!iG*^j|3w9VZEE^`Z zE)43FS4mZnbP8I<#SSceWT6;wr)SHCoc$0)Ju;%ratgk3TeyP!6bm*-R;Rf$GjBtWcWrP#B zm`1n)Cv6U}vRNHxK^o(VKCm*Fu)^(6TbSxXOG|Z8u;L(cu^w@$Wn(U+%GX-jA4cWt zT@|qDxB!}=i7rFf(SSXIvHKonYy(mhRx+qGOgg1|+NiLykU&sqfEnce@UFYN-Epr!?lB`*( z^J@O;k1q_rsbcQCg_U=qg{61lg%Qk1!$#YQgvf~0th&}^IJZrjr3bk!6P*^6_9twk ze_&zwO;w+@TUdF%EG#@SEz;jPv4s1auro$Bj+UBgX#=wB1mkZ+Zd?n2NN3yUN#!- zh(+P*Q*FR@nPjgjDbfaw3BA7>AjSC+Xw`Vw~4S9z%L3#)_sQ9 zFZH_|Pn-3-hacMeP9AO=;#uI*rILb(E|nBS?kk~h!u+ZU`3I*wsyf>Ra{``jKx&gi z5XmWTRiZL17g6Y^oN}-fQD~)EM6vDW_g6aS1oskq$XmCEP{7}yBDD zAd}<*JF3Rl^FAb}Rs7)jDPh5?B&3c2rvBO!()^)yroPY@oImW}=6spQ*2Rev^`IpN ze*h;ncQ3P#v!_A5;EfVhi5n$=?d(npAZp*EZtmS+gj|(W_`(1Jx*NuvBUvNMoTF=& zk4QFQcQc_#(nn)194}1iBpUW#Liilj;N+o0vD-(TJj%e&6fQ@NneiS5kE?^JyR1D2 zJ15_q@5~k-663T1Jj4}kUD@l+*y!zJoAOr8p`JeLXD!^60GtwmvD5SZ7?ZTUTXRn~ z*m<{ozWG~*aMy1&hupF&*UrHiKoe&dE5Nz@BIQ@UQTMyE=%fh{VB!?-UopYNmwX$9 zG~1Q$k@5^r6|$<4S>NvQ{q?s${Py`DC>lIw%iUWFv({$}94MnoJ-%gBF>ioYbTKLw zT_X6Dx;@(5mr~ymE}4@jNk7jEUW3VI(R{ZmNP%A)G5!yL5y4j@Z2JeS95TDL^B3lu!9I|>v84RTEAbI)J4F2*I?Zpai- z%~^`?fGmaATNdZcR{qC`mI<<|N8sz><@ML!zj@gMb$k9ghMb&UM;XOH#5=gSN9bmX z&Ke8<`sZ_yKzT^BYH`jnpnquegB^q84Naua0#NPCX5C?f6l|}JQ=PEoksr4#R2{~I z2)oq^gq>&g-X62?qnAe_A#~qKZjpQ?{=0HP9QrZc1d=4u?cXj zt&E7LO1@2&O2_N#pe3hN<3~$b zi-`nHVxmBknDBI$WDV3t40_yX?U-j(dUvHgv*5~r<_yo50Zq24Simgq9gX5hlp02D z9lHG+ToW@8%0DnHFLihhSn_O~Ds5k-ev22R{uq;T4@23AiMnDoR-j4QF56j?`d)@C z4#QEKsUd6so7shhB~2?VEYT)P#6-@v`%2$NXDoOl2vV*|?!RHrv5opP_Afo4i=pyb z59nA*9G{~|>7FtXCeW1i_&HkWr!1_K=8Se7rs6n=Tj~jl=`L#{!cty?Xd;Ity&~5= z$0_Zq$Ft6%airj-aipgHkaUAWMHDn=kdT9VRYrf+e5*v{wTJq=4p~jKe40GrsV)tf zrn)p_XX!QBe^w!y>Z0HiS7%i}De{r@3T!!J{&m<=WmKGfr>Sei6q>rmU!keV==mxS zAt+^sd6PyAAP-%gTJsY>uU@#<|cHb?R6QE6G}G(t&|hb~A< z(3AjdyKqity2lFTZY9_mDtHIjsZ+|cZV^MwV|3n}vh>+*wL%_9PiwrKy=B|#ky9o! zrs4Edamr>~XI0}%;t*hqQECF~fc0%LX(hXQv?mu*$04$z5Il5RhHEu?qpF}qsKm@$LONmRy`&Tvw@`LmmBbAbTHqEc zu{*~!^MJRenWLr%=+}|Kr(Hn5y#X(-!se1rt}fS~SLgfLmx~i3ykDtljkILfU(@8=%z`%+w(}RXeoXIM7rCCV(@gh zPz>bVx(4&8YM>hVDr#~Y-60@jXnTnJ*C9)RTea(Jcy-Qr6-YhfHP7a{&(FVhHrM!k z%GAr#%u6#?1;_RI3XZ2IdQ z=eJZw@A-O)nCggWOmI$IZUiJ!8v%`2sw9o9QboYLOR4}&NR_hEbr#Wh9(Y%DP{&16bYevG*XJ>6mHo zj&1a%A`nUYvf6I%i9i^a8RNP?3n8qwp{UM_qBfZ^B9u|Pd(n__xp)e)6#qy|g_r%!%rW}LRqC251EIOqRIrW@e3+0{&=-PwLJIM2G zT)*TTtse;ZGAXOTR1+-=qBvJ zP97y%flHOmltcY8LerhOq^C7AjMJUb?k1%*^bGZl^Pz#h6bt)Lo+h<&Vsq^Odm?y% zn;uUMQd>jW?HCH&atzrl@mHBrRft{v}bR}JIbxg=0Fe+H6*qeOx;<+XRl!{0_&*LH!*$P>y*;dGh6#tN*YzM*uZ96QP z#FM>N^dMAsH5eEUZl%a3W)-8w`;oT9FjD3+4m(d13|DG@&pD&r1bm$(;W|1Kj zyZE9kN7q2xoQslFSS4*k*9Z6gC~1v~Pr|%o#Pm4^cFrL1GKO6r@bpDsraDp1OZDsC zcg~ADm1`n&rf=sG*6Iip6V_DOyKS|PN5-Ic3;cV;{p%6Cc;k_>n)?wn$xs_z6Dm=S z)5;;HM}~cCW&?Jc62AIrwn=QB?)WL8piKy`aj5WWU#E<$ggGIYetJrgmz0Mv`}8W+ zk1~!V8Q6`qi}-kt=>{;Va(c#B7fO@Z>ooKnyQLauSAf}T()aq1B&^6c;*sZrTJ)z4SVp`I5FW_K@7j9U2Me0h6$ zy9~ctmdoY+4LfZMOnyZ5a;U(#bnrGl6W7vc7g4G4={v3^J^HGW| zxx(c><_1WObmj22g|a@RE$j9?6gOm_kLzue#|X⪼w0?R6j!6eMp`RiT4vMd%UB^ zIFM+O_K7oIxLpI}3NR}~Y0CV|DC9w;W+6{Mpau<@`Jnd5p<$uGIaWyFktO{v>*Fz` zbQbgX6LxaPBfC@M6ab6Xj|MF4+we9z4Y{o}O2g4~YIemf&N#VBq=sRCiaCyYnAlq$ zO(cp5O}7|hF&?8l4a_Y>O2-c$OGAIS7rHk?9s9zJ^U!}HOEgdLz0IemW-y0tYr7sJ z=t!b?`$F~g`jb<(3C=7OjKvKD%=HgbI=V1qeM7{%+8T{Y*;rRR5(6jek=_6o2}ld4 z5~Tz$WXt=MC`b35yRD#|Me@d@P3-NdO)O^?&A-$nARZEH^jjE$Ve~D9UL)KIYq%R?x z&?OQ*rIA81^_}c&>K7whr`Sr_YK0*z#eh6(oN02z{;E|Ks_QHVTk+(e3 zS9$|7$l4erWFo}XFwolY0Vl1QK;PbOM@B2vt|0jI>G;b*FTHcLM=zZ^zt{-0VREC_ zPVeTty;>xa*D8%URuk$pc@V}}B#9b{^C!V2m8+Akl6YEix$;M6sSetj*$yv#J>LDO zg7{fwhUFIyllW=t>zpi?Lc0hg@)^Rg-ojmS@GYFibZIl|~H} zy-zU)1u?Likm1{!E-4|xZ05+n;SWr$9uc~}S?uG81(O*nf16D#Q|}aEW9ztDYh>q| zB=KdmnxIIe-EK7xA8r`c);BwOjKH)FugGBe2r)iGv|}Z?3~i+bG=2AX=`T$d+Fl>} z>#Zkrlie!yMXAwHUtwMZ5XCb^{`JTzE~I8W@^prE94ZRYiRMXr&NfNTg5jb|(h>RF z4?^1cm(e!qyPKrjSY^C;Z;XyTz#j9A_*k^aDj7JEijAoY>1W?Kd^ZND> z1feXa4g46Y5%+1zWfb3H1L*gzg-Gg>aAN#?xsXHN^%FT3p_s!S!sMSyN+N3X;AF2p zl3w!8UF*8i3<_J84N=ror~c;c>9sgIg%@UFaEoae6FBVtjE2LYMJI#;6j}=zhs(T+ z-Nbq;n1D#T#v$dFC)E+`OB4z3!yXwvgnw=P)h?VP*e4UW{xaupi&pfPO}5dEuD{?( zVx|n$|7@n<&M}}+EK(f*a4A@Lto-x&7)D;drqrCX-m~~v*DHM-la+yy>@r0z^ zUZ)^XBw<*q6B_ZbO^rDU!{a`5b-n6zdo>jXDG{h>slTBF2l zXOH12@Rz)M^9Q2<_T|)u;v7o(tg%TFh9#=pvB=!Q1M^An<4f9}%E%->zO%JXvQ1=~ z4(CVoi(L6-MserA=y&G&Rm%Hvn3!WqPABYSIxnu9@wMd>Nki-=HindxU;E%Uyiwcj z$^z{4N7C$NVJlgt1%Zs>iDo%wK59rC)!`IjZO_KUTzI$W1(D9&g_F+>8zf!KRr94X z`Xdn)HR=`J`CHVi7&w_vG9zPZ%T=-?{HEG&`ERTey9bLIX)O4;S%*pVV`Us$xS>hD z{1t9X70~!2Vvj3DXEJ}Vc-}cswCnd?eS{tDd7Th?5fV|VvDNN+h^5E!&#&k;;S|*4 zB=CWP$3mksb*YpqS)r%nG-)NKlO@bVr)_CzWlQJj7t&=}5>CxO643C-kIPla|MFFS z5~u3{Ot-A~6@ZKLKiZdL<;vIE;sJe6)~NZ#O^vlA9BK*|f%-+Y1)7jYF{ zjJh>yvSR{86>xp*Q-MWNaO!3ZF3uG8e%NedZ`?g#RSZXu*2bvBff=(pj1DHjH&VbdDod+N-S(xZLc!JU-D`(?H%(-bfc&)%cgO_qd3mu zi5A_`P)QEQuWoYIFwgQYjj&4^oNt()V5}F%XL-OS{N~8`El^7bRaD6=VEfs`b_Ph`E5}NPZg<65Px%2y@$enD7nu~ z$38>JhiPoFnYkKnk^O8nPpMmhauq2})D&X2(_`r&Dm&%0O;>v=NAM{)DdvF0Wf2Bf z?DaVK60tG)R#*mpuuRzpQ~SxYkxuUghhq(8;v>H9T-?HIWqe5%(HORd?rZg|K&lSW z+twvbc;Ikz?p#{c8^t(gtQ8nwc6$itK28ZGm!LvY0~RaGdr9w1>@A2;#h&7fdZXQ2 zlfWcm_oEU($+WS$&>qXoz2iRqWQCJy?&b`AjQ;N{?G0^Xn?&EorfS}?vlDCOr%7n( z)dI_(DLZm)^(vmV-1wQ(n2$hin351pw{##p2A_g&RIy9i3{(?;Y4Q$}8nazGoU>-i z18yl*g3oT592@IEcE%N=AIoq=@kNEp-D3@^ZyD#N=*t<3;!xU zyVW_T3<$yF8}?YnCc76SV%S}j160cv3=nQO2$Q+#EoNmR$j5#PDN9S7gN9K@8=5>elJBx{nZjC_%1jUTyF0xc&u0KQL&K$ol5%rstKJ}ASOTJ<^ zMkBTyzLRsz$d(_kl$t3c?Yjcn3lOfX5fYX{Exy1lg=#*1=>Y6PuK8?-O!{qN^QpGj ztCk^Uv!+%I20^v+S~KSUs;dF8<6~9Gx@q?f92asq+Nw=oYS?W$@9C_0J2_bKN5g2! z-(cWRLFHBo{wMA4!YkZsYn;jWDH4nq5$$@uv5#xaixBaEGHD1e?cRYNkvXQrF%%ms zozR7Yfwx`%yZ;M{W?3nej{Vn8djFZ6`{Co`r=o)b{M=lOh(7CL=wbIl4P<^E@Re}# zd5!sqtFWqHb3Z_)e<>n^#~cQ41L+@!HK1jVQJDTg>9S}mE?F|40z6z5lx5XDdg8Zp zSRM|U43~+OV=Z^q)j2#62TP|%jyK#0(h2tNvp1*iZCWSgrDKRBtte=lJD5Jz zBvaSour`&@#8cVD$KsGSk!q%XvU@a%LKf#G_EVViJjTt{&azuqPm!N8iTeJjv3aI( z)}hc9ZjH)`efFD|5*UCXUgnmlKosXG(={+lkxU;~Ryx&8NjX^~u<-53vY#w(Y^n0r zA=nt6H5eqHIrPjKfUh3CR`MY}ZH)E6Gvus5S$|d<^ZDXv811);_rROnk6JkZ;N{2E zZ%UerN4J=QqOgWfvc%O-Z#jXVec|%3dO`DY>DG7Tny*P@t?SXu+A>ceB+rR)Re>?0 zQWN}J7;CnOecH)oYU&`HwP&76s~ajPr^fxb9Mo-b6+{zfK4>j~B0ip^Cxs4eRIYQs5`#f=)FJ z>WF_Uoi^KXmF<_@W7jpRAe)WPn0{O=4`B*sQbh{j`o)ndnFpmp1$Euj8W)QM0h4Mp zM8=`R;M4qA-_LpBQcclz@+h3QliW~gyUHs>21Z2%Q#am)Yg@P0s2R3K(8~PmKZ}3M z=3CNW((aPnz^kVds*soHNsx=gnoWKYVmAe}%0g*cR*crLO@eC1(B=YVd~^k5j=rw_ zw1*Ed46#wPxa>W8r8inY%#YTkXww=qT=py9W*MJK=QVV}}@w|pLtFeP_+ zM$+5!c}AC~^s)*+#moU5fb))omznX(ckMM}=6O-+N4<;wBfuL2sniI=7G+t-bvZsp ziYciy5Z>k|Lzl$+ecl}Bh{VcIxG_qcbqqJBbke~By%Pt;4iUAZV@gt|jJmdv{F9*` zJv|ho@V-H=_0(~OCmmOzC^p_E^&N5@Ba~XnW=HbS$mo=p9ybZQ<8kT^vt z1d)N{>!kH-JQ<5-PrMUyu=hNTgvN*9vm&>u2KRN%f1}_Bb7$1+j-m zU0t?SB9DGE0ZofkDUS)5r3`_iFzKyDM|6b4iiX>Rxxjps0z4bYQww^^I`q4DX3MdNvUU_%l zZJi_>Y{x1W$B%T?fNKVx^{$D(ns6B zG_L3Z%-A`Bz&tRisqbmIAx3uW(-pzO{Gz#v7&H=xeI|H?tIro)<4zxmynYeP5BG0r z_-=(ccHqft)a>j)dTUPOu5q^ula_RsD!4*`Gd?0Wip*h>H zM2?cvwHOetVo}U)8u6y@J72oc6)U)(1~(3_ezwg%zaf-R5*MW507nnpCl(7|c9^nC z!K9ebrjnW~XeB_y*JmwIg88k-lhBYF!T>`#d=$$nVzRsK(^^9;$quf&Ppw-Q*Ft!P zsi%wWDN%P$LA&iTxBlEktY(5x=fQ)>lI@65GxlHsKe%vZB$u0A%wuVU%Dc2v>N6(J zL)C4`54mA_g#ldN@v0ZIWRUx$vC8-74dIb>qAU!gKe^F5Lq;36jH&J-w+ zF}Ux$DEFhnpg>Q+ttqv9U&zWCHq3-iN#vMayzaWjS2(N!GRibeWlVD?0?SI54c$uD zRDv~JtaE#1XrlhIfJe++jf$VHEC-KFmAQDAqcpA9H?zV}CDv_DjHTe@wy7~u7vTt+ zN%{zyDDq)Mc;1_7Gow%d!=9q{V@{+=>mxf@NhPQif0c<}kPk_rAn&|u8d9OZ=#|NI z=7Gr+LhYK*Iyo7)1$}ISlonmSdni|EmIcGGeLDP&b^v`Y{3^+mFKjUG$;>us%qhBo z#yeo_DI_6>$2*FS0P}(p?htM>6^g`6R!+iiA#DmJme;3$yOVs792Cj!lwvlu)w@Ap zAuIBP2NmxGmn@yW{?|~XRHNF08oCj^4r?f8ih&+!wA(RJtIyl(18<*f#7c}AsL{B---UQJadtU@C>0$j!XBA}lcS`;CiOS_-Z#Lk|rb|D9irALOq*K+Ip88@RMR! z7nWvEpgKHt@o6a{-U;UM!&V%Z2koJ!89aV*?sNhr#3V)q*r4Z!bfZ2c9@MQ1f819W z4DoSmGGcQ4w9R=?P$VWIBP^SP=3IL)={D^~j z?(I_@d4s?tav}NAirTH#Lgs!8lsUS&OYTQXJ?3jwpia$*V!W0-0`<>K3Lc-2_JBweTW*&{W>D2m ze^b@WeiLV3BC?xR`{r8cj^c`ZXQmHWIDAkcp;-6>V||bW#&Qa7#az;CCA(o#{~&an zjcAJv1y4rGaGv(115M}?m1ykmbW|ZATKI!M-Q8+;q-NA;gi)wp4tnRXbSaQ!$II>_ z?H^oU<~dpE_FWg(`-O5aJrj$Kc6hbj+thfi`Kc}8w-&fjspZo*{_9WFKj??XxieJu zt>}+x1UwQf-zb&Z&|78^ZMX1KgYr5t#=cIpl}sB?KH{DCtyi?OVVOU~z*UU@wo^?M z?4_BQ&dgcvBqDIMk85`+e~0cudZb4aflShu{i-n*@v+RYXvz+5?%cmGp@V4r{Ro@J zjv1$oB>uo!kR^jrQH=LTo?DWjA4aGYvi@sUJRawPS_NnO-DAh!W!9KQ_w|Xn^9wb6 z**U2)WABY5!&vw@1`Dj2jM!d9tSvltcbNSz-T7oFU9jE|sx?0tvqT%Ne6u-J zX%AN6{#-=LkA}u|1hd&zvg^2CZ9HJHtbOi0(mKe*81bvXVSa>cI-e&AExt2S=%rtYwt0vY9 zr!!DxWpy}G9uvULBXJJm_wWLan!4Go?zgWHc95MfnfPof-Los_jo?h*v4_Z<+K&mT zjtZZH94FBMn%}joaCs&Kd1JcdVoyGaFoyFVAY{JuqA#=443hP@LchiYnXrYtE`ON7 z#i6~>GJ!B=Qw%aI(eLf%pJR2F^UqE7a_k^V!yUSiI{A@A2ENgf-3G~SxHg_3@-n7x z1}%dI1_nX+n7nF7CXD&(G*0p@jQcWgLlppAMf=e!d11v%BEr{nKjdNB&6|#lo?q2= zaA*&#KMP?+qW)P%Ll>dv*qE90u%@?S9SqMHySygj9ymdab8HsYKapMA+s8ETU6Va? z*K#y%*=t(vc4UYAHY4pd^CxXmK0ufIole}`P$f`wCO&o{fykjf%N&m)vRxCa&JSr> zjV4pQNaw0O9VJKv?aKHS)d%TPW#q$_6vd=giepf2c7B8_5{SISc4j)t?HaWQ9I`BP zl96b+?{=2FXMt z0>~w)_3Fkh*vXffP>M`syp1JEX@Ob7e?C?!-lv@2sXLJ0=*a1?B=`k+K;2`d5X9l+ zU)3M06p@3m$Mf5(Yy{m1QMIe_Az9lvc(exjpRZja^188iMYa?x!UdD<*A0 zPKd87<1&uXOv~q115N^B@tia-XR(99)~oij2$UAHNNQ2+BzH;>i@Akcw2s2FnH$C~ z+^13ZuTsbx#!g+#7EVcC<$d^_-7Q6l(m$uN3d+wjr_mqe{*Y{>+e&hIULw+@3_40R zCB~D{Ms;{fkKls$#?Isslk7(br)mZ3T~|9aNYG8cX|_>=B}>1?=mWh$lkltwK2lmG zD~vg1B-43L2>u|@P6;|SFoS$4)4CMnRGDnn_( zxcS%aC7^h3^D%1D`K;Li^IPPNw-jvr?YlOsxpBIxEjBQ~V{o7DJCWh(c6A^u*QxS?a= zY+W1M&G=@{_S0o&mxvMc-4k|OHJOVU3Ctv8fT=mmxL*v+?eD=_ao-w#|rf?wvX>CxoAHDcZFH8JWi5Ng|R&pGmo}pVpqLzC$8$>SC}|QCFJ79 zr+g?zmpIRQJ*bzHts;aYb?$oDTT;&^-U}hO2nXVBDH{uAP-oG~C(-T*2MWTQ&wn{Y zQ#>mniWeuhL0@ZYQ82Hu2?X8 z1+UVZSTkZTp}3zLxCx?HwB)DO%!`tMq4m!|=1R$+Jf@~ro5m#ZuFoZyLK1FtW%z2! zV)(rOW**fF=XKbO+gdV+e(_Qh$8S~Rm+|)y#O|8vsodDfp2ndhS^JR1d-&2&;p0&I z1UC20rDwX}=pz2TxD;>|zo=|2Ej3Su@m)*o`0<`&Ae8(010z2WH{JY}%_dUt?1rU-aP zO~CM@pmCSCb34vaO7Tsi$tOEaKum3)5A%Qx1_lAdTZQFA)*hmG#0Kw77Gy zG#O?3G>;soh1+vgFvfGATD8zj)l0-!dBh(8z9h1c;1Q;PzK>g>%GH!=sNhNC#z}G7 zvT5NB&7-E_bA0?q1y_I@Z|@F5Q*uuo#BWZ*0Exl7;Htfs!D{2Mwjzt-Rx&Qv;u*hq z`Pa|6EJ{yS-jz9B0q*V5we(YlQ~hdA)1Q`kf50H-m%t#VgDx1Uo+`h!Hd+z{dgTJ9 zdiM=IpX-pTU&JZ32t0XFVFvC=KvR7n!)cZgrLMw_OtAb1hEOYUg+@AzOd?9JbHH-I-AmX-@-xIU?0`vHxDlI@9;=ue0P#TX3fkt@m^Fneig$g*5B!Ym z96IftazJdt7=Y+S(g9lWZD1J@Ytj!~wmf)%t!SdQ+nRo->7FgDX(~2%OS@i$f*$+V z`MGN;#NLf1r(y+aT>HJ4iwo1kEPvLpuWOMdDHX4HD<`_Cw}#DYFB2D|p;cZuOE^-~ z?0cz4uKa3mLO6|hb}lItXGmUdc228~10w{X=508sBLDn##^|-rnw3|(9j9RH8g!lx zRKVQ29~uROzjz7T`6T#1gd;G~9X>b=SIAP}G;NzG8$kitSC|@&!l23V^fD`+Z|j&j zzs_pjZsS%r&fUN)^3Sif&dXz}*Z7?#FGAhW+iWSxGGij-QOndA-YL|6_2_c809c}b z&67lm#(AVL#SdC}-TX1ZAMzU>;)qMUq=M%+&zCP^JJZX81J?@UEZqGVXV1!B6SrBQ zZ!1CW9O3zYjDiOf-~MgCFb||$b%;^-DN9g&qs&HA8=QJk7kj3ZNP_lEC`dt$Mr@4U{9OM?zK&P$Zo|;pM|dQ26Uo`~!N|@tpHtR5S6kYWIXfSY zbNo5@5r=%f;jQhqViS_~oP4z=Ft^73{@Q0sk|3!8di)he_rhdMW%J@pShcv8wYt z9*_NP+-Le8MGSxA)HtsdrnN}O(rjF)eS>QrR@C~m)R*D~@WmK*>boY{R=r<3?hF9* z2Rs0DuAprGVvAFHV3cgGJpm5u>}+1YgxZe_$vW>Wo4OJ#0{4gdE~~E7!IRIehz_10 zQ?vb?AdMgb`Wo9rOJ?#x|)kg=Y3-#Xc*|vLULsEhKHm90~jp|s7!<|mN zIhTc_@r3u~U1r6MSukB{r;v%)bZ`6?P<7C%WY2o`f+5+9cx(S#aXse_K=*V*0KFF! zt&x?rR2CwC?jJDqJ&=vZ_9wr+nV8nfjeTLLC3 z^Ymn$BK;5)7z|fRcg(BQuGqik4^!|CBB&X;aBxf8^00JrG+>GV-P#Bpi=I${wGamA}8+U>SG?CGn_{66^l*O!?qkX7_eR;T?b zgL0xe7Be&*`=#)0%mFUa@+Q2LLup^;^&6Mca}lW|ubKAp;zlju!)2+S<%ncVui9_1 zEv>*3mK$p{_M-2fm1|NFu)JX-A+24J(veDiDM8+}k9@0)U9cmuW*B^P>;%A_1V(!5aN22#O#6BCEDtO=RXxBSEHRv+yu`X82WJi z@(H!Qr^T%P^_g}VP*<7YrA9ZCp)k$XVYgYh4eSl2Dh^uDpQNRm!dejXUy##6S_e`6 zxU)D>2{1btdyxbfFVvp{`9Pi;jxddS`=?>Q0{KaAGTnI1_lY_n&(GIuEmjm_zF3UhYU9o-jDhu_0M63k2ew5JP^tV z5e`)ua5~lX;u;^*>VI>svrJ^Af65f;Br-Xp2^3;ES+AS_j9m@lEcQCE$7br%@rlx> z7K$Ol@-8+(wAA?(XqzA}T5lN{mMN;fW(oGG&0LW}ukKk++uI}k(6DB=QEa&n9b53| zyrC8&mgL^!_lDhxz~H2gI-&S`W|F0{$%BZ*V%(6j&+%0ax)0*S+;I4hYT;Pw&D86| z-@{ynD{L;4(~!uk=4iGKUEwQYG&DQZ7UiEl@71!<85Eyl!d5w=%S`yE`#R zu%r#O6Rw?ToF`5RoseQ##f1qw?KOS<-zPs~oaz?T={{YGLYsL*%>G>$XGcSs{K=?; zY{sZMxMB~pKV3%f=S*M=q`u0QuUJE7PokHWOn?+M#faYa_y#M7L{Hq`-^xRgVuLyA zpB~fPKNu~9{rZ^4Ol3EpwSh%&5G0*fA3ToIR&00#AAIjTYDuOTT%TnZKP?0Md(Ujd zD{(MOt@4k_pELZFcKms;>UkgIgzN|>orfPtqX?qqrjgyMCB^Z+n-oYJCSgzf{v4{K zyG{MqVgKGqbAhy6u-_9BZOoy(?<4;pK`l#|(KJ=+G~D!$WR2Bvn|Xx#em%~)zq7C< z7bp21G^!!5bTaN- zEN8OpT)c1H9|*DVl@*A32JXebMUMDLJ1O&h zd-)QC$}eLHz{q73tu)HPA?`*!XTyEu2~}qYq}6!)XqoyhIbByi+I{r98q58#t$Fjtje}7K3t*(m$^n^}^1* zcHNKzJ8PEDLsd0AS|Wz!qlh+(!YqZux^=f~EJWrvt2z3f4mTh-YTnW4uCO)Q_Ez*H z0wx;ieq}W7-18$xAMd~J3U$> z?;1?v=c=&JBQ1j^vfL*n-$@OY>G?=M?L%O?kE1})!>dH?`M~QT=LK1O6*BXkaoiB{ zo9^77^aF~+7Uy|I8Bs##=O;P)@(QYE=5gE5PfjUorYG_Jes4@2rh!RTAYYsNJBB0^ z+d>*#mmpuC*f@sNm5;Q!wBAUaRuN>Xt)@#+*?kQB^#H6iXi|ZzHEHsJFEwdW`BiB# z#1utlBE+qIlP-%3s$BM09ad|!*4F6%Jn2K%M^NK66BYEJyo0}TI%YQAOT6Z-b8069 z`$KCr-2eOdT8#3p|2^o~G4ls$3n9+$RBP&;ZRb4nzy zs-;hC36Qfh_QaqiFp96T(DFOerJ?65Y|L|1MjGXTW%x z9{A9UWE!Yl*JsSI3XFI7P}^lp2wJlbcyT_^=_S4)6%siiR#{dHI8VLt4(!!^ttIY$ zvowiqEIxbcP!{WjF{=J?H?1o)S~}9+JPMYYZ&i3W(J~j&It?Gsqa-XuOtQc3E})Dyt{zy3 z#3*o4jFR0u`mM{YOni72M%$`G3!-WNCy3k~J(A!h>Q^{6=r3X+nrH$|Q$kPfHNp+S z_x?h=i9K8`+_5_IfGE>h<1e{2!z;~-PAW9absS_ffn7aiVt`#`hW1liWaK7=LTa`7 z{$f@VT1WC?2B!TH5v~&eFkdDaJ0u-mA5!r~Q&0?0Vuev<^2ZB8UO*LtWvxAYbN1sH zwdcx4Mprgv;}mZhQmoLKLlWdog6Ux#XP>oKcF(-Y-9iL2{9)*s9G`Me{%< z@*8L`JbG3an7pXO@*6lFkz@Te0l_y=@45SS6dvN~K+_Fgg$f}-ZonInUP&j*q=m!; zHABl15S9eh*}{C@ImQogP*L`#tN@oN@TyGe?yc*MK=b~d?U>Ny@ZE6}&$+8J&t{ex zph4^M6-(oUXzx&D1<0bybDWr{?d4vP;B@Lu%7_0vwV9|?Mvw(!YAcPSs(S0;gpMNgA% z-5h&14FWIi|IXHK&}E7!Rp<#rWu{$6U`@j6lG?HD(Mx9;Ck3^!1xdq_{1PxQIi}Y&B)n)Zrd!pfH(eh zNP>zd9aLLzf;+Q0A2YLw`goFtQ9H|zbvqNdIL=0d0;i2`;vG^fmo@;E|26ZeGIf*1 z%-JWKcyJg9wP%~=GUUocMMGgtVs^&0?BWdmFX5JXpHoHcP5GXh8VyHZ)dT|)&GfJs zMS~3jGQzM~_}2YUqmKFR@AMMoK-xjM?5^X{ONP;O$cTuU2_LPTj{4 z4oNQs^6opCHXE)U9CL0zc|hh&nAU0=`tQ8_9Q@s@an;!MDqvqyw(Z$%mvjD0DQK%N z^eBIW@W?Qr#|Sb=aiwT41n+$$F;94Kc(Ck=kl8_}N0+KZwoF1S`RiW@$)MTu_qOF> z9H@VX2M{;U=bPRq4SthB1IVx&2hk+?C})o324`k%XD8_ZtB~66hY2pr0^|H)` z6m1veReC=nTFb6jquHE*2sCi>DOpGMr;!QqNvLNiupUyNndK@b#?xt`jRlVDN(@cs z>{RFqN3UgfEKFe5FT8j!M%Q^{ZleB3!=$iSJVD2q66Qiz*4MYu|ZdsBEotn`3$2=IjQnR4-_)@^la**tCjc%)kxdo(Vo-FJ2zd$b;a}{H1lAuh$V~cm^S8xvhhLmC zG)}RTP~I_h+@r~_rG@%Ko*+<@S%v(ra9wL2h3Bx7vj?qxXCQ&PuUE1Ympg59R7SSg zSw>kIV8d4#@EB{(eDD1+!DC*muk^-{UTR6QRi!Liee;jTIP|WxQ1h>HB+J+{=0Z-1_Mumx%yf&o`EPTlhRjQ5+WZ*nycE z1YA-QSNF4O0qBW>6GM%kv;?`i8;L@P0#(T1eoxQ){%Hpt`L$6#jiO{!_JMK|WpBf# zr5=zbhHWuPKh7eZZ#(?5DUvpGBA$q+CxM3`fM?Fqgy#*b-5h!PPDL3Ylt1ji>naS9 zZD^MNRBKWC68Mf8L%n*f5gO-NZ*NxfIO3EIg0DgP< z&PgwIOS+qGvx{-vhL9x)IxQp%A~sAGvJVta>_CnDXj$bSAyyzR4qN?Dew4f9ORUXZ z0&10XtbSbAl6t$1`ci@Fih?1UO%0?tp7(Y^HmKaF%c=*!iWViiPSo?V`Ge|8x>@1Q zRg9;Dn@^-{k6B?UCGg6x?BFrS(}|^d0geLbnU=tXtAl$U<9R%%6Ny^zB%D2boKxAl z?D-e}xd8DGIvJVc_j{%v+Rq`_V6MSD{L@sIDgg+8Hc#ij?-VmfH1{)<3O!egUHygf zP^D%;bxPFq3Z&0`IV_3f9S~qbp-HXB$%i}^h<=#H6^(9*HGbG|;C9)aPE=w^c7(sL z5t(?K$^r|$Gc%^#z-&a{#9RgZ(fYoSjrOCE_2-Y?@F(tEqy2-Q+x1B0&?K_rX82~I zXOmDfnMf;$LQyE@VY5f65iLt^S?~8ko5(&>J}?_WMo#m`Dm$Ub0}VWy*6{LZ_)dorHgVsa5hf2Gi@ef5 zNHix8B@*tYs-R5$5kf|ysaSGpn^FpkeMdjOEQKuE93eL#aZF(Jl1n`(fn_rw;c(zv zevQzgJ4}_eEbTB-?Xg5-7|-G+#R8N@JFyk_1xSV_J)`9h7h$oW`cY)=pk>UY(vNQ- zngcl1r4J3~;EGw2@Kdp%7^D0mogtPHoWHGFq0J18=-V{hhrA-No(vbcSXNtfwi;b_ zUUpyZ6>dLAEwP2!vZ?z7tZBNbTCB4X7~3o) zvx3J=;G(@hP|wo?@%4IQm>ndn4992&ozP}eP>m-!GW6?-IluoLl%GX&cSs?h2}gp~ zn?(bNM;VYT!fzK?%b@A-N1Ybn^=#+!hbGMvv6dQaY2{$rZPdkACJ9kf!-$|9N)Pp= zrNT8c;!Byl#dgeq8LQ&M#Aw36nUzNp^j5FPAaa|Pk8>9+wMyCj_g{*Z1(E@&OA-i~ zU08Z5KJ}A@kplEVgy_sA>}BH~6;;r_grPWM`g%g`w9+$2(@eF{4GCknDA7@{P1JI{2R`+b&Va|^cgIkNTT z8sirMaJUo16+F2y_M`FJ6>1+Jd6;g74^cVZBKAOP#C!+ zb5Hm7Cp^y9Z}=pn&s{p&Lpp)l3wE|xLFPmD5Eaw+*GG;Yeo2f6CJj*NOu%kc7Y4vdlDtlqRYgq_h*y4 zqcNtqD#*c6K#5rfQZvY)cg_%!zX@bW!A>j*c%i^i(i-^T&)0hQElaMiI=A6spx9rm zoSG-6K9axO+fX;rqBEoSxHiIH;KWg)+0h4!nZlIn{BHL?rPmWbts-u6(oC_FN5(jg zRm20Y0{+Bk?GdQ25Bx3@`q3n2{{royYRrPlaXe#$-@~RQ!{jeWXU>T^mmx@{o^WnL zlXj#&6T`?E#!+T4%}P86>V*^Bz@xQfoLJ~6A*E*Epv3WeddNl<+1etdh^iBYF*?bx z#`&vVqzx!%>0IW)(AU$BP70YdNFwF|u4s{@2rbJf5c^aJ+*I!u*K9;v_qzo;J#092 z_;$o2Pf9=OIdB*U>99HJRFr*TJg=4U9HfvsI}%OLp$& z!gPB0S+x)w#^Z4J1-tPIkO|rrstL`2A6PNghOd6yS6|!h*8fpZRFV#?he_eAIdI9q zq!63}_%ZIZ_#f1C0rFmAV@sA87C1spN%OUh%EnGJ!#Rzr`HY40_FdCN^w8yyMW+>z zv61!()Kq6W4+IqLY%mubeK3B z(4kr6yl4M&AS%gXBdY1t@?1At8o}&WZnWZK|7DA>8fHrp)WVR!4CEC=gYN$o(f>{-1Jpx`oBWqU z|8aED&DGFvss;J+`f6O6R}B;5?7H!KN?x|+q{pFNF?%35vCi3l(7b26Xjo*lYejXmM@di$4FK!hl%M85ZMNpy3_LZp#A7 zG4!hm-Pe{F7mF zCFM1kzlb@32>tgM66STREDmKFU7B^&#(e`cgb+;<7JnwF%P8!dJ4?4M`j34YN;ps{ zmSBYxKoC+iV-+A&$@{UtmZcNrGsHzL8Gx|yp|qwG#h?lDB^3ohJPiO9-jhh6Tp+0G z%Zit*W$!sXg7U~HkhxuJ?~=->Zn1fxQIYB8x{5XUQ9XOKFm<&DmFUXgw$iDzV-@^H zw@X%#ebi(htldW&QkBeSmXv z2d?r-X2R+|QAt=q6zV=1)mS9+(2-|%sUs><2+5}z2CWFj$Oy)Wu$pc9e*&oG<6dmK zj8Mq0R8xc_>bujGTRwXV+ZkW1KA)H2#E{m_22ASg3Qi;0g32~sJYC{XLy*Qgvp5_K_1q*B1@Z@L+2~T2g7`J8c^U%+ z-}m%X_w>5Is54bUqEf|DK$SWS`j83SG4z@nb_>E4C#*~C(JiZ0%&kS*BD6c|&S+X+ zb%&8V;LU9}?9M1uYiAZv>fdIIv0Tt427(DP-(>byF@sTkGJQ%Nvc?1E6twek+)C3J zu@s#paa@gD>}8h;*tk~4<7H9dA9)3a=mPPO$WE&8?F5=g4FU=flv_nE?m;H-5SA)< z;s9xC?uL|02h14QVN?}_mzY)OyNaDWVQ~(Akl$5HY zDd;x94$2|G1DlkLBrK4>0JDWfdq}$eshSPRw^@1=V1hiV+8FyJnKCFXi3SLQ+v=0& zy4p6tqu}U#K?8>nm8#$u6=G`Na?H>_=a?qK{QBWjaSw6<12t1DG3f@zsP6Mqb49^$ zL_zrgT8~kvBz)D8Z;qkA;X1>RLAFhp!TZcv$k9bz6Sq5au| z4uDR{Ellj@%jH(tGlWD5pv>OOy1$&A|46kjeVKCPUdsbzN!s^4#ow^O2$b3!OwUs% z5h&xmMPkr;$p)Z?tK;`KOHsQ@H;X|2TuMgCq$Uug(YBVD?qbGS;8C|tMM|f_ z$EE3Z3+0eSB`KmA+tdow7V?POu;h?UM=jC7!G*yep7z7H0=A%BBWTjE*q0=pT2}1? z%sr_*1JuK&qWwA-a@$)73aHFKz`3Aa(VD>$}(C z0YH6D;7;m)4Jda82|8yAxC2BVMG}ku+c;wtfxzScTJ@*O9ixMjWv9viY!Oe9nYxi@ zCVutzZarER_wQI(MRz?(SR{rRAmI|EWZ?SRN5ZyW((S@0{7)-j9U^xSN=7HddxpI$ zVIO%0r=)f80Y96uYHFpS-gH?LM;}+2L}_Ytpw?Ki0D3%EsyRlX?COf7)38;1ajKW9 zqT22rGEj$IktAsWy7l|FIRO?trIuTkFVW(A5pIKwHZdVCOfUSFEguhH_Kg5?~)JW z6ia0FJ}sLd_1KkZj()&y)H7#Qb(7qH(t~v-&?_cVYm;0e_0EVz#TEzioJ#>sDsN4_ zP434*$1D)-1_7$q72D3Ibi)a8n%96b2JJ-TL#E_ty^1l@jy6A2Dc{~=<@8+xu&cOb z!1*oz$c;H6;Z@?X*1v754s{e>tbV|;VPL!9@<+yN*o*SVEhbTFYzJ=FZB_faa5UxC zENhk2)~rgEkJY=8QBw~@12-;U*vi#cheF=6`n$R=bE*sd!0sSwf(bFvEE=wIl|_t- zcvOIrHPtAN@zI3^owFN1K8FXDq!?(d+&l$72xTQpD^Zo)kkX~OAw8J>xsgVlL-fHs*g5R^vJZQof7PFO8V-^BeomKKiNy~avnXd!o_;o zLy2=qEQ3yygqKRhFs9sUXmRYu?pp=8@-!X{h+4@frt9I+Do5C5J!W~dQcSDrMLdGp zP)P(JP#j;`QldXfCI&^^3nm!_AQ8tV?^QliO0o34gd#Lv_i3?AeD7Iz!)(0zRT7`h zRJT#kzVR=94qhbEDGv%fmEZPA&dfr&JBpW9T{0hYHD*4x{b2KqV5^`c>axDq%LYm> zAG3?OEGjG0bmKF{y^QZq=G1VKJG6wBRx`;*oiI ziJR0DFywTSuO}iQQrcCR(tPA0nhqyJv+^Fj9(In$x|sg8s0`D8H}9GLV~_qXpjY%lq5B(I_^CtesaZ0i6^BFi)$9oBS?jE|A6;~>toFhx|)WIWCbzP4hgb& zy9U79rEf!3}UhCa9RVZzHsCtGZx)sJR#0?Xlkjp= zyk@WdP)SIMvCb1|Wr9*6)vrV_^OA6>OO06_^@oF0-4bb#@Jt*KCAgvOWg6b$F5+a% z0@;e*i(@O-9r!g(xX80-gUyeh&S!mNh05}V5)}zVfhqZOO@7h>IW*4?J469M0Ymed zWts1p-V=}3$vq&>F0y>2K)UL9K4!ulkWS|m`Izou{^<2{n<&6lSqKm=$Sis z5#o18=H*vNv~fEnsP)fmaxQ&2MzYx%Xck*Ko&#NPlLC;=Np>`?YqwDY1Ohae?QBBn z77a^|YC3n%&wJKg#h8KP@HwkGxDWIuO%#{ao=YX)QCPEK4Y6!0wde8f;>rc^-bv&1NC(6RuzR=AT7s| z4lV-;Up$4Jx7x3#FpHdo{hUnF|MgqTZbpRuyZ}h&agVbY^Xn+ZE<%+Abq@cdEA)EK zl!>!&vmHIq1yd}CH@|6T_r!>Q#T$HgplxFul4S+FQ>i0wQ~LaL4YHZ$HF7+{PzY*P zqM;RvQGILL^vfg6l_c>=9Qyyz^we=tK2IYpjnb(C(%nZl0)ljdbobE>3QBkP0VmyE zBGP%Bbcxg*9Y=$_=lA{m{$ZHd-PvcKXLfdXZm0Rvf{VL726~H=ZCnwMwL1@{0QpwRFA?U?cZ}bCO&4JGV=*GD#?kAI zxaZ0AI`uEZ-ufN7;@YYNf>*6g5??|)ha!Jg54;tb*OmJb^4+oni||N*kYd*m@)7Yf zX8Ff6&ku1{sS*Bs9|>OR8A>R_ik`1;2r;Db4|I6&)6vAL2y{y)+$CyQD>w_jX(d{Z zU;3fjbo^+Yn4Q`ozDuRYk{lvzkw_!+*RUfhxJUMS2;JxYck1`;j%x;4=?MBl?30h~ z^J+gu3o=hnI56fa%7nckDZ$Ir@WE1EjRv#RH)@GgVLw4p)JX<;WN^=WEGaif)M-BmCQ?S!>vo^hH=*@2`C^u?C zoAh4FgP`uVv8S63p_5~jq01*?Kl302FFd5P^`5;Qd8dI>?%^WAxvOZ+H38Je-~I7% zXyCxeEmwJ6ZDmK%wAC@MbAVo5KlhO5uOF4hWfc56OBauXyMRh*i$TwW+p@fn+PzP3 zH=rznTseJ`C(^K|fKr->p!Q1u{}j@CYIc)NZ&-=(88 zEqL^`y`C3{AnH!pCXx-qeJR-4e`e_FbvO>`4~V_;5EMuIQ;8vY$o{JHB|e%5;pSYz zj9m8sH}#P( z2xUI}{G71KuWT(x@iTiIr6m4YUo$Z!T9~{eYEpS%te#-0BAWCM`=mV~g#Gt>Dpvt? z!G;s3pD8gCKiPo%oE3hZwnW?&RBv<~ct08HRD5M@P}KTvpxe~VT06`wHC9Egx%Yb+ zq8$J1g)f1Yeh<~SDuw3Wt2qIFrNvBc;NB@exzV$;8Tk*=34IEA)OIasdsi0ECq<<= ziqm5^JiesizDoAwRW3EbD@l(nOm!c#F^`o1Q zk|J2p9_1^tj11rIQ^itXBk9}V9XVvy*SP1~Xso>9M$UzJ4@WA2oSfy zHL@QQ*d_gP?FXMU;mZ)aSB?EBUpa`SIEt=|c34qezTOCikEnUcEx&linB@>s@aj)^ zA&GLL(sfA~8K4m53*1TR;B_EvsG7Ohj>~BkiV^pX5qceYJND~&++M8LN40{YT0>C(<9k=Fh{ZWoe)jm22cxq9|Cn|;g+#-IJY)`?M zqeLO!^gM}2noapS&Mz!8XKtl(ThJB(f*=lu@!4;%`AJtw+otO2Fb89%vcce*we zlq5_@Lu)5_V4o7$#f6(r$=I*bVfp6i-`cm7XHRQ^7c!5j0y#gJyrKUZ;TC^g@?FSc zLJ#e)(a@(wv|cKHa4MVbCVPKm<>{y{J8OR&sM1U$BJD_1m(xbFvJx}J69erf?CW@E#zEScetE5CBo3*nSCCh2&EKX_l_|FIoi7#hG5VOrMwTpxa(;%J)V%fX zk^X)E%}TJ}`&YKY`&9S`t&#StBcCttql@zTsVT8OSmSA|p2RCM%$?ws+|$1D=tE=N z_YM2`NRaexLJxi1}r=~kqdLfV*bfqfSZ5^E=mRRoU-AWx6Jtx}WL zjU&$A1pFnn6^^uzK7o;~$38-Boh!ME7*}gks*TDeS;zd(LVf+4ZPOFGzuB;vU(sY# zU{fgyTzF4q`~Ng`b#mApw|*3Vqd%^Afo;y2o2|5bRq{S|(9EcUQ6$`$jbAdiB1(_O zxZFsh+~4xY2*2FLC*(acHD?Neu2z$#&0xYI%KI(fc~r8%#Q4ECZ6hKa$7Gc87;Pi% zhfx#n*)lLXp3p>Jh{$tahr(!{cRVq~G8Jg-aG`48hLqWFvU0{U<;-pibb~)@3=B7) zuBP={Cen%;-OMC{2kGu06*{`rZO$hFkWPN71TslpEBxpxA$ZSpQkV_@rK#Xls_$|8 zc&+XqgM|Afrxam*Q23&~pw(XE(h&biKmaL`%@f5>E%kesM$09#sGT=%S-h3r^Qu0R5UZ+cV~0ddVn?-45(Z7kDXcZ{u;U`IW#t zD@}#kxqg0GyXt8~M=eD0po7qd*EtBGR0dv!1@Rt}-^Y4c9>XUV6wahiQ);X_8h_aLpJzha*QQv}^5NaxS zChS3hwq=pXXEvx4nDBCTU+O&NuU)`1wzi6CC)(Au88ngR+g=X7Nf-m~9uh+P?dFS_iuv%&UQ$yGa+lnyBXHG#R^ z7`b;~5AgBa(OA*WSK7yceJR};pz(hUw>{YYKZdbrr|sRj-nnf;ZGLZees?nHV0K5) z=4gEyG6~HTPSJ?#&*|;X4$1?Gm2(eJ>f;NvT!(W0H~+Ea3}r=jT}tbYviU0DA= z2DxYeOATFbd&+7)C=$%+%1F2kJ9UW79eor9ST6t3^E6LL$ZKuSU(E%9W(Py?gi@Hj zfalGFliOcu^7&jm*!{(o!0N`U>U84}2lgi;2PW-@)cDpJ=!V1veD!m2DV@p`B^Wx( zWZ9-p1-_nT;@imQQ$GQ#8ku;Wiv%ZeIe3jiFC6L=DpHIGMTy2DPd!2lmP{b7@7b zP3YPLIBPC<`W-B&n2kU_!y)8jE29^po%TkY)r^VTFh8Bd-PeK-KGRTdTrj8G+pZmW z4t(5rwAR0qO$(LlrL8#|KcbRqHPw3lhxLbz(efr=gZ|wj}O$J;I@`qdn zsPd_>Mfa3mtZ+)E&8NI8_LVCqbyN1&?`7V*@+M)6 z?iB4^D3cf9rh!jHgU2(rd-cP@#MMbAtbRl;fhW|UM2^fzV;nJ~`0E2*jb_Cl0@O@y z^*uzEo2!u~*St@CBb7^tZjKFs1+Ue*xNox!G0XC?L7<0xEI2+uol&`(hMF3p2!5td z12^eq(-kGtWPpds5;7H+A_XK)g$3Xtlp*@yuMTjxw<~+_6s{WKRJjX;GI^Mur*Z+E z=cCJ~rss1`Cg0citxvLT9G(IcW#+L)%Pm4Eq4FBG znDL(INM}@GDM4$fW2C$<9dLaqX<9moAz#VV&4 z@$Dgr1@ZGt<(pDPU_n+)dzZWDFZhx0dmtzy6@KwTVza7d>h6*okto|@5y*7MAZGi% z-);7!va?*EIQnoOg8iTmzrLjU>3-(b2`ZAxzIqZ{J0=5`@#zU}1buyju%q>qKFCLX2 zFGJD0P?ylGUVEsy!w}w9sA8fbDu0iDakQ1$d-5lyHM&s#7k5sby5kc>LYW1lEG<5_ zgu-Yxq-D6}kC*nem;KFuH4wh=WEY;NFoJPBKe-o%CMamrJxJ1&Zr&$cjpg{c zVdsoK^Y3J~GC=^~FmZ_mjG}Csbg*3~N^=Us1ww~B%*Ru{pkM@*rEQa9>)5&-8zp}G zlX%ZOh8(}Bq(&X^nLX3+4!n#Da#7YW$?O+XJEp*=}rEu z?7a*^onyvDbXn?vG3MUqAqXJGwk1gv91N02y0)e32TTBN>bIm-2*0-EKDZ=f3S5M&gh%9BYds0u)W)1kiu z8zcBEdo(B7St3_lP1Z*R;wF3afiDCVv%GH7w)n!}1-xJjHMlzxN$VM%C3SM`BKeNO z*IS=mw$3$U$NnheFn63}+uKzmU3ZBoka1ps+OtkQL$Mf$i%@iv=674yFA@$!%gKgA zPXWSnR!q*Mq(<*)BXk3P!kRfA^>^qEzN9|nK-k>T@p=BROC}-RReDG$z#vLr?|dJ14I&jJ)=??lcKu2J?S2&M+R8t?R@#@FM@SgI zFKDGK7e&b5JxySe&65c^V@bfRtcyMFfj z9{uowWBT6gL&GBQU9=lhAPH>r@8-(rF-E|fm5x5V{Cm#=SCb_8D$0j<$N3s=wqnB4 zpQeH(Gzb|bUJbtKO9o>F%Eb8p<$VX%S@BE@@Faka`zuj7;;xLR)` z6E6_%+zHmUH@~X017*fW{<3H!z75s7TXT4gVU!ghtdZ!8vW|BU@!pVAXZxc5MR2}g z*;D;`qOgI}zIpjyj~@K;d2=V130$#>;_1C>bb*T>30|PSF=vA)yu*MKL=P0=Q_3$H{`~5V`rU z^N6^qi>t^f%*D`bFlojTX^XAEoWf~z!m>)-H?BskWviD*4h%pYeTso;I0H}~2_cjW zK>ODF$dgYkCg(EYW@Z)aNyV@M)SeC6)YotjYR?Mo2;M2J66_*9qz_@to8(C%-9@<( z7&kMD%NO+uBUVqWIT>sRjz`iyDmTR-&}Fc)JklswH+E4nFjoz zbU4y4$`AZO2?~@B_t}4L=Y0GZW4VDj&ts5~ZH7Q%GcGdNIlMQ219wXK<~OR##>>Lw zQ(lba+N$3uSkLLri`*K$WT|G9w?ClOgXjGrN2f`9PW zRUhc(nmIF+g@%_I(1 zZ;(DDO0-sf!559!Qlv$G!G>Ay;n|8C$`lL40e3eY$Eo^$z?wjiJS}FIn?Ud_17d`& zDs5=(g!N)$dDKj_6Z~=h8*+@z8zU+*n2IjDrKmvq$y+I`WWM*0yaD(PslWzw8c7O} zK9M_i*(x;~s(%3Ts;>@Qc?MBt4Gr%|duF>`_)_}&y0TY@s3A`OyGnLth7Nv>m6}=n z((Kh_@KIMpbIQs^vm7%~i-)&cfsZNEcoL4ine{v-t$`l#Q$v>b8?Gp~bEFXN`Dbt*CaE^E<{Kd!9r9g7f_V%1fRe0??ED_FL^O`;r z)~^dZ`)Ntt_~*~GDx#iCNHRkA16Qw0-0|MGZzLd>pu)x)#_0?gA4*ZW=a8cdY=B)=L!Ig|qMwt>7l`&Jjm;7D-QEnq0Aa1m%NO z1ENHc0WQ7Lgx86E+0Ob!(fkP1dak+ll@{s5%f!C? zLz5yUG9XXU3xB_WxLU)suk$W1x=ri$C%30idooPR*$rGit#i(7vR`7Ys;{%o5SxZy z3at!d09rME>zx~hs~^8?&kr&x((XiK$L(0b&WAGB6XzJ(T|Hk_@u4ifxI-8EBRGDR z-ue7P#qy)ALn{6xn}LmAAVV`sxg3v7}40Y|-OEcE9bd2Rb z=03+fGvlJJyWtJBTGp9-iOux$4TGZle-Chh99H0LB1CW#Ydy_2(_}aSH4Lyy#zFXz zdC}yPw%xwmBC*4AMmKzU@^B`;wvMZ{eQsTFj5PW|68T^;q-{S$$QK;%V>tMVT_7yM z3Mmn3r)PUZ4M)#dLMF-m0|0OW7UZo?7YH>%Y53(roLy4B6hE)W^CGDfaF-a0TSm-R z)r~^y?(Y~q;bm0>+z|aGI1?I2kGuTWUO1XTz#rwUab&`lPd!gd(LM1CcjagMO$|u` zMZ9&si8Eb2&*J@i>^`l-@$GG6+s+X_$O+uAJ0IK@fR@wohqmbp9RCZ-59}TYwfpRZ zHUq*D$=4U>m94wR%rnRn7nH4=oW?5^col)o9Y4b!EKRl4%_qyPvEaA3&BHRCFK2#< zDgO{i5WGY^qkR8E6rtcI4c+OQDAqvM&$Z!35G+Ew5~DaU`OFIzS!*m5m23mlUf`r2 z_<_^eaPJf6;7HxsBNpedF9mOP6=PN0%A6I1o|IDH2~+s;Q=fXEME#Z$bDx0a^_4u)#MoL!6ClP}Dtv3lQ|5(~KE!4^62NHgAlai(P z#p0`M9l#DQz~5t)Lg}V_zvA^teP7_2LK9$U0oQ+7qH7^iYL#v=o2j!D_fx+|z*nwg zREy5@pD#>*Vf;XBJ!k5yH=ZDBIC`-*ga7lRXoviQZ3|xLA}6>>1vrF2iOSSg0p1}{ z%D+O9>nd=1t(rRWQyx(dm(O;Uq5)-gVZ6v4T^gc?5!q=KoEQ!f!>G`lncH?8hHD{R zKAsu{J_kfS+H`yP{&(NdnAilj?!<#>6uQ|oC-$K)B*F$7YqR9u^&(PgpVd!s&QSKa#|Q_6gijq}uqG_}W89VNAC$oMNbIA{L=@nJ z{7w&MB7@!%-O@^z-yO*DqRC(|v!vOJXcAbKSyJOikw)p^s|XTGTI3;YfJ{tW0y5OS z=aqt@5{W&yI+R`p=eGg|pbo2O>W_0q%4*?0q-dev>Z7?3qN)#kMy34^*MeJNmJG9X87@y#}>#D>NBnNUs2p+ON= zqhZW5*@>^%PuYCIE7W?6%f-^>*HNUb;>P8)sqk)MwIl|h!2%qi}XfLCYf5ff(ln*l(818f3}G#g9T; z9+!?4J@v!Qj;QDn^)=fGNbi~6S*)W++RZv$JJ-KO_`)*W=SY(I+hf}TPP1L%_sQ)! z)T23OcXt0W>A>sftLkQ4Gm>lJbHp8OIKYqkAA@!N#G>XeGQ0ENc1tr~k_)>sCQ>kW zR6Xe29B!fp|Dtzh_x~nMe~q=GPRB9BY-*8yf1wuMdN7uYGb~|RbaWr-!mN>WLawTlAlXI94rix)v;Yn&8fLs`3kgJR82%hs7}^+3P0+8j<``3W6$nUgK9sg=k1A&aT7 zF)WTL)HTgDixW6hDkY^NJW1C1&PquMp0FfqR~|#I1kXVJqZeEkKkMSPz+NLk4Xcj| zwn{tB5e%|5=@wMEo}iNC=UJyOySK$Jq@<*en?>__YC!l7{H{`ft)fdRx=ql@LL=Q0 zAWluuGr&zJE5z90Yk}aKeTp6d-$tDs73w+zKnpx29{)>4bI`@42u;U-*TNaCynQCS z6x~&(EWCYRSMftQkrZAL;?&8>dv=t_HJdx|%bAgx1iohBD3rPti&*CoG#>R(2nfx} zL9W~11SdURXw^*T06GKSClj#XX}6@C=$1y}JVL7?;_XP`RnJ6pi--AR5tlrIE2ESO zceY_UY-LvuZ;nJz3LS^9Q{Ef_)Fy2`J(7K>%Wc^^zA1MRYB%MZ7?euf)hvA(TCxKH zZ+HZ$M;Aaq1dm|us6Gfd@lS0`WWpx79*?2TW${1p;L75p@ck-cLDKxrbNzI3ImJ-=HrY;irgoR^kYJMzm9z`%+ zVW~=a?>{;7(%64;SM0M)|K#q;;Iseaf@6S&#aeffQ-W=)MnU|2UApgl*r8V)b9a@m zGVJ#F9t;2eW*X~K&Hn2aditVoqeAVeP70IpL!VQ2>%nB$*tow<++W|&(;ug?R?YAK zL0qe5mw!;z3Q_pjk2}Df2zlCnUgzV_RiN<%q3=HJ8ld1jAvC*rtdwWJizE->&e1Tw z#^Od!8igTCFU?#!oTU`U|1tVFAhUmef8KCYX|_Suc+$qSTq)(Zd42HWF>v}>QQXs> zRkLbsAI^_cW~*koLefuL(?j;dlI+;yg$WS#U_GJ&DLPQTSD#a=DF}zUy6GxW&s@JT zsE-n44#eVP@7x=(XkHj7%9PJlR_pwAG0aa0y#Y2%la1li?CpI;553-5&E6XI0 zhCeZZu1GP^!*fv_01Tr-o}+;FAetRU@Wi|7aAbu11?-DVB?Tp&RAK^6X$lolNil9+!>UBJ~lr%oSilngF8H!-sRH&`^X~<^+e+uFd z3o@KY9bUeNv1%gB3d>LJkKzE|BsRquu?f%xlJK*AOB`UA#MVCJOqajrMnCS2zCh-1 z@~{2QT4i#Af9+q|>heqDLyd*W;1Nj1ROdjoCFVDayM6Vh0Lr2$$Zb~)5c02CDV!QGv*i^)M>iG;xDc_B-8|$}`xH7EKRSr7_8OAcf8#Wc!C2r0^VMzdNK%OP#PP zEYY9Tl56RZ0uN0Jos}DsyMB(I^2t~Kx>?5%3miZZRP&|PEj>WC8*q^I#uV9Is39{s zd>5%uFQ81h4e!H1T#9xqa0^9nfGFm~UYUuvBbM zYp-R4*zo@-ySu-8VJgUAQaMn^3Q3Rj71??r(TQA~Ce)hc(2&V6b=1m(6J0;tZH7_I zQnKiIdd{5JdjKqekFy)o1nP>n6D+1ezO5g|mO8*n4vB^!ttkMVi288i-%%!pgm{yxFwql<%0MC2lgwYDUPr{qty1@KND%dV8y43<|!VSY$d2b&zA+7JD^^LW2IXh6ck67Etuwcciztn z@IAdy#bLtTD)Rt1E#CI(5)w24(1Ojf*C~bW4L>NJOH`<75LR&!1J1Y-xuKdMF8)e4 z-~%cYVgqphQaK(c=dYT5Mg158pT?@{9L%700kl}=vbL9xUfL{&xRV%j)_Gi3Ag>{1 zUgB4(ORPnn>nfAvIyYE@%FMVDr@)zZVTb1Nb&un$dlR(c>PmQl8L7jcu&-x4mi-L` z*cNOz_-KV01b+N_W&?0mv}cUptmmT@2RL=C#t)7jSRsBKGDQoMGrPq@yqcoxTSPhn z;|j7RJ|T}w?}uRxOLAX=^LPUsuZYw+)Q_n_%)3*5R9@J`9bHawbU9+~BDPh?-M`*- zJ?!;f?Q-1cGUfO935;@(HJdw2GF~dG6E>(_)0c);5z#8O!ihJErE+O-kl{~z%+qX} zyN_hNImAIm+3UFqakkinn&oX#Grg>%Q`UAN zOpgWBF_l88rhAYwIb9c~t_je16mpXGDNxG14*U|GTH2j^CzG5&Q*O&}+pIiHpxu-m zq*b~VRk5TZ$u)b1oQIj|N#UQKIaVf(W-0)@vvT6CyZnK>|6GGM1%OjlPR(}in|uki zNuP7?-9hcwn6*>cuvfJ}WTc@iN=GsOTID)|8PzeaRnyWHq(7ic$1=Ny)Z^_nIlKVr52W13 z08jpTB0UP7ZeclNT;f`#(f{NMIA*v0$!U*hl+BzG*mxlD@x_BeCEcL*d4k!I`=2T2dU=0>1J1ErD5kCsE->CQSYW79Gl!R5!a<&-n4QtDU) zv5H5%;vY%u3IDRis_3DBfAd($)oh zF#C_E#KU4xfW;~M0!?k`r9i$(c~$h6CL{6jRM1%S0AA}et8%(*4em*l>=kX2sRRn} z0_HSwOE!afI1L`w-(|b>0`yZ{fSwz|6`4zIzQKR~GYDXHnjg}^vJNI>rcZq#E!3yyrKZpA{TinI>)r&{3r#`!;sZDaQXLz z^_L8Na^~wa_(gWnH%|2_E-08^M$1A2 zRlXhx-;Z04Yv?y0R^p*k0}S8sac!0z{LmHirqdmUH;E}MLY&Hbkx(;4yZk6xDeU6= zR`c2^w!4}`UT;3XK*ZG_>wAwo+o|uW&c4qBJ?*m9v+kYB(zP_KDCfCGpmu)GC3G$A zdwDbpx$e?uAN~bbMBT@EHHt2KLsZImubt@`5%dV?=d#AoaKeB#&c|z5YWQ6!qRE_- za|U)p%6vR5A!*j{N;J6FPpiy8UN+Ogy;R-on8-vQWL|0vQ$*Hfo&i z8Y4+r{6Mfq6wEh!W7^5JZw!@sQh(A7UKbSk-8_FC+eJMj^V9AeDLR}a^Rw<8{X{Gz^ONozM?%5! z!{A=3u{vtdmXR- zb&nV_W$(cH&mhXE#4*U^66(MiM6^@T_@jX&t5TaCF5WcrE^Nv<+~RJVW-XtS$D}X^ z6xf6jbp-VUhPHk`^;Jorn;@gWoF0J;ETKZR?RH@hHJM5GkbnfbX)=nb=}AcH5~@hF zXaKxq9YP5Mc^2h;QUm zI?Yi*xG?RLV?yuL_pS0Xnxo{X=pQ|)pt{-9nKoZ9gw1XjeDm59@eT?95PBjUcDpvO zp)|o6x2`vj97=(3ZJ^LH_pF{z>BKb}A<8s7K|2sZzK_0;@`^7S8_kC}x zc)!B{;>Fd?!*ymfkDrO&Y6`2!4ic56pTsQJJ0HXj7N^cy9^GR=jQV9#ct~aW9R&{2 z1o%WT3hp@XJu(1K9 z3o42>ua`V9J`OzQX&Jl-c7ww$>iW*Dy+qox%yi@`(TQ?>iW};`bva9aUC9(4V}v_(GQa>l@B<;3ALlAm3GQEe z!SZg=boqYKN=9?#ow)YFwOCoa8ll*<@!F6>sme z6;|>l!m@i&-QIX=Ho7IyjVZnm(|f!fYVY>lstK*FJV^!J-#HsrMpp*rdT-@TfELbY zFMb7#`iOQ`dYx%}=#Dh}JU!a|r|ZmCo7%16@t`Hh%QXx@4f^kVy5{_{!dK5Y=-}QAKyCW(o{1xK z48RK&N*kT;-F}+nqb4>{^D3cwcRgyKCo_;S$pX-oy@!8k<0Mm7I;FbAZ0s^rz8 z306x9s?NgzR|bdShPrnh29v%ioKG*JG>dCu%Thf7E3b9bzj z3pa8MehkKyIatNV43x#=TRI$D+_0mBlbVkSNc6fFXBQ{z$n^yDu>gr)n&Ipsx$5+l zziYz^jwI;Gz_ec~x%x3UsU8oB*%wn*g&~ope7GX0jZWr=& zQNN=r-c=QWF&(t=U@P?3s%=&Mv{$8JmyPb$ zq}@0rX6YqHB1p8SU@ak0>ftv-Z`GYa|1&|2T(37qI8?sSQo74^5bsJtV#Oj%DdtF& zS;v{wk-diJidCdGfSdRDrxY6*`CZZ8kbSJJMm8BOS!eTl?N*8f!XxVJLUMQ*#tra$YWLVdNg@$N)d^=ks3!TLOpPxoXg9+=hbB?Ym^6<%KwwsSNFJ zFy9VjQ};9R@)%D3!RGoBK59*-;I59RcGcKOWVia=hvq##G9+QxB6Ehx3!F^SKKhfD z5+}W}iip{orF4Q?lP0zJ6z!`fO>%LPWu20Ta+K`;n&B5TK9cj1dE&#=;v{WX?w? zv^g5QiQ6+yrpkD}b?aIhMf$_^3X{!Cc6+e^Ih@4T=ML zlsFx$j`+D3E+D<^(~k znzcX?zLtkFEQ11m6&4ZMp#-Sv_q@)Mvnr&>61Y(AmlQr_p65$qEYyXpy5w$PrgG^y zQgCAKDq)mRuwm|M%aBB__N#Z-%MN<-@|cHL8n9u$MO&(|Y@o<;k{gue<%ut<(wQJf zgPwLo|G?tqf#2c`N?3k8Z{DRUj|s2PzN*M##e5qWA|D=Ytb7Q>@!muuO~ohIxcvz~ zhO^an`lo*`TQ8{i6GM~%2yBfRmo?Zwz-QxnZgE=>9}|nj-~()2*cKHau__VnPh}y; zb${ZBGCKWhW4w^eom#f3&IC@l`Z4%Vb7K3tsBo9wpd)=lvsaOiL`}hia)Z8xlTP&| z!(i_~gZdx>6_*_!t%m7Dk}erv(!*

    g2dFU65-{1*mNlX>9#Mg?n*lWOqu>TB#3+PjLVzr>lD-}Q>U%sRZGf0Co(Hw&{p9Qcq2I~HJO zE{uRehebN|Y^l-lnxJgzYuBopVBCh~k&99%%p(U6l3C8gKT%xcp!Ogel7%w=(s@!% zlhj?!?q0T-s#)=vHDM(Wg&ZZ1hj|t*)eDyLjTeJV4s?TuRiO57U5EOA%N(|BNy*Y{ zIKID^m_B<$&~}1n*IjU*7m;h>ks?vw$|_IIKP@+zUdqwbS^ejoL4ty!pymL>JF@Iw zjQE6%hSndn1%lp=zVYg;{$0x$8wZbxSV`gFE33khOt(&cZK~}q@j$rScHWeu^YEEA zuB0#f;G-kVto%Dw^*UI_ILN!k~g?wpx+rQzpqKm92Nrw zoB0PF;A8J+>dU^*(I38#9cu)Tehk}OW}k|=tvDbpipLwj>cOYFBU7$Fd}W;)6~f0M zcD$&ZT81}HAUN+t@!@x+f2>WP`G91zPZW*B8@()rrYeyMdG?0CV}6;#1E>i8Ps)@V zG41AIl9p>WQge=Nj^LfT0wL|-s4>@A%Jdx^Y6OP4@ z;AF5p|Ey4Cn2p`L!(_m(jiCM=<;BPu%TP=*A7+k_W*iBF4W7Vo2?dwp*y)xo6{c#E z^XI}i%T)AHpTF9g&Ka+krZ(7Oj$F5j6vm9?P4s!E@&_fD_@$jpzp(OgbQwwrRD0m& z@EQ7abvhXw2>mK`^VQQkHG7uP;gpohcX*=yEaOWH8-D^EY>{P9#kZC9j4CR&g^eM> zpJwn)gPzS#-fNwS43<&7yI@i;M&&ED^X!<87mRkoCWGS7QzTLm3q0}>*3*n=f_S7z zRkH2CCQ zQ^(x+SM=sFnU)lN=OWRLyXE`&FHy3zOH!W9jA!__;9t8vWhC)~qM}eP605%=9v^4F zd2sx+tczvwuln8ylo_HIr&S>7_fWZ@3-$mS4hf0V4j&I40(RsPKD_8t6g`Ji=TX&K zhEU<|UTJw?pton!HFle)vxR#`Y(?ZAd~_3Y`|5*U zL365r9JgYawPTqc&B|CExcY`uMxG?KbNzP#PTIP_9unHo&2Zfb$C4_pvFGZ_ zsQL2fp<%c?9=#FqDY~m^uvKNDG7Tq8Y^i#%4amYKNw`{QE4^gB_mwXJD0!903Tb3x z#EcwjACY*H^L!S1NN=N<@uO8vW?CxD+IRQ?#c;F^TqHyQEd$-zHh?SjHNuT}*jL$g z3AdXu#+swPGoB6Cf&0@bpmdP4di`b|IawFgV5?)06~ZEeLNlwJyo+?Ol_N+6L6AXV zGD!y)1Bcfu%F1`R6(tiUz_3Xudo3gWE>rRZ*| zhvpfP#@wA6j6Z|IKQ1;;Vlsw}x;xRC*n-kw+4&~Murc@30_&Iv+%v^=W`PnEk#bqEt_>emC}h9S~sXsbK)g5To$lrLE3q z`r~}d2yg$$rbWn%NOyYE97HKK?<-$nW$aP?)7VG+8Ra3j^F1a5b&AT)Yptpuu_YUi z(5m*>+u7&QFQOwzhv`Q-d7u|hmCH#kn->rE8jQ5+(L{}yqTMLSv)!@1@>6gsn4tNptNk!S4N-K4729y4okN?y7N4D*FIICbowZaJ_Una%*`a6wL^9BDVNJ@%+29ECluwP8el?8At&<|bncN;Lh`(i-w=ns zA_}g3JY?ypPp)JYXcx7z5HGacq;6JFFVz3DL6gd6wWe|^myoeq)JGJh$LT)wS{nJ7 zC}VUa${&rxWb<);O{(8bvCw3j8WSJQ-_Bn|JVAVZTv!G;#^-TIt{)uwdc$$ed4B<*@wGm6RTyz|$xy|Pfo zsM77S^cN*wJlXM?Bo1RD+y*J+Bgq=@w~`KKei9q`O_GG}D#{hsC{}{AXlx{v+B)0A zBU_m2W$wxlJsEUUY^3A!o$*}T4?J@4eS{D`C)opV_c*OYNWbI{e=m&}O}}cpm5V_K zy(4X<>u0v{#aKft`Tk?dcFE|;%gj`8S~aDBe#r@5l#oOzah+${Br*|{M|#LA%VHyl z=|NOr#ZD;BJFQ;)n39a(3pUT!W>LHwmIz=`iWKFW){E2}rvw~wNI(RCpYFHt0afxJ z)mcy%CI=LLY9>}yNBq%DCUCR_l@pYtHwggsLqK_`kj%}I0ilF_Jx#o-_J|105_$tc z{GAeJmWBBNeHJC)F$mO%QgU^QskpE>-~r=v3k2%G`1oX{vvarFc#LVlt6Kq3y+$RJ zN#qi9_@<4+5MkZ(i-OrSYbhU6dbQ zL5L?<$OTTz(PJqNIeO(#9Urpb{rGg@oBGQPH&D~hb3+0dml^S^H|7m)kY=%GT&eUs z<~U!Y3;@mh5}}sP2o2g#h76wEKskg_aSg@qEms`Zj%}X~s1}3+i)d$@s;gfADpC@< zwA^8!Z&+{DB)&cy>c|PlHeK9_&0@f>4qT!NEcS4^`Xx>P>x{_rKn<8DxI@GZ21s|T zN434*D8+bFR~@!W5em|sfEPaF0m6i8Z7(-?#u|6@fEC#@uA zXu7DX3U1(_qDH5RN~M3@=qJ&Dn6My{8ll$;40v>7*W&RbB%-$M@_AlNb}wkcC^10) zD3UIei?2>fv0L}-+T~-Usgrq|LOSIX+CRC_8BywP4ah9I{D+^FDV9sof9o}m)#3<`(& zjcW*vu%P{zITB=yalAtdf8W#CAXBBMnxg}~#lA9IagoBmDi;1d@Vvb~0HK}G z46Aj_?AtySh;yUeMIXD331&8S@wsaoFYjj}72vekVQ8jR5~jz6j4DL2G3;K3!v-JI z11-{##S(WVjz|*kX+l31OTeWcop%Ij25lIf7mB2ry%%aQjs$3B=pEN@#uB{9AhrpP zDX<%ZVdTiZoP=h8iZDK2!kE!v@)0)`+^8!1%6uS}6XY64XeXEB;u@!KCy@KhHBQhD zkfVa_guG~?yCgX}4$szBXFh$AmN5^`sR221Pl-W78$^Nv{Jb@$RT)*!c(uW

    l|5 zN$9@$M&O9EabiIASMY`J{^lNSwLw)!?^uj!k^hD>$nW-jm%IQR{4?g zD%~!lB{+5hGJ^XNV;5dH%Jud6cDDo1$vj#V)e_{NUy`Ny`tZW{&K%W@WSj_&=-M5v z0U?mZUoRjQj(a4NES(xI`kEL=Wdgg=TjGI?fpS-;mDlu2L@(~@eNGPcYicOVV^lLT zQP*TH%eJ=ci7OzMlt%qz=n za8ktMBM|sHeYN)YI0yK88Ey(?)FW>@rza#c8L|^eHs8@<_efFv#%%ya(j&)2Ez+s- zhH99_Xh0?j<-hUkLRbDyaN^4&PH94T^-qaR8K<#;%PNFX>m{1}wv|hNu!?=ubRg># z3Rr@wP=UosS7ZC)NW>Z?+Ke6Ts*xYc*a}73(XK;;5P6H}%8k&?QRC*z+h(N%&5gbM zP>=V)d#tIb!zJF*5+3mYU%D2DWlK|ceH-D0d+Io#J0tctF+ z4VBxtemr(e)LTa3-HSQfdNO)BV9T*uRfz;8}H}6iQ!(t3bsl$->~c zNL<=ae<7W$VNnwH)-iUP$j4s3_U`kp~~sph|#`Z=o- z!2Z5O(ylC0l2+Ay%`Yo5g*I`7-1{ehIp4IR|2^R;LdaF;s46Q`xWq`8K;^)tLWvsh4J8m5?vHg?ms`-=4@ImdDH;&E#-GRHm z0OE3nNd-s^t3UH6nY|3~>w4NHt*mx#oJ}6fLxKcu3R&Z`i449>WO;{3pBmc(1V(D% zUP8mfmxYm>`kPrEWtwA^1m#ZPi3-?-5AL5#S zm6sdsl2>>zx&EUp-&RFM3J{ip8sTAFjpMRzs0qhmp#j+`ur)3_WIpgUW9VJRB77fg zwP0nX2Rt8<5q1-w%WLXk6MhcIS1?-Fc~;Aom^drl4hY9DpMTMQ&T6&)ASuH|csrm> zqk5}zdA^O1Df?8;jL$U9w`>H3!R*i|s|t-f{-~iWo5_B=)MRD^6mN?oqAo~`QnEaJ zH*aDU6kiraRDu(CbnPu^_wKFmxh4}}#D=E?j zR`3+d`byusC9k0KY|ufaXo5}H!KOy-B>HJuAJ)FE+O2MwI_z&M>npRfVQi(i?giI& zNqi|xpQ@fMQL|wT{kM(acFTm88IC_Zzxwk*qT^3&WsByFLV?mNlPEi9?2;yM@gGLlwz z-UN-QKgmd5oe-U@n602K1dW6!e_2_qPqKsKps2-=1~f5TrcYy2%ny0n2oCpzh;Pbk zJ>);S^S5L#H5GKy2%kT@zW?ydfcPe#ErAuF(fdZo6yLw8eepIxb|&>=uCSIKXqrBH0E#q7gQPi5*s)vd2 z2G8?r7U<})JU9#wG2u_%r)i^7XRD_n!W)>*j)F>STCY&#@ijU44iBU2u_XUH!uRtk z782~(R^Er^oM1IPxzr6}?Td;pK))ZFlC}|%m#6B8CiDQm&pMKNs7PO5aS_+)N%J;H zbT1q)LBqG$Y=@kNK*#l|RMtZ#L&%MqWHiU8X@%)X{O03Y9d4=L7au~$zr+M5@DSAR zaG=RW{OVGL+;m9xex^s;ZrLS_hV|p}8zZ76tRKh72%}RD)n=FR5MLMo#r04$Bn9sB z(S*3VKEIQq><1%)Yr$jyhw{AusuRajeg~3}@t{ zcc?<@_(UguU&7O{tEwQY_?e+7Yt6D^ZsPaNS~bcId7FrRb$Yq~D-S_Z&D7z0dC8(b zs2-dc-DFI7R&~>jz)#pGhs-*(P)^cH3=3oPlYK(~n597Uo_Hjl8gN9U1 ziXx9yC|Hj*s?ihZJLT9w`?(ylLFR7Ia79`eaJCk}^YFnUI-dCY&NpDZc{`TWaPJhcD&hJ!znpBH*4Je}Q_b-CTiK@EyUrb& z`~D?>57e)~W?&wa7wRgktu?P)XJnCG1$1QqX9rL4Gu9-FJ9tze4V0YvCk6ux!uGot zqFdc4onv&S%`P`Po8>hKZCTQF;rBsfJCfbONBoR&Fk9$gk^;H?S_g&PJz94{j0zyd zEH}4$?|5cCspcOUL`z2{a(kEHU{`*ISW*$w-iJ)QG-k^=LX~nBV%LSuBtnexpm+0l z8SIvG1S(ZOk;+Dwv=NgWl#E?TvI@=JR3q35O2UF!_~$7m_xCXn;TS`8Zih><4OupLRsREiq9B;E#f3mhG)`e3a?wLfiXEiEt=m8aP&EG01&i*W1?o zWtM6%fWA$6>~aB;jnmXDt8|7tQYlLp!==Lh`vB_-d1O_nyFkvrA2Vf)10Q%d0=az_ zLxgPCPVwc2GI0*Zzkcg){p0B}MsT3w^U~L9iocz0P^$-McaX~FJ)MX!ms35Qe2wI8 z|7mF(GtW6GHVJ2i`B}|GRj`_NN{;j2! zwO8)EEKC)J_F9#yMHnZTU#*7pSGg&_T3%%JNpm(Y?AvQVOHujSSpS-+uklHXvcK^XpPfoK>Xj1 zhRjow@iVUk402rch_vA26wW|9Xx6>CY{@apJEt-$JDe4|+JHN9h$F`p$Duf7=RVy0 z9G-6g7VwOq!u6}o${vUdmmzs8l+Y8WO}4zh;zfEkMrAXcYN`5$3r@>x zpL5%2TJbQDHxlRhA}S~6GTn}GjNSouK*&lC00yvd^@|OBT2}0*)7O9x237qC+wVfW zERBgZPs5h{t*-hCo&PyLTaIG_-PiaYajC4au@1E}Mwc22Wh=&ou^j zbhs6#WHFgAF>aVbw!`p|kiOJ4#f^#kHNe#3dHQ$4eLdRP z0(mragPZ=HD{&Z(V4gZ1v94F|Wtqqc z?424t+(~DRGVVcNoig{-hv*=-E(cs&ihiLjosjDeodfR4Cp=tRlRm(K2JundfivJm zbb*%QCVOsXDh}oK44dNHOZXOYe*fW)yi8%VCw5WGa|ORvh;@NU{}LUQ4c{0VuNql8 zEfuZRX!zy%E#4tCS%W(9OVbE&LUT>SCFu=nQkA=kOCc84v$ zYk3g+~Q+3yt?w*Rf=PvIu+-I6No;55X`lqc#868sbA;q5Tf_hW)eG70qzC{i`w0ui#81AgNhDQ|&CF1yy<&@=D_m7wb|`ug%(ck!QS#?KA0^C!Qj z<i46N@dKf!_6=9x5{L8Xok?-VE${T!F9%1qyIrn!Rlvi{R z%g*jJBiDS0&*MTxy}pQa#kaICp&}mvld3?Tt1`d(EE?z1t7Ai*l@oTRPvM)+dc&?xtj) zq?9G`Z98X`$CgOYRM)U>{3tu4PiI^fE&n`|p~$Ob-+1put}hb(lmW27z0Hy(szRzi&w5FD9F1GCVG+vWZpL>6*r1P=3Z>nwslG z4~G!ps!VsF*^Pci$VvyO1r+ks2L5OAx^*tAwD^)5k@O4MCOTl!GkHIV#I{IvO`{~E zBd0}G#cMUFUeGRQ?@R`b>G#d?4FXEj!+klGJzg}efc}sniCnZQFHk!S*Opf$I>CZ| zi5dUi+A!Bj1S5Nv0l*^gX^6k&^cMyUmCv%_GzR>RV=JxFn9NMmJE#RmuOXGF3cQ?l zTIKs5528~wPNXJikY{{SXeIP*p5V?foZb7&`T;nAdIOnhUua}pj7auK4%R-MvW3pfyn_5U~t3yb^3My z5WW$$X-O3S`vB>msv4>pG_684*Sq*I6;!F<+r=5BLM25nd1-W1sYuac{)#1lBQDGU z5QR}O`BNWd7JyTd*?LYjnut#5pIfy@Hm+8s`;<>|m_HuFfWa6FqY@4txG}5*FNB^< zv6+4Wn}`Nlnh9Xk4Q_Z_(i}1X{-}fT#-TVKkW<^35s|H>9`4W)@ImoN`^zm76T9dXYVn5;`rJh8Z;JPp!Lx?>*qTLZZN*o4x%Jzpp0m%4dC12G1 zeoqc~vE=eDW6ii|Mjoh$JE<7QZ-21tLBjk|@@0~vwwZBK`7%4p1REneY5PMR(M+j4BI$M1K`tfBhMR z%)?i<_!*{Sk#-+UH9|TYo6atgp1$mgOPwvWN~ zjkc9WQhDm8pU*=NIx&!Y9h-RYFn6Q7TXP#wO{W>14d{spQiVt@F1@U}|FW9=%CWeB~4H-ze%=H~GI}5pf zOM6p$lH=Mx3AFYbR?hHrhm4h){v!W!gMLI7E6v?QelQ=>z$oy(NnyzK6@R>Ij51oe zCg`r6?YDW41cd+5NfX}uW@!Ij;qxQRHv(t1P($@I*&(emop^@@%mt4YFQa_JlS^PQ zXZ9FH;lTlrYW%hg2fB(1QHc=lm=wO242xPL&(r{|MkM}Eb^)Md z}^mPwV)AMsH0c^RwzUW9;xJyKH@j?K+Im!SH29tdUQ4 ze)^#8e2h?Xzt{+vA+g)p0`7lS#(ET)OXqTr%5#GgtgHrA-vAA3&NTtX8?7U&_QMAfk@R3Gp#ri&kTf1Dz{zne^Adc(%w&Qeeq$vDh z0}@?=@IyDmPs7Uo3Va6<5Ld}OQ-2bn5=H`UDo#rL+7^fHMVKHi< zB{(aH24r{kuN_0hHH%%0^KEO2P&MFf1KG>-ZrW|#DP$!7LeqyO{jXS`-$Sqb5$=9n ztm)Z?fnqpBT6m!~#{zMZv>L*XMkS|^z5{X>d~U4Ti_lj2Bpm?RY`*)B{^5#kWXk^2k`=EXk6E{X&6 zX8gDdozbhp6(}G&&Nt7(C<^z~uG1;hMD=0|Nr8#9c8We$m{?p#wP=E=xYjW(Y7q)T znzZVqgFlU~uq|=QxzGl8THN5%3E}oOaz91kW`~Oe zZsr<|IxSemcLpfR3@D0T;vv>}Aq2iSeQu~yKwm%~8mbiPaiMt}LP4^pPMuNo78ojk zZ5a>fT@LwHXjz9tLy<)>1DrXH9@Kij`6Y*9(1riVFWoRiW3p_aneQulM)E`eL^g<_F-BI#uzJ%+qn`B|W=i1x{lh&Nh0iP{N-!wIZ}F<1Y~J*0 zijw5{K{MM^m)o@Ck^r3w!?Nm&5i?OP;UK{EJ+zM(<^@G9U;m37plG0JugZ_|2e+)T z(d6H<7Fa~R6;>9@>OKI=M(Qlj0#7vVU-faA?S0N#B?HGcg(!;=v@>do+to?p*d7u9&92FFdV5yU5NI&k;@VTQWVzm{ne@@9I$1 z5d3<2{D8su@2IdXe*t-!kE_7SQ9R6c}kRLk5|(dMG zIZ;tGCutT05M`Q^q;l=xQ%s8j*ot@;TBi^k5Bevq&o0SqgDeFzU1GL4kQQQwE%d}v zLez3DHq&Q}auK-MBpzgl%^(C@q*EJE2yC`Uw#;g3jSI|*5HCszvG+5B7Nv#gHGU$9 zkoOs*N+nXDTI3?oKx0F>&4NFTtA*4_!1g0GAuigm*-{hcA``uJVtgYARQZ1j+jPMi zkr&1-A0CgT>XW&2*gSuk+@mK3ufp!V8axb2zCPMBMrnYu4Q~434kF##QLAo!W<{~= z6bh2c7h_y#W*k`?&u0aZm|m*s8CD55-2%-3gPph?^1_{@>1E=wBDB%8W4ITMXX(UsmSUwopdTx zD3~s0)}KDPZi27sDFGG_dsgC5TB?J{NZwId94~SSDO2THAsnL0XY!DMV{2sh{*taB zJqEp?47)Nmt?rWM=7O#uSrw(A9UC>m5DY?D|F0cqG#(dpG$TGC(;IOi1ji&&BCx6q z&T&?r;^V8J`{7g*h)G*$O>G?PR>>K5Wc5VG+57{&1t!KNr=u&N-4 zG*#CyjvQzfG1#a-3CKvL^r(nZSZ(^H?at(-P{~N7(8ckTmJe|ZNjLgOK+SX^{bB?L z*&qrjyPYCjD74BFrTRsI*&;{EZcko{(RaT{b;qx{0Pk&=D*}b&^PH; zqRH!J?sqJ}W|0tiu}VBPkg`$rvnShL}k0Q@O zv5tnu_}(Bz2G^0QAzRHcK`@~jlm;7ntD%T^L1inSeS#!_;kfs^*lOCzxw>rd%a z1-D$Ks68hs}jX1pU1<|-McG0qs`YTC#Zxr7FP z9Xc)BaS#>;ksvS`NF~!}4vBSLmhRK1kK_c6VXzZP4Yi%jBc+BHMlET=D_?ga3&r^7 zyIN)orhl=b`J^#FdGTwVsCFUEHK*yHgDJuJ?bUK&&x`|)X2HzU8 zKgP`F`F0YiKYn{O3V0Izr`L!E9j8Lw`k71{b;Z_*#Za-KGf~p<_PTnW*8Mn{SB-E- zYZ&Gae*GqJRHA44S-bl==1R}ZUVEeN72V$YGs;$ag5}+O(Bo;LJk1F(L?+2!0MSJs zgPhG$@VgMLKK9?keVP*@eAO*=`)V2_wPXnYJ90dDrm>~Ja9EK+TGmyLD98gnQ0ppp zlz-432I~_!oPPs7CDtd3IKJZ8&+QcpK*kW|yhXNUb7zWEDIprhOdo4)6k=$P6$PDC zD>V{h`>Iq!79jn}R}YH1+`q|#SsvOdKf zbD?+aw%$M5qS*v@pmm%YxHw zU#FkFupY2g*;q5J*3~yCWX2Rcy>lxicEF!;I%VQfo4LZzXou-nsbutUts*H<3X@Rk z(@#+fJ5lOGPB99rQRj^^!Aq=xy9b@$muLfb<5UnKAH2 zR&#QcFb)Tf1KNEJj1^SrxdeN0cc;ZK?I*6G!z&` z<{xVpMOXm@1C1T^3h>hQi1EbIXaKx{rw)L_+ZMIJE;K$P4hv+t;UhAIg@Fz7F*Vr5 zEDBz-+W)Apd+OZDdk4F0W!9E-(f%wNq{;z_U8b4?a<^paxVn024>5Qf2U9FU#yZ#V z76ih(+M=?{TFdY$K3u9~esE7ypAQ<5xBb+SCK96qU#e!Ppw$7pCTHGjwsR+G>{KkYxq9rzHy&3^2L&@CQCb+L{vxOVO%wT9g{aO3v*i)<^&&L zw2oqe2(Z0>58!}PsUwn%#)TtlAbS_h<9>#=I`^^~;P5nq?6);+*gH}71?YYC;=V_n zlzSup14!-7T@e}8pkaaGHAL9({oRfGP)c2b1;$9uP2P4r->=SvXW;GUN@A$#r7GMwQr_@Ak^@9k35)dlLJDNwg~7Z)zp z`{2&nw;xO`;INHd`99>KZ56=cz>GZV!|j-?N+n&7z)`lPswQ2dUODNJt4P`pxb~j^LLz%TGx0X)7GToNdxzfpQ`v zvYxdydqFatfdyplAxuI`qxXlqx}a<)^!y@N?|LCj_riL&{k`3Jm=a{-ow1iRVMF!1 zH8<&$y3==SuF@&>IlCV?nKQHX5~+R_L@v$3*|Z<#G9FmJ8+Vh2E?>df{3^f$%fg+y z9rUtmC!V6`H=r{^@8XBr10$C=;A&a}m6nCCt~;ooEZ6Cl(nL;ezJI}AeuXRDz2zeV z*pk7g)5BiP`a2aOxYRnGcPf1D&b=4t$FD|nHwYR7lodjI!5&zzYkIDOh(};NQytey zSlVl<>q;X&`;*PFz(VUxwS=5rJf*;*2rjWSmyA^ah1&kAtp?PY;Qk}gZ0SCihrmY` z`nZ;rvHVHCXi+=c^SdzXxBTr!vfndDbc9SM`SvBIpCDr;2KLpa+7K-SC5O%u5X?mA z#>WVHx0XWNy`^ChrhEQV$S`Kl7H)26PMdrT+k?+X9(wsKA)l9~ZA=K0_a=l)2!W_x z+OnGta`6>C^ddEd-EiE{*z!ZzdS)}EjWLYg*keViu3a^{%1acV=coyprBJZB)Q6&Z zQ9NhKJ?Etbfph6fwq?4hrbhx%%L8Hx653A3WO3Bo_N>Gt8>~ZP!*syFK~#PTB{?gqjHy zrF_sW3@$JpkGefh=}t6wsWo@_vzcjDlj&@*n~Bm)*yC3U^E`9$8RYRtaJ+WcO24rQ zw`!!c#Pf<>&U!8zBdVD*cz(IDakMKmVVRGqzwj1V$C{P8aM%K*%^EaQPcFUXuj`;@ zTP!eWd%>(4C(1mFJvaZ-{OLa^ZyjycKEcQDtUR$omId#VYd2(l+h-Ehs;+OTFS2Zt2*F2Dh%56Io#Zm zC}NXmr?}SAk7z`(MWLDxJWP9;R_rpn2{Qgag@eCpiuUpro#`9AWrKp+FWD79?=N<| zfOAx)7m7ivglvzf->W?%$yq;qQ{EVvUhV`@|-6P020g zW7OJM&oH^$uZhk6Y;rf7EMHPUg0x!8bR3Z5NA{6y z4rVm#L&an0%}vr72n|qgQ{r(B^cYc6_a5gx%udN&cqE=-VH2&M+QbtKM6L_7n+3~5pV=}6CamtPMPOEBkLgjedRzD*v zV_{g_Le|;FkV|~XEpxSNl-JBozuP}0;Uo`Iley;cv%6=-!EFxuisx7{qMBwzR{7QT zdM&^{x!}9-(kF$mu{p9*Ih#>i%wtqh>I}hp$II$spHXl-(m$@+MVW z&yghGDh-mt)ZrI7gw$sN@Xe0L*A zEs5%i!M>xNR(eKC#dv151!_xRb``)Vzrcy8vY5RSlvi2s%|@49m!+;Hc7e}aJZUmg zZ-0EIE>Q48j`VhRPViUK73=T@-^B_sqbdt|wNxE>0p38u)qw?BchVi^lnaM z++4L8MK6ew(W8(FK7^9UTjCGDRP@OKo(*LK@uX*4bF-IY{fi4jht#Cr9oEuNM z8pVj68?S=8=*SyPWvMq&=di{fxX`v2k9JH@1VRu*0mb|S*?Yivro3_ zvL7Ifjm)JQ3zN@35(x)ePL4;!tR|2WhZK zB9%-RnCRk0j___YzttHNeLpKiR8lWx+qf9)?1-ihb7BtD^VZ3A zdcaiqE*%~_^Jz$XW;DWT{tor+r}=1te;h{2)8DyoOQVGa@`WJ$uHc!~12<5n@&(eRI5@Ryh*g@D`+&my~AJEje@; zW%GihDmL0m1%9#Z1cY|ytUaC2$AK_2`6^*Pf%cvW88BJz(erkUI;zC( zh`OxA&W!-wJ~5(k-!_Un`@fYrtef_{_N7e_KPxw|-E#?jI(%6Vfgl)B_IXi#7L0~2 zMdCCc-WE=M)jf{xGSMz5Q9YnHqE; zWXP?4+3=k*eDl9y-*j?#I*9c4zlzy1dzm+rRq1^7B|O*TvmZ1?&r&+wy`F0L{E4>v z%h9di(iEmvb&lWV?c$R6qfVsRizxEKiwVcwN8|;^PXj@gdG32!reO)CQT*10dE z$F-NoX+r;QGC{vdk_{0t!!rb%C3I^k5(R5~eEOuskB|WuU)luHt^C z3k%%K=AIHm8D}>*s^qSF^MOCZ5-W$0pdrWl3&)sIaulHHMJV_KdJb%WBZ#&gxJ=7ZSM|C?~xD`Ed34D1J zJR!-2zP({q_Lm5gI({+_du%GHTrnnD&_N}BYe(V|{Bjzab_}W2eH}voM>=0axp=r4 zPwwBl_spwtY(V-}o6GGWpZ_D-dV;2#dAVD&eUnSsza@HP{9oFfJD)rs z-^^%JI-j1RxOh^u{8#HXK65Ok{R|I_G2KZsD~OiEY|}SA>aKZ?bKJ3=O4ry3ywda6 z>bB@dy8YXLGe2ANU9se~*$d+NQsHR=GU=8I-&{C2F$?JB%jw;kA5>lH40J$p0IKrB z%Yq#x1iv@^kFINq&ZUW#$%*ack9lI-wr$(Cd1BkP?Ju@%+qQ1*`@Ih}Yu3!HJrCWh zr)Sr$+L5c?7i`1m>OBrEv+pu>BFlCOH1NR|IO5+K*>%W@*5OYZ{#*6FJNg^!dGNq7 zV6gWp4K}W+W=j^mwqVkZ>#66%k!997kKh|4b1qOmB#3{xnstiMH!$-mIDhUk@JC;B zKV}4EYqfH-n|!hBuv5~&=^9N``)gMzsP=~R>UDsxVd%ck-#C-7j{v>3$3gdViZ)q&t<6sHF9VS23)iQWhA7sg6FCbVH}0R~b+J#6*cZtts=+ zy{1g>FE}rs#-oUyGsmL}I4@h1F&UmkO+>5UKXgpMW!{r9?>H}aD4CEicc^L;tE%of zhrWXzWml9(wz^=>HFfMa6z8a#SFt_{!m69;46U<$kjySJ}VWk-F7fXdeH~6Kg|Ibby`Umz!YnV?q2)2CMpczdEx#7<5OE0~iMY4Q$0OED{ zs~8f`2mw-y<_-HbI}^?v++)2vXf6M9%~zQ(UeoX zAXmK}FFR4aL-d^6)vH$K8hsT>^sM(R67@9)2y1+~j0E-i4c`UvX47a4n&32M&whIj+kpuA|zS^yjzFD{?B8z`3tHin`dy<%9SIQJUe=z7m zuT=5S8H_07opzylUMT%Rt@yVA{+C)d(1`sIQpSGnEbvZ zuVA0vlosz=^A$uyLUY@BeBQpw;bI~bBE>Xi^kytzjELdHLL;O$fcrpx{(C84t9B2eLW_9gej%O^ib-s-|u0<2Wno8v41(e`}f>`dw6) z+qTES+5W>|%EH`e^I^-V+3d}%l6GiJ#9mhh@T-zl)Fbrrk(qiZxD6xv) zMWQ+~BNH`sUmVAY)zH{=ppxP?FbN^6u5y?i2baUdkjg^Y7zfT_)tLYdt&+ZrO2!1( zfLGL!MPQwX&h2(Jdz)fjDeQ9HqvvF13+K)a$qpC$CD9v^fh?S4$M4BakKUP?p}s~k zNp|ftL=6EFF$1 zA7l9EQ@FkPFFC5?i(mu8?ErCRJYL3zI*Yy`fzd?&ugP$mFh{({Gsqa{)KptMeS#n^Q%q zoYS!(hmHv^##0h_`?bPxeXI(*j6Rul#+iY17_62(&;9As{7;trr1Zjn2Gel1XRCK_=hP|i(O#sW4a{8V_U zVC~ES=M#fA3By4R1b@f;&X}3nM6`}2(6wj(`=(hkg1X}$PjaYFN{@^izGvM{?kPHm zviX%ytx~}xK+~S8I;b?lHsoPEB_uF3=nH7iwl<~e&F+Y?KC`7Q2ElvE-GqH}Ci>GVU>18IO~#h`+snI+lK#-Xu=hIuUE$6g;~?(f&nXs(`BbkqD- z6twN0Avvo~73WYM{(5Z&8IC*>l$0TeDvjA2VIt~G;s{V251eCu>6zj^(`gsP<0;=Q zY}Lb~lo1;%d`Zw%WOP08SzJu=dc<4O{hsq00;)L7(BxmrN(NEhi$ItE`?LC|!kAl& z|BD}V5y6umcNFJa$g7$p3{(x}j^y1poYoT=!HSEJYKc+c_>Se}Rny&@h6kXEr)L#g z8?BqYFQjSuT9?AXTVN6pYpJnsq^bJamcqiDZ&H|tcte!N^9N`zDef6 zg1lOGoL{qGa{Gp7nXW(SblrBc2{qH6$#a5t!{HWrvMJHcIRvF?x>{ipLqP*$z0fe| zN<$@WrEwrkgFQJalihd4Gt=2P-)rO>$~?(rzDwJ7SwMT9D;xT9#&`Ht=pmZC@`4() z!U@Z3i3wiIUE;UcAZ`3%af7?X=cvJ}IHEN&vUx(%y#EC0^IHE^sFzx99f}(dm3l(u zk_Crjb}={@j?(CBrYdroqqM3!g^_AX_Z0X9Yg)n*RNnAwA-E>RKOcACn@0R<3g;nx z^?oj%cb!P=;y14JQp(a@UM_n@J66TNox4{#bI98~Ae(+e!k23ttclJSVg>ysf5q7| z9`O1n+pS%p`umVF;9q{88=Au1RERV18FOu>L60!uHvpieD`jlwkq{Ljuxf%xsy?~}w4u%#mL z2bl_}0WN4$Ghqe&*W{L2m?A-qzn_9>csS!urvmXhvd3`;+d7ymj7#Jbh|Yenz8VLE zI&AHxX*f?l!2G7}{)1t^Z3yeZ0!=`wT(5!Zel&p4{Unu-Sn zSr9t*DLaQ)>Bw2plbltP(T!D;)xVhf#nmt1nyMygHtoD8JIc6E3mgTNSsAZp88AoZ z8IZRA4}AIGG2Hau`P*9?&+i#9b{zfxco#S@62OUx7D@Gwqy@&%`9mA*A3X`xDvJJE zll&K8W)gCvrEvhE%KDmrS3lTT5}))v4%0)avX@;tP5`}El8P$^@ zhKswdeRg?o1T+QFrUzD~zqC1DBZ4DpbTWT7jrclZ@U;RYF9iaM3IqfM353)Uu1LW` zM|x=r4Akxf3Ljlvcz0)q;ED1S6> z2(wCl(*+u}^!I2_A>YJbF97al<{yyyob|Ekl>4!{gMZ@<7?WL=Wb`ttH6Dw}30l$O zCFH=&7|CtMWP7=xs!easTk($S$;U31FL=706jL>N*0~rCDgKPA4oi#M?$>ccOD?TQ zoI!wi;0J@ZB|?>+nD z2354%A@Zi?;i}w9@b>Q`wUponF}=~m&r$aq!^4z#cL@bdJ?ePxJKD)Hb_#BRdTVu! zRJ%Zuc5in*Z7TNcVBdplY;K@#)6KhyN4!bH!%JoGYUe&*ey$UH+-}^0?kIxP_9568 zCoZ$Nj1k{~?R+#gU%7eJsmy38L;BzE^3OZ#83NzF`!S$KoAoS46G+lSc=ucqL#;_*iAVOn7U!|^;?#0yQ{NrL8 z@VYjJOdaf}Yk`I~)VU43#St6QF;JSCZ#)0kCtXR_R=`Bv=QzrgBYtZsA=hS>5%i<-tvme={ z#{6t=f~jLYRO2Rfz0$5Iz@l^k#!<7tsELGI3^<1N?x4W`AOXYxHWlB>W{YbAG=juskoIkV|s(z2%WP zft6LSQdV__jF${z3WD^wEokH^XpIhlvEPpWe0=A4fCInN^y!3Wwrx=0fSe3ToeEMBNQM{YK#;i_ z7Om4hZhl>$l0zoQOa$G81>(L7(822LHF(JZ7~vN@6DaSiVL!Ze)*mF{<(z!mL(BwJ zFE6#d)Jl;cewx(GmZsr2!*Qdw7-_|@Ws;!txb ztQ=^iq?k#Ot=K?pygwa&wMWvC8gjnrljH`tJBXD2v(+XJ4F3w$C?W(^rs%&m$e<&&Qwg zXw!-x^A1qr9pS#Pd6Js{RT3OBp%wuZzWe+8b^h{quuCqG`m4fd zNuQu7Kz_q!eSLYL4Pyw*nO?k-8j5(554@w0kktKuUCikGcC@!%!Yw zaU0wY%*%SzM+q!r4(7H}tO>WjR*6`Zn6=vg(}C_LHA&Sp=ADj}H zT*Y&+gX+dB+XQp2LFfe&v9RtnE^CJ*sf2X!rE^QMW6%*_m~ zGrY&K3T6o{>KD8aW6X}Mn$*s>L-m|XCdh_P=vCsUa zd|EJka8qeyl<-N`zQ-%YnRv@)3Nc+zaSGy$Zuql^+!039Edd93p8zy;4jVX?3jZ2N3)tW$_yfbz{ugNE2nxi@1kl*wHM&U3nr;uxqdN-Gdp$C z=~5xVxpzHt^p|q-slQwsPeUmz<-%umyE?E3ylgwAU5P0k#AIj`)yli>+rXtB@pv3) z$6N%lMA(-|RpZ#PfhhKe0jkvZU*$E1=G4oaXl zG#HJlv}$$MH2k9wRcY5r_xci8fMR+?Sbq`Pi6y(U~RTMc5qHg-cp!m6)`osP^Wm6M~ zQfKZ-R!OTrlTv8Uu{*6~pu9#*H6sJcOonIkf<8&f--%W_{*~@LRHX^~_4XY!4<)G6*> z0xW!8M+oIGqz9yA3+5H^)eKIqXNCr|SIdJ(gTH;ErzC35$x02Gom_P7Kmi_zz@bif z4VpS;pm!65gU%xR=H8sec9XOo`JOkrJdrJM{Rg|#LMgQ$fp&V!T)C;fee#{GtB1T_ z+V8kR^79L|rIHz>5j$(B-I(h8u$-jhN1_9YlC{kNloo;BHtxFCBbHPIvW=LSYfVPN zoR4;o)8WjVp^w;>8Ga^mY_b5yJdp*pzpj+vR%~QR_m<}*<`U>@e%Y=QT#>7kH>hxm ze16znRm`?w*8`+*_N9)KDC*vwedf2#^c-yM^c;FxcUDEm6}tnD3m%c%qg~%H7dzOF zK*P*x#?otE809OqVkrOVA)aBiKNg?TFwS#%5u7t}c!6G^)gs&j5Xo6xGe;yd-$|m1 z<=m=0u5S;eT*dq zKE&!*y@{SShF93|B9GrntS)6i6eyB9%hMDNrHcRA2={nucE7J4V`7-4w1I}1dUdpDkI$;5MPSheL0P%$}%uQo&x7*T^OqrM~W=kJB>4`Q3KiE!rwSUfDlbe$`6ka-OP@92dkH@2H+K65Uo+2Q`zErg zLZ8@vgPHRBYTp8bnifVXi2}rRUs?-C9G4J=k>^203NMV8-7jO?@|Xv6^s*TvP?yd$ zwPT%{lbMWF&1ar5bG^=X=dmomPA=myU9;?O4b~l1hq_`P0nMo5k0?0pR+MfE>28IU zzz~6YoE6ozO+ZzJ^Oh^Lz|t1I5F@4xA6XT=%$pKTu+0DMTrVkLN!-Ot$c74RL=#(M z*!T3vb>Hy4OK4SxrMlVA>TGAEVkFJteAyV+F|P-V&y!D4qHqN<4VG#AmFX}~GaWzI zsW!{TY!g5h72M-<3Lv8VPJwgiCbGjaSUr}5ZoDkySriHmgmp5qDE$wTG*V#NHhD9Zn>9aH?x zh!$qj$3UGA2G_x@qSWt%nX0B(J7C$GpENXWS=;? z&(_h|4t0LK1S^!Md}lnR(I`9_W+qcN(EG(EH`*jV#<3FhGZo%zNBh_U(}>2WDNcC@_AOSzK*L`d9fDdQ*$z+G#i;$cnK4o`e|lo``=G}7=H64fqFU+hXj%OWum>F zA&CxRwtxS_DrZD?D$8u2c*io>wM8*LzAEf2?jofkV05o1%b9l7ohPn0?>0ryogb}P zJgFy?x(eMXvZ=p;!jXk1b+Sn1ZbsZ*wus)7GiQius;8r_?e8*4%2733f7`iqrm5|y zS^Fq*NX}$E_&n6$K*@Xs|df;C)zXIQ=RqB(8~Z)Xd*IF3EAe5G`UKa!8^vD zkOb-^9dItTc-8afQX3TJrS1;|(+T?r@nroEQFOz;xX{7)iM6dlvpZL(JTOSS^G zci3iI>p?iVYBpNZTI`Z8XNY`(Rom**c!v`Eokx`WoO_x3KV>VXj3%~ivv$f~{QMsk zs^Eu5bU^7Df7ob$bI9)2bZDt*=rvF2vX+NGc|S;yJ%q9HN+oF*LAOLDNsOxmq=N*c zuRq~&KWdq?*zxw-TQ1sLB-#mZI4F!E4JVE!>9xKG^|y4NkwnNJ&*R>l$yOK`m!VGe z#A)vfA>GzK>C)GFq6qVP%kvKg=fSs*OfJG;B)`%J0S2*~HxxIY!qB#2L_f=DkHtVo z44u(dDaf&<48i>LkZm2M`$C9*^W{HeU1~BjK*-knTM5fv(cY#!3^;TXQxu0>-n}*gJjo0{f)$Gd;*(m?^Alb}fyeamt7DbWd&J^o^oe8xT;hjv9(Zm@# z)vn2D0RnXQ9@FNz0IL@WF5*BWQ=pMnG--J&%y7Z5^IiIm)?OHY?#ru9`}BBn6b=2C z3tq-9K3S?W919c+M!E1e$@PEc$tx4Fe}Li*(VF}nMnAG zxYptUC8vvJ=bcPVgkWukf4W;2$t7idz(P4sgbJ1k_Ui+k@}nsZq7Ee?Lq0>&LM}tL zc9vz<^$q;ICzP){ri8D>5XO!wNLEsB{UvKu4EE&wMb!+Lnb za^dzK18L$l!eVcH{{WMPwg2GzPmEu4vJ zu;o$oxZ+FBdfRBgr}iEEv>o_Z;qiPcBCkSZfU;@%(^w`}{x72OoqISf41#C8&JC8Y0h=j-$q<(ZnwliYcXaY(FGAHYp zGlWX{l9vS2AB77kKZ*0i|^}XdEd3X6b#Jy0^wTW2<_JCJH8zrxAh< zT_S3_1yU=`s8r@>(|3O<$#0GP)Z*(m#Pqej-o6Kigvpb?Z`(#!XH7qG;f)+1fR63g zMGlLLFeK`2F*7rq@I3k*vc%%Eb~S|FZL5S|S|5yB1T-HUPq0 z$_3?o8n9Mf4-h&$808W;lA2;#f7$?S3Qmg*v}8zjQ=^t-rh#t~KGeGr_}|KZ0o$kZKjb^r>E3uL#Dv zPySn??n9}yK)IoS#j90zIiL8uT(1PVi8Og}!IDDp5|W=v##_bWNn#8CB);$`g!Znl zPwdar3;rsauqh5D3`|@r0ORv^!JwzfV_L|Y?{iX35~R^ZI?B>E6AKgOzvwQ!g8R7knvMmZ2de_KyHc!hn%DXYs-MJN-%h}{nv#OVsM$d4 zUyKR7sRfQGpXKuQjQ>)W27$Ivur@ikecEPpPRJ$`HX%fqut7(pK!|XCM zvdZ$a;*pe?;7#rID{(;|6>J&Zy#*K1Bq(9Du@veBGtALt=C*3(W=h#~O6|E_97eW* zOkY3ar>F8C&mYmybOHjW$BH*QW?E}n_8eU1Uf!nnH7JWP90Mmj1Fdv-(@88l&!Rrg z=4u#&Vy(Kw$?70$m#a(BxfKL%0JNZnPsOqT7r2WC-!0ToXcZ&i$+e@DwTQPj08O4P zdnqbaGt>JyqTD#=2=jNb%I{0xsq!j-h(Ou`9P3db>E}+Eerw+dhwOB04$2bP!ogM1Dwy`B375 z+8=zhdY_5+uSE|o4zE76Mc{4Xt+ny-Srs?#kJ`0R?9d#~B-`C=+<$)sJ5~Xg*Jj;>;xAd3fdA&>7Z^=7z8xQw$ zvm6WfmP4;OzW8DVUJ6CY9K0+%Cl7Aarl+j>OnRoc{u@UC8+5e`8tu-FD*tdScMEUz zu%0gm^YpB+#;1bc=zqsI3n!R~50JlJi1QAgoDRAc{YP}gIv-Tp9t{5#WToY^y;3Mp zcmzu$-Q)7tx@V6LxzsO7*J>y=C=p^xWKv|%T{sNydXMcd65dhc=Po7oWkXPg_Tqs zYr#6MB?|kGy&aMH{*Y+$lsE_NJMsE)Oq^38rxBz6qy$TQYuWf?5(JlKKj8*<_0S2s zxCnCz``jXa)6bphwf(>Le#e9M%7PB(8{i}7T>BqaCJJNU&UD~sqaMvf(?a;jVDy{+ z=+6pc^|N<${hF&?+<%KOQ0HoYj=ljqEvDmVDVt>4#*xQEVT?9@Z^(6sH0_%ik z`|6QUJ~3h+R^dFkpgrj@nyalm?Mh#3W$eh{pYq7EgD(#M91emKsFMyubi zP&0*Q1d9C1Z2_C)YJgPm_fd+Ao(~hRCT2_3d?E6Sch#un>VgO*&johWzg()B?%Cf~ ziTv?XkfefK!$PoM2*nuIM=XgdcKVNk=WJl8%UydK#FbIDV?GJXL!PESvQ^{MTu5+N zme`vT{U0f-f|w01F6lpT-1JGj2mE~jwhAL@WOE%tGC5t}YiC;73vtLuZ_xz;~9 ztrMfT3AI+Vt&+OwV})@hpZ|SsxJnW($VnjHE;1C?{yh>)&F2i?d%^eaA`Up01kZ<~ zBe%GAd&H1l=x-M~jj`Ow>4|uY8(c0X@1YuZ$Kq1#v2O#%j|)?U&heRdqX0?&$BCCE zyl_23u_y|VE*Kh2COaLu8o3#vDo~4;!oW++80Ox=2?z!36!45ev+qq4A%G3F!R$|4 z*Y5Dz$J95t=f073qxKkLO;#iX!V1&wcE9Hu9^-P_SU%Lc17AIU9C4yWzs1RrKL zf(bST%f;z4%J-d0d&%WvO|}t}IoqQy2gQB!6Ysu4GrM0!-2nf?V}`=B+)xF@z9!R(AefU#FB(FZ_B;XGxt#9T{`DjsSv zQmtc;sgZLOGib!kI!4={*Fa@bbvX$|y6Y!PnrnF7D@l1u4;PWK&N)+ z1eCWOR2Wz-o^e>1Kp}vRh@hudnMjmyU&MNs(9g@bj; z+lKGYqno_fpZGETknc4v(JwM@zdxJVlq_4AQhR zXly$gb>)Z~xl7Y>Z6ns7|fW$~r~QaES1Zf;hWI zXSoHe_{MzfLcgrw()pAp(kn1Tj``aAwRm#uPRjZxd3|=N!cyx+WId3ZVg!E+P7~pXWOo>SGS9$kx21|=bT+VhcJgBSlHfi8>Wewh7W}Tx!6bp`}t1c607mpNKH|NZKE3AKO`|Ax=TCn zl2aM9ng3SwuN{oY3&*Q9{$OEN34gRA&q?TXPQOFiQ({s;Wre64@poiw%wDe*Yi1Q& zt=6thjU7P*>OYormkiPso2llIIu^c3w91TsY>EQ}K(C*m!#8^xr?1HF%QlGuP$1`43pWma#@h<(y}qZL(0a2a z={~Z!vYFH%+}f5rJ8u}K+&|`AD4_wR!B`aVCDLqU5vQ_($vIZJiVrzUWeD9=``I56 zXM>cLbgaxBTh*%A0(SvyILrkBNTht$V*IQH(L@>Z#g=IJU)87hW$|<-7K`(al(d@4 zN;cEu&S+?>Z@0ep`W^avmHRymth`-6zVz8$w@*Eu`ajtJrG8`oWPTu&m9v?dbVfwj zdEdVI+UIoD6|S-;A1Y5a6_sq?^I=j)E5-V$e8%D}?;waKU@)p-S~1CH?yMlL4y*$GXXjW4*W3SY13sJ^{=UD69ZrZ0 zSgLl?maTgzk1H;wsVd2?sS#=}YMF3Sr#3E_W6E30qb)@>Ob`Jr*nq(1(cbBo=+`QA z6eoEp2dj3Z6{|&AQA)F6f-pOk`=*I;Lno2AY|QqJu_6zl{kJT7DdP6&`nQq^ZVt8k zN}$S2o&4PKyu;D@Wm}Z`p4Ay^;ol>~jag*Lpvp2mVs@f#>aU#H0NTBuTZ_<@QZ!76 z*1?RnnLKhpoAi^f;AoaK>`;$2vL8j7abIs6(q%X_A(Vm!G&7ioR`t&hY>^!)F`9zw zGGVbFrQRx1<1%r1ExBW+kk@HTiF7KcT-y?+bh{_u?PeAfDx z+P?(oBsOct`fof$M3uXr%yrt*9(5FL+7#vlHm^|CiXC`O3my24bnbe@OY?j_c72)( z4}=Ze7*T`=rTOKWq;nK-zM;Q-gLV?=T(qhh^^$(kb+@2{KYUIj|3h_k1%Lf^NMYss z`;agbbz*aRr?OJIviayK?eypr73);IpLHMe&RGvIFOia}?&#GXiw^u~e44;JSyYM0 zL6z3Y5$U9OmBewjqOHNg8 zHbGbdsFVat-MH9I@P1&AuK8rZ=BZ0rEF&yK`OYcbBU=4QigEE4lGw*s$_ot0}C*Df?ks0uo$bG+|ok%u^TvQ zrA*q^O%kSxRF}c57!TS`DNDW2oqmNb)cpzM?vWcX_3&P<@8~d=JgLMT7_1Q! z@1wDX^HK^C3l>`xiCUx*;j>@$aDO%42^TA@c$e|+NeXI`bk69m?>^jKUuLMXiF%)` z)wywfJ5QB~Ij!nT2G@~-EjQ2U4sP4=1uo_cDf4g}13hoAv#A9?SYrE%Q2Y|gUKdeN zqMZ==&;>Qhx@knv4;nu4k^O@t_52E0cud_v?MbG*z95-uW>l@L%n%a1w$sq`^Svue z+FpQ6FBhSp6QNicVr2K!B_q`DZ0&rt^^`>q&ARcid-At@o_OgFdW)(*wB10e^9`tV zzOV1+E(yH3+1D9FBL@X_Do~)!MWq-#Kg;3k&bH8D;yy=S_j#qY&GpQ*eRP)+BHcr1 z@mgz0q47nYK=6|X za0C0bk^7h$D`%a>tTw3**UQ*5o;3TaSMH3TJgvQycR!=Fb7wSmMAu0eerWzBsg`v0 za>^B$M9rNPmaZL$U_E#GodrG!sFd`$``y4Y*e7~YAG;CSLJV}|kaGryIyP^2_!xZv#lHsn8E6!6Qn>*4vj=)cYhBX3ETBSU$D7xSIUR+VyIyp*IBvPON8sIPaP!nTLkiM$5W14EA6eRWN&~T!JN2?uhCD^vP%o^Hl)7#tXEpou>R;HlY)O=WLs!TiN0K!F8eu1g3u6W5Xj^W$Eue)L=Z~fC3CJJY<^hY13 z0!MTwE7PQD{7+Jre3>yNA~wty#YvgU-uecQP@xWvYcuA4@78TxHyh&R(5=WItFhhA z!6hf82zEAGCey~}_rlQ(8~8|+{83FM$qbpAh<%jNw@zfuG8<6UZ#u0yd0AkQKhOiB zkxDa*Os#1#4DDQ2RqSx8K(}qcReWNOZCy#IIsgzZEns0*{md#xjxh`YUaq8UgEPgh z%gWD>@wW>Ns`NCcghKxE%rGj?4AQ=_`>*^X9H@l@XjyXubK9baK3hoBf1Tp9!^fu& z7g68P?e*d+_v$v9Ur_u{h-MrL_Jp^rNGxsE^hHYExBDc^MuppI$KvI<7dZCSewbZ2 zl;j&G)>@H7=+49)id=`C_EdBNOXwW|;UrBOI}0K?_965W?vGW0q!5V}J5R_IGBAQ) z>ET#YXUU-JhF$nCQvce>1ex_8KjL5v1Pj}+8IOVlwBitpAS{&fcY?K6O>Ym%7xD@vp;L+W! zmvv*G8=VKwZP?Q`0Tkws@~BHim;lxgZ~59NIi^+Qur%%b4XF}Ej=B=uoy1S*fMMRR zW=8rZw6bYX;WP1$$~y?5t&YV5{0eU3>$q-pgQ$__*+$tXVQdhEWa~kHalUY?&V_NQ z=E3S+Nzw%}WJ1w+Bi+qy*pqP~l0FVP|1ewuU-uQ|QXeXSPz4qrbDkH(ex;i3=?ZeE zg}^y%$trMwo1yN1^ic91D14N?ZU7QD4aJX1pkyo47+FYqKua+#TR~ zCRtUCVxsed2yHEgOeuu_-3<5C$PzS_PQ;6&no6Z-_8kf z?ZN?XTgA-J5Tld#K*jU@p)L!JCU@|kX*`eKB+<|uBu{>di(sLmy(cA7xA^(UHudb_ ziH(D`mu3?(jET0x|-lio=j2;ZZjg{Wh_`%yx=Ta3ixs)iTmz%S7Vl+5^rJeFmK^;P0@>#k76HnDc@DVo?*3s zDRSjS*@5Hqgh;BLQ3VWkQl63dqt-Sc?T(hVQ#}i@>+w2qPoQ9!g|%^)vUg|KhxZ7A z55MTvw&jd7VjRGFCDI0>k2%)d;;m=!a>r-=>e=H#JX{@MhrvAN#SQ7qB9W#YHKAc> zfaci-5&0onn!Q8uOd8b#*$*;_Mk*FK@^AlfKDp^Tk6iA;SHW!CIMVDsWTsmvY3vcx z5JVu@uZX(B4r2gt+zy;QYDJ>cXxw7I+s~cWx#~^>D4^jFkp76Tz*9R{yJR#gUbql+ zH!%2w0C_h@x%d6{Af|^`V0}4==_+J@)aUIWr-2yR0Nu}u=F$3oZF^sm%dHMGI^+p^ zh7FYp-mc@ZJ@VEe3`!=w4MLeqNM3rKceB*!(d;YJ>3Ev-CAZgP;5QIVPa8tcZN z`c&#dyBBD=R{*z<3+u!@($W|$t=DliLmxSkS84I^#guIB^4fn`w19->UmrxLy>7!< z+@ryb#;HjUI-F_sLM6!n6?iHF6ATg8B77DsB_c)EY4j>wTgkt1wA&!#

    QCt>JS_)NVNT_0%Ft!s;;B80p|_6KMCanIri8IbpWRt*`h-+hHTJ@w9<2mWlV z?ry!!kuu5oS_Ub5$|XO)sV<$H5N!h=oPLVO;7UQ zMg4t)CVlFYqR0T$Pl$M8&EECpCU@V^-fK{S$8yFjMT&k!Mf+XMXL%>#7MDT(E){rK zI}e$%%D}pt?x_S0%HjV2K|sF0{aK9PH%0j8-ubCr`k8DsHS>cV`%}lJOF|Q0(d%fx zZ&}7NidvKz*Ti?0NUdPz5_iwGA$=Es^vqF&Ao=VO67 zQBPNdE5f6YI!RC0Af@+aLS1nm>n@@9v!4>a+bQLs=R&$5wY>_MsXE}iEKcanSij;o z2DnTIWYe|n65*KM)qgH7e>7yANehT(q#E#JS%)_Of5*BGNb2V&F_Sw(Uybeh1co

    ?`#tF?3WoM>NkTFzeOFa9mV;}2P_M(h?f8? z{Z%DqcsUF25gb0Kn&()*!MA@OlBB0ap3s{)k9(>xgB`yW9DY03zd3o|`sCS)g~HYVKL5gRhR z46XcfrEJatD5Jl8cf(X&(MSm+pF7$=BK1-@#c2>s?#*0!bvicVd1msl>8JuKFazGQ z;M%I8pZPV$^ziS{lqxd@L*tVNRVQ@LO@G4>K=Cq)A8IqlHRox?iahkg ziXHTFWd|C&f;yDdiIdbCNX_7hG`r^S8%X`d1evp7d10QSp~t^VJ)sd%Qu+`lAiAx- zoIJh}LC7j&VZJH0jq+OGRJ7*9@@&U9KhxS89#U_n*0jonInwC1DF0%p^a|HtYfugo z4$2q}4wBHh2h*{e!~p7p;xdw0RE|H_F$kL5&=CLa2q}>bjXFLr_!z-u$xrJ0vu@O0 z#}U+m8X7;TOu|r{Pp0+~G(^a&NSbAjl*Rs=@`A@}+nr7~Wx-u>Z$SN=1BcZiqla<< zHf3MGpVaB^rbf6DGz^c0wM+0|`v`S`lk|0<*V5ytvDbl3%i2w~Vq;5%*`rVs9dm#L zO$7+&6EJntyf(w=@xM&Q4tk3|9$%V+n~&d`*CtInmLC_M-g)BQ66M7awbv&2T)p0(#gwVzO0p#RCIqFgzfhr>Lj;((ApdpA5S}FU1edLh*8(o+K*!i zypjZPt5vs;5Aj#W&n5tgA~{SqDyuBrThAU?4`4luT&TgKv*>qHI-9Ag5Qkf^(g!ND#tB?9`p&Z`IO{ z;PMk7Wf+&Da3k7P{MFXm2>?Nd@wKSg8N#oUM}aT`EALiGN!;>(eP}laf{&5RAL6&i z&?VXL(iZnLnlE{(y^RKR&Cd$9)uZmCx5qHXa5)ogZiBbEFu<&Lna;j;YzF9K_@LQB z3BafOhRgEPB{=geKq#2#cKMXjpG&YW?}6rK?DShe+Sqkto5e$(=24~po> zm}`u;nN;_3TjhY7aL57J7Nxh?MZ)n9883ENj2Lnn)8-y082sSh7ofaa4xPW(%qjoa zm~!OV8V&l{Kk_3}wyBP!Gp_9mK^u1IjkPp-eN9A)UguG6>3|P$)=Xn(g@w-T1tNKs z^8_J~bS_Z*JL{eBF}%hh+Z*MIVudPxb1*%zIK`5ksK{|BJ*>EX$QfDj;Y5&fF;t|% zeM-dALA}5?p;|oI$%u3v%s1pr^jb8D$5A~zzS{ru41H4>c^A94mME+kZ|Bs;0~zxA4rkLH-Kqb4)#v?C%jaZDIvXbX~}L?95duhq8K$acmJAz*N9}2)3V92pwN=N zxWIo%&q?Xb(qXA+3EqkVkm={uZ4oD{;M$SmP2HHXwqz$LL>kn?P!?jaXcb;c#Pp1E zC*e&UoP4}FwL<%L)2B!BlnZVs-trnXf1rjDOJK26h)FT)2ixl$QH)!09OZyY4O!M zSu?afG4vet7Pq1tG(Gh!@Y!37N z7Tydfs7qent?&dkJDhh|Y35FTjf z9X6;YbdE+3dA7GVL~`V_K}OUY`>J8jbVa&z$eXM*>OwAtuyWOD00<_1zK+QE z!FO@>_H{d0{!$v}>Z3#U<>f4q9i?^5H=qLMn>bhA2Cu2%$h?@j7Uub6L8#_pS>Rp% zg*cRR9BjE1P!o`FlQTn=0Ia@jWWGsMIyIdsCYCe23$Fu%Q5Sb_Ls7rWYU7Tkt)JiBP zS)J+;0#fr4xu9tJWQ1}bF6azaexMb4f|EbGx$<-J{+oPS(MTBXgPk_l5*_0iLa4r*YF3~*6!)tZZD6hfkw z4I@_-No^y$*5hrUnj*S0n!8j+)g1U>Ao3~Nar2yMz77ef-Y;l)Lr2ERvV#M~UI7eI zc0uA-MdA;8c|}9Y293Lv?Ls()+{wtCY<65-iM(v)uMm%94w3F71?O1Re6@~H`@4z5 z`)2y+AC@A$mE6gRZ>M)%CM5AXw62v_(?S7wGgcap#5%jMIU@##9`l?cF|Hfh=9#cQ z3FKx22Wxb70+4;EP1LCSs{Kc5g8MhuBCC5JA<3sUk@vw>SW*70A1b$n(7?q)WyV&H1!0nur%n{`_8NP2 z7CNsh2Uea7>)YUE{{VMdWh(sa`wIA|KdYNf&rv^8MQbYu#8+wsm zf`D{Lz)%$uLQ5mOx%Yd|`Tg^rv)MAUGoP8+=h-v+oITk~NEQviR&^sRIdNULpJp6B zZ~x5JjtV>d7Peo?4z9$-#p3o50U%wJ6)R$`^jbd+18dJ?0*&c$`Cu_RA4vGS zXmM~gZfvbbG}H{FyRdQ&W?VfoVES+jPQu3_*g*4P8=Ze3Wjx?GT;GOt?U`FVTQ`=o zUsWGDwS$ewVmScRQ!U1l}b$jdpvs|dOAIccR!8Ff$iAZdlOtqi9e8EKLBou>D^(*X{+EPnjhc5$&Bgo%od(X&1>I5s)95EFnv|1V zA(Ia8ju-%hRTo$>|9P#+)pzpmgod7^@8qKi5IG7d1yr159niVvy!@+yWcPu#_zW@u zUzXWfmj?MVcGw8-46B1uhWo!B>5|$;Sy6;X)_4mb$U^@hwbFVJhkt}%dF3f&61@cp zumX2f3!1dx-{>z8>{6Yx)3TQs*P5=~hgNW|g+X6aK^=^z{~j1ct+#VZ{z>7P00MH+ zcx$gRj$!xss5_ugvv1K5XY$hD;_5aV@;qm88q$pX9y!q;?l2BKj{LahPEOx7rRf26 zM2CIWaS}YF*;ISK3V#qYLp5GpJ8S=(FLb2e;^^+0njGaSutipJzoz-LvQm3c_%Bo> zYDw~sf&b@@MgeZLhY9JpCjU3du2TOtJx{~Br)XL9z0+TFZ`#=a{~J4hIj#M42K)~s zF8#+z^i=PkPRCkJ&ygu8R5(*auQIMA%r;z7NGmY; zivy<9mMH_i!|py=ifQ7#6NFh`Ma49yZ^gQy{JwDfx0H~o^qR5cWsPp=Q`Zz6I%DtT zHhL~$^wRtk3-H!5n{M-!zH!yT_e4DrzK)B3%KAOp_rBmVKIe;axaX5gQ|jAxH}o};;2^^rWpGePe7 zn0U42kCK0sfu8>7^4y=-u@kjiT$x`5-E?`i1BF zLaULs<`F4r3-9l^ju`8Q0ys7wfU^a`Afz6V!6k+nZLoW;J$sQEEQ(YlhN6?s>e~yu z4_Q6EeZ#6RD|u0o@ko{OLWy|RWsteUVD~JX&K>W)%Hnj%eVq9!%gN2YPq>yc)}aqJ zbb8v;bK2-OohSR3e91A0%PBQmWxKuiC4yh=47+oEH;foL8>*CcqvsXjea|_iG$gue zO-&wMsk^QbJM0cpFV>w_IJ%TZqQckIZ09O;*B1FXIr z(`J{SE~XDqJ`P+kkN*aHICQ~W%3DTYLTLJXmY=swguQgRD?3&9R~mPegS0{>U1ENm zE<~s`u~s;FDRmMi1$jyr@Su++PXhnfQ}C9_rZ;kb>gZE>MS5gwO)_%-3&v|NJvjV7 z2%rqT&^dJE4=KOt9P_7(IRihGVb?QSQ-t{F$ja{h|1r=bRYuiYCg~dtTLQFrnkoak zag(kf`)aAxQ?7Knv~wSs&it<`T^U$}nbdyze{nnHu0roTrT)h?=l?>NXZ=UDD6fd7 z%+-ENx+YZ!Sn9u;Wv=@DcT69o)bM`Ll>48>eYgL^oamRQ7dpudQ7}Ng@*e}~#?Z}z z{nshNe>g6A1L0~g+V%f1M@D(KO!@xj>WTe-3SIGm)&DF16Q?QspN1Foi6#FR{r@$- z|7Wem|26afFR1jU!6UWU`oD4cPxIfvrOG6&(PF0$o#<)A@QGbPbvHtv1Nb~EL^b(6 zxZ*DJo7{%k-&v+X75iOnUg{iFHD4&JzXjw{6(pMs8yCv-XNoq<3+}8dM=;S+ba`8| zz*@vW!YHOPe8+y8In$rvSQ_DDc%5(`W88XlCBTXR!BpyzzMM}R^zLlXT@l%KxwXs( z7ALWYzD-9lcJy7fVv4@I%z9)xxz4s3TpsN|d2PGRbutFbb1*#EQ`m8vqA*UmhhuKb zlz$Q5{z~I>m*qgJ>%I`_!luIn%|P^VRQE&tOM(|>c)&DPh%i5hsU8rDRV^89!XV1i z&PH3bGl6-0;q_aRNS^Jw9F2YhgjM_0o1uDzH=|zIn(>Wa>wNf&+x;>6g;3=A9t*ek zb?eH{Y{|VIrjz-G0|&nk=^SLx2B)y+aUfJh)W_$de-UVH&ZTm8uty6QEi0;D$9G zf(|Bj05?BTBOk#GxY)tEoJ0lv;3P5PZxRwP1%o?*)h`I9bnS4?fmMAT}XgBBAhI-7ML%|aDCWd2S{BpU=665R0n_4W+Vq*i{a z6!ON9Lh;GfP4xX!F{&tu6Mu{qzyJqbVxpx^IsL|h+F7cLXy?PPlXUPG2mp-Bfd1o- zPVa`$%3?Z zFyYT*+q%^4$FATN{}S7Z$iTA;)Ofp5g89DpQ5b=9pF&m1mECgOajBK z`XM9NWhphBu;?&Zl1(5m{jIO(*rz`mP=P?j)$?L$X490nXqv0Fs!YtJS zG}OlposDUrU_CIV&+Gh+Wv;;);AVN~PQ~ZJ-x{`{7a53J`ritrG9Egh10)X{F#bbD zr^F9rMH@~1DY`8nAS37vd1g9|Fwb~dYVNvQ)r2hx%vF^b%dXMmiMvVR5ePifC33V| zJA&w}@Cr6F7IO}G9xpb)(4KhY8DoWKymt?(?%Z;Le1Whc0Lv%I;ytGT6^V?hp+6h; z?ZzUGS_q7C-%g|u9Ppb^^mb>^yZYnzWceeB2SD!*5q;fms3kT~An;~q+*_@ePb9-H zS3~%ydOM!4qW5EEF0ZB+@LiS)JT87~Rth;E&NckOk!P?|pBZg(;+{7HORG*~qG`T% z!M0U3L@4=wRg~%?YJ}SWf0Z&&-^gQ|0@-)`&ve`cO|A>nM+i{)NI&12@wTfE*_)kc zZ&vR;{)s73C#e)X~Eet%j=06U>Gl8w>R+lIc+4X+cfh$M#M0_n**XWNKn_Wzl&z z)k&e}`)mh!Ucv1rEFZ=0-cYSG zEkl)A|F-iv_+3iGG%#J2QQs_Yxa&9**c2KIW)9cbsjf%zk+h~({DVXTf`cS^}AdibTCuT9XfQW5?6#YO!j(IJ5d=3X8lob z+?AuA$a|<;Q@DCHzKF}=k`Wiec_OsK)sVod9pmbKg?E}?w z;jp8+|J*vdvfU_;1QC_z!Ct-$hjY`*87{0HM$qB;P{P7=VD z)Bavp?06}jnoOqvx^mO?8C)#j-e`r0_J$g)F~-Y7&E+Jc^$ghwyZ*zLQfn7x*NbYA zKTx%Bm!L>T@NFVsxpod+-l8|7Gs)K8d|yZ=VImT>aIa({C@h%W4$vPb{anls3#4P83-16r|CL9?bk`7dHd-thNo}V zz?>&a+vu2%&$QUXw5e#8Qv;ef^^yIEp-KrvFN>r>0&FUJ?F$hdf)spqi$>9*s@tct z!m*BL^1P|9^zn}R&jGp>*VdaiGBuSADJCj!Mz02$259Ekb2{EsVMLqfG3ll{a@~FY zK$O}H6lR5HJF-J7udUCP<@AX~S$K_*P>QLfBV>sh8q`mT%-X-}HPVDqp_A-OYR00T zd5yH8@QqwE?YKYZ@ljY4%#CGSELu4gN#4Sy77D4^Kj8~-fG!($S&_QpF(oBx8?7lR zjF%>5q2DhOfrND%x#79)b$;k4nIG(jHkeXuBW849r&AVt7h{91!U$shj+Sc1^g4%6 zzU$%<_2mrFBWjFe5f;^ljF?Y2(>!MkpggSs&2zYni7r287J2|=qUF$cd7Xii9p@6Y zgpND(noZ45~!*)4#$)HTN1h zRaHnOo%UiGpVUnNLYY+M04x9xQcG+{5s0bvb*oUguH@_KbFnv3k>K6QNQ=UDIzY)^d z$6SM2_v7|7zoD4~t=%*=ztM=YslIqLw@6d2v6r5i2j%UJJP@XeNY0|%z_ok!Yh_P z*Ugds(&eZcx9IX&q2W4lMGXWSP#%nQtk^aB^K+eJWv|YJH&!SB_B${$a z;JTen)>S>S0x=#o?CRxX4z(NCK;hZ7U}ln zKqz~t);5$ zDSzG$R-L{a9quj`AAGUx3yCKTH7=KMvu?=HB)s*?y7k!AU;08cgYbB~ZTIPrC+YEl ze_RJ2?H18=MUo&AopCi}N`ZqU76H@vuC&$NCz|uPRP5XHLCV^_Ng7X^{Ka7thf|mc>(|al1 z@V(Wry;5uJOFk>yNE{BDBhYrn0W$jMm-97HuR4zM9Wb2bGX^B|J(n|((^cWxLpKOK zb~%Rnt>E{~Jb_+V6N^sYmd+I7b$dQoV94L{O_()VnPfgY@~44w=NtRBdS^?f5IrN& z)%y`DK)SxkI=-&9Szy<+Q3y1En9?)zinr3$zd1u$gbsUqVJ@sX&2xgCS2s5%*Nt^V z@YsuLkG;n%SC-$jh!=RvvDs)Ad$piA3UD!mP(1O7MB2~@doHl)4}ZO!wi zEu5>ACKxUp5fO-0i(D836w=OWnbH`*GQR7v@(&Vh4YaeVg$2^4%Yb9OL@LjLHNk?C z(jF4;tuGb*43!GzuhL683NA#L(S*TVA`;eHp7p0cm-V*8R_E0R5C%X)=f`3Zm6-S} zoBREW84|`2PsbMJL)Ai;n=}qElP|47y=Bq2x7`J0Y%7M`Y-a@SMo{iOJ3CBj*CJTn ziGjj4^cCNUJ#_k{S#)AGt}0dCsQzd`Kej?o{sTk^E;KqXg3^2w)LyFBYBtd_(9ylF z8{{(kQu5SB3|R|Reb*Yem`WUe)qba*Dzj|e#!vch@f>2f9d&r z#mR!k8mSW`IW+eg%tN#e;vw3ifGqSgpYS3K-FqIx?7WdN8>$ooy}YBzj57f7fr~cO z6_e^-tc-qCDZRs`p#vN4tQ=q`TA`v@K<^@%iJVt?Ym1F(Rj+N+6}zJM9*57C;K`*mr#RlpGr=Hqqh)?1oZjs^KWO`^Ny?vL`OP`iKL;RG zJWJK5WqzvqVG?He3!1aNH#!!RR^GFJ$;s%=h7*|yDdN+9ycmU9pUR6pJzDg_(54(- zIizc{o~|PMJKax~SgH%5XTo2f9(8F_{KcqekR^wl@YwUM3Ww82@CW|x=+7M+7;&#j zLC4#EtSU0-v!G0R8uYSqqnWg>r&CVrZBZs)}HgK%gu zV|fp!Qh}+-F~ZMa_x$eP4sU!9JQHvX`g-Mq>!(aff^ zrx@g_g>d? z^Om}7txe;M$Q*}~7)5GWYGnIO@#XfdNvz(JI>rc9d=-f04C=ts&0Ql$ou4c*b<6@Z zkzfJ6)fEF@i~!lI#SSF$o1-tLoxz!dm>kX9 zFSN>%?gc;yt{4_FdAgrZIm>;e+#@1fKD~8K$vTM`~Xorda7NQCJ#_l?;$^ zIrp5^>A@|6FkXvL(*UNXl{~j2UvA5hu%^K(>B8=}A$biW z3))~nZ;>Lsdv7$&ycUW=BuBN4262~UA+I>G<{85|1zV>m-!cMxnb%-wJfdNFD z`9)92myJE{sc?u()_i47M}|55Cq3=tTMY;y=n3xOIAaE*kD(U9~!M!9kCq* z&FgabSwOq{jH&;u7G`@khB2BDE;jg1@U=WuiXH#r?+uUXu}q$bbY%KyJ*a!bdpeEy zW2tYjRaL5U;uze{0yi|d_9(JtBbm8lJ6H`b4`S(TgG)*`%R&&;cY3UdzjJj2WSO2J zA?nCyFj3dcU%Kay)=6UP$owTBa9((J!(-es$E2ogOAV9H&HGXOfU zfoYKP-?J7~BvZwYw|8C797&KJ0pMIzQfC-ZtkotTM*N&QI-oxnWo&}A4}Ev7=xl8r zA5VOG)lutk!>U*>x)Ki0o0|_sgw2YfXFLOTu5RNKt)k_nIj-!Oh#CQ&R>dZMv~bu9 z&d!eGyrw%@S2x5{lB=@PuqGSGB~z3<@dxsc37VfWkal2*^7T0m$%WvT>TkU?&>rny z4pjxpFEl5lrFLQOaDM+Fw1m%?5Zx@V+Nl1Bc)MP&~$8hpCTmzZI`k-aS>V%vj#pE~%BA?2ACW~e-4Q&ntoY{_i!?Z!w(nh#jO-uyA$DKDOE3D(_lS`m&q6?1%Z~h) z{TG0e*R<;*hExB<4W9Z5T8EYuaH3Ex{^oIaTK(NU<}WtOEX9$bN0hxQa~W*jCI^0x zZ;2XZmKYNA^9tb$;m?^4bbYz6=frz~QniJCvGBmWL_&-*JyyOaG7Wa0=V8yNaxLH~ z$eJ#?Q#rxbX25i3e#G!Y*PVfx^&)*ke&6|wNMFZ=jr#TjgC)G8T+`h`_>zhPAP3-ghacdAL;ReTmw-*(g%E7W#6MvcRF`SCPt zS*IsS2QcrYmOaM&`dioA5B;>m=e@lF$_a7VUA?t1@vpTu$k;cqRq&Qi+f z!u=h4qI8jc9k-Q{h^OmE&1@q(YaQK$P^FF547LY2b~oA<_*#RJSIlFIq-u zg_d}4tostrXl}fDr9#p@10yq!6}a#_kH3cwh53@pjaaFFybfpQX31|d*wlUBCvzW( z((S9)5|Vt=za~{2#qMJh`K98}SHhY-F9u%pNyeIg(VBOqai(ki8W50ew7Fd=%X1-$ z!>951%5xFchwDgNIW|a;oL^OatI%abBrt0=WM%iD55dFcg0aO zGeY|;B}F1ACz+q;`Nzpz-K@F3QY_BW4Zyo(C3ux0AFc^Fsjc~#;ISa~dtt({7#2P{ z{i)oa=|p(D&UZAPyq&=|N*(EIk(g$uoUM;u>hqoA5y*|7>TvyIO8`uljnkC#!Xk(b zqp5mI3*2#c3LJP{e}?x?R_kKt zr%eVC^E4);vr4y~P?izLTVq++_LK5d7;!{XA(dZlG#CbVwKtD*aYtS{>0YqLdt%o# z^!GHlXO;)Nhl6?ilE1XS)ZfOvQk9Fgr!QviEtg*O01iX(irwk=@jtWRHYcIn_-3M^ zz3*)h?U0mrc#G=jl56z-dShB`NILXV^!J44xkf{8k4j(@XL`z&Qh!>>!Zj%ohxUi@UGg$)f z5OwT<2Y)|pbv}cwmsTM&5%pwC+tO=4%slS;sRQo{ff;FL8BlciVA;kldKA^d0cH&` zQhB!Z!uhPr+R8f8tetDO*Uk;EwK>&@xnP_0bJq6$3n)#l_@q z5YIa_pKeY*%2+tk+^hKfW`6R)jfP+zIcIsHm0txxFam4OC#95jsEYOa#mm>)`q2o- zVs@|eO3u%wS=}_3&uu2xsgZTTBG+J zi}78J!HqdHGD3w^Ek8+4v+9$H1m2v8=ErM%>M1iB|Cl*1t0Y zI4^W-mnw*jq9$bF|m) z-~~XYW5zE;j1tPy!Y>GCp>x)nSdRDV>CX)ErFuBS@U8l=95VB-ZF`O?_h8ueKMDLC zC}GriJ2wew_UqyK<)w`>4T=503)=yR>ie^;J^lL_KD`rjl?k)_Cx~3IP2j&JI1@zP z<+MgS3K&CRC5z30KBJDiCL!Wy7EzGi&Y29<8K42}0=Nz>w3q-6RiTcozfzS!aDrwx z-fJ8mXFbHMV38Q@ZwuMe%gu;{27!8#tWbHYN@LiY6yIj0o%1cw|7Y5V!#^O^ z@1TJV294^yDG;Uwvcq;5J+Q$%u6h^JsdRvB1Uxkt>;nLy z`|Ye!rXJ6K zqfwBvkgsXRU4I~ePuHy9L6}c$tYq2RXZo9vW-iCJW0O5k{5YZGlS*@~DaS+ECSQ>g z6J~pMEPi+Mm|8`UVvCuM?0yzijDG7|cP*r|AD>K^MZNi6c))HY&a`Vvk;Bm7LrjZr z7a6E*J1grsq^u_`TKm6ZD(ueZedwvFU6J4CE&eulP4_<2HG zc1!)CEpw8=y{_)`fr<~b#a`?D0hxKF>961Fdeh&v_voq?otX@R5dVzW3lBQ`$fbON z6Bdt+0Kl`YmOY0H8!m=n;89~n6dA&us zL+Xxls14&9l%;siK!ZM8m|))Vnthwh+6Yfu)oh271|5!k`}3Rv>)wsV6Cum{ui2mS2YA`de9V?JFFhzZ~(y8MN4Yz_datc{R6%|ff8t7nL`(a zwSQ9RKb}QE*_pBID2P%kZ1I@y7cR?NUjR~`MfbNVTBVt8w4^q{86vB3$`LJ1ZNP_h zFAVRWIv*$y9EkT1RNPK8r(7HGD7Ow1qR>h%_J)rnYTH(!ta_FzH$zidblToe*o@}z zm&dIdFZM%zD7Za=!!Fe%U_YqWlak&?|EGA;1Z$9lGvW9 zn;B!hZfiZ(;r76E`+LPKRoa7#7h)ChCes*whj_mH^vN$P{&vfuIj4iPwRy>+nOs~& z8(zYC%(=U+B)SG8C7^iIloLo_#CmpjBYm6jZ+J~N7fEz)AVF7^UqW8@ zR(O2C-JuUMkq!90Zh3Zu68J5?yt~Z2z)I-k+)CZgXU*W{-){65faZe|JP}(7j@Rb{ zSqTJ|&5y#7 z!p01Wv%H2T`!QeM-w+9zkRSIC?i5$H+aEZ@`Df$2k(*6P&=&kPlJ6MziQh_8aXuvu zoe`-5!yQ0tB5o3Itt7KzvOEDoM7eIlW6{EugTS%24a@7gh`kh7)Nmcwl$*aLZF@ z%d#K8<&6d-RTygGW_$J3UwkWf-5#h!mW-%1`E(T#%|6zG0!QplEMd=ui z`S=;BLZ47GoGWIuTw3kvVntYh3(iR};TXM5~#88D3cN&XQfHZS~(d^j8FW`HT729w~G z78l?p86tzb?O*f`8{ox$$dmIMMxMg}1`=L3eLA0!QL3zqWv2psO8+!lF>y}+9b%ef`&xkL> z!C?8cR34%gJr|t0BPr-?^2kSw>+T4 zD@1p~b&tTry#|j!GlGLh;J7BQaG6eXMTEWB^Q5QETcb~9bWmJ7Mg+o36;ecic$glu z{;d-^{FQCZ*av_$22K1CN9z#aJ#(~CJKy5yQhg`TI{LE`*K^~H`TBefvypsfYvEx= z#euNg-dl5K8u>Ki_9i7RuC{6=E+ONhwU^w(tw1X8A#10qVBgdnz&4sYk`-{wpj!0K zsBtR*@RuRlK-}DSvg0KE5guB9%NqOgRRTFylW=eeV{tV@uq;H+NW>9A6$=*`&OckV zp>bHe1X4r;v2Bp&$4bosCVKYFuvy6g2luo zu&wZL)sAdI7opouu)sywGOt6ZFX&mAx#4%i`$~F^if`BYCW`EA^6B&5b_n(nR{Y~@ z9{skljsm*~4?E2W_3_Sx#MfknD2tdUPu^`6q}L@=u#_9(t#@6lf7G6RGv~ph;VWqk zf8lYHw=na32HP)_j0L6Qf7P{YnI|<$)@)Qq!Ihb5p~%Gd@lFxjAH_4-RB7c()~{xT zdndnOGue($%aja;Y_g5D-@Y*~zBVhBbWOuS7B=SklGkB}V@oJ-AME4kie24GyelyvW|%-OLCUaGaAH z)~wLg{eqQYtq1Scr}Q5>mbjW|-W7^4$aAIF!#oZy{UIW!xv%dw9E$lQ(|sJRnjFwK zZyv`OYAz*v(~EcI&F2iZM~)7HuC<04Y(15kMvu8<8b_`F**%}WAQBbPT-q@#^|V7b z=_P-M<-n&@PQAree_n;#KKUD7S2XTQrN*T;>88}$@D|p`n-^c4S57)OBWoUKxt_ts z*R+>kCS#t#R-mhv^w3%(^q719t&DZR9Jpul;X^r?b_s;ni*ZDJ@kaE zLo4RRD&HgG%YN1FA<9C(2NssS{!Z^%Z^DhV`SKRRBYA^^4_+#0p!N$LiJ|JfvC*=Rp=yAE6d2z;zT%*V&bMs=(7axt96Q7wEFMGj_ zw&NdUnnl;z^Ln{A-jRY>Cd*iR`ofJ~ROOf#8@nPKKXt&#N5 zb>K;Q$*R_sBz{Nn3^Vkj0;7*{@63xAH?xc`)EQ=)g*>Y|k|+gR@OtHF%fljzy?GTD zn`L1ii%fYH<|5@_+ohM29vZe~m{nYnN_r{YmT6Yd5W?$~z-1=&yFX6Gpok&^)2?vg z^}0fqhiQA~$yiJI<{EuW-N|IzZ+kF-*-1CDs4K`Y+gH~}I*@0~G;6C1<}LS7s_&ar z|CeEO`I{4+q;VWM-P_ z2jmvV>wuE3ospM;HNN;-{5nr=>+kq^Plc@Ulcx%`<82;Z6Zaf*YR4RN^qi*YE5%)R z1z?qSoEO*V@I0Zc{rfnVm5^s4j|7Lms}tO<%RpRrG&Wv4{PI=K5Pa6TGI<#7r@;l? z?AP&X;q&^Oe1t4s!3j*c!WccUnek%bmzg66YbK!$WC*HVT$|-qNhreu99b&_~B|CCmupIfa zaxB-;mtKAS-QZV0JNwwFG1XSkGH#o<%r8Jbaa3j{Do%)f;c3ek<52o9hux_8+v zx(a`Vq~b%zicClz1zE4dtefr^;S^gD_+M@v9h^0swhV|b(O=5}<&tmCDbuTejZ3Aa@QDVD_}^2QwxhdOR=gOPZFZd(o) zS?bsCmA|iFPHbrQq1X_W%TH&loBsY>=Un+4UpCZ$8umoq^6}$sw}+FI31GiW%Ce-# zvH}XL@(Z1QwaolRosq3Ayu~=$U^k?C^O!wa3EY8a?A~4{e45&kTuJDq@%#d2YwOEt zq$Sg`bWvsT2p*^n@y3csceOc5)lB@^TUya^g@skMzi?%U92QfuGMeo4l@aTLxozi}FqJWnu?=|JVDAOrTTvXP9~3#I#m&kH6Zo-XP+rO(v9Pe3@X&)G51WfHTQ4 zxkOtj;cV^$e0=az!kjy|z&AL7y)iEKmj%V% z=Egg&j<+c}iX<#Q^SW1+d+m9rGLj(qGHbr1n)p#1{rEBgR#1MguU&;)`ztB!dG2EPF#-fyDvQi9XC!2Jq z1a$MLH4RJHY9+Bu$7fM*B)B*sISGizkyoyAL2i3y1mXjle_GvbLcc-?zVKoh;QS^o zsalJUMbOw)@R`0{9#6&W@}S$2jhLTSstxxZZYwI~Pfd>45z7&`UwG{d#C}^UJI{6F z?nYF21t}waCWoBP?)@5*R^D{&^a25Q)N)8y(p$o_9(B%_V?_V8<5L<4>$bnQSFgA( zWVrhrWP|11ca_Y29ev2{uy4ECMp!SytTbW_sZS&JW29y@I^^elo<E!j;oaC2D4+hVVB)rT2h`6uP{lZF8^O}oBko10`w5CS%HA3II zr_ru2?E$p8f`q=WKThs;%>UBzzO~@cb$Qyp!+5!?j{|A;IY=(TRI~}UkcZ}oc$FG6 zpfg8I(jD*9!xmJ!$m87p$P=ojfl&jsq(xZX$253Z+_h*$fQLqhzSX1~lp8xbs+zWl zI#L>Rn5AhVvq`*>FF5w?_p>gq>-U=#JayG8OG3Ych?7c8);@9q=c*> z?ZH|;SP3FqNMKmh>!>V~=M<*xN8=9n`(3xaEQ5givImnsz8!N`-y2Tc2{!8qb{ERl zmPf88%+G#oZAwKB2E>~CUvwADa<=NT(r$YPew7M25pN8<5uq>Yka^rxbCq;abYo4b z_EYKU9Bih5M)ifw(>c?IwMGqBaJ7|(x|EY zoob+?q=tL%y7jOAWM~DrkF$4OOJP5>2I=V2XMW?q*9{-Hx2R+1&E10UJlj7#Gx_lr z_5bhRVy~&YYJ|@>6okCz?< z7?2PdyeT{2$wm0U+Qv=R_?2qd#_y%dS8(o-gQ!^OZ!-S~Z);c` zCh+AqZ|>8EOO%krjmW9f^B8}oXugAI#Y+qj&V$PFCPVaDi2T9Q_%X)rxUgUmaC-Jo zaoA@1Bu~QL_Edya_IJDa^k~=i71v>k*;yzZ}YfY@EfUR3mK_hS4wodtU~{V zGUq(px*u}-6#Fks;K%V9h3`~8o(%`LFWiRbYX*45<2IipRw~z|axVz48d#naa{D1E z=pdzPw9GB!;1aTB`PTJ!-ZI675TT4LT)RshckU+;mc{?RKkKR@ ztC1U%kxW&u3h001F%f-T@he}@{p*V0<}0@+M@qL;yqXidnsY)zz2^BtpL6q{Gm{a$ zrudbjxk6J8I<bD_6Y9!~i;yA5)`kIO2(WM}?M*6);y@7;Qq zEX^uBWnA&8#pc#0*u(P8!lE)hP?NKUCX&o@NJC=BH_Q zeGs@x0p2aPy82TN#t7IZH_6!N$_UAcyvQ_TiX~GMWJ07ietx;Kb0yE_@{4=brz*Y$ zU!EOuHGLRBicalB=bIM{=&0$8_{NRincw|1c1l^?HcI7diJyB{KQ}Ze!B5qH>LCBZ zK67J^%4$iAJ!K_@t<9ZUwHXp`F&>A#c$zRD2m5V@G_|V@GY5-~a8}F0cRB!(BoDkB7TF{_jU|&U>W}yP&;3I~;Vc?=kM|UY|Yg z)?S|jPIB+f{2!3ga$`=EQ7ij&)Wg=K>8RVS=F?G*t@(O=e5-dF7xjPUxl$VBdqtI; z@8R@hm%0DyDKBfRhEyHHd8&n=PuqlkD%LOmf84xxSX0f{ zHYy!L?}`)w=>jUfCsYBYBTYa-r3ol45DdLnm8!G^q=|q^F9Ai0g2I*(loB*NNFYKe zp%@69DYVn%(DYVP}_#N62i9`ziUSt_3F2CVECFV_Aornqcw21UdaAgLYf8uBV=^6 z?O}MEoA)q+gbv!!K==g@&gccsA^bg5?jSyJ_sF@|-~kyffguo&*>Sbuej~9z5Svyu zC$FmKQ=rK%zN;Oqd(1c?+*6p9RXKGOo*5yyDrQLS8P!1ZjkB^k+vS#?dDnkbC zb0SB%t+lXnX1XhBwZhx^lK-X5oxRF){eY#7=(SdkR7z1wP)bsYE5w-ZRRFQzQ;rQU zd|XIdDk1DJZMCKQwBz`VP5I6zWk+!}2ADii6}JX>BSs8!6Gb^uibQ%`I*^BuG3w!Q zLJm4=?iOfTdW@abQPcq4Sp2R>t|8i2ws&>D-1Ir;TARof$haun%@AgZy9xN=(107H zBR+_Ak*ixIOe>5bjIo=iTQ7_Wmjjd`bc|$>Jz$LlD@c){Mv78m5bYu#OqIxos|ThK zwMMz|qO5uxFkNChz>APEv`#2zbEhqf`>$jo1cT!;HNf^9KtA2 zC1@_`K|c*C`9AO!=?3md3SuZr31Ua_hn>f@1MJ9oNRg2f*axA2oHv5TZ89&ibgP8% zbhCy@;`qYk!n|=;anisIq`N(C-6~h7MR|0MyI{fnycxgH|Lsbhy^671UEp?~>{v;w zTu`7Dw1&>+#;%*fO->pOHB|X#r6NKAA6k)GVC(SHtFA;RaIK4)q zCLiO8^1&AqWFxhv^(IY@VI#Hm1g)Y|oj`nU7VYVfUZss}VyUTV{ONi*RMvqzb>Mw$ zD5nFTe6G=*pZz_xDxkJZiWJM-YK63A?o|gJTSKj@Y|Q>~IL~lW6n(qaCY92S#|7oa zZn%3rtXn2h|U^Bto8U^{YaLr_bIqvAwPo>f?WLg)W>Y_&%d%; zcJX!~H8U%UG)ybS?=L_JdW_y5VKYVP7GsP^GQ2@aIMP18y(#fU&{Jdtl=!V`+w4Aw z=z#MBrjR4x++~0(PfM{0J1-9oC66!DDoUmq% zzb~uG!C#m?ZfzNVUtU$6BYCy90NlbS#G5*>E1AbV*}^X*LJ_B25t95bIDDP+r*6`U zR0FM=e7~nn5oLU%NKlHYBXAS3$oC?xlqy;w7WrRrr4Go;3i@&;w`Wtbifl-@uioJDk zidBO7-7~sYe!sfGXtAnqs4)E5ty7meNj&4(Otr)yjz!vTsW1hcYFGfS5it+$NDg9N zOiUZa}YQK z=^7ohBV7Mslc+C7z&(^Ux>)3Vc>U%TcYBLX<-63-tuN6KWggYlbf;E(wFg-PLdo{c=eu8A2 zuzC4H2wiY$_Vv-_5MiE)Y9YY@QE~)yp(P?rOM22^hAi{V+WStH8ZPkpyF|+$7s#14 z!f`z8!`tVF?AxJod236=;M-y^ug8lvNw%egum0jR^7&Sq$1yggNiIU};`Yo_@A*Dc zx+LMA-Ou{+V`oWqX2B+BHw*4DAC$sUk-LZUhT2&@j@?LP%b|ibMGWtLiVQu=^n_zM zC{M|;TMQ?FFf)GCVOexeJM%65+U3oRFngobD{~h_hTWeB1>yX&%&w(Af?n%zfL*|$ z5doE*I@MDt^5|eT-`y7Lfpaly@tF|#i)ob^1?WbE6TR>MNL;->s%wYFrj*FFF^Sc1?;9p9 zV_WyuM?HFm0ha}I9@-;1P0mQ*Ufr>9nrozgUv$TK;YIQycrVOuu2b^qs#G(lLjJ!~W!wg1!TM&M50 zJI37m#qX*D`lI~%Z_M$9`^qZrxQToZO3Y8M`zk%TbXFp@1GETdJ(}Zk=?%bby3IIQ zut6I6O^xNr)#5vm%HI|{%9Yukew@$P>??R2ly(Vohxy8^^_Z|igWxc_+2hH&@U&cM z;g6OFw?-$1uXq0_KHXaw!#?EjnRvG?XOQP+&rh!XyKtl|t9x8Juza4B{`~5vp~34K zEcT`y#YtU_Y-6)O%=LNgVlHKv-{&223+^(PJAKc#aCckXImp7oC(vxcVO!lb$kk%i z+iW3hTiqqdbadkC84dg1jX(6IE^ukaFZI+`&y$ufjjE5ZcFg-x)ykCr&Z)M7WCarLUOvp4s-$V=hp^O$%APV;8?tf9EJw1W6?|32yKnN>5KAS$fG~M^mg+RawR9!nyC@m z8-3On#XG3;1t!zY#Jzu3CLP+jVkJP(#Ft@3&;Mwx&48w?ScT$WzlL_ZIo(6443<3D z)tPD>dbi+$E%QdT4C&0mR@0!pd*l2BdVHA-CLOKg*_-!T!>l)5y^nQJ=SN8Bkj@Zn zcTi^rw!2s&g;(SXex^5UxNX(3P?_I!^}R9l!0Ee9@tTSWD|^_$J;7zn`+y7{5@u#N99j0YjZzdLMu zXA0*pVubMSk{DOKy8>o~N<|c2Ls5}Vt^~&ILT7^MfzaqMG%wQ0C8#CRva!RY_a3@O zt6ITTf*^1pFebW;&aY@;+*IGbYjs(gacR>-Sg<&~1Nt%y>G99v8V2J+P}(NB5jOM1 z2-vd+FT?8Z4lXKS!1%>g(KoJyn1iha80X95PiIFWFIQWBl-LwMNScLl)`sah|D*S8 z$J;tGx8_)nCTH8(Vm8raVOh@LUGjmkMbTpujDcOuASc26;Qo^6a(%wiRz_#7eavHa z!t?y5?-{*5_9roCFrjj%6+bh25bEKUCn3mGWvb{!)7aYhEccpotubW z{{KBuYt$`gr?9Gb<$pu;7!yHRy=fkxKRnZ|6Q+S{+S4v&Q znMmdMK0eZ8oE9Jmo=7fd(i4Nx5DjrAKoO)l&VvO7f~gUOaBV=5ktYpYipYa&Kol7& zCwg$e)nu%Vn1DrO4+NUrG~_w@ANPhlIsFapM$;3Y z0%i~~b~qdHEpTKcb_RZd2mzRk#AxBN#5+K$*--3_iis}lf$@i7)1g>*gxPv#Kh5-` zPy1{*734&M{&|uxE)%9Ro+&q z#P;KB8st^wPu|Oxx}KDm7&ZVo#d~XrhyH($XyLB`jz?5|e2Kv;VE`_r>CY74Z%lX`5ZAK&NXyQ=w*;0_Bh(NJedy#I;v%2Hcu zgBsT%j>1LlVrH3{HquK?&EjKAcHBZWeRHXmtB=b?Ip*{@{w6<`>pz#47?EidBknm$ zFy>WqPB#$SWBqFv({qtYB&~Fq!ZeF_j%2iOasO$UV!<(I@SlbspC#`9r(y6A7U4}3 zcz%dzIZF6Qktx(?s#&f-J~J^V$LP-W{_0~69S&`Ij^r!k@*Owjd`gqfVLtB%|PxDC|g~DMr6bhw$X)l^HSs{??@KAQE z(yo7-;@#M4x$1qUC7DB>LtBDUUB-f3ND*}@Vo^Sc>@6JTOlcWxv#!C)DtLZKY%Mij zc-HjxRDG zKEeT)MKSjkfOX`;VALcefpJh7l|0Xb!k!q~F9#zjB1>4Yq2PsDIoqErv?5JFDV52K z1Kgl8F-k=hT9JAnikh4qVhH38@`dr)N5?DK;ht&!Gds!>_SR5vM7;l|6~dCQTb437 z;XF9a<0eJnRstD?}Kt3IX$TFwY|kfM>5O4a)gZr>_HOX^w* zSG>Ah7^NcJ1Sl-q(2}~)ViOlIdGyA)+k3n_^OD9oKzOT?ve`zO~Fk?(4NnUAJbd9+n|G za(J@j_Cj|n>9sCy!EWc@eo$Z0DJWHEO54n2#xh($a)H|>=<-DB$y!|Qo3@S)W7x<^ zh{!?&T9Oy+itvnlO_IbXvLBM{3_hz;!)WeE=ed4qPsOH+e&*WGJwW!uOFIKSHH#-^ zw9PHH37@ND#vgPla)9Q<6Ke8F=G98G*|N8oaCdAI>Z@ah{qirQ(tE5{(ob2Cr>A5g zYLt$3EiR*`Cr-0@{(1Tyzb^YB%g(@7b>RgK!v+sT#gd%mScI46Yhop)$$ofkXHcg0 zZxp+>2|uc1JOc6&LM*+a=cK+jO3-20}s!ulEc!;i)Byl>OG^keP*m#+wG7SX8er(+N7Svc+Je7i{xbD$~ocE5wu^{D<=E2N!S zr1&zN1${4N{8u=epL;@h!6cdcAP8Y5c$)=nXSBL)k=m;Cp?eZ=>8+D!w0u)|Ry)!hIK_<3;V`q?{Gl%0}>)C%e-kx{#rfcT8OczbUDN2{cb%>wpHrnWoUI{-fpB zw76D&W1kAMnx22a9!VW)++kSwj7;_Jx6l3t^Fo9qxbBfUyCya4>hHj5R zO(t?5*djXax%GblqI!=mHU^TljaIiJ@>|>Y0w{eS*-zeaTl&_z_xHs+LA#*D9pRDo zK9{vXo0R28r7REd8WezLizv>Q?oyC^NcEXHX~cy$N65TuT=J*j_>7|K8=|0NDn)UA zpW?i-fZ|*ye_Ud73T*A=);~q*`8Cz3ZsfBKdO$G)`o}0 z=U-16VI09Nlvc6MYj@JZm2mYB@VOLZG_aK54SaEzFwgVQ?I%wtqtjsJI!{`*aFX0i zM%2D-G@#4|%onP$4%t#145k>pQ^xO@()tDBt>yHDA9>QlwC73G<(8XtRGpA^Y7{5M z>*+_p-xSAB(5 zuNl~c+q|7vxy^sXe{_pOaet{v2-rGZn|E|mt$1tRADi&o{+}JWkiUH7SsnT5m6liE zi$aq#3atK|zL|f3Oka9%r>L?&xcyDQ(;pA+ls;CsQ)eF-W?0UPk@0@)cz^!oQZ0w4 z%<~JF^ZS-^ym{UB5&ztExD9nC{LWcdYjc+i-mrII*BgNvF z;Qa>Wme^Y+}_ zvS<;J@P!(Dzr=D4oB63}R>{>gXycxh1qn6rC@XKMXFR0#B3XaF?#MnbCiXTZG!M!7 zqSA7rPC%7NsW_%(|5mviX0{zwDuoFx)X`ZxcxQTETV_q;Gzr=ix%55YSMSTEP$BsD zzgT$i)VMi`?8iOEuxa0;Uf8vn*Ry|ickpN|Mm(@D(qPW}5+#*`M_I|(#Xx+_)5sEU zPcc4%5?)b&F#dg(X{bkXe-E-9lnaMnUh^~_=uur;x*cMl@-lYs^Nn-QPRiscIR{(* z!6#z(+5&yeCxgYPs9Mgex2mpbd^OWkwj|vcww)Nui#c$e-krh11MpGFk;>mgyX<;T z+RK`F2vK8=R_h%y62aM#)#`n1=KXVV)NxdZ2pWAtB>e880yVul%aj+ReumN+0rPKI zGSskObKU()^`6-DUVL6mZ4h+~#|nFFdkr4+^qcpJJed1x-v8rLr=ofPSKaY%U$F&0 zLZd7vV;N~NjaaodOdwXR1G9l`YsH9|_cto{>g1hN@o#Nfkb)iF_voz6av(T1%}L5O zaXlJqGQ!*VM011wG%7p;)DYbGj!1||Rw`b>@q3~I!7)@6zGlMVHoaYMn>nS)!a^|= z)MS?4M@y{v0970(;+SQ0v|aDU1D!~VUgbY(hpmxQmkzSIG5k_D?D@iSU08 zx+9xM`Y7h3OS_av`{8<%eNq@>JW3I>s=lFv4Givr=Ec0??mc)Glf%<{kQWoe+spYZ z<|bb+=TOfmOf0=)5GIxht=`i&C)GP|>E9sb`XhuZ(ltiWYpd8B?e{D%9@pyG)MoR> zAX#<062sip0c395=4T=(%$6R-1*0K8rcm8bq;gz29ZCfIoKcS-W`<({R1naFa%PksaYl19 zgu-Vnk?ats#L~j|Rp_v;ugM9jWDPa4oM+ zHj*9A5~2KiR_Cepd7{M5@x%?%wF2@*_#DEkOfah%{)rA^VE)Gk>irV10DJTMuZ!l_ z#6H2;I&{tq_&<()#80%q@gGOr=kBX#DT{B4E2mvN*UcMdhT{OHkh2$3@W|k}K5mjU zt_{E=W{ra5Fw7_xk`OKc*_>FOUQ9^oKSxr+=^zPleIg`voEtJYzV952m3V1zm+P1b zDHhi!M$*ScBMI^4*~id054PVDI98+}gaYRoJu#Rl@fMC1u?h}OjjVxKCu6u_v_v*s z8A8S|m=ms+(<2N!N3^4^FM&N-;0*m88Q$(3r&n>7)FrCorH73ZwSPDdo|qr8LGK*t zSIMqBpM+W4^1q@dx)Irn`{}jak!*-m$vUt;I6T#mKQ5!{BR{CM`+NU865r9ivBhft z+4>~URJmvL0eGH#wkdjZqJ!Qy`#odp8D2fdPU9Zut1$PE_^d6M$uBAT?i)y}IFMwW zF`|cWow@E}f)I09t)a*nDTzAdkzEyf*rzUAXnL4fr~d5O?Zkg?$7RE1a5;cT<(%)u zbB)tmn33_{Vx~T-Qos{0@~tIa%>|(>{if7D8j>ll1Tkyaoan)cqJc>grEvj>=Hxyl z(k;*W{0j=oE1L?_bq!qM{FP0H(~fD~AX_K#b$Mg=m?2+9HiLa3j zqYLpDWT4RLi^)yY{q=M4(z0Rh6!O^2=i6&IOKrMO>)rqq9d3U7QkROq0F;2f8u$ND zT-VT{QgrC@!)%GVJa7vhF`W6&9KngtT?ty%@tqAWNGt=O;3W?DdFnAc@DdwJYW=yk zW!U_TiIavMkoJnpmS5tPp|N9H9f;deyi(rSA^AVboo;1VS@Sj$k{;&q_Ehz|3eF~G zup(OwQ8APXIZ24&5R=Zo-aM;vsMd$t_Ms2w^(}~hocNbnjD`;%84d%v;G%Gj_4bH#~h;ZP*aEZ4q84~j5Bk?xpuY6r* z@);r-IDjm%!a0e%hhU`egP6CcDPy~uddi0V=_+pmKlG|@nK6eI80tO6m)I_B9@Pl?ElAR!5}Z9ppdK+9Jh}OU8tdGq7to%P zzJ1?28lCSpy^x4s5nfvg@!qz2K1F-T4L1i9rzx|{Y;$;{SEHA))T^z z*DZZPL*)byX4Dy&K2aCfMO}!FKM`^IC26ZwYY`@=I3Uc2eB_Yix4F9oi;7A3eV`rU ze(Mxo^KNakYWKxYXjpOfw`1iDo-a@6SN+fCiqDQzIs1UZ$_?j{@dg5r7W#D;CN*v6 z;O2&h(eBCfc=-hnr(gTsppIF`PE^ythFXP4ib=5|lYZ5Sa_~9Wvl{9l8bgBwi;yBO zj#+b#4VBdU#YO>R$b?YM)hOLh%#w>%5{obQG}-qKO&oH23O*O~h3u zkxKv*=*AGYsc|ETD;_gzsx8j3vJJ?*?l6-2u=pF$ha}3*ex%oK;qZUw@!~_3W@9WO zi~yOfeA)a#Ed6rKhx+4lt{#`xaVz{;clA>?IesgazF{%hlesQERMTI?8}X+6@m zjh8?3UaZ<}JL#$1g$XwXhVWt1pZ#=a5578p=PjGbqlcLx^?e(vBye}*N&e?fMpXK=4daGIX!=X&)`n|n z_GSpmN@q#bH_H*A!Jf!#8|s)pP8JmhFEszMx`jvvp^~N!uWY_%aJ`KXhAG8HE7&-S zIQ;c{idnjUrS(%~=%@sThr9l)h7CN}2xsn zmD2C(_JsYlQ(ufDr9(6uv`QU0+(R_aYn5gT`^%=PXmfPk~6*9O3VUA zt0H!NZ>VYR{@2ye78xjjCf%;zYuYLL`&Ht`Q)%8-mJPiqd5{a z9VXi5oakB)Sqrdv=Qa7q>I}WYimt9Qo z2Y2n)FNJ)TxF=BEWV$(1yu*WD>#DH|MlY~Jioi11VBJ?&45V}Yq+M$}d~;AXxycAi z1TVk4%E>ocIOXT9F)?p~(;R9ob= z##8IzRhMb6@+|bMO|=0S@1EIT5LB5MBzWZu5cNe@ym8)yO(RSo)czVif?G2Gge&k7 z9j@KvyOP(-NkY}#^UJN2s-wV6F^!RHN9I{?obK;WPtY3@!_PZgicO|Orr5)J(gNAb zMs&|lcDoN68##Fwa``PPdA+MA2z`;1p!mP87RI5H-RZO;B%;^`)CZu#$| zsM}22h@D?9)4C_ZbQ}8DBbKg=epe4mk|9oQ&mKg5K>!h`{u2h0kX(PfmB3KQ7x58) zW1DQ|S6Ao^k5b`#%D{o_hyl_dL#$26?CWx!TCqJ6K7^TbdYmL&krKnv6`L!3$^vH^ zxf8vf2jpU_8z7r%pHKp!+F{q*dvbEi6|%}43!4N~z?Az2^lVGou{F*Iahh<#-F12f zt}qg)696Ztcb;TebA6U5x+IMGI(ex9ipu`>OxmO>e=%e6 zQ*)is)7Ij6i{=5cV*qNUF!Y;SI{$@XzL{XH$B;v(nmQwk9Ubsm4>;D|xx!NdRlx&I zxVDpaB>RXdjZmfgYz>v)DKcmvDN%qiN}mVoig0I7^~gO^Nb`}XG=~XF1<`Cf8LL_n zUJib}5R;WAuqKlKofey#=37u+J$RpMLI6EWxHVN&`jTjbn6lmAueP?qLbw_;9x~?G zV`;eL%HzHBJH-Z}x^!l8kHpe~qZo5+upV5>TWrFWgL)S{vMe^+Z--3y++D@-L|yN3 z^+b5Mr-@YZ?o0`h{i4Jp5|j!n(CgM?ptsARPmf!zVqIO+%F0+*YWGM}j^C{7!{U!y zA+fGJII?zwBFCLQ!(BxI4NW z;n~MZ&poQlM}e~bs>LVM*UmbW`$Z7k-Q}xi(6G8+_ ziDNtQ)U4fotkAEW{Z{*_U+z0{c)&Y42jNQWdz&xvZgzh0p(7puo!=1*-%+t_bE-NAlbQhK$d6=*ZCSt@M}uaH+Q?-jEqTnaRdc!GDb>Hj$Q zGwH{j8|5)CJ>NDguKZ0dVaak_y?Q$3Ub%G_Vnb3Xxruh{f<`%)DP>kn>ha!h>$yY| zqLKOi92m{f1<})e-tzK)1INQb8FZ3+elRc$6^U`wlf)>jFpn3kcKAStLueZ@rL;*CLtx8;`xANFwm>{QNxCS((; zy$lrTF=JSfV3gCK&K#^c1Ddtx!ArP}|GcgaWo59I?(1X%ZDGPjvNwVxFvz!yH*WR3 z!{}^wQlt#>W63|a_savE3Zs|W4Dg@-=_k^l^Xk3V$c-|g87bhEc>|LjtF zN6E^G%O5ANxFe5VZ^eVe0A=*iw>AYlx#7F@Z<82^z{XWHjf$ee!N$E6FpTPFWcZN_=nx#fs2?-sr%c_t)yXYmFn-O%yqZERePsOWCR5qhlM z?T819wMt5)qfL83elq-^eh6J6fk{S}yx0l|eWYKL-qEmEliAU@cRx*I2BtDJKLu+U z)OinU8JhnAn@{iP-)k2PHo$LOz$l^TZF^C#JMtpUT!VeKBU&&@*p1sLcixya<=#b} zm_RkChSi~(v*v2_#bc0i>5|@1z q3I!d-mUjOdfu#806nkqOEB%jymfEhgU3gi zvx6|EG-%}>2OmKeFLDvHkJfRf%tPokLorQb3=%7H2la8#?e6^2FKk%=N^($V1ZI{2 zZQskWIMn)Z)>A|g<5H+&+N;tCeI4oJOwio6>cDKX^uOXB(S-C)U4m9d*18fdY6QK* zd{(-TFO$H0M(e2k612c})^_a%bWJUGwPMa;%Wk7ocw-(h@90?eG8F2#_5MZcnDs`X zbu@kzO-l6d4weLT?GE+4hlLK7c%xVcb(UbEyfNAuIajQEcQ0uyZEzG{hHukur~JTs zBhM4&^rN3fq8g!h27-UYwPr4kr?TvJU@Mb6KgYESW@=2=pp1Jv0>2b>i|{l;pGO9} z5<<2yZ!r8=R1ivmQXB0ifzf%pn7x?u1nssyT)eM;+hrj5PaGafndCI_(C`6esl*P6 zUry%++yF^Hw!fZl&Ewv?tu#sE8zW{D_RyVWS2vap+cse>3*AXeCUh9scHxhmAg74wlqW_?vKKlue|xG= z21BAIgB~hBl{(%R`9%1bYsOf(7eV8@D8v|90 z^i1oRtRdU=@>7hPF~Gi-XC~D0&)*7#U`o;8gNMstzEax-zQr7119S9Fc?mVto`SNd zA|sxB5wl0JH=zd0PrFE&6lHLJU{Al)v8dNs)?+0PgZYTlYlq206nG&21xE!BQShI#$;+50c39-@6BcKQIIGcF(+rMW z!J_<^8@LGk_gw9z&Ab)>ROaA~BnYjAI*vU9N8 zc6ly!jJv4AU7k%9pZ_cVI|=GzTM~P94Nz5E?Z0;%r?I})sJ}o7qB-7!6V6NA19o5z z9nUNN>u}>Lb;p7pE>85qF#xlW-~WZBR1+m+SW|P#P3(r{wGCf5d?mpE0n>j3H*gWt&8@G(}mxl z!CUdg3ja-s*l@gX0vhy;KOEzZ)xs*99j~PI3vU-mo`ei~=KMG1tgY6lUCz7wM)E}kD*r$arh2#WLIK+(YTh}yU|AOPWH)STeKf}-tK_Ud6c zQKR4)oPwc6og+!(&Qo`iL`m8d$O!R$=l}bz(Gl_w8Ch{ARE)R`Zy zpXG2mu8Fa&ili78k_k=_QIQ(ML2{vxMhf2q&F#61AaxMIYiIiPNOk+Sx6(PEv$l|D z%s1SRhb=~yRrpd3#c1J|si$HM#m=A%h%vyCA%PAqOvC`u5CRK|iMR>$K*U(#Y{YNW zv$QO5dg3o26>`$EBHcS?$S=9M}Dl!#i?L(~j#Y2rDc)~JFJE>DyLSdrE# z`Ya@494|r;Jds+?q$fzC#c2VnMioqC4kCCuHW=sj{uUjIg>)aM2$Ud$6Z*JGj5s{9 zIk8WmTg)r^+({mw4C!Yk(^6>X1jK-q%to97tRX!vN>1JmJo^InE2g+;_e3d`=i#Do z4d1AqmwBXn?WW`mv)9;IG1vQEJ!Zr8@BBjI#KP@U8lSFRz-?;2_XC1N^MW24v;VGe zHa*c-S9}P0@DOsn=)&D{?_HUDy9HuzZXsxGUp@`c3iHHGEt`%1`ZOb(om;0CcHYhc1*#8l>F=W0}9JG+BMAl^bo8|MXfe&tr$7`GcuXriS!S z87}_dviYQB`y!j%9FX-^Qa<8M9K)K)6A9-t^$C1(UIdgkox*J=u=aPIeUG>LUE^O0 z{*LP&?EXFW)IBKKTAm|(xn)0Is^v3k{`;SQR3A9+gn3w5>G~1v0c%6$R1Zcneb_2( zZ4bDg@je5w0cZyIvypj-nSe6bfD;9RNrgEbwlM6^P+oi*kl-ZD8I&kYgm@kZK+b|I zl)7VM%9OgQH-nFxyA5u1tf0v|smIUMryl?7s zpj>(1ze)iyW-@!WjFqfKJm9fz{1$6Od54u6U8!eZ|L0Y=tFLC$X6nH|srm7k*v9)Nt9P(h`==YduSr>$1uqc_1UyrZr?S44+Q+fPeSNQ~E$K z7UB#r3-)BSZf=;BLJduMvxbK3xT486$*NTrV4v1deNFk+ zhf;B%BHZSWF?*o*oCt|YwK26~9c+d37^)^EB#mEDCq?bxVas<8nUzHv8myM7z3|8)aptcv4kE)BQr;7zDW5BPLfT^5g53(lE3%7y zfSFMRGyE#C3rIxNf^!r5&cK+%f^o~pT^sLMr1d;!+kuAvnkUhgq3LPPGog8t=33gj zF)~c<7i{b~-+Az9`L=?4U{Av9k%`01(_a!_Hz#ulDcCF|K9CmD^eqK{)%)~Y={Lfh zmfgv>=J-uX{QWeHRDv{XYqOzDC9{OAD5QvG+7?-5R2N0vb2LTviBC%;)PPz$3`bIJ z#+YA71J21xsmm&5%To;NO~8dg)hR7~BZLvZwnkFcvI>K?DH2)t^ZEGp7{i<=GVfz? z)^ZDdw*%7U;c>|7;e&XNo489CpDQ})e6FiqJ+6p6{%$V)8=+l2rU(q^ z2D|w3VH@q9lP{xi#inq-6(Y+J^=m3@^>B}N&&Kx}CB9%ToN==0$J({=?eh%U5G3mW zB_|D*i@Ed+Wol-P51q`bnkRc89^`93@3WN3zA;Lb0DoK;cM}S%JkxP4&W@eSXjMm* z&4!zy(~vPd9Ii}+rm`Fn$oF%-KilHKu< zG!H;@AJ0g0LQM~plsVO>=mw}aCX!d#-|%L%8PML^+`?|=UirY~Nxiv-iE zZu!q)TqZc^imw~U((It@-aXe>V7q;l{Dl6~p8RNwhA0Vjp7Q6&6*lJeo~gccyni~ zliqigzaiZ3F4e@s@}|e>5xRPpn&a3-@c9WTH%>`vj$=~b0A&W9tLH`cg`TAj|Guv< zVZmbCl}&sEIhp+)Hn5Xaa289}z6dMsbc`XlY)*)BuHf^|dNRJ;epoS_8Lukp{`y2x z`K+eT<%i=xhZ1KhjEdq;&+O;gh_3*#smf>HMSi%w#|*R}b*Aybk-B5a za8BF=@|0nTf8XHFspjL)wuxpo4F-uyW1ON4!P))<6+ih?^yhcsQFb9XQ*FM^cAiDz ztB1i21Az@7=u7#92d^HA)ek6Ef>5#i+WYdvAC9=324LzYlDE*M2Qe zG;ze;e+48uAVcd0!ab8MyFpF?{7QrJx4fS!{nZtEgYS995$UuOA5Hpeh%kiHY}3pE z6~1nAfSv%FpPDk_;)&W=_=q zCS-tX5wGLU0aNb0f2}OurVE6Ng0{V!#ForD^pe}F(uIE`#OUSiaLUt>U5PP#bx0=1 zs*hIzYg%}t^6x%Sv@ad`5}+YEDEN?(WP%9Zvm)|83rH^IfYQVioT>18?J0q_7ABT} zE23=#pzL&HB|uR0ZXV|U;O#x2nrfm(QF^bT_uiXy=@6#e)iTWi+rnZ2j%nX_lk-g9Q= z>_K^mz(4hr+qT#-^a+SV{+&M#bU4M1I^WN+Pc@tuMNSKK%e(?(5}sa=z#I9T-^Upf z+wF2`Q@V-He7A#A{ti&`v{8-yLhTPE`|@^2V=a>Rp`y}2f4&sT^3_hj_nlMOYR!b$ z6aM1VZWr@2qKh@Xm@RK8Q9|r7e{rV|xiSMLK&B__h`8*%_E%Eze1a7`tHeGV&?4QH z= zBwm7qvvpj2eHjxj?>i}4J}0&aJ+ZP$P>Etl4k25xgIe$+n~D-%QMU9azF#j>6PHEV zL$nht?dW`DQN_H-b}8ak28;~-yZ$1SZYL!+6IdqL&PwMaf;#7Yv#v^BK-H40(#~32 zLCj+-YNbKH_Uu&xfT%U#elm*;A;`GcmvCu;)L$k+r~at*GvR_jmHcX+UR{&ni41mC zwt^}ZLqCRv4~0mPw=!bnAPD08Fe|5o1(gp|W@D2JPQY-3)K;pkfOnIh>JGl&2h6(w zYNxG1q_ug8Ckz-+_cLw7&wlje{-U}Xl)n>xfHX|^`N&(@yn+*U^)HRg%hpW4(Ot+# zy^n(?t2s!Cd=1xfsAFU-yKf%HYvUoN24ix2Qzwm5@jtKshx{IBT1A zHKMI#N(pGE4WXb=1gqunjN}(6@|(Wroe%dG1>Xgx+V{=*(0KcxR_CDlo6TK+(uhfS0qN(%U$aj?t%_y_?#;D8C|6RMBBie0W3m`;u^Cu@RY86xoswS@&bs z$|~u-?R$$m^FJR?!XHn5{nPMsFCtvx<|9x+G-e73#lpd~bFBjH$d0Oy7`OSFNxD*xk zr)CDAHOdmx4P`<(^=%!{`0yuMk3u0q`6YT|kF$$uOqCK}O+Z!0pa%7~^~64BLA0fR z*?shCzt=J=ZK{-R?-5Ei8rKUCp7H9R@sgOql-6q#M3EP{O{!nUkL&yT&|Tb%y}{y^ zC{;=3;3UHv>MPIQXt>kRU*M2F>vJqP_v$2XR;jjOF^_MfZZ0Owa$QX=gZnq7Vg~n{ zJ6zt=Mx5~Alf?HkG>(B}LX9-0T8RrI&=Uo(B@Qf@qa_5vH&)^KF@o$%1+3Yt|K4;M zYkdLOP1!L@+0jke-)zR~c&5#|F0jhJa$p|di;@feZJjv#_&B+C7t#PbEnMKWDhxx5 zoH=fL=bLi2ujW1A6v;7?ovN);G8ND8P84!y}IC_esQS*V`!q~^2F zm14fdecd#UWi!dZtIV6Hv}_BHCLNpG0v=BSn!Qr(`G}TiW!Cgts_P^5_FWQZ9TM&B z6E!MlN*-LP$H-WvYdFd{R?L(=ZU5T(zNO`T@Xj{0zZb{01)J{KkkZ4j-oH%fCOb)l z#*ya%Z^dPB&g+o1=OJsvJU*FAl@N4LZjMT8Z{9F_ataeNHqBL#C~zoBaM>En4RyA`n!7@Klq z-LgWyQSf2GePtt0*c-0Snu##9Tmxs8EO|3-*b^`nEdEj ztJfOB%^~$ZLT|dR>dhWh)8(o)MsU%81U+UWKL%h#AwM2Se07F1zlH0%FssuU-k6gy zRJVX?v8YQv8?}BjMf%PjGs>V~mNU+Pxd|CJelQ-24>MzAAwT*KBF7K3ug@zI2&+!u z+Y5aUtVzxe{41T1Y3;=y^JgyB^Vnak;C& z!(1+DlqTc{^mW6NO(g@U4KrsJpr^NB$|}K>WkC&JN*{^3T{-3NpHy3ao+Ly`Dk(f4 zzNrm_icpO~)`MTKZ&8P|0k;II)y5BUhLa`nAR4%elkM{^?;< z8p%Amu1$$m5nl$@mhwq;VY0Nn=8Y*)h&SMSh#bU6I{iLDUT+8`3T-Bk*vdq6-_+Y^ z+9UF1!vImbsq;#8zNcw?UiYi<`z&O5{n=TT@HQ4dmsfFKw@PZ;v#a_}#xeJGM5ALS zx+?#31-!2% z9aRkbLzZdF&xO2Vl|T(B4FRk!iHigZPSwo=q&GqlB_Qr#>KUTMpR!V6L$%Z6QGJXp zCeX%^KyQHEX)ODFZ|3_=B!8?umRNyV2B=EFL>ASq3xjT!5h@fgHAJKl7f2j-;zAGR z!M0vo!nEBaI7tkTKhaLqt+s^ajj-5yEh2Li!341*Ihcoa*esq}`IDsXPI+S}p??m6hw>*fU8(ZMKtlflf=cC2 zM!Hha#xO$vJc3H4Pr>mjdUAM5A%T$6r{;JSeYZ`bMFB!bpZ;BlNK}8r+8%Q)1lW&p z0?>7sK}V=UJTO{b;;MQp6kt`X3o0DALI~Etf>3ba3K3Wl>+0{ev9$J+)X~HYWgKd7 zDHn9F)0ha!IloE3_|RjQWQi1SCztIhv^xmqKA!YkG2;M35UYlWzwxoeQ8HLb%vQNu z{4&u$D;P!?TGRwkhrF98N(AePv2f1${^cTe_+ln1ppASJ@u}cAk;uXhBdR;6fe}b} zwbT36VJFV(?jZr|nQ^^+d7=K$qDrCBu3NbSa7gw?7AsH!8%psKz3wrYE7xs;APS+M z{Z6fPFF0H%=rm(wiD~kks)g*r0~dezp@!EzCA)eQo5`N*)}NpRDrTI*v*(tUlpzJU z5Y-q*Om4Ih)R1b4)GH^kG~GYTktBe>z4NuDR0UT(&{u!xPm48_^wnaCiGP!se}OLK zRi|CbPwhFX(yRs-NGZL*6qPGOtw}i>aOmb`g|3D~iwJ(6kTX0D8e78M2p^~^tYmx& z+yM^#!M@WyYNgoIWpv(5`yQI`Lo!4IDnjQdgkqsuBE$HQcQeXl+m@;H)^xfszE5X0 zk!%roX3qGY&Fh6|xKwWEfCyZ`(Xaw?Chgv_QZQwdz$t}wrg#~&AZ*11vA;IEn{_+I zTkvgLcd9VVdDS`gVDGu5Q{_xG6^Ke;eX5vfY+A65)bL5Y)KfSq-lQLkZwN+d5GmUZ z?hkThoG)@^gjW(a;QIvH$&D@5wNS1mCs^8to>unPb53c+?}eeriL~tENRULCJ#Dps zC;_Y>rk}guiAmo91Gx=%Y9KrL((qECcG|M752H?^pf-}_V326395of+p@y+Z@V|q( zrCX-%6^%7=DBp~iTw?mlM&wfCfqg7*5J`sf#?(N|p1IO>)8HsE^o!ru&2Ie( z>*=f#{S_o!M%jOTIrzTK{;*EhciYlxm>M?oZ3#eBf6U*2Y3dXq>44MuD9Jg{8=0=& zf5S}oe*h>^8+Hw3=TR%R0Z5M`B@Ip;8Rwgd&5hH-gqQOr?yaR^o@1D48l9y&y1nxERKY=B|EpSSTI)I zmEB2B2bFvFubJ}LMyR@Zfu0fBQm9p`dO86`O>qW{+VM}jKOq_?ffU&1z(#_OF+D?~ z*4Yi1Ks$Mfr3R&KIck45grKus&1Aaj9t$J+gLh9Nuq$| zY=lcsX&H&Ms!`PO{$W;T36e84;oqn98z{n<#NVRO0uR%m1-e;YK@&pl#UBKKP-3O( zLB=VehSy(6zDQyX@B_n?A_NK?!4FGUS*upyi2l&cWk+ZjRW}>HVp{>LX#AT1U$IjH z@2ucmh+rFIG#yElRe3?Y{}U^X1a;q_EP?jqlJ#BE?P`<|`;u&ECE7G$K^)5fCFjX! z#;Y2r~$!YXxCvl3#uH|a&boqX5eRSKf?(Uu2U3Ih5H>aoNUlrcH@X#|4HPGv- z1bFB=V^Vtml$t&#lkaCVJfJgmCUAc(#5s^>`kW{*L5Q;-EhP^?dKv%XUeQlI(xVA9 z$cu0iF^b3Rrc@tLi6kZ7TxJ|w+cc#io}6PGTYqFqLmJp3Vrmt^nwF^u{@YIs z=KkoY?@W;!(2Jy1Doa|tnsbTE*ub4TpXX{Egq?A?#+8W&YNS+kxa&3aWaYQX2){z_ z1atzzpBXH=#_@>~d-0f6| zKzW8o6(Ai5F?PGCjtGBYg z-CgOhKhhnwm7fUl$H= z+;5CLz75;~w*uy#M#LX?+NbUSfjfaEfVt#nvrBo$CA~zpU;p<7doGn;8fV3f%DlOo zh~Inct?a*iYJR^BALK93Sohe^)Wf&v@iI^1GnGvGZVe-uq%R9MmrwWDg@kggk3lDq}^ zY${E15Xf7~Mkd)5-?(@5y9?!(Dy8Q)(RT#Y5&4lg6uACDHn%Y|^vp-%Ox^frB-HoY zW@#1DnL+4`d)hcvjbHS)8iq!sfuKpTEb+FDyGqJI4Bd7WQktRi4|hl!pfK@f!GxZe zggg_HLYuqv0Zi$=p4ftV6cmxInQN0DTe(Z7;B!p7q)PB-t_P!XOr6Qr z{Itn=8jueeh|jn~Y5{q=ugABbjv}H@**|STIYneiC(9=EW=x%l)@ZcJ9~HE*Bh_N) zzK91hrfA2|nM%nM8pvsr?=~VM7?#esTe1LbPcc6mN*aQ8f4v9y){#1M%@aDsVHYB+ z;;D%>?rRjfYRIuYvAa+1cRcC4RYfFA`754QH)^-*?x*8;o(~Uhqc4s~ondo?PFv=P z6BV$Ft0D0L4p7xd4(8}an56FLnpe-Q7qqb=g`>1?Z}Bw{PErQE5Ix-Ht2}U_#aS07 zg1ee}0b1WL15u|BQop#MUqMO&37jVBBh^eCCoG3->5b(6*M#h7o z*~#N*mkV^cQRrNwIb2kBX6g>#9Dl~PtoF+%7Ur{Myumsw$VYoRfiEwywdZed$ojrw zvcc9EFIpM~{_JA1Ij*VNt4Rv{*~&D9tw~<8%vk&CB38X)N#9S5qB$uezkoIj&>nVp>|ZB+R|yGNkPMZKShGjHu{O%hMk-J-f>e`?jQC z`EE}mPROReG^3I~?jtPD7ix4vewgf#iM&Yga*Nj(Z#C5Kqn-+&Cd|x4f^dkn#v|edH@PWxRsI2Et4w;mo{YOM^m~w(xWJ4+FuMnl zyy%U9vVqqzoM!ATJ+ZbP&TRjdI8ru16U#|0(9+}jP9DKHa5s(JtSN~<-uIP%Mn4*hgFz3kj4OS=D#)C|bfr^V` zEz-%&i0-+IFG&|j>0LY6hx3A|4L~rqX6#q&<~>z;hqwjgp2KZklhLU%m5=8Ik1@!Y z3vc31w{HSvZPsy)6MGJs3b&+DWwTBAoVNhf$2F?+7hi&d+;c8lUMw|gJ``i!vGm2G zI+~Gw7v8OP!#@HeBPL$nvkEfHloqYs`3g9!SptP#E!8DQ z+b1_+qxD{;qdy(3rn_$WFRge^u2fI1h)%AQRQ6<4^w3uJ7*4MAVgmHAs&Y8ritfue zu?0laRe{&ULc9Q#of5{QpFf`u26ci@YEcDqq12^pp<#pQ;>c?th(VqF%<`bq%FhA!=!UlE+^0SjJ-?$Ew4r<_4KFO+BaBwXuP_Ca6ws;US@@t& z<&NJ}4Ejyck`is1*x)aO*Su`{xB7_>7OCHs#y(iEIEAmMSoj&^U_U1plvY&q{gQF8 zm+r;u=P~W`qBz(+_u>t-=io0G^L#_fH>%8Qr8&C#fs>#L2TJ&)7Vg5++<68l zIEMKmRTkkcT+LjJ4?1N%3&Fi%-SY!yFfR8nOF=)?G*DMS=Pf^v81$HYXDdC-$vsS8 zzz;s~Yfoj~KmFUf$b(K7KYcKoM?U&4zhC&kuTtyzy|i!jxgVBfVCgYvE&0wkMp$&e z$~@eVSUobe$Yd71m;P<3!b|Qny}oBfg*W9}B@@GmUQ@~D3NbGLtrild9R$@9rcGN?*=wKia|5UcOLM;9!&~rufQ}tEt%tYhrLou1EM88 zvn1npe->$VQ9k(hU*eoCYhVSs)d9<`3e#Zkc?-PSIZJNP7aOnT_ndaEJFE{a# zmss>O`sgaF<)3VARZl#i$$D-SU`DJs<})g2bNeMnU%6ZoFS!SbzgHbEG({5pN04`{Ti#?Do{t zwjLdt&xda!L>Ho=@)^j`j)8iBE7z{pZ+^5M_j+!$KJ+n*I^A$aNM<7w#v2m#EPH87 z0_6yi?L7{DXXc-%+ZdVMjj{|w86~(L@)vh9@wD867Vtg{o}1Duu1pq%+)h|$Yo}Tk zMAcn?#IJq|r@~eM#hztL)PRWUtucQX6gIJ*A|yUek5fd{B%ihry3(sT0Fy+>KamwT{rfeX!i{Yi?yI-pOXE(eOYw!>RXvy%&n7gha*3DsA$p3D4k!E{$RAKk(kScly(fQT9B!jY9jj3} z0uR6ZR7~J?!2G^utX(%tGQOStF^N zOLo7rh(P%Mri#+Qh$X-zL&$QuHe+!2pdhLeO0N$iOL9crZ>`E06yz@?QBG9Hv;ujZ zDxXT(=<6Cl}orkemrTomCY;^C(Tnl)JSfrGQP*2D& z1gwtO_ko?|v|N}8%w`Udi6A2!rbL`#Be&(_k$8|3A>J$J4iEizjY>5L=BJiD|e?l%KxR2RjK>?dJ3(^j~GP09F zBZxoByY%;%&8Kqu_;DZ~1^Dd_Pk6zz75n}1-q;pGy4-cGb-09Zque$d!C; z9ntid-l64RI=O0}jWPdCX3TAT=;1;v+7#N^Riq$J+qq%`?d-;fM9&GO6ZQ_=-Os+! z-=_>|WMiV9-8*H*xa`LwXG>Ky1h20UOgwPDv+M37hf1WHAy6OEDQO0Y zdd{x}J@EtDwvdT*1PdR0c!})u1ke}f$g1h)MjGU)kszj7r{$b6TEZ5xn{|6T(@9ux?~=kJ z%OL#S*--(7QO#}KX|Hb1Q~MujbA7f<)*h=`)|5^DAnMcoU1i_lncl~gcj_H`A43b> z@_;U=ko0i!w|b{eiLNlR5^q}EC}&89GKSC>HF*zAS9r9%UG?R559`}m!5POlj_J0( zIX*Jhtx@+0SZTT#HmY73zPtD4KYJm@j;5;7Z|#Nbma8Ha8;st~n6z?UM^Buq zTk6s)9+9ZAM1Fl*$a;83EU`FW)+yS=_fT`Ag0*7+d)z~0xHWtDqU??6emw7W2lF#u zWqG4re%dguNTAkBRx}13qC;4f*8Tn2z}@8`a>zN2=NkTOA@w#Ls%v|YHnE#M#r>+1 zVD&W(+Nh^Tosltl#*g(B_kP@ws+F&YesC9i`!;NOq>!dmz;R*WTVM2*Q3a$vXQ_KG zLiiQh(QBuhR+tekveF;@w&*hx$joV>q?>I`*l`}Px6$n&Ho5r1$9Vr(Gqp`-xVszv zm&5#i%X3pXM_mp{4BrPsm}G+3Nwt_ z`c#*IN`#&V-iT-w4$q|{8~pC6O*wf&oj2ZF&YJ@Q14Cw)3Zle~0V zjJQPI!r&(PPVr8oQV@`|`YlWS)8NWbs*F{P`~2}(vn-kOvL!xD+)H?^}L?JA4AAydMH z4A_S5+v=_SzLk>5hlm_nGn$`u4L?-Q^b*q#NuV*B!BX*oRc4a z)$-h?YNDwa6ZA$H4hyR7UTvE3PUh~_^6)0q(j}Ktfc=X?GC;|PEID435z};8x@pPD z;AmwsMi59Nzww2^2(t2f5IUYOk(z^nzS zNfo5zzQ>yx;)o8Da*IxVQQFCWkL2wD^i4ge@6hn2*R3e#4R++B5cgn9)9yWlBa@6> zxZX#KURy~&^b5Il1GD)`e&0VCtv3p0VI?DT;Q$BByVJ?-qjXY{j~@K7CQE#3>~h9N zFV&($3;OpCwmh?JEOQ_*y@q)?3p_PdzeM=w zA1sQmw_ouUE?L@=i@q&-zox)qWBZFQ)!%ajsYkoxnDbZONwmX6YP-To)F<69Tfc&Q zi;&g`Ij}j;axxWkwU76MyID?@X&;3)&Yv{Ed8AGVf903I018Kc5BvDfPgLd_{`zpYzHal|zm<}v{vm~% z;X-muLiYSAAQ{v6Rrr^4pTJjcl}Ji%@0H;6Wr?SBEM6t#$MF4C7xQGwmdQjqQepXT zBj3LZMm9RWDb#!1PNRO+gP+YABZD}&CEJT6$LwW`#?_XTR_eNAs2K~dzQr^i9P(U9 zUPa5yMFbf&X)-a_iIpJpZg1a;Z8&~o*sweIC1^LP7}0;Vbo~E-3TR!B_xghx+EzfXWmMFT1e&sB@HX_g1Z=BBv||Q6&VoL_ ztXPY6NB=^PGoeLSX5IYu&tQb^(Q|NGX0!$Zls&(E0!r*Zc@e-R2vY^4t(rhbpgtsQ z22RU{W?fMM`njFKj`~mVrnLl24vZFW0plcAI*Yny3 zAhxt!j};YHKfW^<#$I4cZq;61uWf|$PjM&`HUxK(hDm|ZBJRaIXjv9C3j$PeZI|aE zRhHlutZ3Vnu$S|$Cr9#zesX89x9-uCa0@Beihd%fW4=TAw~Fd01NssHs-71;M>xyE zND(0WrVD_W>P`wMGoTab*Bpc9ZW{Xy3hq}KgO4$xnO9VL=6Sa>yIk)1Wj#&?={0H1 z@xUPcC(VQ(WF%o_Jcb<7vM{%aXS&h7-5>|!0~>azrhuN74P?0c{y_T!&_JD~;(nDC_>#3B{Q+dxzG>_P1WyH3 z2jHz^3vk&!16mfbd%H>b1QebMa##rqZ@OT=;djc6o|x$k3uwB~l~et}j3$QP={ZdY zy$pzk&X=~+q7&Vt7vWmMu#3N8zdy{M9D~d%Poz&@jvt?986#oA!~wx`mF^mC355e5 z?mL*l)3*7{lXfxWY#&^P2`!HRMK)bXE3QR{r}B`_0lLeZJ#-an`GA6%AuS2aK+B z#@P5Jaz$`8E(6d;D<`n|SLbts8vQ3sq$cYwOdHy#JmLUUmbUA(0(0?OIfG3gPr3unyXIq`^B`gbV05ir- zOe@AIXMW)fR)U0e2Kb7@;D43U`eDU!yQ%=Empb+m-Vb|Fskr%jev~~rUW-GqawOhL zjOaWBhz-vw`c+!}*V9Ocrp+^LRVF7yKzceTna?e_>0%Y_n6hiRGHdM@cLrNRzQBUL zMPNPP23x)QYY4wMd2cXUTvT(3W z_h=+M6o9r~nQhOx=#cbtLCy}rLs`&<2v9&1?J?*)W!HTL);JHtg|?K(U~l0_Z|?p7)%6FUo+ou2pUY^^;rpfI$wHv z6j(8De+J9r{FdeVIqc)S{U4YOQYB^g3qoQ4UQynW`JP7SP;UpBza@|}$_4HEi-ixWBI)z`^%@Ut`e5%{~@|SJAwPO-Si=1m~nhc5EKoBCodC6g=0)H!XZ>q zau`Vr9V)R`^Mz2>=8%Wl;oZ!CgAQtkc9~Jq$rGEu+qq+3RQ_f9Ekn2h_@AEm70F-o zPZM|#`kLvt8etie_ykFeY~sYD-|aNog`c++{y3ABjeHO0_y_5wc^bTE>c=G=NeZSRQ0>eFf ze$(QCB32Z03SIe6M|fDGsId+xK42W>1u1q5Ri+n^e94Ss!eo`}JdNeRiD1~u-TvLL z!-<(ejg%Ma=@4GrwCwfzj-5nlL9?z8$K1q}q6YQL2*85aTc}o)n0^@%STaNovy7_I zE29IGVFgghKt3|?O)MkI7|2Ju#DlZIJhmFQ)Yl=ukVZ@P`X|Lw<0O7te!NKhM#bZD z&eC=w^~A;Ddq=~kR_BBd^^7p(UKn0urbuV9*x+wq>dEr1vn-#fL2cW39ZR&}m>t1X z_1X~oGT{GT=%~7$q)Qf@DkcEh1G%KbMPvA`@ARR>J;t~};rw#Nj)Xf-0jE%yCq9*?1fN50R^Cwey@jRt5zSv|B%=aL9&}z<(?icw;B`7QA zA!cAWBJSB{$of%KK(@vbAMN=-J^sE{aSFXOk-{2P<*~Z#>7a))`p&F}%F-|8P-cc5`ke_H83K}u z!4LZiCW*U&=>(y{F5ig~?4?2;QM~jJW~FK|DsLBfn3;1|8083QxL&(k!w}k+kLV9( zSUON*p8}_@cR(3H*;rn20tag9NTcqR`$tqf=Wp)3e1UmX2h3x%Sx}4h#lmdMN#ifq zxqB?2aF$nWz<~oaX_RsK^(M?7eP}q#KkJ+tm2f_)F$x}4?Io6Ol{;RJ6dYxHtX_FQ z8{p8NkStv%W}M|8$4|^ZgouVE#3qSm!16y{cn7*Hqu*#la*Zn;LJVo{^Vus|@6<^j(0Q*R95bxp~za{eN z)Rn;L;2w$BFQP663+jkR_nsxYNBh6lorrjJYp9e<4Aty<5AbnofD-%K;l;CG?SVux z4qiRKtBTvdy0T!nLSr5yrziWdj@aD09^1@_kBai$yxju!;+&D81P?RW?(uYw+hMX9 z6b94Zr!GrL*>VAYMC7zini)gr=mdhhhPa3<9BQSw_t&`H#8A?ZD8Xvk@q=TcF?&oK zgF;5D9ukAkvcilpf}A9*M{5kO05JBDD1qwklJx-UkYZp>Qg+~9o&RQj9Tk=t0v#(E_9sJZ!;I*#}$BTqE zz^e^da;K=o`9Z8SN*t1DU|#Ziz0APoF%=IZz8FhV&U(};Ub0qrE!reP?&6uzVe)zk zbon0fcW zd2>393fdR!OXS_9nRvd%5@HWzk4&9^4AhiWI(?XXz<{R$GJstT$$09V7xP-EJHDYk zlr2l0Lbesns>2tusK?9QknCIj$+~6H;Sxa6b{!I2ukU(+*LpR@B{Rls-{*Q=+6>y* zwR2s?e(hwW!wvlC)S1j!@J0Ap4%Ebv;$}M;@Vyme`cR@7cAy$4U@(ysgmLZ5bxyc^ zpZ<13{fwY)lwodvJ#mj-iy?{t8;RNimeGPmL-;TV)MLG#pA7?=2ez3TpjPR|y~33P zOj+_A;0&el=`Z1h7}gCHr+-C!As0foHUW7NdZU90&XVNdwhsBxi0aXcBfgNqhND*W zuLv%Lu$Cwt{VVInF-BK6uga9=s0E=e(`}8$ZbQn2ET27cL*L-FiEQtelnKjo z8w;xHH;d^v!k;h@Ui z=^|78p$-323|YDSZsuZt$$B(}t-XPXtLTI;T0`17S)o={y7era zgoEMXoB7PO!15#gp?n)tC>d2Noq)}49ui5bTFqzXYIc;<_sY!(Tf^mdC9K%4KZ>M! z%OxyGw2*)K32-3cA@$kUgS{42tIgAmW^LlzvgVpP4q>g$U}Kv5&R%Oa{cR^yl_LDv zgS||Y9aOIMtu5q~HF#lnnF!485!3}|_i{_vkO~%pD^K4#6&78}D+;l6|EiVxe;xTb zC|u2#R}^dRj+6f{B5Umu{{`j$KC)4a#CiaxvTm8{h{|6SA&V4K2Ad7UQu4KVOLOBx>wXCiGPC2;d%jh zP2quCVk`@e1(Si|g3jqTCdTqc{d2iFYLdj`Dkhlu?cV9^;~w70=9Sp5BVSZ+j5e?M zxA9zL)+B36cMl~m6aJvb=#*bQz2w62VdBbz8RGoAWxu&;UN{7BcCN}-j4thVP-5Xg zne1ZI@L&t-{KoK31GnCG1bNOj!+YcodO85+*~g&IGY7>T;;t^DzmRVFh=1`>4rw$Il+ zR4!lI8=2BGp-RkVCKHgL0a~*^dvk;0)&qvzUVdT7|0hCks{jv*;}!XbJ?kJh?*o5Q z+cnvbm89yf=ea>hBAZ^T?rYZU14oiKvM-P}93Ut<3*yROn0U_1~|G7!)=`a&>DY zm9+7Lu0$G@Llqjo&Za(S$%qk$@EV%)yk4)NnszBS1`gd^HiK5OIO0{P1N<<058=Rf z${%kc@LqSz$4u3r%raR#?~8^h-g1SD97H8=Q78t5;Svd}2|?{aO!$^_5SV1!&Y-N# z@N-Bjm~?x!AK6V!#wm#^Ifk!3c(NV3$oXH0)!ydn2aKT$V;K?Xvve4#qGktebawrF z5jsxB?lLQkqvK1OL+MOd3^`n%#F(!$(@vrut;-r-cU0S|=uBF3T zcXrY106HUWi_~!awU05u>_Gt{%ao}SXFpPwTur-p05(TsjGZ--2lgiBBdW%~N-L1X z0`gib+)dFR0cfDR5kk%VR9FO-gdB|tM((AW`cbBiTxSszZ&DK${ZT9wmgV4XV=|PQ z+AmVsrOwId!DHuc3f%FEcphqi2Y3GkUjG7@1#7N zz0-3I-d0lMORiPM+1;&d_T!mb^efNa=%I1PU!osY0gjs5qzI#r6CBig@8ITU*Ili;jmt6$f!Ho9S znsM#g^&86DlSh9s4XLuV{eDk{=l+CZ`N2}ctgy>%_((`nr!Q~9yv=aaZdB`l(EH` zq!t1zAQxSqiA}rM0b|E`9Hw0ifPp1spW*^1zFH3{yE{x)TpImqz5rY*bK(eX1A` zIO3fO$Vz@DQA1g3sVWW@4f^nUGo%Cn)|JL5tTb?Au>A14!Fo-aA*(Z}!z5;*@zKW$ zar^w|agGn^>ku?%H$?RV;!6p@49k@z&u7G=cW!FgPYcMFbv@7YDNDB16A0bK98&6^YnUZ=sK12RS6?L;PYO$@iWzTPILYnRcYc?nFj&NRWDZ; z9$aWMS-&FergonIo>JNP@KjB!UFKCQX*kdN9kZl>Swn1)vHT$cZ(6eIni~$>l&Y#z zz_RYIiI-@im_n4T)n&|wr>C?JcyR5hXC^;QkqY!t4#ItCBN25 zh2t<*&01NTifoIe`S8en9M8BxbJ29+uu~Zq8~r_xcL8ckBg$B z^23OQB`|q}?aV@`XefZ<*(a;q*9;6MN#)N_fTRaB0_6~Q0zE7J^mtoJm!JHmj4Zac zwrTwHEWc=@_oLF6>*k~P3*u(Aw@R8%+D{cvJAaH`X_lQv_D0XSHm`i#`Sy6f8N4YG z7$~v)a0NHi^HW31+xw@;t-wIUueP?flo+}VfX%qzne&jIjlX%2SuR`d;;RkO?7nL5 zr_P>V+=PubVr<5VmlyKs2^+0Eqrf|YS1RfRis$jUzSb%^-l@V9VrMkDsv2WW8;-ed zN!$vX<_hh6FaL_XTqKKdQiy~qsExtxRlnVk*ve6B%yu>ls-Aky-_9<~mbg(NqAGOM z_wdl+b{;x=I5B^i7VL{J{w|xc+TfelmenFTnuQzGL(d|GALpo948LwH`LU6smRO*c z5mP60RV|YWMVsVhZA1zOh}GW`o(LtIlAH*5&HAL3t3rC{cYWI5of39EK7xhGhrfjC z>r7mC{ghtJ2Hdi7dJN)tr}PMCZ**x)RHU zqk4v`J)wHJJihZ=uD1@Ua(lvk);|7fR8l^bVY}K3#XZih=J%$`6>YGvKfCxo{bkc3 zDeW~vK}%LvsQpn;DY@3tgzL|r)!7Hi!j?jjWW!Upv@WDm6J2&UG{U z=pzDX8oyh% z1ell3iEgTs1Z z^5JLp!jH4nz;Bh6su9PJYhEt$TDA;aP=_ei2gV7#yq~>TXl^1B$v6yG-y##>-04%o zIC@^LDdlnfzU#ZFqvPW#Kl54Up(E!owTZStP>T6T;5Z>r36bng02}>VFe?4zWO@C4 zQ*51owVf(FmvYQx&sg+LPFMVfzVKu9LF|o!Y>}%UN_jg!Zq5FQb!{Pyz@Q6H)ci9qt`G$+U83);c&Bw_AhBmshfkZ9otPUJ`7;T z{)C5+bZ4}v2uG?6*NdOF32TJ+G>g9W%UCaNSxn52&JNmo0Wut2A1$H&&FtH?EWUoM zrIfaO`=r$HFzB%dtYtt$Iq=)_j{`fwRepP-7A0?k;1!DjHH#C1k!r(~x9go%DrcU+ z2Dls74*~Lj7iAM?rXD76c60~+NO@9xqg2o+W6T80!#a?MEb>&$1t&i{Ad&Z^sMNzb zj#Vc=yHIGVX||xJS{HGDJ)pwFIFODk`l6!6|C}vF{u|7@#B-{(H@0ZL=A#8U{3v0<=|+1{@lT6KuT!6Am`xAe@Fk! zaEMwjSdOSt#RvKLOt0=vD_d`0hU)~BUFQ7Nym#?;Wy<>NRkau6<<=Et4;WW-#j)Fb zWqy8MeUgub8?Y78N;2=v#NR zKqxg8`QKRg%AmNSU`-^!CAbB5hv0(+AKcyD-5r7im%$-eaCg_BL4yo#37Wy(WhZZ6 z)&AJ6t=)RBYU<8++q+NqIrmQ0oP@T_`jUp+Ecrh4UwRn9)~n?nATH`1c)s)Mo<@Z^ zs=flilGI)S;E#&0I$=mkuR7sS|8|R;qyg$k>JtEUNXsg@OQe~`2RAUhk_R{MvdLo($j|^$#)B zp91RC77TOw&~%cgNiZg7e5pe`=Y6R|_YS*pLhuf|nM?!#&balp0Ow}Ar{A72X67L+ z;HP?=P@cJlhWXVKxvhT z>Zn!utMaJQolfjX_|&zI{Z{ytnt4dVl-5g6!qkP1e0liPd;G-)-~LC)GEu)cfR|jy zn6&Cfr)nge73LOyq}xl?fwH~`(2BI2185~&CIPfcu9PiZl=Lgjt&M&%G50)HFfk7y zB^aJo+hMA|Rdo=6W`-x(fY(0)c`*HXXP8pG(uT6E+kaWKY-iH;x0|QmX`!K|9|N)s zFk!xVUW6B{zM4kx%1Lm9@#;#*g+In16(m?@G8~mQ@jmQU?8o@KY-NJz>3~{q?|Cd_ zvIJSyGMVt~Ie_mnIxvJeMj-9_upAF)MPF6~w305P7>-KyJ1zPWz{|_cj^ANxp51h| z!s|3ncj0DgkFvq1mz!t6cgfdD2!@vY?PeBQ)MH!mF3EoSyR1oOPnlY8Xm?s<-n9^b zt#iQ8DihxVaAvQ6w~<~Yym*APeWLDYoH!B0vo*6e%hTUGyLJX^Qgw65k95qJ>&;rf z<#`M+8TB~!Gda3`c7nk#%Q%bg7XoMyRRv*SD zY#=YIo{K3mL=67&w1xM}5@lSxWARf_~ z21Kxs_-H~or^YDRJFkS=9ZH_p!|t!4fn}q6SUg@w-7=wpbysBSzw`mO{PjGYoAeK%Hfx?voePS0Zd@ect; za9$15{zS{PfLoM$Qot=!J*{6*FsxU_^b>RagvYUSKig76AN*Q{c^#~N<&h8RG9KWJ zyuTLQ5DeEPH@XSaDmA+Ku2p_C0Zza4C=hkoDff=IUKntTQQrf&Wvj39JQg;wJ_Kwc zwkjV4!Z`FL1R@;^kv<_UYmq(?E}b)l>?N2h%Kb~k`m*7}D>or~P;wxg@6vxR4)(I6PFND-q~r0O)0uTQeV z?0=Vk9qLMq2EnG69Nbm;z0Ndjfw@u=UNDaBdlbpxZ_^Tnhh^&7JtyIhQAuMF7gg{0 zV3)@L=}0UE=Cb{Oqh4D0s##ygex(IY_WF4b7QAH-QhE6FI&<_8yuUgUChng4bD@f} z4buJ3kIp3UIYmnuXeMPxIyfeVH(OS4Rf`R!{V1N*MDk zOE%j%H>9V?JU6tb#@sLj@5uEOrMfKv5ALYMd?Vxr+(2a_>`^TU-Pac3b&?Zq-YtsN z+4qFRFHY3()n5R#&{)dvx@h|!{{kLim}eU(>XAD)d;3LZ%*o+ydlPQpZ}So&LUXGU z@kZg-ip@*|tEk@EpABzLn#$xg<9nq+K@x~@(jl?eP zKugKpdAm)ro1ZTQXzD0^S;tUijl?h2KpDvabYrnVBZW&k5Fa4$7wwk&*qy6P8^5Cxgj5EP(Ile_qBn3it_e5yQ4kR6e4`go(Lw zFkm30b%}9uGSDFm4;5q*;^KsvnW-qC#YF!HQ2;mPV~i}uFwIvpkOb#gVdk!ig4_QW zxsj1>1vkYZMwWM&CdUk<$T?P;X`%lAOm48~ZoT&8v}753gewh5-Q|-F3bSBgj224W z{S|#hG?ou~Mjj(idBz$e2N}pd1b@DNqUn=qWSSQO>8tBDmlC#?-ZKdFpbe^6*%=r> z_AR1g^1pAFmESWmrN<2$Cp%Le^Pat-BU-~iaqyXA6?d0x= zT|=5PI$z8&f7#yb-9VZ%`UfFU8z?|zj36n1a%>H_sdOntqpc<+THtptGIo|6z&3?D z{aw|A2fF$r@~m66QR_MO#eVQ=4z4nb=L=k z15~0Bh~BjT^_4uUBi(_%$+;1ap#zbfT6`E@9|&x(ymtGVZ99s}O;_+p0@n)qaxjs0XoTap$R=@y*J(`I;$KwwKF zp@J@hx_&uJef}@)c$Uo!7K9buY8m`!&@hEHzCe`TBVAH}_<(qXLt_wgry_qK7f_K~ z4LP2a&Nf!hQK5y`Fj$d#Tbm|W_L6{xuSDTuoX#(XP2ljCugZ)@HKB4bpCfbY7q9|# zgzY_-?Am8P3URwfw$|Twv4`~t{V?buu*5L%+%O0>FlaL{gaxo1pW#hH{_hMqrLEfZ zZ!|3C<(bNj@BztsIAEaG@yKd%tXQY0xSS1rVN;AF_n7%Hxxp851GbLVBAu$0t^%kX>XDwLmHda#P!4| zb)3HbCcfF11oEjVO`LrAs50>&-uXlJlZ1;(&)tW)n}3#C4lN^-YTZE!gLUf8pTe6# zXvU@_LBc@Pa1Z9a<^kSkgd}_v2tNH7_p@{oJ~G7WAEHabM}^S+Ll{X$*r04${bCw_ ziVmFOj0o;;z%gEA>e4e!N=>XW`xFkkMCpp0Cs$TX#QPx^FrPXB0F!TW0#mdd%wt^t z-tbvKsO6|f(w7>bl(9Jom{ytcf%~#w`H~wXm>lrQS6A`UWo?K0{5~^$T3&jRdf1(D zUY16Cg-AFmE+{!piSAcvl2G)nq#Ez!^j}H~4@IU{Umuz>Y^ERBF;d9343whsKO?YX zJdt%NWxkGmhtT|@75KbkgZ|IC)TJSArxT5L$&8{$x+Rfta(1#0VRE~sI3PFfRN4(C z!j;LAdv5wKmc{iK?(c=*DC}eDYX+e9&yYH77IaeJnu_4kDEO%DC+4LV?e8I``1`FH zlx=64H>FEX(2fo74y8ce?mG~UtP+(#)~++-8}2|4O&RE$v>QB#1oTbGjT%If@=fg0 z+d=|oppX6;5fn`GKo1J0dmsP>$B#o2i@3eUiz|fjM(q3_Z{7iON~o$`dkMHl>*yDb z48|b-0HnTEvc5dEGq;HA+!TuhW92G>4)MoD*-xW&*5CwK%D^3(tevH%N^|_drIbHM z4ukpdw#B=+%G7@VYv%`I#B4N)(9V1P;wQmY%>S>_$AUdEhC=naD6#K^-s^vIn ztmUpd#+U`JX+sZwUyKuxx=WK4*;i@giOM)D`w9IG6{smL=K^iKHW7-PH}V2$_r%LT3-@PW-@i!m zL&3(tKK_5G>cs#{Z5s8W@%c~5%`{AnH-b$M*XN^LSiLi}89(cgMM3!HO`?MT8f*R| zOy6e@_9UvGrN7yl5&PN2;;e^kdb6TOnW_ zp#C?Srqcjawa6r1L0PMf2c;8v+&Q&R0nv{4cXkYTb9<@t*lXwH;ATZ&#=itbD^Ik< zJHh`}RTlkBd=*;N$hT@^kN4}!+&eY2g4`>Jowi(=wf!%#k3apW^3mjNvo(3>~Y%$M<41W z020Ius?yB~gl?igp{f3YsrU?B`_~F|6rO2$r}o4S_{*sDSxof4J`Qplf0nLcF7U>T zLC+B{CbPIiQ#g)Oe|78AYHEmp);2UpD=XG10Tfe9z(sg>)Ew}~;wf=EDdjO;-ir6Y ziSS)&HTN7ac5#ciomg=??-)#lXSjw7w-}k|^Y!n;GDK}r;r2(!j|FAS+8{rdoB6P+ zd@_TV|3;R-jA)Pd_5b#@IcdrhQ*XH1!EgevMhj3g6j`BbL4XuUqs#)5WXItldhr_4 zu^Mz0=)gW?2(Fs8dCKaS>ndrKxw%wX07kJ7guw3GkqKP|DG-@BnxIud%|EFY+w);s zQ!WJzTWmJ_ z%R^%HO#+uuEKGi2HPCYt@wAD+Z5;C|-AJJyRo8VA08-xV^pGceW#%2oOGJncE-F{#4%Tt8Jjvxu~C>D zR9rhklNRF9CH)xvNRa|YEMDWD`vn%rDy9H_<(Xp#+h-N`QjpF8`_#OxK;je|mB~Rx zLDMuh>Nm>3G{#%RJ}~Asxay4q7@4|($ZhDu$4ET6vk)f>Ji~#I=4hbI8HzKN3Jgp8 zuUQ9cvWOp^(ClUTOK3JARb-?T_YS3te8!7S@&m~3;}~`@;ZxpF%yqOX;#KN|gEwS9 zITDx0NCBmwQ#VhMREw*INI%wWTc2Ho0ue^pU-RPl8Um&gmt#r&j-)meb{$616eBCN7~$5y$zysxN1%=H`bN^jvJSEJ@6}N-SM*kQ^0B z_v<&UdQ@Cb>@LUH8J&O;bL8J)!ziQB$iFvQQJb?rWh5*3NEF@RdPaS7MAZ2sA0NDb z?p^w5>aWm8hdCo-NNne;a8mfiRXsAw>(^d^dJTAvFPdO17p1ZF%9F zWKyZhz=2(Dw7!&SHX^U&ZSx`Vy&%~dASlf#uo9+S;Kh1CHBxlj* zZ+Wlm`wroKH`85xWzlBCg1!**z{s`%)vN^>Rc$$((u$S5)EetVK^U@X{YsaWt#%*Nixa%mvd?^IHt1x7 z1S<;da7Io*8Yc8Smp2k~q@Yx1ddqSx%XLOs(&J(UV`G{PTG^A6Vk@SY5l;|!TFLHo zkS{gvh!(;xSW!}GKY|VdFDi{+;!#7SW$n!A_wN0uA#}3iO(|}H{7v@jEV4D~-^uYv zb0{pomfl%i`-bznBmhejV_ww>bE7$hvP763J2?PY<~pAOHLlMFkUkX6S@{G8$BWEk zo0m@kuX>0B5vWH#qkSQC8zX73Rw5kppVKcZS4ycno@@djs1j$2-pJ*#G*B)GGgehcu zP5=P5P|<)VC68>NR>C&m(aR$N7{^pwMT6&>&xa(ND5u7EdWAp* z;gn_C6{&-hO_W#D7Cfb=jY^DqO86UwEmh1q`U3i^j?KC1vd*3$LWM=0xD%v${SZZ1 z)8V{~AIMJ?`6F=9%hY4XTz;L;fY1<$QX1n9Jp-kPnKPkj;tj`fa`3Jdasjl@r8!xKo@uVnuJ{rlxVH0^MTI@RBQ$98oypHqx9lZFExo48ryCv z*(@(LYR|(TKANEHWmzJ-5u0Zz&?jiykoR(!JZpl8*JP&x?p;DJifMQnQ?j4V9btDE zW#!sZ^d8SwPR( z#ON0prp#XqMU;M$VT6imHk1BJgz(YH$>QdlTm$74(mS}sS9#dd0-VGpGnsec90(t% zg(F6~N5fU6<02Wk_l2qAUWaUwpyCn(47AdEDDl2B;jTiQY9}J+>W-5niA*=%6>662 zzw*P2muM2R84=kD+&5~K-l2Vc_hpA)< z19RgX@M6_lM!f@yl~}WSq~sHdzM;CB`o$=;I0VWD`KAWxO7(qIKH@seuuaEgA7|ex zHqQI~Y#9}_(tmmK{$Y>gdLmiLKJ0oTa`CeNip+?IwTLI$tr#m2x-*e>FbI$CG})vYB*pj87CrexezF0+_L9Xqv>O ziP{~1$h9^1BC%h87BfeLfJvIJsyVOy;|;|Rwlg^w>`y8njovtRF-cm&E{eKaY7A z+n=LHFlM8rtqyd}ugi!96|Bj!99MG>o(#-0W-ERM0N|}wHx}=*M%YT+Qzo6vH|HX~ zz#MZ4YBLF|@>NgY^bBQ!$n5Cjenq*Ww4LmPUodiBb~v`-jnEJM9rz{T7=FRYiSppf zpiD@PWzH*#xreeNVK!OspEPzZ#J1gmn72jm93^p$vH$L|bmBjL){|`nn9UjOiM;Q) zi{ITO?jR@~Z?yM6sK}w{dBT{J-=HAHLY@=(@}UReplzc&<%=@Ug;)`X;|HX9eJhb& z?9z;#fYPc|FN)MT`4_cSHC1UrxbeS%K14mYY(+~`mLBC&fP>Ry70V4G_Omr#W%x5% zB+${bmN3xi!YT1X1dXKA#cAw^4nnFc2@PCI6io79)cIQ+kyKnd??H{Y5{={k_~4dk z6kBQ>TQ=u1<|}#pj9TEr9`Wy37d)Z+2sZrFoX(#=FQaa{!4H{~P)WKfpr zr}zyf+BP}cIz16|_>&4jXJr{Q3v8U!9~Dt7Pswh&gDIIw^&rqg^3air{lUa<_DYYt?jq`XV-3ouwr{jc&_R~q61(b$>+C{JH7Poxl zgUzL3j%e#m+hmE&rC^>h^D1vOL1PtO@1IP2@lRW625NpVOZjWD1vZx)RDey{D#xqs zDjv(rxshgUjQ8a9H%V1PShITSO6kV?-V|=A5AvxpF16AP(m-7E^(u5i!c%(z_3TLtXe2m_@A=S%BEC>`dgtqX;pmtG%ybU``lVX?u zLn(3>rtaKNs57?Pseb_}=O|m(D0DsDTm8$~bpq<3w|8X#<5I0KCAX~9MV&L^2rFyA z(i}ybxo>j6MB$KB#oTCt*pT6J7SOtH88uzNsqc4i15LCHoXOgA z1^BloEdN6&rhH|Y$27NUr@6lty3yuz`K{#7x(y}-CCm2y@ugYMKIE2}w_ZU{!f6)^eL)m^j~xhBN%hKBLCDS&AQ%5#yV)&DOL(hc9RU`F9SfoTu$eaXa5jG zj0B~oCMh*XlmC;PjsHEP*VK(q;WTn=gQJ6lj%(24lz!`QNl<#y!KYV70TopFp#mlO zKQB@ol1>pnYd&-gm!{2e76;gWfFv>9S(D%vCCNWI@1#jmRo|TTYHl7yPZcJ!tyj7cfDI! z_BB#h-h%VzGv_L27m7wTGvaG2HL}pTxsLKy&Vut-zT2i$ma$}t#=aa~Y~Ax&E>0c} zbERt%RDP4r7Py)Gcu((E*-GQpxD>pzTi=0dZ$FMdxjl3D>MSnW*lKhB?LE(xat?P% zBp36m^CxvJ^h{Mj=f&cZpU0|+d^HSPIhk1k)ozkE(R-Rj2#JJ7!U2=@uX~!ht|y67 zuT_`$cwR>fB{H68-^H-Z9^1$N>{QBV#S^Lto4BOT?}_qRZa#OE5wyhaf_-)iQl#Sv z1v+C(ZjEp7e0I2+A~bo-CjVX@!tNCzV16_*)Y8+{73qJJYM__6HTW%La*<7KOSDOY zvyA;7TM=d}4jf{x1oM@WyWbp^tDB6xcAkghikbN6#)=MuOvJ}SOSrl0_TIxn(=72B zvO$%dEr!E(&(pftSBfmBo;>H#>>DJB)4E2SDS`jcU6D>##e&_nr_A<_oqAx9r)A{5 z)#sc)Uws@M4em!p-(j-X#XEXloujNR8?{lkUoEyrq5aUe+}ZBL`@PZVD!U=nZOZEf zo*MMG+L`M_ABpH5v9#b2S+X@SYJ=;h;`Lgb8jLmDiRtuR=5sXA<-@E?i?2HLLTf`I z>H5jp?a1r3R0Bg!!gC8Xv9La}+3DL}yVl<66z00u(2$5WlDj$b3U!s|yps)OJ+1Mp z@L}GCk{}-O65er=EP;NsbFa78I=x@Mb2KpK!z4%`;e%SjfY}-8^!3?tUe{Or-7lJf?HVj zJ>SQE^#&I=Ty9~*H)>@WUk;`L>gBnpQlpvhmno_FL(O?!8@om3@0Io6>+5Bt5>alP zcZ0FW*Tmd8xkZmk_vd2f1{z};|ARaeAT8$<3- zGrB%tLdu+y`;}UY7|T$U`*nT_pszRm7G~8neH*^dXQpZ0C9#&%Ft-T5nlK`#uWjSc zjK6yB@*E3DSAD}A)x2~u0HbQYOKYP3=wJ5Ad_Ywr(eip^+XZ{)Ra< z@Y&QWa{q4q*uY;~qkI}KC2|YAAaNsVTmBtVD$p?OofL<;zIYuqdc>p5i=hx(PJ9;s z%Ynb3`|Z5(uyGvAQbNY^WhKMS&QHJFPSb2QI=OpZIw2~P%j-O3Htdk`pm=*>f8xX5 zP(c(9F$X^iO*YKF4h+7Oc%1WB!h2%dS+LDQ1wqv@v3*O5Q&QnAce7gg@SS3;^iuxn z>+R#hAvMuCM!qN~llf_`Um^FT=h^vt0&}0q9(9@TGVQ0Ny#{7+aUI@=EceK@{$JUX z2{k$Ilt{mj?^SxM6e-Oo@GcZD))6n*%Ye)8gjBdjjyF?{JRi2AYY!ucJ!60CEG;O6 zX1mtPs0Mm%)5L6R!0Z@$*CE)^wb$-*mkX?T@*FxmFxFP7maQp!8pZ>RjZZp>J?8?x zAMqFjp4wqAxOm^_b5jwmPLZH}L1U%_ssK^SQbQ6v_Y*J`qj6sE&blvEQP~lqgC7fl;o9=#S!Of^ch({}568W)U2?fWZivtrw1(B$?euRdv}!oA+y6X;y|geHkzG#pH6@K0;`fEyG8LSrlq2Ck*fCW@9h}< z#7i6cm^}7|+U~sIYInma>~h_+&g>Eu_tqo3bg?OfrG;82b@qqt_$tge5>eab zO$l~zH$=a^CR{U2q}og+B2dL`gKuBEg!HY5#cEk z(gXE{3^q9F^glE&divS3ak!7Uw6Rv?gym{DW#ma6eWa?f6(S5&?bb64hgRhYjE+-V zwNmF)1$B?%c@=SF$EMk418s#*p44BVH`;Cf|I)vUaV{k8RX1GS?)29#M>Tn|35o>F}&T_K>S*xh`s;F`_SP(>%0WR=Bb8&ldykXc;{IR!esMh^xgV5?zDOhqU zH{a^Bn5cb=Fcf92Qo`ojE=X4ie$l%dl1%HYJ2G0*EVL^(47nSwwkxliI!%=Qu%Ky+ z`HwjU0V-(RXlO4D72B2D3z5a>E~sSK2!BkWsFd#@i;iaUEL<+)R4rj+@ModZlrY@6 z^qH)T?3_4>&U~l3fqrM9pi$v}k{1INRNkEDtROz*Ewnb%;sK|9`87flbr>^nVD??k zu|%&#)pgigMR4TXsxRHNQ6v}NDR@M?v``K$iI-t~=ll^K^ycY@k*DSq zGrvL6K0DrKRGxZwbQb#luUtdJCW{}>vg-I23xjQQtL7EonM`dJ9cNR4w-rBoy?)H; z^4w(jhE;Ic5lrTD`u_QnT`^3e<=MYNya(=&QYQ}SI#-~Wedk5bA7ZOyl8BQDd1oaM z+M{HWfWz+qn|$vdz`E_q_VdX)@#Ib30UO(5CwSHa_qZGjuc!)9Gl57rK<8y|tK93< z7PZov)qul!F781}YM3N}?1G^#d$8(!9%GfB3Ri+)OF$VGXG=8Z9|@*VI!3tM%$OBoy!sowizdTkIA_}tfE7&FQq9P zA46wgO$K1=sz0NWUPSB_VXqBB&nk*Mkl=mbjj7~K%~rRM7t`Xe|^ zm%kZ_b=c-De66&^(meaT0O5=&f7l?ta3k0FRs9xe>SxRQBZ{dz(m9%!f5M=a2y=r^ z?9O4yQ(|FCg@>N5(kZmEgEQKOmkN+b<;U!ikA=f`@!NSaF}l*eppuua665p2m&|ei zk|wITnzCMD9?N$>H&ze!gy@_lu?0|Oc_&$A`iLYReqo#?Cu27Y?q-&T51;s*Ztanl zc_1Q#vC$i~dhC7h6)RuB`&JHK^FUPlYSGS3^^lVQ7x!UuMQUov7XEK2`{R%Kc-_Qj zr{cZi#`)cMaky8GWH+$#{4JHQ2i1aqUtEK;n!Nhs4&H8`)dBJ) z6+F+xHXa|f@60p|J1S1=53l+{I-54^9``?q4K-=2%JkSIlN`R0rq1o{3zok%I5Pgcp- zvAJut-L$RJvNDXnR0VcI+!9+!e`7u=DBHm3)x2wcF}6b#E=s!)@l-c>`PF5->)R#( z{-~xsJS@4;bJ*Get*^|6IRTEQcU9H-D{$cr9{!LA@_+i%4JW@jpU>(>E~1BqPO@kvEG_npqeW@cSRxzDg^oJl{z@qg0DIY@#-%zlWg#xxM%qAsKU z_)=h2RBnRI)E;$Qd98O=4;pMS~y z(%l`}`}r4EkfYri=y`VdVIyz)*Mzzg+w_F`FP2k-?W$vAfxrF0>-)_x^|45eGZ7rW z(L<@iJUF{jTyVl|*$ZHvFH5$csUH zmcsTgi)E9~CB)eB7;-d^L)ZQ*C%Pmm_OXGqhLs3^!>|J%G04Du`R#AP zbs8__BSXujBghM$=yv_(`__t@PhtxJY?{)n8S+{@BOh7>C0RqtDYvEYY=!M5h#NM; z-uH{UcD>p zARy1c5LdzDAJT~Ek*%m+FVfc$nW4A%s^ebXjX%Tl!Dh?%B3kL zURkesf~u)}hFz)AEe!gbB{(A>Jh)oz0H59;^6L~^ahaQ<;t)mi*9kExj)0(e#GXs+ z!SGiUt`il?C|#@*zgXKMsTS6@18vSD$tX>%leie~6Hq&}8I%*g8TcMp=Zf)e z1AZYyKu0mO8+&(2pzP`S)U^@8ZGuDRPN7?C^Mmt7n$r<)^(cu0vt%u{XsjHSE&hUD z&UV%}I;D}_X2;=q(_y}0eb&!{K5JGFJ_Em!3+=|%G_ zuOgRq?9Z4ov9+%48ve~jv;Mgj5@6Nvk)GwzoF}QO5=v)eXsdL0?Vgh%ju-&1xMf?G z%b+-&Scd~`&VQSAIs4@6Lcw0>ZLiO2s)MKyfmSu#3H*hYgXfa=4c&G0(QN;sdu5#i zbYxApuw&b{ZBCp_G;t=jZQHh;nb@{%dt!BLJAdBw-F3hB&R@N{PuE)Y)UMjqXP0^*ExvJ^CKU`kD(;Km9Sc;lym?Op&AdgO0iwv{>%xxQ*YRR99(rxb$#Fjm-DsBG zO;UR)-0jY$lN8v%I;#EnJP8kYUb8{-X&`GhP+}a^Gq`GpL?u^%DfsDURxU3kBP8^)^H|(iXZrs2~6f z|LZqqk63COwXa^sSPzLt;H8+CUW?v_6Zi7B{pn3^z=P%`JE>0XNH7+s<>Vd9`3lHT^#0&g5F()xlFQB z2XenBf_hyxqJWqKc8|{PtvCAlsx)?vy-6#_kr#&ET9)(+)CIt!`6_m4$>S&oy-2L;d;J zV?ZA#LQ);}`1*y!EjbbbwTKis@KU3WG`IB-`zpN{wQv++TM(Omf>>mGoKbX&K$C=k zDddM~{$DIyIfs}gIDb+TO@Wfn@Ww_}F515Fg?9+eX_05-B%rEB zI%#S4-Q9YxIb2`{=q-hGxRg=KrAD$AC^f1-ij-(e$dmI3E#r}P6YtNLwfd|j<#9Q1 zxFWI$J$eLeKDXt#4@d1+?6fC~;z=rs(^{tvtLStnR}dm3A2CnYz%Y*rsN4_zJdyaj zhDpEv{DVH)XQC`XXimI{CkV(i|=Qox)`l@_fj%4|@T@kc?;*mxxsCVe0RJIUp<5%6Q=H{Bvro7EIDQ|3oP`fR^& z@g5p2E&phisG#f~CX{6@a__Z2$FMn)&n5;ADSZuu&0U=Tbs8SmEN9 zYPczOVQzeX#Aa z;+edKN9icvL$V$7jPnfZe25H(ufjFtJ0+8x!@+Ty$vD&Gr~ zr0%^0l)W9F9igTbuceD97X;M&JxX4I`qYDN!&R+rsAK6Ow<`E=JbP>o`ipSFGzX825GGOJN}9nze*bBqfzf-5^O#xbA`B!lx;7q?-6{h&b^brk}`NJ>h46( zqrh0>9%UVlT0OcuyHwtLXE?tBfEr0!hZ1^XZh1zpxkzkMeMImv&-I!>nebOFrDsDr zL=&F!mu;Pw2zvx-c>z};Ite$)dW8_}hYNeNe6|`0+e?vc1FQXKqg>I_Wnk8+G(BhP zxkE<71K%iAyanLA!+XNTDB_jzs#)`0XFPf9=9@OFrhi`b(_cFBg-KQLIM4|Opan7nJ4nNK)el)2rR z^7U>+ZkqR|;ah2ySlzM!nv9}4SJ$#i+)Cpj&AQ~`Y+1MugT2%q9i0elJQads*_`)S zk!4;m-6p(&CYoVUQT@vOxJ8&p*PIg;kV6P4{dX6aF?WBpu5wo0=M{;%9<#?1&FXk{ z;Ok3YLR?+Z8w(qTQ7)S0Or$Gsh$k~3_@nTy35B>5FO6PS1eqO+=E=r@Kec$=A&ZKa zd9iyuO-`C{Jh(r-vbqq!8K^#Fc3?Cy_LF=|40fxmxUmcxx)G1G6BofN$?)o3UY@Eg zKYGg4H9Y4Cu*S#^kqX?lG!u)9wOFO5L?A@z!vIx{BG9g6pACP-@lEGEzKV$aXyuPg z@bCxc#rCsqF|MtjDTo2P0dcm=1L)m{oeq*(X9diFe{^)1ueNvezPggneEXKgt6xJ^_@w=< z2=*`VIGaMFQkntk}r}I{G^U0zV4kehW?DFDTDRS3AyD9 zVRr~EMdN(etr+P>r>3;eyqZ?^-u9EFAT*P5~1$CWaTG z={C8^6C=-zc+ASFkf#+iQ4lF74uOdS?`1>Yt}&Rl1veHGP(c(8EP= zX{B`yK1~TEE-WLjf7nUZ5`=G=S+89`!`X8XbVVBYru z(MnE+eSVY^xs`oA4P(F(G2@I5!sfo-NiUjF`W$DH^RYx8Wr}gUrEfe_1M(c_aKYS|<#55sJWJfSlHw?!AoIMS`OCR*g~f>lX*mx_jK*r|Q%T+a^^ z$}1mk-sI2G@w2TTp<_Xtd`+h!G+l{!m?9yTQ>{)#(i5UjC>7m;n(dux!>M?jP7v13 zS&p3(FW}?A65VqIU7U#hUcC7(CAb8+h2hIgquTP?42$PGv_f7J+1=p(Kg$hwb2J;4hBs0tm8?< zegZ5~3$mIg=U5FCAx?c;h{I0c_@1o`{b(`)S{Rkos>qV`-w15Ke9l$ax7orB%#v6- z{)ku7j4TU|WxKhZN}XYR?N&)<7h)qadCES`c;z8tFjU)N94Lzg)Xk^M?PKKMAok-g zJuS5Cl!{u&+AQ-3TgXZ+x9M77R=PqKjUDg4v?A#6FFIfD3_ zl$iDxZ9@TK1bKK87pkW|Uz=!0Y9(_7I8_fT)7@>(+Lj zXs(FMYViB57(3dpWwP4!yXncw(u4!EP@MJlZv5Dtu2N|lsG}_LtMn_LGoAHLRzAbu za53Nr-H|d#;-yZqcEa`mnY@JT51w)vm*KCt&5S!&IQ*AElak84}|!1nFwS>7F6J6fV%p#8ULGvF8_{^tT!1 zJxG+4t`0sb^t6tdH<<0phg`3^5opEMGFONOvB(cvWq3hfvH#UsYw8*4;C>( zGHa?4f~tQOTEiO`FKAMkTihT)KSVk%Q#9H`xG&7zu9QS)1>4)elym+Q5!BzVSIy(+n430GY z?8Gdj9dHtv>l%1`?5eg%!W^GvuzORp)b8c@8u4Yd7 zknq4q^U1;DDYmbhCECc`=!(loMwU^hDh80EZVIuXgx$?2k1xIuTC1C~;mOaNsAr3z zQhI3TS%J--LWHM?!k0g`N!_J@&C(O+)#oL4Y+f$E?!7WQPCHIz zrE@#x@*Ym51FO4d6K6H>Oa^CgGDI|)wq5^0A^7MdAW4~XE~m9VqR2laGi_rZQXBnc z4{`UQ3_{`{<7+x~tNk+;xQ~RtQ-`a7 zDX-K6`#kk^N^QUV&-DCP9|S5Xj$?&8Evi_F^%de_;wkLez6liDr*_N>I6y=UrafIX z2CJ%5;qMI;p*_SpwZwE;?rgS;)BI6hZ(ZoU?p>ih5%pBbAH~WfBF>oh^xvU(VRt}* z!Wdn}EhGr&1aiKCkR9!Xk1p>HHl9Oj3M9lfZYuL2k&nOx2phTQs%U_+#K#)(#l1m& z`FYW5g;m_8P%B%fzZxrg?~?xMB#2|KmuT{7x-N-RRf1;|8nJY$phB!fj17H${!sHl zINo?!FY=DsVaq+53$M1yM(^9h5zodI`lY$hFgX6*qxiS1WA?;?g;$<4?j-)4kw>6+ zu>&_rQ*>_Er@bFRf~oi8bd%H_?HZZ|@k(3Q@|~vFBiU4uNlP(fFzTZ>;$sk$i8Gz1 zF|%LbT7ml-9O64o?~M|*VF|MS@BX<;#M00hM06-zl96d2#n^9>6uAIBAo7hmC;JTJ zZd7xd>7RDHP9M@Y{E4Z7H(=OISn5X~0nhLKk$s=(t%q9O;|gz04K6=^J$kU>ByiyE z>^T#3w#$tuOdF`~abbBo$EdmmyIbo4w=w9?;xf^@%Iww%xOLW*KHs$-Zi|}>!SW%_ z9a^(lud83e9Svo?Y_c7wSLmjR4-R25M*8k2xuT4amOAk+dYXQcO&+r8zzGZDeS_L0 z(AUN^q+=!}vI*aZN1Hd#UdTs{@_F~6kiH|B+3=iej-sVzf``HgEbIQ@GG#7W`QR2a zQ&hvq`gryK%I>)YdVi?P4x+r&7GEUMVVSe+*Iqt2^h?`F!yh=?uDKQP&~@9)%daXv zIjOv-&9iWsG49)V<}=`hXe3{C2)VxB!L5GnzPSLOxQEHcr27@LR5d$(&S1FOoI$>M z(xCal6uzq8tq_IO)=qgL*{DfJL&6F~CTNarkvKzk;adrSbSLGoW4zcH#gI7o6dFg< z!YON<1br!MwptPp7cOhg4@cGQ#$r)TSR^d5$UnWQAkHIenOOEccj9=)Dat)FXNil! z8v?TX+FL?%oCEwgP$FwI8Nd3|wexyilE&y-FPLe-?UZq+7RfSve%`?at4gUOMn5Ep zA0#DS{RhESW7^N`fYIpQ`*(xVP1UYE6HU4u?xD-;Pcccriqg;Ux4n+tBHvV zfo4q@OmS7st4Uf7XSac^DC#F9FPaIxgpxtyv;J!C+&nqdP@`Ju=X%ID>5^d3>7w9$ z!S~<a2m)-{+eFj2#*JTl&4oz|l*~Z0l&` zGo&zVHO-{ckxXGEcOFsw-DomYVE80anpz|fD^e(NTU7~!rc^^;^rrX$`$zt<{;q>L%Gi1 zctZI!G3-mS|4qcRV+_7${ct&-w~Jsjc*FY+0^i_ z=HSwYK$BA?8akBO)K6Zdr}`(F#$InxpyPq7cTA2VQDW^_$fph5-1pGQa895@Y^Yw_ z4iiR-=XZ!7UExizoxU_nM;<6icbNdfq|DNF=qcgU-&yuNxt}81+*qMi5nnklB~i5I z>MEf3IAB#L!uCYe;?`D z=!%h+cS?+0B?VuTl8qO+Fj;Ox3J6^kLl@I{_J^vFMp>E|h*0f6h4ZE|zo)JALkYxEw4c5E)P)( zCYSS#xC^L7;y?gtN0Z$(%#iUfCQGKM+%I7j?sb zKvj;@_kFQOJt%qVO}*T=YOP)lj1h_Xq|>hE^f@B9HPX2Jxyo!ck5C{)lCvJ&I@kNh!PvZ>U1=gMN`?!x1jkU!OwWyrZ z&k$`_TrBZBD}M~Hqn%9~t<_%ueMl`b{z-n}qH0yTj@&a#nSP2|yG5U94qYcgb4O*O zRS|23A|IAm0=w|`V}-H7!#WrVTu58xD&pTq4;NN`a@V|-kNrr zR5oN@KI+s=}=yCbg;ERibpVKUTFT)`mEC z;u2F46cUM2c%*S^;j?m5(!&=-A<9)9I3qb6jTbO>ax`}83EO=a3+wa2Ov|n&br`)L zj$#|O9l87fE)g=G*vA{;{ZGSAgy`Q{x)BX~9@@Yka$eOIQyiF9lh3lMHIo~$01ccp zpP7!lB~4g55tmUBYBG3LZ_Mc5VJN#pJnNUSs0rh3L8fJ`8&yj$d3*~R)2Im3ZKN)& zTsu#kx=tr#Kd)=A0&vP*;2Dj|p}ioc4{;DkgAmVcLMff3Hk@&a-b)xdkOm*L`_)%0 z7LsEZIKjK+Hnw|)r({(dRV6QY80R$f#>6=?ze25RW|_hsK3t96oex|^YB~xBRg=V8 zi{Ot_A!Xc*TCo)IFDa(z-x-;(VOq!=nIOHNL(?GE6z*IUq$R7z?3dPykq6R3xsC3f z4lEuZY$4mAZz@K%*&>U#@Y(c@-Puv}dY~UD9V8hRodw=6stZBgRCC$-I_%;m;ni7{ zk5OncAX$UA*h2eh|9Ue%sY_3R<|H>PB`fEC=odWP@2z{6$HfY?JG7|Go*%XUi3$BM|BB5ZHJ8)Jnce3q%n~@NC z*(MB~N5l6`NmJDiv89(1**toWM|zekL@eKQ4NaJe#~1tym7_z}d3eAdHj6RUqXi-}`O1(uMtoir{{~b9j#@G=#;-g^{bB7pv`jr}q5$yIe^> z+qWG%g7R}o@zdqLW`&O1e37Y>+e$4>;7Y-}t6FS#midIXM!4fA(o})xK=HX^+yeFk zb?%g=Ao@K1rU*DA_Jv!KmIwsoJBE)4WU~kS{$f+PasUYrU-b_BF{T)Dla|_n?ev*M z?F1X!t-V>yDHX=k82h>t*M#UFdCSEeoQqr+;5JX=c%NCAN^4TZCm@3#7g6gQIr6%b z9U-|z%`2iL7cxQT$PE?4n>#L6E8V#;ful9xTSaewV%9Iv3TlPAn|I7HC6NWiV@M+r z{lLbMKrw2TPLA2vUFyqAEvs)3aVj9M z&uFEJHUU`iYT{1c)+?Q0HJ_JKO-PY`zXUuZMI%_~o%j8T-^X(%R6$z71cx@z2QOEQ36s}swL1FucbVfbCoJfnt0ogRIp6!F!-1opXNJ5?isZ0GgI zsXja1kAiO}c`{kwv=tqa~dU>VpDC35fdbPT|m4%u?~?4hZo1<`EQorphHE zBXP;Q8l5(33bkcffWE4zL5PYBAD&ZH``U7_@6@H=9pRHG4pgT~yGB;-m7VWLaR*KA zG7w#*1Ny6H*LT+3X`BXQfDXu*#%$c1@c}Uq9()e>FQ4F_Y*JI%g^ywVyWlQyVusvZ zW?_AJbD1?sdd8$5T4ti=y}2ff*CvA{ge}8igy7Lsym;7(n)2f0okJ)P zI5d1gC8LYaz;zHH97m5jre?pegtY3OiK)P8pd^*vK_&G4UYbTWQbTGwvhwziZ0EGs z;IQ*VeF=c@N<_nu-<5e@#9O!84Stf~*MZ)Mj-lv|g+>~&LzDzcAXY6=NjS=xMN4`Y zeh(XSbuoawJ9Q73Kv#ke6z71}jl(iVDPt+XZXmeZNrCmd?nL9PdnajeitzMrmEbn?z0SvHe`f)}Mb?VmVOcF)au z#h_0^8rsUIcpbWl@KQEf7R13sSLf|S6{5;rzCcwOC<$pBbndeq3){v0Jo-7MFfc-? z--obWLexn#%7U|R_!RJ(GU$geTv{9R`%olTRqfAfA5u@sh|Sw21C^LMuxBYvc3XI$ z>il*iPc}oDTC^-~vQm<=$wQSy^~k9#S!6!mF&H4^S`~wtU+8Bi#@=MNGPYt+krx_{ zBZR36(f#jx^bshkLz^}hC1IPR2o^EnA4ij%b&PT7#IboYRNXZ%VMg-uJhnlD)4l2V zOllU|MoigeiCEV`X8aJ9{;}^txbbO5r3xAoNK%{<9jA9Izw(2GJ?^ovU0|=h1I|h_ zMuBT5SbZU`PgyIc&sRHDC%#)$rXpcVVts1Tm%f5L6Wq&OQqW~;uAJf14m>>xhh+&T zeVTuEn`N$M_nzFJ58xs(Jn7Q?fOMATkvg~_`;4n8V4MgbWn0#LZdNKDz~*YLr-Y_N z$~r@qOuYmy><%m0z)|Y}nZPbsa<}T|tm?B736c8^4H!Ak3mtk>vY=$@nz9mN-+8oQ z463}t9Gdvn=MXPpO0%=Cx;2EED}+OkdZn{rDylZ;$-xM<~1*M9LA<9v@FW7SaDVFt?fJ+J!W4FZuk~t+< zP;XQ(p_l}&{8-c!qPB{vus+nSmFoLZtM!49%7w?}#rr_jxBirFE=Hy5AjavM0s9;^ zn$Yo$c&PP8B_1$9lLHoy^Sz{@-{}ull)0MNk6>h%Pm?yZ{Mqo~+;2u1x=Gby;D&MK zVcYV;g=9pHZvFQ!J**taKzS4pli%+WKm}6vu*9fuv1;>7hT+vnzn3U!ucG|<+UT_7 z52BHzh{U-Hg0WDb(!FUK=3Rg7Fj~n&Fpv0|+DRs1iNo?R(jnpU%aBd7|I5>=dbPCQ z^m`NU!C5KPwQf3RqtYUcbJN;4X#SsXd+aP3o$zPNT#Qh|HXIV-5N#Roq&rr~o=$}O z`_&9EcudrYm4&I%9Ei)_4U*-Oi07P$=iY)1OHQG&?*Zf~r#k1IDRSy2AP}ww`%DlO zy{q047W@oHUfCih+rhHcdJSH04*VbBEvii4`CZlHSIe<)F{1Hw!XMM!$mKNf>nos2 zIPUF_GxcB(gyg=}VKkYTC7M3a^vv9G8oRs=ypi1JX&h{P^IElld-KQqu|si1w^I??F#T-hczQBQ`B0~Tl0 zMBofj5M>~fw`Q`IIUsL#rzj~<0P`ywFF!OoGP6NwNjw;EkDNQ$lkD*e&{c3r{GGRo zU8R817%Uc@JLiaGJd<`6={f^cZU0G~)J$9wBqjc1AacngcpUO=>hNyhk_!I87HXRv z{ld*R|3X0uKN)CjgV~EtRCb!va4tiO*$z`ecOkxhTQ6S$J3&_SNXbvOWlZOy+Y@k} z#KRG>`D|b^9AUhbOch0_J~S&HjJ~h9)$%#R**-m3MunjXDqC_+ekm!dI~FWFU20U~ zTT^};Vl=D5%N+5PV*#cnBJm{=;%<%OQ+{gzr0HDIC0*`NFIM-Ir_|9<9uxE^Fc(Ac zPgTDyMpNWew(AtCKNB5I;(HjGJJX|%I0i{x*g$Zk;k`H9AN{R2N8dI^jdyinR)n|@ zu4&mrld;%po@PE1S`p9&h{%u~G@d$p^#!~?IXao?2tT>i&k7-{CMHU z&4gzVEE^Gv;#QyBMXTZt1Mi=sZ*kp2gvFuKAe!&z zx|CL|cBZ(a_6_CVh;@#^2UHlfta9y6&8^wEPd*=NjqVzUI?2Sbyw`a--<8fk0$LVy z>MMJ+m#bPG%$ui%BY!H8pFGc2FNDJOI_LdiQ4aqY0v3ik?oJF=au%OE+x#^WTZ>#y z*X$HqEqO{U@xHlShl2VQGo0&BEY$@MH>WBMOno8`@5f9Cl>wr;nUNpVyCr&x%yesM z=fG5rK=Fn^psMeW^FbMBtcR6rJ3KM3n!O>@9EzaAW;2>)D#J|IX6coVtxs^Yt`o8- zU>q~UmA-Sknh8fGI9r~^&n%RhMKv*!0`=IaGvpw=VQ#762)^PC#E!nOd1Atv~Ea7^cDX8{bs}L=T_?4K~S=P zb^;c;;D-RZPShg1ydrhFy^go5BSX)e!_8+_tsUiYE=`C>j}lkbm+D~+n#Rth5Lpi6 zFOG)|aECDAZl*c|nXBN)p|&l1)pgL-Qmd5?t;(Qs>BjHr4h-M1zL7Z!Rzt3(BmeTm z*aZ|I9CG~9J&`$x|Mb{CoQOB%a$qH*a1a34GVRiCK$_K`nmDHq@B$LhT6i#D!ew3a3v@Dv;6dc>$_DYZEA7srL z=Lr^2kD2aQG))pF^sKoKtRK}O#QGtUNNzdc-wI{<3RAA%)Ui>IgI(SOohpQpY+;@= z=docbpP*daMS6)oQ0+Lbe@Ctq$mhWI5|_(O3rh9?vO<5H*2hzSB?())Y1FraysP(C z0FzU>R98lyc z79fZo>EuyONqDxpM|GUxi+dD`rte)Uo;2tl*K_|c+7C#3=$!73Tw?~#gfBJCZ~7SF zN;xl{?1P#y)p{d;KkZ8U9Uf*#OQ|v7|3E}0&b43Ke+z%dt5n>%>52_t*cXEBoD_H@ z%l@x_B>7zcmcX$@O%KL@r`;2Zs>{(6QpA5l;48C!}i_~9&QU8JPNRwlH=kWq9dZULWuJg9lka%d*uz}^hA$h!F|H9mSz_nI%+{SYn2Cy%WZ2xoSrDTas0HQIzbqhh z6>&(RZ;QL5z&wxWe_$?4f-qU24VBq5(FS)WzqYKp*70Esq4)`BuHZI@Eu=Jy>P6^@ zXN9v>IXU?HbZ)qx8&R2^6*NKi71<;v3>DAZgRgAoc7PB3`v>t}^oJ9hvM4;udUEFn zEpDkegn+^eH@fd95FV-1Gk*}8f%fXW*;m%;;JKG~hbK$nQ`Ly>_ccKhQ~zxBp~}>K zS?W5T+Em><@#$8RK_dIU*54|v_u#!gE0tweMSiu1k&&f{_u^_fImaQG!OYqqF3zOz z#g`&;e6@S+g$YEUr3|6Db3wTQ?@Nv@H`t_Iks%22a6&OTI+Q17Aeb^zHXr#>Y zY)K9w>RzjWcPDpFoDVANk0Ibw6m@cPJ-6X(O?~f$vqghQ#Q04U)$2fAC$Gh7s6f9* zJ=u5(CG;@eoS!u_FOA`xvu|aoK16qR%Ix^=gN2iKcQ1T$ z57h(vwu)Y|w_`dinaZ}Q1^NYvKWZ_|8&(K-TXC%VC!{TIHE-_q`i3k4sX&K~5F;qh z)Ye@ok=f9>tP$FaKZY=*=|g5b>~^JvyjAyXZs{}Dvk9s9f?I&$Kg@wry2c*&}G6|fuq7yHjaW|maqC@6Zk`By@TFwwI2r!Myjp(;U#A3K-{Lh^zx zl82az(!WF<)@zPYrGE|Xmz2l=XNXyXlE8eqeYoZFWVI<%32x1i_O)Q4-n5KeG zaapTQNG`BGw0MpWf_mYuu-e=lb8V`Uy|B&z9?pcGT2(QenIiNB&Su4Td9ZCh(oPFGMsC!+0vbaOH;89Ic<#12l zINE~hQovp5*s!hDw07$aZb+wZAmYvrG1k9QIxo&|&!a!_6_Nol4)#-yEU_?d8vr?j&MvDdMd}+~J32>KBKO3BW5z66X{m{WrdlG@n z8$nF)U&hLbnCxVd-A#_52VsPEPOug_zoT^A+9tYtFJ;DzcNag&7TYMOs*L`P>AB3P zA+4q$>2|p;&@7M#pl(wVm2nD4>UeM?_Z72PV14=pn?5@IbRO?nWYix^`#x&T@s@gO z{0YC%p8I_QondqYsSk~p;2_`#mO4n?#3$MM{ja5w%2{DI`dXS?(7%7y|5+L{Bdg>D zUAaCcw85>^EBuRmiaI7yWjCDs^vK9KYa-jp4`s6~`eUA8TU%ZQJtox6)sLyxL$c0u z4lb`wbf?w?JwE=swT+m?Q1D6WwROK?Mbxuzp@Oh5P!d7|l%o?V@$;es2CxLeW-BaX z0m|a6Q9~=aEjfYoiji^VJaHf3W|^lYRO(YHnlb@Hb0W(pjtf)tC$XzheKx zx<3D#buiNZ8x=s4z5nU=Wf;&wfDr#j>_3Mlf8f`DqmE|!cE*3hH|Lz@FR(yB3S)oE z4fF*5*K}R~|LNLH=ke}-34EIQ8!ZX_H~MAN|CU;HkX15(1`gB^PW8W<`p=LXQ~xh& z{Qqg0nw^p2%mf&y)e#s7?*B};uma*=BX+a-Tk79epMT*0=(rsf>c8ZFuSI`%@DK2B z!~IYFpVH*ti}vph{%ywp?&EJW{ty43K|KDSKK^4l{-*!7H2`U$fK?zN3HU%9mt>MGY<9!$hKEmj zExmXZ1$%8#OQqgoQLim}i-|J$IFpN*^^{iV_5b}9CR`u|G=*Cz7;{NkS1h1<%A?p@2=mB&q3QB!}U|0;3 z`G_HTLc-Lwo}gb0_{BBefX@^52K>TQXiV($gnL4Q(;-al^7a~?38)~G53qX zKtIfCTCguHObzy}qxj*vU5ML_xV?zmhq#v!cMx%JAoLF6K0;`l1?{k)T^4S)h1+Yf z?6Yt$TeyQ3u4o}|nd-E2MapD_6UskSQsCgG53V~lcs_Rpx|ZwV`nYx62E=Vb+!n-b zL);F;?LvrjiR3PeziH(!;0Lvm6|tWcd1jGkEXbGnQT(7rDdg?;+s{yE&tJydT0Ft9 zy`fQBc{c5cus)b|AiM-BXN zhO=r$`E>Y&%gNu3_`wYUAZUN5eE`wLfhe7%k+t6IM8`<(H)FOx^Yvn-nPr-0 zki(*BhWyU1G}E;L+R-*+J{pUznaF5d?+)Tebo59at7Hmm?OSK(ig|vBKLD);I#v}I z!uJ7xDD3g$e(;r1{K&q3Uq}+ydOO2Ck&DH=(C;Td40^nNsZ;aFNn;O+sQa&rIqfU}|*b9eV zgn;dQVYlR$g20zLr1D@&ya@J69@fd!za#>4D#l!iba8Pyh zh~(}WkB{I-l062eG*G$RI)Ao$Nx#4NBK3>7ZN`_X-zD2^n)da3jvuSG5wiz0x9As# zKS#YKc8wz31J8_1mOoGZsj@Vh730UneXCtKezf|vX8r8*4VKSd&X)=8JyK8-Jn-ib zf>JlgEK;zH+$jKSj@i{!^5~gOBTwEJe7-6DBL=4}=8`pBB0TK>?&GZ*PCE%tV_aMy^(9@-T4nIrNX?5fzc)PqH z+6g7|-Sg&`7S6ISx2bx%v`Qb%=kgms6`5eK)($2f)dpmSmW`@KPczVi~9zC5eoSFvBqg1wMc?Vq92 z@{4o%vl_i2GK;xBZ+B0mE&0nae4)GBAAn=dUDgbW9H_nICMRDK(F>bALEm~|fd_ zH1Bt5-rHaMhZUx%RrQLrA1+kdE>#per=kgJCLYnU+{#zdI}hJuJn?VY$ix@?ze{GB zP5fK71QY)RnNFPBX5sYHv^;JZ8uY?RH&wv@J*oS2#B$46*m@Vi-*`7Dequy15F6TC z7nFg<@Ah{}>qKDXpo)|=6kP~E92ft?@VYtPF#c6p<793IH;22FyJ|?uf+g)$wa(I& z5jG@jk&Ear>%r;dp`>Nk}>%Yw+q_Pn`^3to%Z6;6pZt}XFyzWHDrhWO`qo~Aw z%gjBf=IWo!euiA%kFU=@K;C1@;kdWS>rwLh0eStDydEd78wurXCa-r}@H5$N`Sv*{ zp4~~VJW5{okk@A{udJChYrkdGcZSS5K&~7nuWysrqvZ9Nh5O8cHdxUnE81e^wpqCy zR&JM-+im6cTDg5z?qw@?(2Cx$a_?BVV^;1XEBBcdZLo2hY}^(bx6Q`wuyMO=+-@7% zYvcCWxL0jb2|PEvy`|1>X=*s=?d}FixKrqmd_G}rV{4Oq#=(^p>viiXT#p~Py4b)J ze07zPmG6^+-au!qGx8*EEd6D&e%i9r&zm;F(~sD+8|*#Zr@!bv-BmEMq_Lq9Xr+z3 zHng;sZYw}L3bYzv$4egfo66@KZEy{?fz~ zAZ!O3hlkgud3aN^<5j&z+$62n* zyQ05KbjuGNx2t{V*T2zuz-Y3To%`_g?68;>R-dXW9EkEqh%7b%N80_lr-CAfe+ZI~x z)Bp<_!;VZS`h@}*=qXCkl}2=_QZ#VLER*w_+@Y+H^Ud?iOdjwIQ+iB3t;}fIyY+)y z(DIeLmY7VHf-gs?n1U~VX&GX(R|3(WDYNB1MRmj5l^m5=C^O!xSMe7BQ$II;uc~rs z(eU{JUnd;(V996@8|9;39u;Z(&KEv4Iol>F?3MgZ<;}7cPjkwa!|#R%rPcjjESmwk zBB=w%@~bj%v`aBH9t0u61vd@z1cP8~^Xc#T*I7r@RoCA`JD@4hwrqk`2ZDuL!+{*J zJarZ@Vf;6;AFyvQ*m;Q1UygIwW=QY^A6@N;^Be}e@`vyC^TTN?2mKVD@+fKgtvoiFFd z!Kr#qJWIhgMKHs`=1L^)V2UwrcoFCgG}{R}pgaD5%mi9R6jmfYCeRuvtPA;(K+(f> zayAfIx`OO1<6TEDw*D6rTZ#TgL$pk6)!~vfDww(0O38ugDfvVOqU$vPomh;a6ODAG zimwEziioc=Qbokl34^|7a@^2LiwVS6ER88=nO+n%7hkX3{Z{tHS1hU-4&;dCK`a7H zhQCSV#9k5hgU=DTOZhvbGBDX;?;kAB5}DSCWm*EHz|UHv7o*U1niA|!kKCq6up_5D zZz#cTa(@Ak^>0K8AnO%-_o|RJTu&Ra9^+_FAi-h;bH8O)$KbCZWPJ)qu%99z+8&;s zbZNv|bJW@m-dk4tP$2w9X^y{RnNugoR>q^Um~fOmrB9-FM=@3}n{@pix@v!*wS>GD-F0gdOQt*)&89$L}a0BFS` znc+Z=Sbm1BU6jW?L0{>A8x>%Q??q+Wz84>Se7_>d{`~%z3HH_P_yudI7UhH62<{*2WE zd^l5+^#P_9Fts3|T96?@KXPrmD#q#$vsCyrJwbonn#^4T%W=lXYX@Hfdc%Z-Csf4y zAelN*dCil#Qzx+OtIR;J8khs$zx(Su>T}TFlYu&c1!Kd3nEGv0()n#vWx;Rbf(IT@ zl!cFX?l)8xWJm2`SD#tC2mRxQ>^N$F`|ll~EKGXq6GKOB8TJ17-3q2G3K^Q^gdc>@+vo zQFHNiA`Yku`3 zMVRdx@l!)#cESi8b$CCCIt*VD6=vaj+Q|3C=dMoR!ZFsi6kIsI_ro)oFgqZG*%;*e zT^zhl?ZN?6oLIu`B7ukIp2@K;92Gj5)&S?mgrjUfy-Yik$J=j|x}6}#SH`q)97ZIr z5D&)~v|PgLH=}a52ET@2!pc;G30on6#%doOJE)AX>e(?vRrv{C2WqN%nW7537E|Ns545?l38>haA10QH95*` zp4fs0Wv)(&GJj*tmAMmNu{5TfWwhe!#jD@VzW9noHN$}%u{@5W1MToPf=K?5q#O(W zAiGY2YsJJ5MQ5kbWVXP;f=y~pyEKK@Pj9+a5nk{9<5LNQ*L%XFz(pckx$H*Xa#KVIQpZW39U?M9k4eFB1K9I=klTv zk&J94rA0%NHv1~cX%VpB^0e`3L+eSKAg2Wu!<5EprPjYam4iq@ETb6?BqOO6$0jhT z^>oX?dP@% zmRif1)GDW9&W+THJ(rl&%A{6Y878hiNmg@L#5f9^c2XGITuKo5Rl0u6*ZKY zrSwW-FsxOZAv}XRF0AT>b|Fu(suwgaS}FNp=UQs5U{Y%msr5a}!Sle{IvV~8!@a=< ze>g}ygR!4SQQTF>^0HRdBVZh^a_6db3h-Zr{2%09!=FeHT18xaJEh`UqS|*qe)?N= zQ2S1(--ytiE9>QIQu^9=k5}Ed?@_dE<MnB_`mcTXBqy`cxfpV7RB#c zn)DU&rU=>!c{Y8)j6*|!##_M)KAzGO`xVGhX|J#QgKDkNdjKo|!>QFiLce=J0#*G9 zT=D({LytA9Zb16hYSa-f_4wqxXw_wIC$yI|Dy>ycOAG_i+^Pvls}S8zH6*P7O4| zE5sE5lU6Gn# z z=p>_eb9gkJ@JFFuG1%)5tvnY4&9@TyzYjlV@)S~|S=-VNP1-qmr4!B5$fZTFwuc>Uq^YH>i&7M?M_aS_ON7QJle0G+Z; z3iqqklUw8bcYd?;*UuWamj(YFtQIMa)B5jh{mHoJjqjlykU^$ztTP!lnwm}t&RI;S zWIE+4u@A)4RC9VWoibWHo9UDq#h2-nh&u>nc9~9T!zks+*D3Ka$8^fvm?fD`d6}r1 zJAud&7dB-&B{HZH%XG@@875DrPMK1y$3W33aZCu)DY?xkOFAXw#bi1q(6EhXYnF6M2*+bOCDSRHPRVpijc>0(id&{rGMy4} z`(*p;Dd+o&k2$7OGM$p?l*F^bA)fN^ zP1_R0QjKugv$Av$J?g)3`(C`$}DN)DRT zkksNSE16Eoa`bfgn4OZ@DH9{I%udPdlmp66nUYS4k2$7OGM$p?luV~&I;Bzsg39+b zC7lxZY&Lyg^P^K%GM!TPea(_i3H7U)os#*!GM$p?luV~oFuK?6A>T%$-gNeD>7SDXW-HnN^(xIbxu$}z8Xuz<=_ zH=yz`D^RJe1IP+gk^&wE1vpuON`w6Ur+R_PcaBar38MJ6Mhc`&8&mncTZ^(EQ;F3k!-2eKNlCBFeNZLSEBD&C zeKzh@n^Y3?hP?i6LF(+5riO#w?rte4bqXDl&nL`nY;6)qC0DqzV!aSbSKs?(p89#y zW;@A=dYE2W!SqVB1L^%$nO-S%5{twJ1o_-vZl7r)6nwSxN)}bgMODEqh}(v^*p0Io z%C5N6d7E* z5NE2v|4O;vjM@Io*X?yq`AugHBZ7(D4F#6-fuh+eS(7WnSjv?+N^h?X<>V>aa@SXVI zCW~c@1#PpS9hMWyKeWq&9=8kyO-&M}3i!V#b)Sw{J}!oRT?cyy! zBcB!q`a@DfIP$3_%>k^*hAU?SM$cn z+zf6G@RF;zmG$w@g$%yVG0ov{_(L$4B){mtq-j5C8P;b8_jZyUDU`C$Brv zCj}>-dlcRAliOzPLA8gTp8X8Dz8_zoeSo|_OkUq6uSd!22julr@_L-SZX^$2GkLw+ zf}iPj%l$>yJ-d@!d6c~FA+OI^S~j0OYro~9cUxy2AXg5P*SE>*QSy4s!hL2z8?0!P z6>YI{+pOFUE4Rza?Y44zt=v8<_p+5cXhm;Wxp%DGF)R0xmHW(!HrTjLHg1cJ+h*f- z*tlIbZnq67iu$Tl6m^4T6|Tp0qb_}y$LFi7m{wJepF^J%^aeU>Yo@s>Yy6=bOMhw0 zXK$di&-H}DM{EXr_C2GEe=)jvSHZ}V#)d|qsW$T3(9&AEtpM#P;C2;odkeV31$cM8 zQNTSg=zR;2`AvML+huXYywTMel*9&cv4AeMVZ~w0A*ms8lX1Wl5KB0twNoKpA zU{EoRYaB=;Mis>KTnJ#gkshMTOmf`A(mol6BPE+tDj}I@icO!>YR%;a-#Ku6H252HLMox&7uP?T(`J ziRl#gExAbVa>pY4hrb7A!!KOeu&~J`);BKIvYfTg{_}Ij3){z6!NS7nuayvt7gjG# zC84k*KmE*vQkU{hSb?b&J(3PVfheOQy+G>i(kLnBG`X6ugr#%pCqh0Y5UyZpwbWT& zUKP)yf3W^+6YBJ4d_Xlo?=dG5;Zd>hR+~0^ev><7LiDMz z=bPu3nGnFqQ`Ws(KgbVn{_(KICX>G^bKY$F%ufM&Ds_|)mJ)9qwRPg}4HeWP+e!$l$MiiVz zt%3tYuo-P@xXpx5Oi~0S@;j_~kwM1c`{nSv;X!G2zZV;w!`@yAi!$UUtnVu`qjhT- zTKt~+-1PU*4rmGts37F?bgWVbg24d8fgG`Xb0Ua04*0t`kz^3oKqL+fvt*=sI<+9n zkdg&U+RJO5rP%;mZhPx<1#Efc{68fCTmI?xdjM?NApRsPV9U2|ye$FPGS;>fz?Kbf z-od~Y;)8+Z^%&_q6=)~-U<=ZNEl(G~_VDwmZW0=BW&58lG7-Vn@H0W6ot|h@lJ9g_ zXk?o%bLemtSG>%QiBVw)dQhheKpm7Ss6#N=WzOZIS9DSa=H8sPZlLtjTr`3xn7;mS zxz=H$T&qgHzj(UJ`ScUL0RSXxs!*|ZqM~1P0IOBuD+Ay{JvCzW6?=xN5$2%KH=Szq zcbsL%J*gfOs(f7oJAc~oXeBJQg^=asfpS`ivlHpr7$w0D1Um0 z$pt#aFi$Y(UE}fTp{xg9|C@Sp>hGZ)kO3@(VH3lF9I@Ow3AE5OK&DXG6PCS!To|UB z3$4sa?J@O*T(Ub*4|WIwM!QDi5k$bMsw{z3f4J(X0#-df{*Q)W)%fTC0iHo)f3OL_ zsx#W3QNb#>p0;Pu$klt3f>lpF7G_}8DG646h{39~JcD#wCo}NsCcXj0_1URyJhgue ztcc587{eN+`bA8S2eB}bD(piq&HMOyunG;S^{?6Zu;~HHf_&^$UJ@G-pBbM~y3-(9 zRa!)=iVo5GC&bT(WpB)I+RluCtw4rrq4Hd6c#HXFr4wDk;4KDkiOe_4Fk~x7UI6Cs zR?fTtX0IQsitYL%GA&h0J)+@sP9c5XHU@w(0IVmH({(d4_lC)`-z)>b7yw30#EFa! z=G2%ou6*FNt4+9awxnlz7;HxdFxbs}BfwyFY3myiSw9&VW*&G+i#;U(!!YuqD3N+# z%Nz`Q@!`L!&rg33?SKrxFbvBW4&;dC$i(!(uqfh4LgUhK>;PxFK2OjaUXQV`SRAY} zOE}n0_h$+YcE{{QPFvZHkXyI<$pko<@Aub()7I}FVK|taIOkMz+Il^0989-sXmGHT z8X<=%RAC!>3BNnd2)W3HC$3zWaVCut+JMsW8H=(O+>-|SsubPja;8Oa46W2cUo}5| zS!I#>x!#x#;HVoxMxfWq%oc#~R)%-c&|2ETE)4Htco)OFj01d6AG~W|#3Fu^;av>x zVtALF$42(JhG_S=AoR?F#91sNdlK+2bBC`i2+s6S*L@j4UDxrm0qVLW zHK;49V1R_54CVUO&;Mqk+|Ytb2~aMKt0-Ec9rj8pP0H;#4?>9`wl| zRIvt!DuRC(4&Y0QZ)Fgw80klYZb7J`Lq)fAp^8`3RH&>`KhX?A73BeB1a76}DG&uw zF-N7ww(e(nw$jKH%&;wnZ82=?tBY-=rA6rl0D3KoMKh+H$(=ofqj zG8dfbx$;SjW~@mX&G^=YK_)6(7N9K*rYQO$Ewoi}=ke@ATNr6E97sAzwur-48u5=& zy=!{TkgVSx8bXI;WjD#z;wgs`AX$*n9+0e89@@%~tWy%nN+-z{Y!Vva%G^IIqa<6^ zI^@bA$rdI?g(2txoeYqx>5(K`xkj!c{ZJuS*B*^>KK(>9K(6EgoJ`~jb5LsJiY3`% zQHVBNj7aOSXddyE?GFXbiQjm99u4BSt3~{10 z=5rO}9>wy%A4FWHsb@hdrsv8h5tf>M>cLWfekBL66oyR{EmVh(9kG0GGI)2UOi#Ew9}KTJyJk%U`WYpp^HU-2ak^{uT}R@Aymvymmf|L*OwfK?pXJadR{v5B8iTb?DZ0rVanD&cpNs!$R{t`K+&ZOvYmgC>)xvZGyOPOBDLs*> z+6!~hV=j8kMQ<14_9DfSmZPiyCn5gSJl|RtIW)-A^tk8`G6+S5bkmnYENWKN`ii6= z=`8+#OjM&R`0io!P0>Yl*reS&EcH8Ezs$bx9){)&2Xe&nngB|1G5npcSB!ha(0YFd zsS$wl_cRnu!oM^9O{Xt5hZ>g+D0AoiZY6ix)|LNd2xYE%3D*$7I^`bp>A|Pf8Uk=V z?HU4GcYY2~=CR*dpWA7{Ek8iG|u1q_6{4>5Qdnk)HslgP=b^G)izp!A+Lt>fU?qF%jFdfG1!TSQ$Mnp75US z_3YybSU)x#$iQ~Gs>-3$Rl|2rC`d&IbCHB7s)+R z!JMw7&Qk1M1gGC-#GJ0mO+0#-)0G+wVJsx&#OcaqB>+oPULBuchr$NU4@+(VU<&% z3t*v?h<%cXa0<+2e)72Z!9zH^wPC?!aA;`U-8U0+uJLJA1NT^!dw2!bbwq_m^X@A7 zQDFFsvGk~Lwkh6{c1;%Mbj6&mn9~(=x(Ww}`auI*})^|rGfI{E={3d`x%kAH0@u#Oo{3+5q zZT+GEw#-d@rkjSwrOP~_+VxP1z-q768;O#!_J-y~OGWph_NIAFt;_UT)ym_25io@+ zTtko3WRO*@I+9flyPay}Y-8vwgL(jwe)L(@T*mbP&i>WUP4KY{vZ~1gpa>H+*Ag;J zYl_(_H3SBcEEfAh`1ynqVx<5d&Q&4_2s&kys_6-pszlm)+IkhM2f*q9uzCQ-%=@bV zfn~^{Q_Aqe@~*MGYpSV{27t(=wvz<`RP z9_m1)1R;gvm!Uf2duV+Ur+|u55b}9CR;j)@7;Q0Z^gYX)<)ErhgTKj%6lExD83>Wg zYED2oi&FSKBnyZlR~IO`(<%gjuw1oH{2w4$_`k}8fL6^kS7nVqBuq8ay6PO$91e#+ z)GuE2qq-YEm^iwABPuyA)yw9oyNQu%J9*uSYS-PjPl-Y-;da(NL$2?~*Xs@-eD7g2 z>b-B)zKvcyxTW?e`St_y`YAg21vl$BdB2fF4{j!}cU!jZ4AgD6{MSv}W*PbRz4iH| zy8V`S+N)a6e8MYMM@MR+G|DBQHW@_jr&SOA-4GfYmeCM@jCc>Mi>8Lbn#yb z#+8(`w_SRTOPC@w!hfaLILln6LYaUsv^3pSFtVhvp%G}V4PK`R4K1yu+X~Q*0&Z8q zU_IdVzt~vm<5Sodu#afqt=HH6LEX7}glPp|0`S&^q`P*RQ&$_HG9Ja+^NqDCpsw#@ z3GaY;U7Wm+a)MboD2rJ7`7&sB3VpMBjLM{D<$r#B+ytXq#XDiCrc(Ep5hQgLUkQ_Q zChd%HQ?hP2i5z)I6lh-+v<;RhEv7&|sn)6}r;fC3m?h4cFiYHl*M^x8grBc~m2{*s zfQ%ON3LYO%vO!L@Pn%7c;ECmBbcx<>aHIuRqk> z=d4=hgq(YcGe=H1c%=#DQ?o*OhLzc7g-Wv;R_M}}?{#U@JU(~-y(Y^=%?cy^Wkht# zQl|_TH80$N_-Y{O@A6#P zxVaB#Zj|EtHlyVBrC5l_skX)tcE>|r? zm0FTJ_T@t+tF#h?2rG>cA$OM%#a&K0H6=+jz4hk{mUbb=8ILBF+3SxyX+qj_`C&j6 zL%^Mo1Q~1jvOyNo`A?dV0+T7E2Wfze7kBF>B;N2{vNf{E-}OHFTArnjQMt6PMG zt-u`O9H1Y(?t5uf;T3lvz6RQ_Ou7B$ zCGC!)^NFa0ouj4HeCxI?Sh7z6EcDO256%>8tOy)G-*~++357ks>yXI`F9q!Z#cB_R zJ*wFusYowJ)*o}4%u-FASKEJKvQjB=UkoI{GqK@xNYR6Y7|PHNqo zNn*M`anH{))4ksFstIkiWx#Yz*EL5R*PI%&#BnFjc)?_uQsTHN?76h?~sCFkJlewuUaDjT7Sm)#vV}SEs2%+s7eO_!iY90+}|g5bp|D|L2Se# znsS@~5o|_#K0ZFvxF=d;3Rb9w2c^~hUYx%#?Cq7*X<+Mu(m1Vk=fzQzpEtgTc0dN! z9c+3qZ1g?LQH$~u$u0^GvpC2dXO6=F+so7mbkkElbH*s?PVemg_|Tk?tEi;dn; zA5_~Zll-0)K1uAw9`a(Rd=WRHvGj~pEIsAewo{Q^6VHE++@+X!cHNc8#8Y+r(9?U+ zZH3t}@r)aE1DJS5RNiiA;<ZV0S>?YPZycY|7N}kmbpHo5oLr}dHF_IlDbR=P(caQLn?!eA9h6<0Z`-1 z*_ffH!z_=ONC`=BLFKTK(R&vSdO!1A(d03A^0Q%;FG*{ay<$z7n+hzskx&2l#Jy;M z)(X+>RDsrtsD8W|{i66jlLfsF0xqofndwe4BQjnI`v_&UkLZ#AJ2R)_v63w8&0<%EWv z8PRZe2HTfNztnKn`^=cYj0v$hoXvq-W=vql1l6xSuc0L7G?`JJ(e`hdnGo7iP_XFD z2bz~(;bpkb4xvRn;KA4#gxPwsTJy$l{)7^aF<)?yLQJPGx z=<}|V=yKnDk93^+N#9+a&xl84Xx zr=(#dSL`TeHipx~#=yczB1yK0Sr^LLV~0}~MglSu3nNh?4&^wOjF3GRMgsUGq%*?i zx{MZ*g^{o@60Fg&Fp_~6M)J>-YfLOh)L|qf?knqIB#~A%*3F!Tk;vU;#O6|RtHY`Z zwdIF}k;LY7R^lwY5NM&qS>UpBTAMcuBhd!@8pa+_;gKwiL@6krD@c-sk>HfcK%rV} z+^~)(3nPi-(jVA)@mUy&ns*?5zRT=D%nn3CArl3Yo+@D^DFyBg{4f$o2aqg`B&XIL zY+W!ksw7Af_PyUt$i8(4n;r}Yl6&h;dA_YXuhl%DSaPC_4yC5*AwwDM~qVMkSUc3~_srf-12j zVM0k)pw=L)#k_ChtUJuQlTL{kmLyC~?*`DcntV(#s3yW1&EtBql(L3dcbIjDVE!ygSZ2u?O_N!% zBw==zB&;)9O5HrRCnawtkay{0c?Q09r#!iJXTT*1`{Fy}vv1wOriYVc-Kog8b>}{( zYTcJ#p5-^cg^JU$UyUU+-2dgH`y2GqH#ua2TL(*B+ zHkP$*pk-}i)}38ie;rl=hN}7&D*=PhHWZf#kClKij))?bX!R=)E)wNCKHYP;Wr^cv z$+`pb?&)ORsYq_!@!PE?rJ`snt|TY{gRKh+1W22i?Z~zqSa-1L!EhkCkKJ+P+q(1N zJKs@acfRH^&dhfIru+6iitY)IdPbj_4X)Q6KscxCVFXo(YTrgL9^6uU6k+ew56J7M z=-?OJtmEYUMhm{anY`X@dH>x_5W6#k-)LC<<*m;rLG>5zWjl-AVb&cMyF**ISoY?1 zXd%sVZB=U)yAw2zz#xkU0T`qz{TOXk`ZmZ;l&Bugf!BtaIFqMTmtGz~Mra+&%*Haa zA+#B-d^&8B^S29X0$LN-1e8kzvCM2NGh149eSE(+tLr236M*&vv$WCJu1OQSv+%Bu zO^BO1GaJ-5gUqPej@^m0nlI~)++9ZJMM}PmST$kR9cJBO)}7!6gp3QvoFq4L7Q4e@ zccOvQ4-EQ{hl6$@u&N0qa^Yxl>G9lb`&=J%68q66-xybCnL0S$C*%VLpES3nq9>s`73&tveX&HYS&}>+tk|GH*$& z%ttefIFeg;a+;Y9TNjl1(^_|K`$))s><%_P7!D-&)}5MsTX!0IRqM{<-uzj2K9_Dy zYTbG0osrDC!>l{Zy2GqHfe@jBhy@0lTWC<0oB1kmS9c|X6Qidev+l6WY-(aLR(FS4 zcbIi2Me9x%fS#LC&aFFlUjDv`nnZ2gsfk#3Dx#+d9=tJP+sjXbQ)F_|Q)F4BRlRkm zLTnb$rM3l2+AFotz_BkMGMU6m-T~0u#kAe!&$@$E6Id_X+{V_X=v2s>#Uf%43}SV6 zSlt~PR27;RrIgLcJPQ_!-C?miEOsZH!1*ktDiF-Nvp^2Ck&S*zTw$V;XRPiHtGnY8 zJ)LXBh6R_o7di2N&A^mFc;go?WY(Q-kJy3vlNx7~wmm)Pb$7C4-2r*`bh7T$B)9J5 zG&38vE*KhB64c#!;)xtscd+Tfa3Hz2?o7|Ob?2r9s&yxyu{&3`!w9u?XL?SoJCRoNW!;gx%b#@zt0v64 zL&M@(-5uGa$*enOh*)oLM=yaAz5YcKqHIYNgHc4w>V}gPY|oS z!|Lv^x;rd0o1s}GHlU7WX0zHaNCb4jDuM(y+AoDkUDNXNs*8mnL?ud^x;t62?tr{| zI$3w7C%5hlxY(Vx2XkQE!KMcj>(0C7pd!=x@+mO~4Mk;ePjYZp{(at4vz>q2JxXe} zJMSr=6PZS6gp~;GNGOyDdR#wrkEC#)5ZF%ix_OqhL$; zD4k-JjkLIEI8lGPI)jqfATGu}v3f_+&FJ-Ye=vc5R`4a@6FVW%(Ln+^9OYcg1J2f2ic6Uob2-xY6d_Do2E(F3SSO^ab;i1Nun2kq| z)UyyC7Q&-iYn}1dT4v*sU5tr*s9FJ8`JKILqCR@K9V&Er#mbBVDwd@l+%=#=cuu;F zhdP9Zn76ZDekamuh87;`1Z{G68BttH>BzBa!t6WDzQfAzn8)X`@;mmWsoPVoP3tT{TLN=5woQ2bPB{D2;0aendAvf!>^me_ij(A=BKtaJmpQjgRo57G zcNVVQDR1o$CjM((nhzdl#kD`HANY^Z@SC=u;gSbVc6NG1h1<^01b(5Pzzb;jeZL(Z znSj`LtD|@U?CbgZeXUph$fuSNlotw1!7fjSRMsi^JnKc5qdz2e1p579#cQLiAwP3E zsGrmGadWy1<}@!GbAsUM(`-tv({D=Ur{9z+PQNKto_F|de z*Zavq3E2}GCfnM4fwit2OD|~;5o5dqe2C;68`!Hz&ZYbe@rMywd=L%47t`W{DlMj% z*CSt#{n5yPLBo$3uz`lJmq*u&eUUPmGMUcxgu*%BNm&`MOtYuXc*&7%J9WlO4zK)- z_u&ZrJ`hL0Q-Jwjq-XvMo0qgTiC2g%&23_9(>#s3lo#$W`KO4t&G&ZqfHoVu+vdS& z8}y^q?>6$uO#AB$_$54>e4Q4PpH^n_A8|982{W0VXeNCGQ}lL-9X(RVDiKa(`9b85 zP3L6zL{-QS9pz&6Wge3cX(%VFPgHtde8@KZ?(_Jeq4j{3g7ZS3*KhC8*|?U(nz+Wo^B4`ultnjWo)&TT zY1yz9LA)pG8jH1J4IaYTai&`PEqaW!Kh`2O9eSzIKXnRo7Zi+>@leW91a(vG9KPzi zMG>GZrYdOse zvnak%#?#gezq4eK6Re((5ID_QoPO`JU`DqH(eAh6?$>3bdvd%`cHb+{zH7MmyZ1WJ z>W_5qD5m098Z;~)-Dy;&d~~N#aq`ifMkUEdcN!Ifvb(TMD!s9!62-B{ZVhD1+rJvmcd%a>_T=sfnS0haUFYs$N0@=X!IB%8b_1!kb>KYPrGXnAz2 zKDjqCe`)wi%#GHl+=yCYONI4LUKQ!CBeuJVAgo;&FCd23HG0Ax8NP{XA(V5=ptF?u zUM4e3nd@cJS;{;wlg?7+c$3#e2*e*tAPyjqiwS`wXL+(zFXO|Mw33~EHFgTRev6*4 z@b;E|Uq})z_jZPRgjT695DeS7B8xv%Y+X42x)Q4c&G-!Q=kbFg-x>U#AH@%9lz{#F z?PttuaW}(}&>P?{<83V-Xsn@8TI21IYO6ivm0>|>@pP>6bW61zeci6|DnV%Llcdht zsZOD!rM0Krj&2z2QQU^A#cEMcQ5s>@pJ}+HTe5! z6+%f>#Z=JbN};LCg*p9RU#H-#uB@u8a0mgvun_((_4ox>x!|ms;dIV`Hw)X^apTpz zz}sMhOZKsIgI=M&FDN)_;D8L`-l^UnBsvz;XY77h>PEUa(14FM7h`b9Vw>T{|2C>&#Ffcec`~{K> zPDd?)ra4Zs;&2Leb9W92@;PhAz|den*Pel4fx}Y}>G;+Kq-n1!NTk&b#P0P5k#|9) m!%`m*`;!l^dq{w*QM|K> " + ISELogFile + " 2>&1"; - ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); - ISELogFileStr = ISEOpenFile( ISELogFile ); - - } else { // WSH 5.6 - - // LAUNCH! - ISEShell.CurrentDirectory = ISERunDir; - - // Redirect STDERR to STDOUT - ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; - var ISEProcess = ISEShell.Exec( ISECmdLine ); - - // BEGIN file creation - var ISENetwork = WScript.CreateObject( "WScript.Network" ); - var ISEHost = ISENetwork.ComputerName; - var ISEUser = ISENetwork.UserName; - var ISEPid = ISEProcess.ProcessID; - var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.WriteLine( " " ); - ISEBeginFile.WriteLine( " " ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.Close(); - - var ISEOutStr = ISEProcess.StdOut; - var ISEErrStr = ISEProcess.StdErr; - - // WAIT for ISEStep to finish - while ( ISEProcess.Status == 0 ) { - - // dump stdout then stderr - feels a little arbitrary - while ( !ISEOutStr.AtEndOfStream ) { - ISEStdOut( ISEOutStr.ReadLine() ); - } - - WScript.Sleep( 100 ); - } - - ISEExitCode = ISEProcess.ExitCode; - } - - ISELogFileStr.Close(); - - // END/ERROR file creation - if ( ISEExitCode != 0 ) { - ISETouchFile( ISEStep, "error" ); - - } else { - ISETouchFile( ISEStep, "end" ); - } - - return ISEExitCode; -} - - -// -// UTILITIES -// -function ISEStdOut( ISELine ) { - - ISELogFileStr.WriteLine( ISELine ); - - if ( ISELogEcho ) { - WScript.StdOut.WriteLine( ISELine ); - } -} - -function ISEStdErr( ISELine ) { - - ISELogFileStr.WriteLine( ISELine ); - - if ( ISELogEcho ) { - WScript.StdErr.WriteLine( ISELine ); - } -} - -function ISETouchFile( ISERoot, ISEStatus ) { - - var ISETFile = - ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); - ISETFile.Close(); -} - -function ISEOpenFile( ISEFilename ) { - - // This function has been updated to deal with a problem seen in CR #870871. - // In that case the user runs a script that runs impl_1, and then turns around - // and runs impl_1 -to_step write_bitstream. That second run takes place in - // the same directory, which means we may hit some of the same files, and in - // particular, we will open the runme.log file. Even though this script closes - // the file (now), we see cases where a subsequent attempt to open the file - // fails. Perhaps the OS is slow to release the lock, or the disk comes into - // play? In any case, we try to work around this by first waiting if the file - // is already there for an arbitrary 5 seconds. Then we use a try-catch block - // and try to open the file 10 times with a one second delay after each attempt. - // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. - // If there is an unrecognized exception when trying to open the file, we output - // an error message and write details to an exception.log file. - var ISEFullPath = ISERunDir + "/" + ISEFilename; - if (ISEFileSys.FileExists(ISEFullPath)) { - // File is already there. This could be a problem. Wait in case it is still in use. - WScript.Sleep(5000); - } - var i; - for (i = 0; i < 10; ++i) { - try { - return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); - } catch (exception) { - var error_code = exception.number & 0xFFFF; // The other bits are a facility code. - if (error_code == 52) { // 52 is bad file name or number. - // Wait a second and try again. - WScript.Sleep(1000); - continue; - } else { - WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); - var exceptionFilePath = ISERunDir + "/exception.log"; - if (!ISEFileSys.FileExists(exceptionFilePath)) { - WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); - var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); - exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); - exceptionFile.WriteLine("\tException name: " + exception.name); - exceptionFile.WriteLine("\tException error code: " + error_code); - exceptionFile.WriteLine("\tException message: " + exception.message); - exceptionFile.Close(); - } - throw exception; - } - } - } - // If we reached this point, we failed to open the file after 10 attempts. - // We need to error out. - WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); - WScript.Quit(1); -} diff --git a/proj/GPIO.runs/impl_1/ISEWrap.sh b/proj/GPIO.runs/impl_1/ISEWrap.sh deleted file mode 100644 index e1a8f5d..0000000 --- a/proj/GPIO.runs/impl_1/ISEWrap.sh +++ /dev/null @@ -1,63 +0,0 @@ -#!/bin/sh - -# -# Vivado(TM) -# ISEWrap.sh: Vivado Runs Script for UNIX -# Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. -# - -HD_LOG=$1 -shift - -# CHECK for a STOP FILE -if [ -f .stop.rst ] -then -echo "" >> $HD_LOG -echo "*** Halting run - EA reset detected ***" >> $HD_LOG -echo "" >> $HD_LOG -exit 1 -fi - -ISE_STEP=$1 -shift - -# WRITE STEP HEADER to LOG -echo "" >> $HD_LOG -echo "*** Running $ISE_STEP" >> $HD_LOG -echo " with args $@" >> $HD_LOG -echo "" >> $HD_LOG - -# LAUNCH! -$ISE_STEP "$@" >> $HD_LOG 2>&1 & - -# BEGIN file creation -ISE_PID=$! -if [ X != X$HOSTNAME ] -then -ISE_HOST=$HOSTNAME #bash -else -ISE_HOST=$HOST #csh -fi -ISE_USER=$USER -ISE_BEGINFILE=.$ISE_STEP.begin.rst -/bin/touch $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE -echo " " >> $ISE_BEGINFILE -echo " " >> $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE - -# WAIT for ISEStep to finish -wait $ISE_PID - -# END/ERROR file creation -RETVAL=$? -if [ $RETVAL -eq 0 ] -then - /bin/touch .$ISE_STEP.end.rst -else - /bin/touch .$ISE_STEP.error.rst -fi - -exit $RETVAL - diff --git a/proj/GPIO.runs/impl_1/gen_run.xml b/proj/GPIO.runs/impl_1/gen_run.xml deleted file mode 100644 index 9c64ea1..0000000 --- a/proj/GPIO.runs/impl_1/gen_run.xml +++ /dev/null @@ -1,157 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - Vivado Implementation Defaults - - - - - - - - - - - - - - - - - - diff --git a/proj/GPIO.runs/impl_1/htr.txt b/proj/GPIO.runs/impl_1/htr.txt deleted file mode 100644 index e885e85..0000000 --- a/proj/GPIO.runs/impl_1/htr.txt +++ /dev/null @@ -1,9 +0,0 @@ -REM -REM Vivado(TM) -REM htr.txt: a Vivado-generated description of how-to-repeat the -REM the basic steps of a run. Note that runme.bat/sh needs -REM to be invoked for Vivado to track run status. -REM Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -REM - -vivado -log GPIO_demo.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source GPIO_demo.tcl -notrace diff --git a/proj/GPIO.runs/impl_1/init_design.pb b/proj/GPIO.runs/impl_1/init_design.pb deleted file mode 100644 index ceb3aad88c666841c6838e2e7805ee69d92b561a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1795 zcmc&#TW=#Z6y7vVN=;$&0!Za{R;!{mBA0QJ&Ln7&+LVfD=~6+vy9z>;nQ;?%ZBNYHV!Lm$wAR`y|L=~DLFXDb};RH&wG&dC{{xef=aDO#OtiAu5|fE zv%O5v1={lKtM2~Dkq^(AHqP72lP;W!n8Qzd=I4ycp5HFN8)dW~TM-+|$kT48c4@da zwQtkfi3-OVOKM&0pygv791ClXnYlHM8qP&+Mn)!(Nwt~z>Wmr7b#)qrrQF?O2Dv^K z8j0iTxg3cNM^ysQ`~LXy6rOxNU+nkr_e*fbi-XSUZF0B4j)VxlO2S_^ zNN>+vh=c=Hqyu3(h9|C0U!e@K)L_rE-zuE(MCn`DKRd=_DQDM$9wkD+=oa)1% zTtrbxl%$Wyd$Ew$S2G%8bPMTSYB2qTyi>1t+_y%@{$uxJvelwNHSpKWFU3vTYE|q0 zI@Kt8egy-?LhMX;W&-(Jj_@v%QdyX2VL6PLjwTEnfbRyxM(Bvv z=$~$>ULiz1xZuwo&%P;KD9@k)y46W6gq&Hp=LI;mfz72K<|^zAW;LCEOrD>L`xEs zqN?a?b0#3=x%3NkX?x&1Y`|P$2pd*LCr*w|uc7&4bKOuIV*JbQ99gfZ_4PC`e-x0i z<%#q8RRX_=^0xhIrtx#pUBKOy*mZW>*x-Pj4;1iR%a%u%h)P%hwh@0QO$Y7K65VA!tU^eQTXPdPT{h+cuG(^hxT z_4GMj+u{KH#o{1fh6TI_!qNI#(F#nuhzc~vXo;#7Dr1&#>p5DKnDlJd<_AFKp;2k9 zNZ0AwydQW!LblNB=pzMh27wpwJj0|?*GSs1g*J8^W&k|{)?O{v?{Fs3kCwS%Y2E67o;}9NcsLg7fYVcYgf(8pjsWuvo zaTwCUGNz`$ZFKotuWy@pScWE~BV^ndBkrTSNfzS{+YkG=4Ipy-3vM4U^N@#w7AB-; zuGgEo2@D+NS=!T0wA}GrhB*@vUtf0GSk^;GmlRW@V9cnEwYm7aZb{WEY9k#A9W8ZO zU?0gh`^;s5Apm02@xT!o$^uPZo`sh)VYJZ#+BuMPS>|yKFA{}hLwW_x5Il~$q~^)x$_hHAwPGBnv*-Qn4dR9sVh~b(Kt<T0+G zO1$SA{j;;_|3Qmww7BWWjEfRxNDCfw5AMbh_q1``B2o|~&9R3_jY&bF7K}rYAqZ7f zgKE7dd#?!6o32)>->DOz1*Mbm2aP^mUmS#fZi+x`B$S zrk3ZSrP0*!9rQvRu1w*3g+8CWg)9%FJxILA65QEd6{i~lnEWaIgRE!nQimj4{p;rsJ(0pg?p6Y?&Mx5WcR@*w9z z8rjeAU=t}Z59)XffDeEZ=W4g0F3KE+PDPx=jna5B<+WSq?=_X2`x5RzMVbE2luzdb zIelY3LXAz(GSGI7HlIp(9fT*C z`M>)^*fOoE;A4JI7(F+XQL@ip0GX+sjwLW!I91xMyaFzDXr$vv9;E;I?WiZs2?vZj z8iZ7do?|!~cYve7nT6wpcr4RF*Ir0s03UtFFhS#5hA{R)!&Ivg4Q7cZ<$gSN3We?P z?mSg0L~mwf{XKMLWanjP^8pS#c-HMlCW@4R2ufR+0}HU2P5+yD20+Jvssz>!8x@!U zQKgw{MocoNKWX1 zcEjNSRNK7xOO1I3b)c)9P^R;U^LGK^XD|zaT!_YXAbasXWA3SFj#~esMoCiEAWcoF z=zR~yMmZ;7=(~2S_MEeT*B<({j%S8)w8v`_6~V$l->?PWF!%{qYjUPj+uGTFQVl|v z*KELTk3l$z&2$#;Vl4G$2Hlhp!7Kx&u^fB7cyt#Hh+taf zNc3Wq2$YX`6Q&+=(hGI`#oNOHCQ}j%sOo_)%7vwOWqLfGvW24+I2zW?%(8@BlBY1U&EzzlAq`4S#@BWxHc1)maDiAhm=v$|SxVpZe;YuTItZ(BCG| zziTf7?6BkH1lvKxIPL|B?_k%*@hQW05=G39;Q{WR_!?SeJ$ML5iSN7qiG0NEhaT(0 zLr2oek+1@$`hDsoJGRpt0B2QTo=R1hcP!jKWQ|rrFZR9H{^B@vcnmp zRVS8l`c61~IsJ9*ow*6rN3(0`IF|7OM{`s26HRmJ-E;SxIzeoE z<3`|}d(QA~;>T{Ey$s=}`-VB>p2K=H@#5wDm&0kRZWtzfaO!d@{ovng=*Q3EnDyZ; zfo_0L056I?*Jdy(n3@Rp0G0$0{$zoFf&r2STyxktJRvU$FDFgCvos^7An?gY=>Aqd zLo{~bO76hG6Y>b%yR_TXY{oZl0x<2mX|;=f{<((q%^<#;UjVsj=%eiBR?qcae#)HO zs5I8@7Ng-Y`j(ELM^O-Qm1UUiZl`FOq17FaQJB|=^&m&G7!_ZOSQtPIFQ)$=fx}Pa zMnl%L6zHFR_7J(v+5M-=FalX*0=Be*3} zpgm)B>hMAl?+et}2ZEE+6yXb)W7j_q&hmg2NXG?B%4SxL0rtI#~yrI@KrHzU>Oj%Delutx1aQJ&=dK&wsXLxHxP7J z-VDjm8B?#fj1o_uqgk|bDiUddr#wAr=tddNhiJmUH=!g?(1RTbGv4wc8K?(qlA0xf z2nFim%LBsx%LLk5;QK)Ic#%I@#&($C6)~jmW9HG20|xR>4R15Z-Pd?=dleoF89mFN zJAvtzzoWU?`AO2cVr~u1zhJTF@)&bq1A!c5DJ-@z(^Nwd{G%_+e~N)Z4(E3@T~}}) zf%gsF(lx!=RJ{KkG!3`l%^S;yTp_@50A}`bHeJca78v8^_(lrMUuzYD^#e3zXv%Qi zKxFGBmJusTt_EK70{g6ZVKs3*C%q6soh1r`n?q8?@^iGX9{3D%7Ry-sVtoyZ;zfqt zfS1x0$80Xmr1uV|%}(7c@xck&O|wyq;qKnzU*ok?W}oq-zl>Y9ZZ@0UCU9h4ITZ8n z>l&IuGUdzJ9-=R=CuJ7=q?DS2i|Pb5^j1r2x7+YemZk*$Cgx2*i%xx2b%u#5iy`Yv zQcjaZN7b=-&6(KQ~~`d`u1AB-ll29 z8{5>50#)^<;Qr51J4e~XLoAIw#GfHE8)Qx?Rs_~ zwFF&5T8b*c*A0{aZ8Kt7RPT)}DVwUKH1R0euOgtzlmtN6SxntK16q-CP4%J*lq>f6 zZj5Ce3(4#yeIMV23nCR>)4_lI!?Ltx+>brY+6DOpTUj{?_JOkX9rPt*xL-&H&ZjQ z+E58$qU#;jCUkTq#Q%V;epj&^H#JLDLlE@gjvF%1g}Ql{oxoNN&T;Mz^*;Jh9?}-R z-Qf5`epxlBn<~U%zYdkX*p%#0INi(7SBIaHl=kaCMXem@CRW-h;cFas%ognsGBVO= zsTN>sqziCmif*a!1&ZG5Q_sVDr!-`+f&6cl;{rvu@NMSGXdBIr)zaBmp`BEX=C%s$ zkJ06MyL+D;qt04!Kxv8~*d#)QPBWs&_TkqP=IK^>wYVN3@9X&O7?n2GR zI6}UT8W}y_Nc{1Sr$1C#>S3#=TGw#j4*`k2$QQ$gTZ z+|r1pJQjyeMcZn@(cqw&xQBk2CRhr`HJ^*qSk5=f2&FCE92tL$Bh9N0MRp82+B)O~ z>JG+=hehl753}MP4T40qO2HoOS`bJYNh-3)V2>uus|}4m!n`U~DtEzfrj#}Kc4bo? zwhq-uWdj*`{F?dU=fK4HqgwIs+){6R;qZLJ^@}H;>H1eSaJNw>2iL+wER`{Cb`8d$ zGdWHa_$AuRMtMCsOH^O19>#yrD`@dK2us8cJ#DNDjYDZzjze@}cG=sV%H~LS)raWe zE)z9@7+9vqN>yPXqv)7TntqRUM(;7}N#-tf5U%4Qzq3hd&kifmbvVGN$y8 zwFs06HY8hqRo0vER4O(CxrGgyJve$|4zy=MrA9hM^^T}%Rc&n$SSZRCp^U12`4EIZ zQZzS^MEo4hgJ*UoRB$j)Y@2c3OFZv&>Rd@QRY_W8m83<#f19FbrSYS#cK%7(_>J=Xqt?KDFcee zrT0>KViLO^KfFiuy3y&D#6v6SVQ%M(_2(Nn3gB7)M4Ff+21sd&7G}T#Tr9S{e}cZN zGJw6{L8E5;xe6 zNqNpUM2#xFit-#{+&yycCeQg-4PhY{gG`mGFc44SW5#^YoBB*_i3E{|jSeve%=RHd zw$NpS0qD~dv3rX4*OnUxAim{|&%(xfU?)PN!W#mWm?cqX)s6Wo<*&Kf2&3Q^jm@2{ zms*tgydgBiM~1uriPsN~WH>vTW2*|`d%&ND*(qtjU>|yxsU_WDS)A>bBw1NT>Z)xI z$eP;K7YiwZ8nSZ15ks6gA(AbVk`4977~+jdDlc8PdGp5_0->-Fl7c4NkE6gl0&Nc; Z>dr85^wvn+xsMRk1#nae0&!=q_8+E98lnII diff --git a/proj/GPIO.runs/impl_1/project.wdf b/proj/GPIO.runs/impl_1/project.wdf deleted file mode 100644 index 68b2b16..0000000 --- a/proj/GPIO.runs/impl_1/project.wdf +++ /dev/null @@ -1,31 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:39:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:5648444c:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 -5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3031333866366637386234623465663361303338333762383461653364333333:506172656e742050412070726f6a656374204944:00 -eof:1785114370 diff --git a/proj/GPIO.runs/impl_1/route_design.pb b/proj/GPIO.runs/impl_1/route_design.pb deleted file mode 100644 index bc67885d5b4d3494ccadf90f46b6372dbf13b6b5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 13171 zcmds8TXWRN72dHuCh0i4WUGLUAyg-H8LvC+*&96u*&#AoRKjf$E*R2bZr^hSUfm$0VU^LR{)_1Ob&Sx?pb6syR zFkO3vE`;Rb%>0z0FMj*E^W3z($m(l9BR2zY7_mK@h0cLXYqk?GD{`JQx;1noXTTo% z_}h8OZ0&;0dgibnt)&0itu|_^svmyf&m7JCx$?oqDe{jB`T3nFVgo$o9?;062P~o{ z?K>88Lq>aEKzq!Lh5@6WErg#@Y;76WZ1x;?h)aBz)4I}LoVBoZ&((fJKHM1ieKufj zWJV6Iq#MX~qFp5yj;xlc8`0>OyR&MmrZ-yoeg3UNlzU$EX8WpQU#c?ceRZ&}>QObu z&nHX3-R0P=ZFQ*`0y`fX@m$;Cug($WumHAHp_-OgC?#`wg-XzvY1A|=kHsdrmS6LQ z6CFY}jSd55+Ax~x{FK&MoVEi?yF@CQL}XRdYZ}KldymKD65oH%ah>px*)$OP z4PPVQQs{aRctI$W3=8YD^O6}^-Rv_HwiK`)3pgOrAroi?%=dzb-i-g%niYVndP zJ@Gt!MZ1U0dKL}`D|DZAO#U0%Or1F|Xlge6EdUKrMg5w>cadYMmc!F=u66$yhD~^ zMRK9}$IreVe^(YwsV0}mCwHAAy5sp?-#a*_-CP(N$%-;$ACPv6tVYL)I{PR`Wl`2; z{<1*6w~3S?e1>+BZQP+>&T#J%HHBBFBQnlDu4SUShy@K9u0JH-edOCoEI2J)7NUBW z)Kg3wwD5cZPgPZ|mTKv2Vqv`{3+u*Y!}=d9XA)kcGV!#_0ZYD=ZBh|GXdGc?p@ zK5cK1Mgn$PofK0>5pu?wZQW?96N8**#gR-pVaUtaFe(#iWI$z-;3437a~sy_iRX)e zDooK#t#h^j4NC-D04fa$KPDeplQY_YiHEtSfKC6+}jf}hA@5f;AJ_x8;` z-GKLra)>H29~mt(gAxnRlUXb*U;6FB;2K$uJu{X&J`ma_PS0ASd8VB5?c<YcsRVbG`-hEWHZCE`^F(&3eo%fK`zQO*ZjrtGjk=%J)ZEH=%Hq6GELOd(= z8+0^-orCv#RU*qFs~fW9x=gOFp^Q7`?OnS4jJ=>q8~F{|4rR(Tq<3R%j*WL9j&F}j z9^bN~2A5iR{v0UuWeJ4}K&1iyC*(@E?^(~@R-IYn!KiCEQ%fbC1CSq*l{n29iTy}D z;2gZDTf&+U9-6Z7fDFFrg%Q2)p~s6RRJpRdWI(`qcg&jUa-!ldw`R#&1#6C7wNPo4 zTQ#dyVz~tgMbkm)t6KYZ=S*eJuT!hE)Rwf?M+2m(rL}(A4JldcXHlPPlSp8z9T~V7 zN33DA9b$Bs4vk9!n{(ZR{rez&o$IoalUnJLc|g1NH{Rdg^!Konsh z;M2*{-P}J^$hGwlycjg>?c~W^$6nA2jBI9}b?o)}*Uny5bnJ}+)8gM1^7qOP7!V>7 zQEZAEi8~zZvjFT7jIs7HgtsZ@j^Hlgn*n9n?vn>hM8V_G3G4JOxB(_7nSgSGsV%Qx zP0JdhMaoj?oGRq?dP@|y2qwnR1sj8tFZN<_dziEH@kPRA&{8aRIZ;3_Qbq0&Ev}fM zrcs5Q11r5vt|vAIE|vflXX2q{u`uin`~72KE^0HOSnP5Y7iThWPDqfZiwQg5F1ftL zxc<4lCH$_KWd_DbTThu4W$0VGRWP*LoFkJFi2hR{_rO5e0Ba{);#`y`X25At@rQ1J zSZJYlpI#Maio0SW5qK_^2l^Tdm<rHFt$UYixTQo;g6Q?&xMgVVic^CYuMqz&W zYch|Wr4D7&u%LUsxdI`o6oac#U~v6@ifk-|J158~STsLO!$&zGMckKAQ8?{oZhl&8 z7U*{(Xe1QD6%tUf)F$U|#e7GQw))}j1x=}`?M{KCb(>sHgj*}Mp%mSZB z)LQA@6p1vI6T>oTe|nepf>MybIHLl$G~}IbHZt;)21kgEN>S>XF5w+=!#c%uzE7&+ zAUJAOGQ;^_g;1VG;SGYA3hEk&V$21B)#dX+5lJwLZ)$25+O88~aaNh{fd@FcjCM$N zx+}|%LS*9Q`~LEpXAL=tHC*PXL~IzeSDh$aHN)djU-kplC@cQ3_ZHW?{&Af`X?i2$m>8`W!$Q zqTnpF7cW?#UUHuCe=FqahM~OH<^L z5#M`(8lWbunFrT6mWr!6Q=>J1pPu|WIm4v4;f`A1AC26W#ASRXQ^UwlNc`e8!~ZdU z(!vXBeH;Yn9sWZXPIL^?py!(hVosJ+EK`QMSQR^lR`r@uC^I&RPVPDh%RUVqUnQ8A r#Fb3w41^YBzU$h%`Hil<<8!RQTcS{2-4|-_4+&Wp%q65c`(ouwe8PV8 diff --git a/proj/GPIO.runs/impl_1/rundef.js b/proj/GPIO.runs/impl_1/rundef.js deleted file mode 100644 index 4076c64..0000000 --- a/proj/GPIO.runs/impl_1/rundef.js +++ /dev/null @@ -1,40 +0,0 @@ -// -// Vivado(TM) -// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 -// Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -// - -var WshShell = new ActiveXObject( "WScript.Shell" ); -var ProcEnv = WshShell.Environment( "Process" ); -var PathVal = ProcEnv("PATH"); -if ( PathVal.length == 0 ) { - PathVal = "C:/Xilinx/Vivado/2016.4/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2016.4/ids_lite/ISE/lib/nt64;C:/Xilinx/Vivado/2016.4/bin;"; -} else { - PathVal = "C:/Xilinx/Vivado/2016.4/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2016.4/ids_lite/ISE/lib/nt64;C:/Xilinx/Vivado/2016.4/bin;" + PathVal; -} - -ProcEnv("PATH") = PathVal; - -var RDScrFP = WScript.ScriptFullName; -var RDScrN = WScript.ScriptName; -var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); -var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; -eval( EAInclude(ISEJScriptLib) ); - - -// pre-commands: -ISETouchFile( "write_bitstream", "begin" ); -ISEStep( "vivado", - "-log GPIO_demo.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source GPIO_demo.tcl -notrace" ); - - - - - -function EAInclude( EAInclFilename ) { - var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); - var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); - var EAIFContents = EAInclFile.ReadAll(); - EAInclFile.Close(); - return EAIFContents; -} diff --git a/proj/GPIO.runs/impl_1/runme.bat b/proj/GPIO.runs/impl_1/runme.bat deleted file mode 100644 index 660c945..0000000 --- a/proj/GPIO.runs/impl_1/runme.bat +++ /dev/null @@ -1,10 +0,0 @@ -@echo off - -rem Vivado (TM) -rem runme.bat: a Vivado-generated Script -rem Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. - - -set HD_SDIR=%~dp0 -cd /d "%HD_SDIR%" -cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/proj/GPIO.runs/impl_1/runme.log b/proj/GPIO.runs/impl_1/runme.log deleted file mode 100644 index a88efbb..0000000 --- a/proj/GPIO.runs/impl_1/runme.log +++ /dev/null @@ -1,473 +0,0 @@ - -*** Running vivado - with args -log GPIO_demo.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source GPIO_demo.tcl -notrace - - -****** Vivado v2016.4 (64-bit) - **** SW Build 1756540 on Mon Jan 23 19:11:23 MST 2017 - **** IP Build 1755317 on Mon Jan 23 20:30:07 MST 2017 - ** Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. - -source GPIO_demo.tcl -notrace -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Netlist 29-17] Analyzing 156 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2016.4 -INFO: [Device 21-403] Loading part xc7a35tcpg236-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/constraints/Basys3_Master.xdc] -Finished Parsing XDC File [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/constraints/Basys3_Master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Project 1-111] Unisim Transformation Summary: - A total of 2 instances were transformed. - IOBUF => IOBUF (IBUF, OBUFT): 2 instances - -link_design: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 528.871 ; gain = 255.074 -INFO: [Vivado_Tcl 4-424] Cannot write hardware definition file as there are no IPI block design hardware handoff files present -Command: opt_design -directive RuntimeOptimized -INFO: [Vivado_Tcl 4-136] Directive used for opt_design is: RuntimeOptimized -Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.818 . Memory (MB): peak = 539.426 ; gain = 10.555 - -Starting Logic Optimization Task -Implement Debug Cores | Checksum: 11fc7498c -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-2] Deriving generated clocks - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: 16f269fca - -Time (s): cpu = 00:00:13 ; elapsed = 00:00:13 . Memory (MB): peak = 1040.055 ; gain = 500.629 - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-10] Eliminated 6 cells. -Phase 2 Constant propagation | Checksum: 233a26f9e - -Time (s): cpu = 00:00:13 ; elapsed = 00:00:13 . Memory (MB): peak = 1040.055 ; gain = 500.629 - -Phase 3 Sweep -INFO: [Opt 31-12] Eliminated 363 unconnected nets. -INFO: [Opt 31-11] Eliminated 2 unconnected cells. -Phase 3 Sweep | Checksum: 1bb596469 - -Time (s): cpu = 00:00:13 ; elapsed = 00:00:13 . Memory (MB): peak = 1040.055 ; gain = 500.629 - -Phase 4 BUFG optimization -INFO: [Opt 31-12] Eliminated 0 unconnected nets. -INFO: [Opt 31-11] Eliminated 0 unconnected cells. -Phase 4 BUFG optimization | Checksum: 1bb596469 - -Time (s): cpu = 00:00:13 ; elapsed = 00:00:13 . Memory (MB): peak = 1040.055 ; gain = 500.629 - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1040.055 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: 1bb596469 - -Time (s): cpu = 00:00:13 ; elapsed = 00:00:13 . Memory (MB): peak = 1040.055 ; gain = 500.629 -INFO: [Common 17-83] Releasing license: Implementation -24 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -opt_design: Time (s): cpu = 00:00:14 ; elapsed = 00:00:14 . Memory (MB): peak = 1040.055 ; gain = 511.184 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.084 . Memory (MB): peak = 1040.055 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/GPIO_demo_opt.dcp' has been generated. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/GPIO_demo_drc_opted.rpt. -INFO: [Chipscope 16-241] No debug cores found in the current design. -Before running the implement_debug_core command, either use the Set Up Debug wizard (GUI mode) -or use the create_debug_core and connect_debug_core Tcl commands to insert debug cores into the design. -Command: place_design -directive RuntimeOptimized -Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t' -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 46-5] The placer was invoked with the 'RuntimeOptimized' directive. -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs - -Phase 1 Placer Initialization -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1040.055 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1040.055 ; gain = 0.000 - -Phase 1.1 IO Placement/ Clock Placement/ Build Placer Device -INFO: [Timing 38-35] Done setting XDC timing constraints. -Phase 1.1 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 6c035595 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 1.2 Build Placer Netlist Model -Phase 1.2 Build Placer Netlist Model | Checksum: f331096b - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 1.3 Constrain Clocks/Macros -Phase 1.3 Constrain Clocks/Macros | Checksum: f331096b - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1066.777 ; gain = 26.723 -Phase 1 Placer Initialization | Checksum: f331096b - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 2 Global Placement -Phase 2 Global Placement | Checksum: 7e244a0f - -Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 7e244a0f - -Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 1b64b4a8a - -Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 2008e72ab - -Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 2008e72ab - -Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 3.5 Timing Path Optimizer -Phase 3.5 Timing Path Optimizer | Checksum: 1b836a822 - -Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 3.6 Small Shape Detail Placement -Phase 3.6 Small Shape Detail Placement | Checksum: 1158460e2 - -Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 3.7 Re-assign LUT pins -Phase 3.7 Re-assign LUT pins | Checksum: 1c30709cd - -Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 3.8 Pipeline Register Optimization -Phase 3.8 Pipeline Register Optimization | Checksum: 1c30709cd - -Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 -Phase 3 Detail Placement | Checksum: 1c30709cd - -Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Phase 4.1.1 Post Placement Optimization -INFO: [Place 30-746] Post Placement Timing Summary WNS=4.240. For the most accurate timing information please run report_timing. -Phase 4.1.1 Post Placement Optimization | Checksum: 1ae2aa603 - -Time (s): cpu = 00:00:07 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 -Phase 4.1 Post Commit Optimization | Checksum: 1ae2aa603 - -Time (s): cpu = 00:00:07 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 1ae2aa603 - -Time (s): cpu = 00:00:07 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: 1ae2aa603 - -Time (s): cpu = 00:00:07 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 - -Phase 4.4 Final Placement Cleanup -Phase 4.4 Final Placement Cleanup | Checksum: 1591ee552 - -Time (s): cpu = 00:00:07 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1591ee552 - -Time (s): cpu = 00:00:07 ; elapsed = 00:00:04 . Memory (MB): peak = 1066.777 ; gain = 26.723 -Ending Placer Task | Checksum: dd20239e - -Time (s): cpu = 00:00:07 ; elapsed = 00:00:05 . Memory (MB): peak = 1066.777 ; gain = 26.723 -INFO: [Common 17-83] Releasing license: Implementation -41 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -place_design: Time (s): cpu = 00:00:09 ; elapsed = 00:00:06 . Memory (MB): peak = 1066.777 ; gain = 26.723 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.216 . Memory (MB): peak = 1066.777 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/GPIO_demo_placed.dcp' has been generated. -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.075 . Memory (MB): peak = 1066.777 ; gain = 0.000 -report_utilization: Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.032 . Memory (MB): peak = 1066.777 ; gain = 0.000 -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.006 . Memory (MB): peak = 1066.777 ; gain = 0.000 -Command: route_design -directive RuntimeOptimized -Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t' -Running DRC as a precondition to command route_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-270] Using Router directive 'RuntimeOptimized'. -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs -Checksum: PlaceDB: cf46d93b ConstDB: 0 ShapeSum: dd94a63 RouteDB: 0 - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: be9a9a9a - -Time (s): cpu = 00:00:26 ; elapsed = 00:00:24 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 2 Router Initialization - -Phase 2.1 Create Timer -Phase 2.1 Create Timer | Checksum: be9a9a9a - -Time (s): cpu = 00:00:26 ; elapsed = 00:00:24 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 2.2 Fix Topology Constraints -Phase 2.2 Fix Topology Constraints | Checksum: be9a9a9a - -Time (s): cpu = 00:00:26 ; elapsed = 00:00:24 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 2.3 Pre Route Cleanup -Phase 2.3 Pre Route Cleanup | Checksum: be9a9a9a - -Time (s): cpu = 00:00:26 ; elapsed = 00:00:24 . Memory (MB): peak = 1178.578 ; gain = 111.801 - Number of Nodes with overlaps = 0 - -Phase 2.4 Update Timing -Phase 2.4 Update Timing | Checksum: 111c71c3e - -Time (s): cpu = 00:00:27 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=4.198 | TNS=0.000 | WHS=-0.144 | THS=-6.171 | - -Phase 2 Router Initialization | Checksum: 1ee683561 - -Time (s): cpu = 00:00:28 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 10e02a291 - -Time (s): cpu = 00:00:28 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 107 - Number of Nodes with overlaps = 0 - -Phase 4.1.1 Update Timing -Phase 4.1.1 Update Timing | Checksum: da308246 - -Time (s): cpu = 00:00:28 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=3.625 | TNS=0.000 | WHS=N/A | THS=N/A | - -Phase 4.1 Global Iteration 0 | Checksum: 1a9ed9d3a - -Time (s): cpu = 00:00:28 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 4.2 Global Iteration 1 - Number of Nodes with overlaps = 1 - Number of Nodes with overlaps = 0 - -Phase 4.2.1 Update Timing -Phase 4.2.1 Update Timing | Checksum: 1185cfc05 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=3.625 | TNS=0.000 | WHS=N/A | THS=N/A | - -Phase 4.2 Global Iteration 1 | Checksum: 18260d5a4 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 -Phase 4 Rip-up And Reroute | Checksum: 18260d5a4 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 5 Delay and Skew Optimization - -Phase 5.1 Delay CleanUp -Phase 5.1 Delay CleanUp | Checksum: 18260d5a4 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 5.2 Clock Skew Optimization -Phase 5.2 Clock Skew Optimization | Checksum: 18260d5a4 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 -Phase 5 Delay and Skew Optimization | Checksum: 18260d5a4 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter - -Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: 16251cbd9 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=3.717 | TNS=0.000 | WHS=0.062 | THS=0.000 | - -Phase 6.1 Hold Fix Iter | Checksum: 12245b0d3 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 -Phase 6 Post Hold Fix | Checksum: 12245b0d3 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.234075 % - Global Horizontal Routing Utilization = 0.228267 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 7 Route finalize | Checksum: 1af3f3601 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 1af3f3601 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:25 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 15d59118d - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:26 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Phase 10 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=3.717 | TNS=0.000 | WHS=0.062 | THS=0.000 | - -INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 10 Post Router Timing | Checksum: 15d59118d - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:26 . Memory (MB): peak = 1178.578 ; gain = 111.801 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:26 . Memory (MB): peak = 1178.578 ; gain = 111.801 - -Routing Is Done. -INFO: [Common 17-83] Releasing license: Implementation -56 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:31 ; elapsed = 00:00:27 . Memory (MB): peak = 1178.578 ; gain = 111.801 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.232 . Memory (MB): peak = 1178.578 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/GPIO_demo_routed.dcp' has been generated. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/GPIO_demo_drc_routed.rpt. -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/GPIO_demo_methodology_drc_routed.rpt. -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Timing 38-35] Done setting XDC timing constraints. -Command: report_power -file GPIO_demo_power_routed.rpt -pb GPIO_demo_power_summary_routed.pb -rpx GPIO_demo_power_routed.rpx -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -66 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [Common 17-206] Exiting Vivado at Fri Apr 09 23:16:39 2021... - -*** Running vivado - with args -log GPIO_demo.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source GPIO_demo.tcl -notrace - - -****** Vivado v2016.4 (64-bit) - **** SW Build 1756540 on Mon Jan 23 19:11:23 MST 2017 - **** IP Build 1755317 on Mon Jan 23 20:30:07 MST 2017 - ** Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. - -source GPIO_demo.tcl -notrace -Command: open_checkpoint GPIO_demo_routed.dcp - -Starting open_checkpoint Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.027 . Memory (MB): peak = 215.074 ; gain = 0.000 -INFO: [Netlist 29-17] Analyzing 156 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 1 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2016.4 -INFO: [Device 21-403] Loading part xc7a35tcpg236-1 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/.Xil/Vivado-1988-DESKTOP-GN6T5R2/dcp/GPIO_demo_early.xdc] -Finished Parsing XDC File [C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/.Xil/Vivado-1988-DESKTOP-GN6T5R2/dcp/GPIO_demo_early.xdc] -Parsing XDC File [C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/.Xil/Vivado-1988-DESKTOP-GN6T5R2/dcp/GPIO_demo.xdc] -Finished Parsing XDC File [C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/.Xil/Vivado-1988-DESKTOP-GN6T5R2/dcp/GPIO_demo.xdc] -Reading XDEF placement. -Reading placer database... -Reading XDEF routing. -Read XDEF File: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.133 . Memory (MB): peak = 528.973 ; gain = 0.000 -Restored from archive | CPU: 0.000000 secs | Memory: 0.000000 MB | -Finished XDEF File Restore: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.133 . Memory (MB): peak = 528.973 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: - A total of 2 instances were transformed. - IOBUF => IOBUF (IBUF, OBUFT): 2 instances - -INFO: [Project 1-604] Checkpoint was created with Vivado v2016.4 (64-bit) build 1756540 -open_checkpoint: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 528.973 ; gain = 318.734 -Command: write_bitstream -force -no_partial_bitfile GPIO_demo.bit -Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t' -Running DRC as a precondition to command write_bitstream -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado 12-3199] DRC finished with 0 Errors -INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. -Loading data files... -Loading site data... -Loading route data... -Processing options... -Creating bitmap... -Creating bitstream... -Bitstream compression saved 13383552 bits. -Writing bitstream ./GPIO_demo.bit... -INFO: [Vivado 12-1842] Bitgen Completed Successfully. -INFO: [Project 1-120] WebTalk data collection is mandatory when using a WebPACK part without a full Vivado license. To see the specific WebTalk data collected for your design, open the usage_statistics_webtalk.html or usage_statistics_webtalk.xml file in the implementation directory. -INFO: [Common 17-83] Releasing license: Implementation -14 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -write_bitstream completed successfully -write_bitstream: Time (s): cpu = 00:00:23 ; elapsed = 00:00:22 . Memory (MB): peak = 965.129 ; gain = 436.156 -INFO: [Vivado_Tcl 4-395] Unable to parse hwdef file GPIO_demo.hwdef -INFO: [Common 17-206] Exiting Vivado at Fri Apr 09 23:19:56 2021... diff --git a/proj/GPIO.runs/impl_1/runme.sh b/proj/GPIO.runs/impl_1/runme.sh deleted file mode 100644 index 192dd80..0000000 --- a/proj/GPIO.runs/impl_1/runme.sh +++ /dev/null @@ -1,47 +0,0 @@ -#!/bin/sh - -# -# Vivado(TM) -# runme.sh: a Vivado-generated Runs Script for UNIX -# Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -# - -echo "This script was generated under a different operating system." -echo "Please update the PATH and LD_LIBRARY_PATH variables below, before executing this script" -exit - -if [ -z "$PATH" ]; then - PATH=C:/Xilinx/Vivado/2016.4/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2016.4/ids_lite/ISE/lib/nt64:C:/Xilinx/Vivado/2016.4/bin -else - PATH=C:/Xilinx/Vivado/2016.4/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2016.4/ids_lite/ISE/lib/nt64:C:/Xilinx/Vivado/2016.4/bin:$PATH -fi -export PATH - -if [ -z "$LD_LIBRARY_PATH" ]; then - LD_LIBRARY_PATH= -else - LD_LIBRARY_PATH=:$LD_LIBRARY_PATH -fi -export LD_LIBRARY_PATH - -HD_PWD='C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1' -cd "$HD_PWD" - -HD_LOG=runme.log -/bin/touch $HD_LOG - -ISEStep="./ISEWrap.sh" -EAStep() -{ - $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 - if [ $? -ne 0 ] - then - exit - fi -} - -# pre-commands: -/bin/touch .write_bitstream.begin.rst -EAStep vivado -log GPIO_demo.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source GPIO_demo.tcl -notrace - - diff --git a/proj/GPIO.runs/impl_1/usage_statistics_webtalk.html b/proj/GPIO.runs/impl_1/usage_statistics_webtalk.html deleted file mode 100644 index 32c71a8..0000000 --- a/proj/GPIO.runs/impl_1/usage_statistics_webtalk.html +++ /dev/null @@ -1,506 +0,0 @@ -Device Usage Statistics Report -

    Device Usage Page (usage_statistics_webtalk.html)

    This HTML page displays the device usage statistics that will be sent to Xilinx.
    To see the actual file transmitted to Xilinx, please click
    here.


    - - - - - - - - - - - - - - - - - -
    software_version_and_target_device
    betaFALSEbuild_version1756540
    date_generatedFri Apr 09 23:19:55 2021os_platformWIN64
    product_versionVivado v2016.4 (64-bit)project_id0138f6f78b4b4ef3a03837b84ae3d333
    project_iteration1random_id89e526329a235cb691995f8457477284
    registration_id89e526329a235cb691995f8457477284route_designTRUE
    target_devicexc7a35ttarget_familyartix7
    target_packagecpg236target_speed-1
    tool_flowVivado

    - - - - - - - - -
    user_environment
    cpu_nameIntel(R) Core(TM) i7-6500U CPU @ 2.50GHzcpu_speed2592 MHz
    os_nameMicrosoft Windows 8 or later , 64-bitos_releasemajor release (build 9200)
    system_ram8.000 GBtotal_processors1

    - - -
    vivado_usage
    - - - - -
    java_command_handlers
    runbitgen=1runimplementation=1runsynthesis=1
    - - - -
    other_data
    guimode=1
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    project_data
    constraintsetcount=1core_container=falsecurrentimplrun=impl_1currentsynthesisrun=synth_1
    default_library=xil_defaultlibdesignmode=RTLexport_simulation_activehdl=0export_simulation_ies=0
    export_simulation_modelsim=0export_simulation_questa=0export_simulation_riviera=0export_simulation_vcs=0
    export_simulation_xsim=0implstrategy=Vivado Implementation Defaultslaunch_simulation_activehdl=0launch_simulation_ies=0
    launch_simulation_modelsim=0launch_simulation_questa=0launch_simulation_riviera=0launch_simulation_vcs=0
    launch_simulation_xsim=0simulator_language=Mixedsrcsetcount=9synthesisstrategy=Vivado Synthesis Defaults
    target_language=VHDLtarget_simulator=XSimtotalimplruns=1totalsynthesisruns=1
    -
    - - - - -
    unisim_transformation
    - - - - - - - - - - - - - - - - - - - -
    post_unisim_transformation
    bufg=3carry4=132fdre=579fdse=2
    gnd=8ibuf=24lut1=368lut2=207
    lut3=91lut4=138lut5=73lut6=157
    mmcme2_adv=1muxf7=3obuf=43obuft=2
    vcc=8
    -
    - - - - - - - - - - - - - - - - - - - -
    pre_unisim_transformation
    bufg=3carry4=132fdre=579fdse=2
    gnd=8ibuf=22iobuf=2lut1=368
    lut2=207lut3=91lut4=138lut5=73
    lut6=157mmcme2_adv=1muxf7=3obuf=43
    vcc=8
    -

    - - - -
    ip_statistics
    - - - - - - - - - - - - - - - - - - - - - - - - -
    clk_wiz_v5_1/1
    clkin1_period=10.0clkin2_period=10.0clock_mgr_type=NAcomponent_name=clk_wiz_0
    core_container=NAenable_axi=0feedback_source=FDBK_AUTOfeedback_type=SINGLE
    iptotal=1manual_override=falsenum_out_clk=1primitive=MMCM
    use_dyn_phase_shift=falseuse_dyn_reconfig=falseuse_inclk_stopped=falseuse_inclk_switchover=false
    use_locked=falseuse_max_i_jitter=falseuse_min_o_jitter=falseuse_phase_alignment=true
    use_power_down=falseuse_reset=false
    -

    - - - -
    report_drc
    - - - - - - - - - - - - -
    command_line_options
    -append=default::[not_specified]-checks=default::[not_specified]-fail_on=default::[not_specified]-force=default::[not_specified]
    -format=default::[not_specified]-messages=default::[not_specified]-name=default::[not_specified]-return_string=default::[not_specified]
    -ruledecks=default::[not_specified]-upgrade_cw=default::[not_specified]
    -

    - - - - - - - - - -
    report_utilization
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    clocking
    bufgctrl_available=32bufgctrl_fixed=0bufgctrl_used=3bufgctrl_util_percentage=9.38
    bufhce_available=72bufhce_fixed=0bufhce_used=0bufhce_util_percentage=0.00
    bufio_available=20bufio_fixed=0bufio_used=0bufio_util_percentage=0.00
    bufmrce_available=10bufmrce_fixed=0bufmrce_used=0bufmrce_util_percentage=0.00
    bufr_available=20bufr_fixed=0bufr_used=0bufr_util_percentage=0.00
    mmcme2_adv_available=5mmcme2_adv_fixed=0mmcme2_adv_used=1mmcme2_adv_util_percentage=20.00
    plle2_adv_available=5plle2_adv_fixed=0plle2_adv_used=0plle2_adv_util_percentage=0.00
    -
    - - - - - - -
    dsp
    dsps_available=90dsps_fixed=0dsps_used=0dsps_util_percentage=0.00
    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    io_standard
    blvds_25=0diff_hstl_i=0diff_hstl_i_18=0diff_hstl_ii=0
    diff_hstl_ii_18=0diff_hsul_12=0diff_mobile_ddr=0diff_sstl135=0
    diff_sstl135_r=0diff_sstl15=0diff_sstl15_r=0diff_sstl18_i=0
    diff_sstl18_ii=0hstl_i=0hstl_i_18=0hstl_ii=0
    hstl_ii_18=0hsul_12=0lvcmos12=0lvcmos15=0
    lvcmos18=0lvcmos25=0lvcmos33=1lvds_25=0
    lvttl=0mini_lvds_25=0mobile_ddr=0pci33_3=0
    ppds_25=0rsds_25=0sstl135=0sstl135_r=0
    sstl15=0sstl15_r=0sstl18_i=0sstl18_ii=0
    tmds_33=0
    -
    - - - - - - - - - - - - - - -
    memory
    block_ram_tile_available=50block_ram_tile_fixed=0block_ram_tile_used=0block_ram_tile_util_percentage=0.00
    ramb18_available=100ramb18_fixed=0ramb18_used=0ramb18_util_percentage=0.00
    ramb36_fifo_available=50ramb36_fifo_fixed=0ramb36_fifo_used=0ramb36_fifo_util_percentage=0.00
    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    primitives
    bufg_functional_category=Clockbufg_used=3carry4_functional_category=CarryLogiccarry4_used=132
    fdre_functional_category=Flop & Latchfdre_used=576fdse_functional_category=Flop & Latchfdse_used=2
    ibuf_functional_category=IOibuf_used=24lut1_functional_category=LUTlut1_used=30
    lut2_functional_category=LUTlut2_used=207lut3_functional_category=LUTlut3_used=93
    lut4_functional_category=LUTlut4_used=136lut5_functional_category=LUTlut5_used=72
    lut6_functional_category=LUTlut6_used=157mmcme2_adv_functional_category=Clockmmcme2_adv_used=1
    muxf7_functional_category=MuxFxmuxf7_used=3obuf_functional_category=IOobuf_used=43
    obuft_functional_category=IOobuft_used=2
    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    slice_logic
    f7_muxes_available=16300f7_muxes_fixed=0f7_muxes_used=3f7_muxes_util_percentage=0.02
    f8_muxes_available=8150f8_muxes_fixed=0f8_muxes_used=0f8_muxes_util_percentage=0.00
    lut_as_logic_available=20800lut_as_logic_fixed=0lut_as_logic_used=564lut_as_logic_util_percentage=2.71
    lut_as_memory_available=9600lut_as_memory_fixed=0lut_as_memory_used=0lut_as_memory_util_percentage=0.00
    register_as_flip_flop_available=41600register_as_flip_flop_fixed=0register_as_flip_flop_used=578register_as_flip_flop_util_percentage=1.39
    register_as_latch_available=41600register_as_latch_fixed=0register_as_latch_used=0register_as_latch_util_percentage=0.00
    slice_luts_available=20800slice_luts_fixed=0slice_luts_used=564slice_luts_util_percentage=2.71
    slice_registers_available=41600slice_registers_fixed=0slice_registers_used=578slice_registers_util_percentage=1.39
    fully_used_lut_ff_pairs_fixed=1.39fully_used_lut_ff_pairs_used=54lut_as_distributed_ram_fixed=0lut_as_distributed_ram_used=0
    lut_as_logic_available=20800lut_as_logic_fixed=0lut_as_logic_used=564lut_as_logic_util_percentage=2.71
    lut_as_memory_available=9600lut_as_memory_fixed=0lut_as_memory_used=0lut_as_memory_util_percentage=0.00
    lut_as_shift_register_fixed=0lut_as_shift_register_used=0lut_ff_pairs_with_one_unused_flip_flop_fixed=0lut_ff_pairs_with_one_unused_flip_flop_used=111
    lut_ff_pairs_with_one_unused_lut_output_fixed=111lut_ff_pairs_with_one_unused_lut_output_used=110lut_flip_flop_pairs_available=20800lut_flip_flop_pairs_fixed=0
    lut_flip_flop_pairs_used=171lut_flip_flop_pairs_util_percentage=0.82slice_available=8150slice_fixed=0
    slice_used=282slice_util_percentage=3.46slicel_fixed=0slicel_used=182
    slicem_fixed=0slicem_used=100unique_control_sets_used=36using_o5_and_o6_fixed=36
    using_o5_and_o6_used=131using_o5_output_only_fixed=131using_o5_output_only_used=0using_o6_output_only_fixed=0
    using_o6_output_only_used=433
    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    specific_feature
    bscane2_available=4bscane2_fixed=0bscane2_used=0bscane2_util_percentage=0.00
    capturee2_available=1capturee2_fixed=0capturee2_used=0capturee2_util_percentage=0.00
    dna_port_available=1dna_port_fixed=0dna_port_used=0dna_port_util_percentage=0.00
    efuse_usr_available=1efuse_usr_fixed=0efuse_usr_used=0efuse_usr_util_percentage=0.00
    frame_ecce2_available=1frame_ecce2_fixed=0frame_ecce2_used=0frame_ecce2_util_percentage=0.00
    icape2_available=2icape2_fixed=0icape2_used=0icape2_util_percentage=0.00
    pcie_2_1_available=1pcie_2_1_fixed=0pcie_2_1_used=0pcie_2_1_util_percentage=0.00
    startupe2_available=1startupe2_fixed=0startupe2_used=0startupe2_util_percentage=0.00
    xadc_available=1xadc_fixed=0xadc_used=0xadc_util_percentage=0.00
    -

    - - - -
    router
    - - - - - - - - - - - - - - - - - - - - - - - - - - -
    usage
    actual_expansions=695075bogomips=0bram18=0bram36=0
    bufg=0bufr=0congestion_level=0ctrls=36
    dsp=0effort=2estimated_expansions=723384ff=578
    global_clocks=3high_fanout_nets=0iob=67lut=609
    movable_instances=1499nets=1904pins=8775pll=0
    router_runtime=0.000000router_timing_driven=1threads=2timing_constraints_exist=1
    -

    - - - - -
    synthesis
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    command_line_options
    -assert=default::[not_specified]-bufg=default::12-cascade_dsp=default::auto-constrset=default::[not_specified]
    -control_set_opt_threshold=default::auto-directive=RuntimeOptimized-fanout_limit=default::10000-flatten_hierarchy=none
    -fsm_extraction=off-gated_clock_conversion=default::off-generic=default::[not_specified]-include_dirs=default::[not_specified]
    -keep_equivalent_registers=default::[not_specified]-max_bram=default::-1-max_bram_cascade_height=default::-1-max_dsp=default::-1
    -max_uram=default::-1-max_uram_cascade_height=default::-1-mode=default::default-name=default::[not_specified]
    -no_lc=default::[not_specified]-no_srlextract=default::[not_specified]-no_timing_driven=default::[not_specified]-part=xc7a35tcpg236-1
    -resource_sharing=default::auto-retiming=default::[not_specified]-rtl=default::[not_specified]-rtl_skip_constraints=default::[not_specified]
    -rtl_skip_ip=default::[not_specified]-seu_protect=default::none-shreg_min_size=default::3-top=GPIO_demo
    -verilog_define=default::[not_specified]
    -
    - - - - - - -
    usage
    elapsed=00:00:34shls_ip=0memory_gain=424.176MBmemory_peak=692.656MB
    -

    - - diff --git a/proj/GPIO.runs/impl_1/usage_statistics_webtalk.xml b/proj/GPIO.runs/impl_1/usage_statistics_webtalk.xml deleted file mode 100644 index 514a2ab..0000000 --- a/proj/GPIO.runs/impl_1/usage_statistics_webtalk.xml +++ /dev/null @@ -1,453 +0,0 @@ - - -
    -
    - - - - - - - - - - - - - - - -
    -
    - - - - - - -
    -
    -
    - - - - - - - - - - - - - - - - - - - - - - -
    -
    -
    -
    - - - - - - - - - - -
    -
    -
    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    -
    - - - - -
    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    -
    - - - - - - - - - - - - -
    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    -
    -
    -
    - - - - - - - - - - - - - - - - - - - - - - - - -
    -
    -
    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    -
    - - - - -
    -
    -
    -
    - - - - - - - - - - - - - - - - - -
    -
    - - - - - - - - - - - - - - - - - -
    -
    -
    -
    - - - -
    -
    - -
    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    -
    -
    -
    diff --git a/proj/GPIO.runs/impl_1/vivado.jou b/proj/GPIO.runs/impl_1/vivado.jou deleted file mode 100644 index c112451..0000000 --- a/proj/GPIO.runs/impl_1/vivado.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2016.4 (64-bit) -# SW Build 1756540 on Mon Jan 23 19:11:23 MST 2017 -# IP Build 1755317 on Mon Jan 23 20:30:07 MST 2017 -# Start of session at: Fri Apr 09 23:19:20 2021 -# Process ID: 1988 -# Current directory: C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1 -# Command line: vivado.exe -log GPIO_demo.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source GPIO_demo.tcl -notrace -# Log file: C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/GPIO_demo.vdi -# Journal file: C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source GPIO_demo.tcl -notrace diff --git a/proj/GPIO.runs/impl_1/vivado.pb b/proj/GPIO.runs/impl_1/vivado.pb deleted file mode 100644 index ceb824cbef74a581d4c02e9e2eb5c94d277b6468..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 149 zcmd;TVB`{Ut;j6N%u82LEmlY@0g`%pdRzjmQp`pMW?F2?`MJ6Ic}7xPY+;#Yi7EL; z>?x^fiKRIuRxpN<4wr;mQKo`pL6L%irGk;Mm7%4TshNV2fsrAm>L@ltb6udx84Lxi b6|55&83dTP__(-S^HLOoQj_zGQUn+Qin1oi diff --git a/proj/GPIO.runs/impl_1/vivado_960.backup.jou b/proj/GPIO.runs/impl_1/vivado_960.backup.jou deleted file mode 100644 index 81bbe49..0000000 --- a/proj/GPIO.runs/impl_1/vivado_960.backup.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2016.4 (64-bit) -# SW Build 1756540 on Mon Jan 23 19:11:23 MST 2017 -# IP Build 1755317 on Mon Jan 23 20:30:07 MST 2017 -# Start of session at: Fri Apr 09 23:15:32 2021 -# Process ID: 960 -# Current directory: C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1 -# Command line: vivado.exe -log GPIO_demo.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source GPIO_demo.tcl -notrace -# Log file: C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1/GPIO_demo.vdi -# Journal file: C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source GPIO_demo.tcl -notrace diff --git a/proj/GPIO.runs/impl_1/write_bitstream.pb b/proj/GPIO.runs/impl_1/write_bitstream.pb deleted file mode 100644 index 28bf6a69a8595844b86bbf10ac49a29063e243be..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5871 zcmdT|&2JmW6%VPedLkrh3M;AOHq$nOW!7Bo&XT)JwN9!J%M~2Qf-J=bi-sL7hvY`f zU1Db`Q9*icdMSz)z4g{xPyH)$$f-b1`EUB(EVqmy&(g# zyd4e(Jm_rDHAz$pR<)Dc}i^5c!^AFU;WRheU1{a1$b+CyQ>z+%EGO(VON#{5&NEu*_&j}Xjrp4 zS_`aMHEd9|FkVP}{0U(b>4y;yBuF0c*b4*dhJ&FmAYc@%2Ip_@A5kg5=^Y3?=wr@> zzMG_ET%nCQS#4wT!w(Z1=^2;QjRZ#xXI|V#9cvOK&#|UaSsF&+C&G={EwcELcgj2A ztbE*Kx?ySc*}c|+QLWp}tnQD<>mP)?gDxFHPEC{<4ZE_~5vQIjFpI{n&CN#a4tvbB zszsMtWFT2(QR>4- zBCGp6k|^!@&Nkikd_jM;CV!pezTK?6+7Z(01*6#WCHq5(jJG#xM^Z$x_RC>yCv-T6HR^WWgi5_xCWgFNm-ykB%X=l|q( znAhp;zPw(@J};5ze;cyv1?#K-Fj(Khd*#CPK6&c^U@9rB2fH-wpqeTzrdzq>Q+W)F z*+}n@x2Gc1>4@88c4xldN7a-Q#iDF}{W*VGU@F98dR z>Dai_l>2>|?5)YmC5(dPHb!WBr_S~Tn`SPR}*9xo>__SX`z z4}^@v2--_G3I~)&Zr?i<^fN>*BrJ$t5`HEityUgy(a#bdV;T9Fskn|@eTspa(_SQ6HbmEV1d+7dAB0nmoAWuiYHly5H~C|I4}RXGxOfO$EpwX8CMsey zD2H&SkpJfrxwCyia*N2!@yPQ#6P97xz?Prr8?#?j@G}Z*r@Ef@YeAU3}fETLSpPG#t$~iwIvqQ~2%7 zz*26Ql^dsuPsXm#J|NCn>>qACm9V^W^HdR z)FDf9kq^DdUhd^HS`oR{!4b{DEpY) zI~WB4`flf7o5IAv=`d15h^K}W@F*e{xD5&|>EuYRGZQIr`b!}$a0w2d1{};b?0s@O zt9v@4#C=GU=?GM>%ylA{!T7@pq%JVC%5uY2i`>L}bf-LcA{2*$d84T}vW6qL(g#r# zMzTOQT5KB4oVPr(weJgHA}||vMTF@V_l5EtOeZ0XJnPB-Z7}+-NI3?b zm||s2QM0+p^xTm0K3SbkQ%Hc|PQH*S1!A_5CosyxhSkV=_&suSDnddLDk7DU03&{8 z%uz-dhLy|Pj1lT_;j)N4rcXuW_#BAXk3v8VHE!Xk2G0tq6{b}rqG9Bq{paL++mIBh z#Gx|`_%J=sAQ=m8QAaFEnVd~lv*(jWG!apu^S)S9pB)tm#mWNj;LT{y8vcoCT1 zB|ils1f&NKD^giz5Yto?wqYP;j@f7wrYP9N~oWhCy&Fx3Y`v4XvkaB1_sGLq9 zd(yf!dKdzs1eyUACWpfHx-jV%X+%B>E&V(kVPD6w{T{psfKog;lDsF{z*}N?w((rq zJ`=}4Xil_#JOEk~UH!or_7y6@>kLZ5LH+_0Xvc##i5FBpn=_c6@(;ykSgx2`IE-NH z!0=9Pn7r}9Lyu!#r^kbIyXqhcros54L>@vW?m?T8_b?})@d$f*@?#sb$^{ZPT}2SY zuae$JMc{^`AO=bYJPscJ@Zl)~;j5%<6wm?e$pwt*ddpC6)qJUPatkcOLQAq!X0kIe z4#4MvUwk9*K_$7-@MUwjOL+KV4#$dSvvlxd1Acr3sG9nC)(#|VW)tBkP1Dy1c>tMr0Q4g2 Htd#x*idCjW diff --git a/proj/GPIO.runs/synth_1/.Vivado_Synthesis.queue.rst b/proj/GPIO.runs/synth_1/.Vivado_Synthesis.queue.rst deleted file mode 100644 index e69de29..0000000 diff --git a/proj/GPIO.runs/synth_1/.Xil/GPIO_demo_propImpl.xdc b/proj/GPIO.runs/synth_1/.Xil/GPIO_demo_propImpl.xdc deleted file mode 100644 index 4357eb7..0000000 --- a/proj/GPIO.runs/synth_1/.Xil/GPIO_demo_propImpl.xdc +++ /dev/null @@ -1,135 +0,0 @@ -set_property SRC_FILE_INFO {cfile:C:/Users/Hp/Documents/Compteur8BitsBasys3/src/constraints/Basys3_Master.xdc rfile:../../../../src/constraints/Basys3_Master.xdc id:1} [current_design] -set_property src_info {type:XDC file:1 line:8 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W5 [get_ports CLK] -set_property src_info {type:XDC file:1 line:13 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN V17 [get_ports {SW[0]}] -set_property src_info {type:XDC file:1 line:15 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN V16 [get_ports {SW[1]}] -set_property src_info {type:XDC file:1 line:17 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W16 [get_ports {SW[2]}] -set_property src_info {type:XDC file:1 line:19 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W17 [get_ports {SW[3]}] -set_property src_info {type:XDC file:1 line:21 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W15 [get_ports {SW[4]}] -set_property src_info {type:XDC file:1 line:23 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN V15 [get_ports {SW[5]}] -set_property src_info {type:XDC file:1 line:25 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W14 [get_ports {SW[6]}] -set_property src_info {type:XDC file:1 line:27 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W13 [get_ports {SW[7]}] -set_property src_info {type:XDC file:1 line:29 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN V2 [get_ports {SW[8]}] -set_property src_info {type:XDC file:1 line:31 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN T3 [get_ports {SW[9]}] -set_property src_info {type:XDC file:1 line:33 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN T2 [get_ports {SW[10]}] -set_property src_info {type:XDC file:1 line:35 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN R3 [get_ports {SW[11]}] -set_property src_info {type:XDC file:1 line:37 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W2 [get_ports {SW[12]}] -set_property src_info {type:XDC file:1 line:39 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U1 [get_ports {SW[13]}] -set_property src_info {type:XDC file:1 line:41 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN T1 [get_ports {SW[14]}] -set_property src_info {type:XDC file:1 line:43 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN R2 [get_ports {SW[15]}] -set_property src_info {type:XDC file:1 line:48 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U16 [get_ports {LED[0]}] -set_property src_info {type:XDC file:1 line:50 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN E19 [get_ports {LED[1]}] -set_property src_info {type:XDC file:1 line:52 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U19 [get_ports {LED[2]}] -set_property src_info {type:XDC file:1 line:54 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN V19 [get_ports {LED[3]}] -set_property src_info {type:XDC file:1 line:56 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W18 [get_ports {LED[4]}] -set_property src_info {type:XDC file:1 line:58 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U15 [get_ports {LED[5]}] -set_property src_info {type:XDC file:1 line:60 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U14 [get_ports {LED[6]}] -set_property src_info {type:XDC file:1 line:62 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN V14 [get_ports {LED[7]}] -set_property src_info {type:XDC file:1 line:64 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN V13 [get_ports {LED[8]}] -set_property src_info {type:XDC file:1 line:66 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN V3 [get_ports {LED[9]}] -set_property src_info {type:XDC file:1 line:68 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W3 [get_ports {LED[10]}] -set_property src_info {type:XDC file:1 line:70 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U3 [get_ports {LED[11]}] -set_property src_info {type:XDC file:1 line:72 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN P3 [get_ports {LED[12]}] -set_property src_info {type:XDC file:1 line:74 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN N3 [get_ports {LED[13]}] -set_property src_info {type:XDC file:1 line:76 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN P1 [get_ports {LED[14]}] -set_property src_info {type:XDC file:1 line:78 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN L1 [get_ports {LED[15]}] -set_property src_info {type:XDC file:1 line:84 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W7 [get_ports {SSEG_CA[0]}] -set_property src_info {type:XDC file:1 line:87 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W6 [get_ports {SSEG_CA[1]}] -set_property src_info {type:XDC file:1 line:90 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U8 [get_ports {SSEG_CA[2]}] -set_property src_info {type:XDC file:1 line:93 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN V8 [get_ports {SSEG_CA[3]}] -set_property src_info {type:XDC file:1 line:96 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U5 [get_ports {SSEG_CA[4]}] -set_property src_info {type:XDC file:1 line:99 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN V5 [get_ports {SSEG_CA[5]}] -set_property src_info {type:XDC file:1 line:102 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U7 [get_ports {SSEG_CA[6]}] -set_property src_info {type:XDC file:1 line:106 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN V7 [get_ports {SSEG_CA[7]}] -set_property src_info {type:XDC file:1 line:110 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U2 [get_ports {SSEG_AN[0]}] -set_property src_info {type:XDC file:1 line:113 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U4 [get_ports {SSEG_AN[1]}] -set_property src_info {type:XDC file:1 line:116 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN V4 [get_ports {SSEG_AN[2]}] -set_property src_info {type:XDC file:1 line:119 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W4 [get_ports {SSEG_AN[3]}] -set_property src_info {type:XDC file:1 line:125 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U18 [get_ports {BTN[4]}] -set_property src_info {type:XDC file:1 line:128 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN T18 [get_ports {BTN[0]}] -set_property src_info {type:XDC file:1 line:131 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN W19 [get_ports {BTN[1]}] -set_property src_info {type:XDC file:1 line:134 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN T17 [get_ports {BTN[2]}] -set_property src_info {type:XDC file:1 line:137 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN U17 [get_ports {BTN[3]}] -set_property src_info {type:XDC file:1 line:255 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN G19 [get_ports {VGA_RED[0]}] -set_property src_info {type:XDC file:1 line:258 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN H19 [get_ports {VGA_RED[1]}] -set_property src_info {type:XDC file:1 line:261 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN J19 [get_ports {VGA_RED[2]}] -set_property src_info {type:XDC file:1 line:264 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN N19 [get_ports {VGA_RED[3]}] -set_property src_info {type:XDC file:1 line:267 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN N18 [get_ports {VGA_BLUE[0]}] -set_property src_info {type:XDC file:1 line:270 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN L18 [get_ports {VGA_BLUE[1]}] -set_property src_info {type:XDC file:1 line:273 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN K18 [get_ports {VGA_BLUE[2]}] -set_property src_info {type:XDC file:1 line:276 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN J18 [get_ports {VGA_BLUE[3]}] -set_property src_info {type:XDC file:1 line:279 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN J17 [get_ports {VGA_GREEN[0]}] -set_property src_info {type:XDC file:1 line:282 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN H17 [get_ports {VGA_GREEN[1]}] -set_property src_info {type:XDC file:1 line:285 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN G17 [get_ports {VGA_GREEN[2]}] -set_property src_info {type:XDC file:1 line:288 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN D17 [get_ports {VGA_GREEN[3]}] -set_property src_info {type:XDC file:1 line:291 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN P19 [get_ports VGA_HS] -set_property src_info {type:XDC file:1 line:294 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN R19 [get_ports VGA_VS] -set_property src_info {type:XDC file:1 line:303 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN A18 [get_ports UART_TXD] -set_property src_info {type:XDC file:1 line:310 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN C17 [get_ports PS2_CLK] -set_property src_info {type:XDC file:1 line:314 export:INPUT save:INPUT read:READ} [current_design] -set_property PACKAGE_PIN B17 [get_ports PS2_DATA] diff --git a/proj/GPIO.runs/synth_1/.vivado.begin.rst b/proj/GPIO.runs/synth_1/.vivado.begin.rst deleted file mode 100644 index 6ca6eef..0000000 --- a/proj/GPIO.runs/synth_1/.vivado.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/proj/GPIO.runs/synth_1/.vivado.end.rst b/proj/GPIO.runs/synth_1/.vivado.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/proj/GPIO.runs/synth_1/GPIO_demo.dcp b/proj/GPIO.runs/synth_1/GPIO_demo.dcp deleted file mode 100644 index 5a48d19cb0f0b9373a58c53b9a9d28b1e80aa117..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 241063 zcmce;WmH|uwk`_6B|wni7F>e6OMpPI-~^Z8?oMzE9^8XZ+}$05ySuwPyg{$cHjF^RP|on>Z{&sjycB|$bN!=LWLZb&QG8xcCr{(jHwafNsC5ZX6e8%#Hh~P+2QM~L7{P4_h3baWWjL)vtMMaAw z6w3r&xo>leUbkPIDr~F-79=v^*slm|`6qItijEk%*+?sm$ea>UerTeWNwCI{V?KIs8U*2<8wF9wT%|` zP)o52M_}M14Wsn>;iM*iU~CagZMzu7dXGqIn47+#`Kp6m>)~zHrmq`~b7ae7%3;k}^Bgs7gk0LGONA)1 z!Q>{~GF|CqZE1%Qc1Twb{k=T(F$JwYwMDY}vza}Q_+V0#%R#}H*`=CPh<;bllLZ&j z!ub-E12xB2mRBWuA3s`gOndYxre39VL_c=fXtk&vT^v1P zVunvEKZDEu+a@-RBbKdy1qX9EKm&UXY@(Q~xQv$mS943culfcDO=`AyHBoeT9`A-c zwRd!ATMi}_HQY1wbj4&FooeGGT}Yin@!@4)$g18Skwwl)^;chYK3tE3!P^TGqrvGb z**osa#&$K6!Y>Cj5_<{pYP2*w9`4)|(w8V7PZ1tJFF$YZ?Bu)bhhJ{3UOgRA@+aa+ zH5V;;G(YJ*wl$v)U&iqtvmf&MWj&f7+s6ZXl6r=b=PmgjZEf#wYMaM}!fObp z=?N|QJh=KPoE=!G!pM8XZZ9YY!p`c9ugABZt_KHuGAW*GAJ?}QAEPsKtJ!7F#Mzz+ zyU-?|u0kx2`90K^c?o!(uWqk8)<>@pmLEzVm!IqF#@`co#(iBj_q<)*EI8~c?_!r} z)U|BJb3dO>IxIQ9z)bZ}=U;lTx3j)|aI>j|DywU{f86QYb@aU7)tL`zd7RH}Nm*=p zEW~lTncscvkqO@)PTH)P?+GwuN7f?9@pPSOe4M{`Bz(%@~;*sflf0Iwe+-yEtkzK*tEiyS{gLm=N3E~-5VeEig?;0dqOGGNZeI8=MAIXrHU zF5aDX9Cl0VAXB)iB=YUBxBKVWUcE2F=4brh`um z-JZ#*R|uzK=~j0+x>o$f;r=;4U(48 zY`n?di7U)yPZ}I#gEyOUWG9>!%blLzv3qp6TilqYSSG+&mdmqCoT)~cZKc{HJdE?G zYpiz@x%oMsQZbN8VHo#>H2THK`C@}iih!WMXjJ+h|08&2m6i~1&ZAtII~Ce|NY|_p9ggqi589Zpq!Q*?|(esHVGhX=G66>Rb#?mQ=9h3(ZvIA zwc?bgo1exxx)@@Y1v}V&bs+OUx|Qw3!QgygtP*EQBlO^ST;meLg(}cc#+EiS)t|6@Qr#ewIsVTWM3wf9fG*BZTJ$dQ)D1LX0 zsLv)e!fh>Fxo(qEJ2hzEEB2>nf9Y?`CbRT#xLRK!Y`PAY$UIAr*AZ%^YG_rhQC~bML zE5QCVt0MYv{`eShnKX?2?0kRJGPihtY@R7|{M==HB>5pmqG<2>%cZ5v@{(nX=d}u*VZyb=F#&<)QS}{Y`r{7+%c+)0O@RbI z7h%u4HkEXj$L1=&tHT;|<`SGq^vjP|4Su7{>iYPA) zyp^m$t*60zp467&Gw_Go3~7zL@lm1o>Umv88AIxM6<+B%9N$Em*v0_T9kT*=3Lwh)?I zI%!f{jjf<9Y|P+XS}r-9orX<2iOm!+VgMK!d@-U57^#2;RH}Do%osKQRv0&6orxVZ zDP6DpmraM+ve`|i|H3qPq+z31E}COEt^?O+!$!eeG}~@m8?HBojo`Uxmfg4(T%!h! zZKA^Fb0B{%3AM#SPc11WR)x!M)23W7f0vA7IbGqwW@qN0fW+ots0ru|k$%byW4 z0}Y|50zLwHrW2Y(s)i%wr(s`W?OP4jp^_Y1xAdU*89!Di*c-j&Jp4Xy*SM}^*ZOuH zihKWCN+{+*BZLE=K`GyLrt%`v;3F;9dNtN@?R#$RxOFJk1#nj$%~JfrOus}&xf{1e zszy}`d)^{d8eRsOBu6_I!m=m_1Cm=OLlS2Szu}&w_U#mOK0-@)%UCz=BeCffP^8S~* zrtxOmLG4(F>eniwepV#}?KEW-PCdoqk}vW1jrILrs>MYwqw<$g!OIBL?=x%75K!^c zMDWLc{@Yf*lANfXz0(rIns660ZPMW`B#+|?RGr8Tj;K1YsN1*w8@fzXbioLZ$5%2u z<+r56bbfm#y z*UAiIWrgYU!z3-4{h1Wp(D|Saf~Pi8amb6z>ucy)J9q;Y^Gr5`1E)c057Nwfr1xO* z1rtejoRFjc#yMnG{*pL}xjr7wt~PdnMCw2q?fXb+=_-~J(e4Z{7-l4@m9Jpc{BZSy z5KFy0EGODq#9w=!g~ICdF2tJ z7P`K#^*s6T#E((=?C$AW<1yV>?r~7wZsVdFovqnoytH6s5Oc_<7v=C~SaO)CP79Gf zNcpvRoZ3`W*Whey{@SLOdEc5r^vCjA)mPFO+ZsHZb9jDb<>C+)e)2>$)AQi7xrEb% z%Vvky2WLii=DBd7`Y1KQs@OUB;>HanS?Ru_z*3qq3{e>)E(uc~FES;)a|^T&*OKPG zlCVqgiie|0y(Zx`LimJ@7?H%NyYQJ5q&3o}-kFqTu|6z1{w2Rye7zLKoFN0&AUdZ3a=8Qd~>Bp zwg<*iL_hFDefy4h;UZRJaNL>aHhim$@h1bCGk$Ls8(W2;UJSg^$$>oVVQ<#n4OKEAyK~ z+uI=VHa4Is0nGtuu|TT^+7!^Pg2c07H^t#&ay4kgGtNl;`lHdQW#saB{z+u5CX!Pr zjA2o{wjP6}cx^RyL;l*bbH|@qQI?ea3gt{egq?~a z)Abb0Am-q*{g^fvNt^iv*J+>q(4(d5G%kd zXeq!?Xal`2(CY#HSD-ggfVcaahrTl?n~zS+5hIp8RveQhYegL{K}Ln1+@C2Y4iA-k zmZuOU)Rxaf9rF(Ig$R}%L!k(@8Uv#UwH!O~&b%*wk1pY1_rcVC!fo_HL+n@Fwz8p7 z>%r6w>?}Gh#2(2z2nylZz%lWwu5wW7*X~><|M?(h}R1@OC&FnxLXFWnbx5 zwo9FHOPf+DpBbJ{PHQvpglv!GFlw&+^~gzvG%+023CKwv(LS%sH*k^+rG!zFRN3rb z7rQM$L1@fcpb(hY?3&g~^Q&jlq}jA}_pop?x)rZtyWtJc%)ed}mXbkCGj)R|?78cA z@VSvak8aIncd|dQ9IECYw?HAZ@N8Z*q`Kj{$~`SR7_P+a446+tZw`AjcB8##K7>A9 zy`u0d(euP19C(s!5Ok}3JUvY)iY$KIJosK=#vX`V#edv9i0)SW2spg|?eOr$p(o(* z>9@msw2tk$w6>nImhwd+zKJK&lii{M{Cno`?X{IZ4z}l&le_^RTXpJYZtC~Z?bBua z=K^$We8rFAtNSx)&ZwBUgws!uC%Y*%FN!OFDclMLoP$f&r-aGL+P59etr9OTq3irj%H4g$-4s z^{aWJG#KHX4F{rG^#gLm;*A3qvY-Z9j2M8`on1eW+zM%FM=nZkoorzSvbOO?YkZxI zmC|Wlf12Oj2QTX@siz`utEUhejh4kERLvOZo=CZdAn&4+h@aDlpoU-C#;}RtfLEEq zpqPPyAU~#YF83{XdGx&Bnf$$-+Tz8{qp1s|(q-wJJJAcbVYBkltOncUntG&j;0cF9Sj z?Dii{af=L!j;hp>L5{Ppc8c(viT+#mzBEPG*2wwiHK{U*iDe*Ef8r zZY&gZ<57Ed{qu1sC?q!*64TJ7(L~&IBDQjtSdCpf|#*_8FK@P*zus>tvA=EGCaNWKZK^_j^HddEkf zckLbMBB<55W>>ckRX`WwEC^U?6rTJV=J;(mI^6}vI2r#~c2(aQM(EH?1>?Xe0G?ui z8^y#>c;|h5M}Jmnv$R8mKa&bJKkQb?+HQfBr$){D)CTzL>C4%*Ab?z~R3tUsBrj^m zT1+R6DziaT()n&7fZC^2*9T${WycEA2Q7S1gx15bTKuTcrKUuBMwj6j;V%?PpURkC zpONQHjS=j7zwiI<6wVj0CQHiaigHwf8w`4~5qjfxK*snM&c{wKviAX&@68Xa@4kZd zZ6Eb*I#Y1r^z3{hdpiTU>DROsaw)k@hv#c{YNG8d6Y|v{W$lNVE5$f{;9rNdihk?; zwgestt~`N)ZB_LqG6++AM^&h&^*h{Ka5Qlh$X_KoZ>;9PL%u=7gw%TE-Tu7gHqyEr z^eriL&t8;Jse%1gs@dThoz<18W@SYGsfb_#QH0-I(={W-$M-PA_>qCcijyJFgxXmP_5+6d(!lIG=9v_rcEhg^cj! zXu8ZvYx+7cT#k)b2cXV1Onj1=??cd=jxxtq=OH4a|2Z=UkToylC*t3vj$hfQzG_6e z_mSSgl+_L&bq=IKYDl{KvrC}OBL|+<1Br4e*L4jTVggw=Mk;Jw?sV8g(Y@^4!*A~@ zk@MqHt096%kg@>3NR;23#9}fbQZ*~CP1a>Bi{8hqon28`E4UoiWu*C)Wzx8N zm66i8JC)Yt$hJF-gDGI3=B1#TmrEtJvhl)V-Li3UZPfc%)ak(?h(gOa`LRi%6k9gZ z2rk2Fl=PIO+mg4loQ8(rbDT*n)v0XFg9>(|>24JZqQ%RI8^v*c^Vy;mBVhqZ-<6bS zv?a^8zl~b@x7yidkJ467fzutTBXM9uI@JSY^&MnqXwudo_RL`BDYdl7psQW2Je z1abJNputDc1H$vI;oYQH3CJ~d7U2%?ysgegwZ*0PF^lJ<0dyJ2b5_jf}lmd zITN3`ztV|_7}hi)rpR>?rh2b8hY0uBpw%6Ro%`Bwi*SgRBRNb|-hccJapV+Z@;7+9 z<}`*xmZr8Gt&y0BRl7${V~$~I%@jOy7^|nn4dqK$UZdqP-zv@mt#;^zAd#0ZvrEOw z?;KXe9GS_=Ml}?uK@C_6615@P=4F#zKWOC~8EZ6tjW@t5k*aA(iqG0(=2nM{U(k7P z4l7~Gw|_s<)oD=4a(q)*Rb3w+1-nBxWQJ+Ds{x77P(+vW>%ks#yE^0?5Les)#LN2( zz*U`#clGz{6D>vSN^{a3z7Ddt(+!3`#;_dSax+4g`21Y$O87D%lyb6GlsdJ1Od7Hz zXLadLfy()T2}Y^mU`iHJgPjkZXixg=EjK#Hr;+QnCp*z1#tGTn(hMtM(+AnSkqMk& z?LZrGb7ZKGiiVVwUyzK<;T0_c!*eD4dfzIx$?kev$U|l9aG`j|3V>kU2{ZepSl9C9 zGU`PX8T5S=cAK*7wC2;{}To^D~GklsMnSl#>3lnr?jqJDYciPZ9 zpho?XG6WVWNqq$!<%^wm5HRn&VepyeixZeL8uHb7F0v8a6A(2l&mhe4eU6GNqe>g* zan{NR8)m|tX9V?nSoq)?8aPP^T&KkIDlWc%$qcxdj#XIQuAoF~EExxZd+q6X2u*B2BcsH)gj-cBHT4qvM!Y%=GA-?LGo_GTX7~>AfplKRdwBTnOnNFV*U%l>BkGW% zZQIb@nPPunyn^pMzKV1{0LepS^}M3FG5T_IS2fKmP5)l}a>kXEXb!un#%eQ14(W>K+V`_5pUYZn?ATL){*%qK@nm0#r6-`mwH88zAKl0a`4E-q^%{cy(1?l$PQCd-)iE`n_TJi# zdAmU2?D69a6BA?Eoqchc{FX$LLmqU~_zKtLBR|T-g4-}%x^kX8b93t%)!QjzVn{Z2 z*9wGfjDv}X&@wal9!|3(NDX*m8meRF`-90s+f$9J0%r`?Ra*8vngXU4R09qCmEn=l zUq}WU25GRpF7OIh9A=34P11+fQKE5Y5(xtYV*A!E;n*hGxu{>mJH`X0(;0Zl>qAAu zqYWUk8x!Gv@FESr;-Zo?36GAtmD40U1Ej=x(tA#MrTamjoMS%6@rDiMjJryH3a)8k zZK*VOvVAsga(=M6I6p5)Qy!)-8B)fK8@^1C3*TR!PRcZkl*y@5P4FCiPHWciys^5l zc-(rb*b8@lyxAPT+qpk4ILD03RodAltl8&J(o!h7#4H)o;%#xe1s$a~A8pkn)$C{1 zXW~4KjwcOnZGGyx8W=b(kxnh43s0R{*2G-i#j~v5e0aIZc6AEeY~4BA>q*1GWH?lv zcwRVrKB@h4|1$0Pn7?q@&EsZx-tl?TV7+CMa_QN*=I{>fvM-u#GW6 zoXvw3RwnJ)JtSbx(XR6g{6t+uTK|469-^^nH=zX~K`49y`$aCH#qS%B6Z_Z47RqUCHH4Ogmd`5uZujN|)ApIq9K0ep zlWE=TCIP#vSJ#vC4LyS$CNw4G^wHn&d5zsqT+U3LaenCH91NVNX%3zafpyq8+uwo+ zcAQTK??VoU^_lu6v@W@Mvg~5aT~*&<$Opo^%d(1!kPC`>co^ z9`xgK3!G#+I2yRO`7H7{xm=96LtCcG@Ve~q*|LY15%Aijl_lkBgk#sMx||5GBX_-U zijoWs!wKq#rv^!?#gG>b_qW6sGW}8o$-W;H(CDa8b5&dBW0f4L?cmSXjP#h^zjf)k zdVg^`?~&`PlM!Cy8|g*%-RyzvJAC8tcxaRFXRqtq`m#6%>JvUo_A69T=op6b(KrTL zyR^3OMnL2Km-_}8BEq8K0SUd1zAPLGt~*?Z(Dm$H?#1e%7BO>g~`6VUHpz{ABae0hfj^r`Ck#q=lL=| z?nz+928SK0QFs4q^>fXBOJ(i|?hn<=RDjOsN39Ruy zAQk-q$?PwXUP3~bh0DD8AH{&K4VQ`K377fm_}os9UPocYz1ia6_s-0}?2G`}cilfL zJ^8az+dnJCz6Y>}QfQ|X{SDF!_5er~mw!Ndi6~>}BqcBZJA?+|L2>w{aE4ZL69?wE zRQGcOROA%S(EM~)b&c2jJ4b(RVSjv=p{0E%j-Dce4mwLfC(3&B9pphIvW@n7DLISZ zyhcutpi-$1jY4!A#qJ26ToCZM{K9A?3)a>zF z0YP?|DQsrDOWD*R82EbU55w^p1w9%Le6k~hKJ-1W@vU|1)9uowC3%M{&b?lJO+A2n z#dX0rnJapizAsPGgNzS~m=$J5E)&Wbf`WvxKfqvuTH-H~TM^?cVWJQc0{RM2SjRnvA29wxtGPRGYH?zkJg7;e|CpP zpHqQ&JRmBJkV}EM!myk`*N+y4Mt^Un?=+lRmRSBJGP#vUG#cBL9c3_rV42CFf&i>^ zbe8AB&uuujl|Xe4<6_)I%HUx*Jwtx-BD_WXL=-SEmMGg6FFS)%efi_=nFv|ZcV58TqD;g5I?-P z(pafgNYdD-PoA7JU-6#>0STO@O?5(&rbBf?bTujrgMWMT$g#8EeY__i!|ovl1EBKI z5xSTTLD=$qrQDkXL?e{}KWhgBN2NfV6xJ-1lR+YVpiQGMpC`nI@9tOljavWiuS6CM00W;Vr1ZU6NJ#*r zT=xQl=_Tu6)QD+-U1n^DpMBgGd;LW@pg)6lnOTI`4KnTd!h{^mDREy6F}0uvAqR{; zct;Gq@>|^3vbR=^IMq<8IkiI{hg+OmKDwm7^Q;^&jHncVA>_B&Ngv1!e;M+;47bBx zhMYF7>CEBG1I^HeIbPgDk`ROy%;V|!hB<=avkAr{VF1x{@QcN$2O#tu3ehn4Y`RfUy#abXO1ClRxvhGL3=B*7Xdu$20 z0Oz9wzub3#utZ%+ufctkJP$?tyIozI8Dm#FC$t*YbKsm>*ykC*=iS+)TJM{>{NZMf zij7|_9fz_?wmQg2p`CbG=C*-bx~l0s#!b%OzxUY8(R{uu*}8ttPk%hVpKrKq*osN> zs9)xxrp=9GHQuXQ%V}ETE^}RU~DqZE4opxcdWN!CkV6=UPcT!S5* zWvj->jjvJ!8RI(_49Pgj`MjV683?z>SA_wmYx71IbRGjtN+tfD)M$E{j67BFLuB-W zWt_C2PLKI>KdobPc60P%URF==7<(QEo}rqNGg;6OYd#TgD8lr+3GL3ju3B^l_L<%1 zP$&&WGgBK5;V>FdS^9R6xfGJ2FJZq=sGm*SOm}ZqX0AbYY@_@`9nWWrL`r22o0nfu+&?XwbOdYM4S9cBc1gg;mBSAMMl*{p9ij=c4vn6;B@pe$HweqBZ?*JY`U~}L~g0n0V1FTt+Gz+IZ!9| z9?KH9Aps(Ln=SYu=L(Qi?Mn$;7!1`TS=0@b`ZZOEli;ij@Ox#;L;F`v8(r|-nGL}9NXmr zWsS!uh}-(ACYMp_F?PSBT?8?QDH`Gx3~?wGRp9wEbbtVHZ$SJtAWjX4+bf9Y(&R^N z!B%kSeeTx%E}~MexDCj2Dy7&Kevx6vYDX+k8ry{jL|FmRg1ji{>Ca>-#aR-GB)`_a zi(rQ^zSY@P;@woa{Gm4~AEvnP%>O@(3?WPr6R&`7tuRrEs(VxSB3Ow6=qiI0$foSO zr^J5$Zs+=Seq&!EbFR9vUQO!Ptjc6S3B_h+_+^0CVdb<2dTIffERU=A{9OjFHf%>& z66xzbcyXKwtSFW|c2Z+m*NspCAm6al-5!J&6!5c^JU#t%^wsiBz@r`++8MIX+UT^UZ7Vz%Mf z!2;T$cZt>EUmQT;e?y`;G>wZ_ZFHoHD`FHBfX(=HAuNIk$A4B-7~6$nB1_REmLJ&!8Zoh-9Nu~VTF`lfONa_sST1ry5w?-6I-uEH23{xB5Ug5!Pv<6OU+Md zXsgLAH>zf40iFbkE609B&rxXOTW;<%B_LR2L;SOuCPk5u6b;3~V$@E8A+8T)B#K>< zg&-9z3fMILGdaZ=5LNFgoFc~lZrQv^j7vriGb0}8;RAj_f=wXnGLub33O_NWC9NHa0JkrEmu70ZCA zxcgQ%OFICsAdrd%SzwC(u?@!CT98rMr%sjQGC_G+;|Tf^TunkbS>vBx2BN?qME~EaMzJedUhx;FVwZXrC~puq zxN!=hjKtC&1I$cvN@spe83=u;*R-qx;KdMKSxpg#FXB4CcW~G!`UBHOnQKAtTkeM6 z5%VV72kMG}g6mWi%bKN8`1&@oLDY)!5glkC>ZxhCHHw5L4v*g5zd@AL0zka^8^kU8 zK*D0`ExF&ooRF?#f=Xv%iN@pdfkgr7gxw4z&%swv@T8*mjJPQMcYG%2gx?T5M70g* z1QZ0SP%QjkW&1B6n*ktC{{|AMS$=iJWQmuufozNZu9erWtL81KmGf5~ z-L%gTSNsde-(~wZBGB{!_{G%H*?-}BMW?Le1#D=8Z&QdO#%7uD6`lO=ijXdM1S-Gj z?<79ZfOYj!#6WmSattunQm2>0j~NsUB$)t^WHc|?_5m1}z6?I*{NrOJR#TCdL$S+S zUXk_#D0r?hqt~l%tJy%fx9p)f&14Nwym1-~<) zLp6ZXAq%7u_`5Jyqa`&#AURejPM(b4IC@0Q0>@i&s_gHC0Q z?;C_)4}YLo5$`UUkLo~5X#TsZPW;M*4e0+`K9dWu{Jy`J4;**g>WZ{k|L3SRn3(Gt zA`m?6A(R}>o}xGKHzC@4tT`&-zmqP3o6@?}NDiXy{P0%$rx%G?-{WepFoz9SSgGPw zfF4deWA-|%nu;e!FiP0FZ|mPj97~H$#Ak}k2Ziu{L2`g0jI+WtR1P=?`qY8JXn$?l z(vD+mg0(J1Spid*1#!-J@l#Uld`xGIfqD!DLnwh+nJy7(HGANj)`gOq8kF;-h_a(9jP$_7u(Tg9Xyza75QC8K!nf1RAsM0K(=XAWeHqQqMq2j;2v+nY>BRYbELHDP@8~a>K zfhUVtZ1pi$RB1ycHonl;fI*EV;~$cz`V^@VhVGoGO{$APcM zfI(%9i|{^dC5%T2{GhI3^fsh+$t|M;l6B*5tp*H3wznuR0;!I7^_!4|pD@?Pl`jN* z9R|{F#5@`j<%SXmsSsV`JO|U()rVK;wlt`ux^#^?+)O(!q#Zzd7dKQ)b+pkH{c$CT zxsZs5Z3o5Ki&JG_IZ`!T5~(^1iS+XrMKHKdak(quq`nc%>vqS0=s_rg3bCp|w&-GjEi& z-uJd4JqT)lW!^XcTG3q1gHAbhQCU7qflj&bSHA{ZYzEqYBVm#iKaPtmJMl(+R$-dt z$E1U4w(Q0qVuPrG)td4S5KP>Ma-Q+?%rhAr`5|NVmHB*FnOjme*RARo+t4KaiC@4=+2SiR+Lzgba0tqs{vxxz0;rda%7Y)2 z?Zi-@{q^nNcH!`k?cV?FW7K99-ilI|9FEnd$J5l5=YJ%cn07EukR1VL{`OiAc)c?b zMDo9abpbk`VZj8MT=%}?GA0-zACYK!JOF#5_K(rj@Kle^em#`M&suRkt~0iuc3t2 zJ+8hK0n)!%+C2;T{{%h-zR2vV$BTW9jZ<4};cyZ*f11vG?oR;}H-Cxn!N2io?cb`b zi5a|`JCjXU86WRANRv&s`l}BlsV&bh7Ng9M)Rng65%?@-^;KRYTvlnmC`Ksq5AoMg z1PB@zV{YhRK4pMdh2{C$Vg~a)|NRi+r%8HT{nl>vr`P?fe^t8BzolRVR0DAO07=P- z@dxb_AkC%!0~&`FWRMUux;2zCTdqL!h>kaojr*zEH+ag8tBUejLg0}3&mJ&BjX?4P z$Z~brUoZJjin3?gP$~JlivH6)kn$qGQ{Hc<-(YnZi|a##uEnIIHF+arO`osPuS4Vj z_ANH+!0v@7D_|?o0{+D+)w3W5&I&@9rHJ{BZ}>I{88L%T94Dd+n$gz%prST@nrXK5eKCy~%5sM2;DIR5ts z4edV;8o%FZ^E=-wQ2yI|r~SWh(ERQ7?|i?zhP_TBwCAo@nGdYmyw53@gexQHtTrF# z1G~bBWpjo2tvGY@-NWB{`4!qGHL)1m!e(9N^Sf&-;C) zi{yR#fP#12s}+$J!ZotvD+X`O@Jd*EKS+A!Zco zp)fSb1QhKY8PryuFnNe|?jc&16#`>K!UW9X^@&g?yxc9nV-BC+N~>Jbi-A4XCYm>4 z%)KFEUwr>7ks%Y+zSZn6lJKXfR>><&sIPu0gG)ecStsLQEn9D8fM0*qyXkGT!q2tn zT<2h=ck994c!?Wf+ImkEh~T{4F<_&_@`6dbeRBPq@b!tt6MVyn--}o8$;UnAkRC)>wuW{-{TOocUx}#hgg81ATQ0zH zNLyiG{L?b65X4br_erGl|7h|5flnNI&+XaSeL?hg!9ZpvMM=mpdCS~1E5z~`?(7<$ zi>_8<>I2TtvYl5T<77x9;D=NUZ}h4>msD2Q)KeD%(4?J9s_u^B@VUXTt>&J20BZk&W{dj0eHLY@ z{y{5{5Feia2FLn=Yz!*yoCa(2=dK{L>euKg$ZAiiC@fsBv3kszENi|BF`P;YH8AQR zo%l(KSEjGGmV%#sLw%$mXV*QP{#pd!k$6LjqxWr&V8?$je4w3^g{=P>kx@n&HIT{75IJ;{P?-UHAwpoy z8SHDbi&((Onqn^(Dz4n<{$O~36a79XcOu@6`(*cEp9Uckc_N<4A+&D{LKM8St8Dj8 za5T1pUjGa$`6pz3Z$4v27hhd{=*hoiGCCXilL#_y;ssfAzH8`>N$GWCobk%`rjL-I z<1*)U|0pw+j3pSU6>1I;4p&i!G?Bz^=+@u$GV7#xFB&czO6Bu6M;6*0ne=0Z7@+gF zEnByL=al~eO^7we{F6Ya_@>`OBWGQAC;3NEcUGb|v!Tacvbx?HGie+*1S@$L3css# z38Qf^(K+d7(l~yACGv*f2Vk92MGa(l;Y9xoOcTkSj=uqL8wJdL?i>cb{zNci(0XrFQ13>bd1N(L4TEH9K#TU@|+g8tia6ZYDAFqy( zDCo^qLr%miX!Z^#MVm^Rd1skOf~Yubw{nO2SjqW>ZR(h1_2dvwE4D9iqTl-dNhrjL zcyy5mM6RZ8U-&2>cm7{MqEgZ`nNtNoTGrcL_v|lboSkQvH<4_%P1*T7k#d0S%7*z4 zJgKNRPJ&L6D;sJI$f>#zp9PgJ3DH$`7Gzuov*V0lAbW876D1C{U0iK91Oe$P9 znKm6qzU|*2Ldg3|29|8=arOeXtLGJnaaT;sc6XFg@E;gKDdG{-poXmda@i6e*k9z8tx?InN;%B?&f~B$LCN9s*hqKLsdeghTEj4>b)^)Kog0sna z!c)70&X^>uRmP_6w>O%2YC*lS<#Kk{GMBn)<} zqr^G8RLm~8<(oa8(s!&!pT$jw9NQgLJ;W{~cv=jeuUgx>Uf+*K^Di%Zs= zR0qBZn#8>uN3OACoBsy9e&^}fa93IP=ydON-*dM*Z>i>^$$l3;E}!)ocqu1Ssb_hC zuXt(6v)Pqpa{ORN{BmeN$m2tnoYcwQu%}DRY8;H{>e=3zqB%D38Y8pHww9(=-HUiFe4?Exg6R1B?%Lwheu@Dp)v2#%yZRXAT5n*%HfR%ReRfr};iA(g`R6Pa1K4 zcR0=)%hwK}KF_;HQIrP<#V$8$(dyLd6dRN>bSh4VMC6Yl6ut-j>L?Oc7=+AAw0Li& z>wzNdD_|)#Cw>Y>Mx7=DzD;pijFQ8cFny4 zk+n{KvTKTa(}bsY3i!tcws6(W&OQuKUV~wA&Sf9RU;8O3!p|E?`B^0!DNdGzPAS}X z2Pt8k%o$0oTF@HRFdv{MNl_Iz22y4Jh*OiMqtosbq>m%pkWelAg;{~ct~~yAyg!ld z%NHuq=`N_BM1u7Z3I)j3W(KMiA_KJ9GcFD;E?l&_NkmhD#&EjN<49PCKjQ~6ca6@G z=CpMwS(`CG#j+wE@|cB9xEQwBl9GRkA*mWYvJdP0P+*hAHrF&18E>Q*HX+(D&54R# z)Si{a20u<@C6{wPs;L(9W1y^@Q|oa`lgu4uYiHINqI_^3Cjyz;dO*%jzxo&XxiO9o zo4qMYwM?B_d?^*}0)h_PIY3_LFPlZuXKsbkEj5NXmTnwBY-~VwH+sVBR`8EFXVX_%9!C7N4OY8U9^e%QU;w4MGDbfoAzYlcB|oo7heC=YHA zE0{z#T{ms?f+quBtrWEajG6qjAut=yjru6%xDaH znYemuU?lX9JK&+NVuw_f9H zdrt@JvA||&aRl8KKEE{>`b>0VJ@2L%bM0O}-Q^sFH=PcH*rHEl^pFeu%aI2;(o-;< zXv&?POBg4k0W@R*g-k3p_hheY64%tW-qU?3>}9d5O7GEit=oe8Scpr;{tiC18cmNg zcYWk9V4p+v>~wClz+Gl4Tc8L06`xH<-0WQfucOZpo69nLlIv6R2n%JD15%y%slt&V z8AEmTCs)5M$@Gtd_k?PuJZ?W`@jO^A>`ab&0L+TV?l6LL<9Zb9Qa-5^i5*}v7NygU zh-AL=>gdU$NDNh!tX6JjhL$mbHk$2|e$VU=mTvqXNnULJm%*T-I_IL)LwB7^m`-yY zArV1+GM>f`_En^t2PY4nw9>!nApSq@q!8kH27@Z1vGNdpQFC*d>F|krIib0RCzyY zhlNNt`f)dUlH|TZm<{yk42a#I!-KQ6tJ(Y1XI-HSw>OO|AD--wU@ojuh@{OOr?j`4 zC5olkZLSqsLLZ&!Wk6u#OoP8gNbql z1=kR9omz1;yBIoEX&U`{3-)W@F*{=w|IG2B@dJ;B zj^)9MP3!SBG#&8;(aot|$+aWL%(aVa0OFO4V}Or)3A8$|tW0;b5Yr|ve> znr=omjOk>X zJE{cun!Nm3Ibtx5Ddj)a&pLX$U;6nF_+u^5(A9~UHTQl>hon|blJXN*=f{$qANEZP zxB4Z@2szQJYy-{)StHX>wwRB6%D=KlrpaDL)Bg`&?-*W56LyWpw(U$jv29Om+qP{? zY-h*G#I|isY}*sg+4H>b`L6H$IX}8*}u6cl|@+|7p`eWcHu-4~6}w z%>Yr!f7;C1Y4&e-MX9MtUuqi4iLyp|@?kgR316I)54)|{`ppw>8n4Th>}f|Ct83x1lyU(<DBRKw8N}FrP*h%C&#?KRf}*u}GhT ziy;D1CKC0W|5f8Y2Nm;CzEL@wVv`|AHVs3Q#xn&_ATDk{8yX^c*MdX2)T;Jx?;3Iy zZ7tU!6Cj=`U&bMXldo^VE2H-$AJ4vWCt*AX^EebDeV@4sGe^kyy{49azN}x{*Qm{y+4`5vb+;Lzh6bobwOu0+9}p zb;`SMJ~(tqM|+_}`>Y2WP~5=zC*FV&9!0!odsIyu&^=K6E=+UJs&dp?kRkB18WqOT zJU|uwt!pdC(~#J6^efDgofEPEWx>rKF1eH&7k+*65Ig{hh!{eA&(MsAMr%9WLpk8^Wz=QNT&aN4`8%YMQiiK12mEz7jmFMvdDuA|A}l zqb+a{gWzQF$|89gt0@TV12m3l8@O}E5`iXk`Ef}}`mc6f+ZW9{Ry`Sv(&MGb7223~ zWLqb0N<_-dEbC$&vo^(i0Bg6VDA)W|NiV5oI4krsf!f6Tgi5uAZwr3^isgo4 z{RDNZyw=t{96mYVNvmNsZuSNOE3JxW8bdnefa4W+)TfURzS#$t!!%kLb}t0}f(NYA z-BLxGIc)5W*B}0+DZ}@NT!JSTwaymuptLzFBo~R5@Qm^FND*Rd1KD zXcRQ%zN1)RnZj!21W6g6EmjXN7|z})cev_qOT=DahGrGx{p?2!Z6zwislH&b)%-N}sz%DvjUKJU!BbpxXF))#Ga%pE=jAoo3l+C8R zYOF{Jnmh*M++xyVHqY+e?k`eoM>HDVlcAEalXtY|TLHe1sgF@bG+I_ke^Ka zY^Ss`mUI2o^~liZ2k!98B=jkC$qA+C751DYz1nNzZx0&eYk+u^W3V8D9sba(@ zh<*)u771(IiG?7IpwldKjl2A)Ohj>2*@`ufb`8va4sEK8m&~FDEO%c zOB+%S$#xxsi{r-LhUez!D6W*9FZUTGnQQrCEi7-hQLcaWR2pZSc>=#MAFY>SJt-0A zoX=?s{5Nog$3Ee=UEMES(PF(s{o+*&1zoaUs#LsrB9F(H66abah9}qV|L5!^MjTBS z2aMgCFW$MNC(4>p{hU;6%{S2uz!1$2=+?JG?pt$jZO97H>h9htQUQH`s{L#2|2Ka^ z@$2RDYU@q!VL?G~%Yg_pV{tMI?P;BWCr5r=VTYBj<7#_V{=+x{X+_T5+WXQy*1?F= zWCj8}%j?bQ^KROmWcK^d(j8^(!G3Yh2;*4$I5>8y=I}~UR~yrP%W0*k;${iT@8rN! z{0d$sm$r-QzGaP4RCa=PF`A@JoE$+7H?33CisF8cL+kD5GVZN76_2Y!OWEWZVWx0D zwwO*C(byZTAg2qpnLtidF=gpI znt0O?y|ytCLw#hLri3g3I_2hr0T}?VENTwGb3#58b@u+YFZO8X;);q$Rp_DptK*7E z`FqjN8lT}vvN*zf)hhc5#j6e)(dNrigyoivm#U#j#j8#~WbrP9I5@j% z2sbVp*mJ`bw57so%5w0vtaflR4g+uLDORiYO@a?d-@1Ll&A zdZ{V#pJkI4j5IK2Beon=7IZYiGYGwg2hI}dB&L6oaMKG1o7P2b(<0Y|l8-cR4N5GF=`W}IS zr6NNdPKGK9>3D=b-(Ym0$#Bs^>X3eebPkf~Z~2{8RBD}pfr-toGzc(ugk(hFs$leM3SH; zNhz%An1BGj5D2KFr6P_g1f=-lGf?RG zC$s>CHGo%#!kk~FcaD9>g44vA%&1iv9bsACFV`G@pa6Fc6sT+J7Sa%w)Yc8YRkH@8 zneIqvT0l^idyD*6yb1kR9Q^pN5QwasIh9hRvm&AqA+2E$|5f}tJjaq{0KuT(CT=Ot zCiGoX6#S6vO{%hhn=HjmLM+^R74ToN@ju1R{}cxRFbkc-AjO?fit<4%Sw-l^v;rhE z24O-e87mi?=NjZJpqsPR+Rcr^0gJfGBRS^hQ1w*Ba(lOxtT-4;M15P}R3W{tPyt6! zpqztdVAk>yo}x8-Ga`(tJBp$!do%pl=HwX|%ZsZsXiy6b7)y5)2tdrO8{z8c2%?*$ ziRC7`Sp`P(dZ$wZ+}fMX79E4&$G0U(3v_XxT_J-YnINbrnIP?-GVPUNXjaWVN-1{U z>u*=48XK0lN3*z9KO#H}vbI}5X_vQn3i|fKgeiG9CGh2cZh)koI}<7vJogtQ<=Pj= zm9E+a%D8nRQLl2r6ciEGrp3K(tegiqfi_s`*%Qwx%8qVx7U8=*cRSzCDt)}Epng1%_^<16 zE&Rcz_W!Ja`FdXC5cp^^0R!AI{!jfR$=9Rb4#CGLu-*zp@c0T3dw-gFgX$JQ#=)5z z6lH225Bkjz$-ScsEs$INtebPPo-1RY;Pj^%SKzf$q?)fZ($s4#3gmH@1T55)TQI~N zS^mu}Jd(d6@R}BN2F#OyoQ${3N1oDDitnVvougF6{daz*x_MhwLd|Yz=+KM z+z={gga7PVQ8y(0jaF|XnhohShrGLc53;goLj?5CJdhy2q2lWj^_fLwMQmmLAsZVM z35Irbt2{QM`YO!XHtCs3`Sz#*CMU+rJH9W1k66CVj)(jCjf*cU_+=Ebe4ibgj0cG^ zXoR+%4f`9kE~#`GH!yE0c^?GB*(2pNA;*|>8Dm(gA-Eh59mi1eOiw|ev<0L%-`OQR z+-W2o^}c@_BemgzmN2R`Pe10L-+)F&qCP<5zA(iZ1SiYTw_LwF5Q(E`=)?kqYv`!B z@qhFXNCOe|8=O#7=6Oo3Z*gkVtItvHMi#P;M0v(wc7)uuoI|tc6nh2z1EN!yPKspQ# zq(uMd8Y>5xVy5h<9<)Q8mx2*XMXN24L`~=inkHRD| z^`#Gd$@!U9wO>vsN#EvnshexQ68y{Ju)jTtF)}Xvz^3dor(Tam;9NSm=K;~bxk6KI zpdP4;p#pj>`#Zci@pn3X$cod+GZ^`pbd`;+} zw=vKf6KGnex7??%5ydIsJKDI~n>>APskTlgkFHV+3#s9B*s-SL%Z~Y0!n4`9 z>zRov53&?~bb+3y@ExSP)4CKdZyy)=R)=00>q5$g+xIenfN1BlWn}I+EVR=FR4$V7 z1R~Eh#e|&J@a&+6gm?zXJ&ao))jD44TtQ6%*6{iNRW-1j?HbrGO5$PJp#N$sD z_uN$zJ^i2>_ij^Ze6YCcvO-YlLkLpE+3%*{2l>FC#FlZB26Fiz+4eI9az=RbQT|4K}0X_ZIAxGd;6;!5PeP~%&mLCOy(U# zBooGHVV5|fwAktq;L0p)%k~|URYj3ru0>-Y({U=)Tc~VNT!Y@d=|06j=||&(YZE2* z^5Qhq72rVV_Tj5FUqX-D(C3GmkY2W2^s6%kI?We?#n46-POaHNE4PF}whK4x{0&8| z8fxC~?ql~_h$)D9<)(;`K>!$OAEiBGcmw(wNe9j!lzo(25Y_j2I*Mju96Hf^cOZyN`kg<(zVUXRN5qJ_W@|yqaY-!jzSiJ9iW0#5(;91-0Q2LfV4dy zLGJ3LM1mNqQ)Yq0SAcBt2*-gSnAO4H@EW4d=?sz;1cZtU{e*}K6ac{jtwF*9eImdD zWrxQCO;_HU+KKdBMAHrOyD+YY(@n$2hm)gW4#t8B4hJ1FJ+lKj5B8v?%B_yi>-uW` zJm%m;3Usu<$z7x8atSZBFSM#-&8_6U%<_YS%}fuYmkUwhDJU6_hv}=mSn-^5>@S|00_;E6oJrkmZ#^oeextuSaOL(W&NaESkH{L`Jb-! z%K0FA^u0J+*~>>QMoqHu_tdz%PHek|D;FtkA&-Ez*kP1NRy2lMechsJE?T4Ex`^tY z`8lD?y;rPkh)SI5T=j=5JD^5j%hsN_w}9{Mim*0|o7-C(w)$LOqt>!6DFwHO z8lY8kLHV3q_prW)(N0@Z%-r5T_`b12q_J*Qtmm3;961sa6SM+6jzePFpTU*RJd<%a zu8iFV<0tr8-*OKLt4sM)tf0jjq4_|L@}i(XCh}m18d2Cg)*y+%k~uk0Pl0)wx};^u zf-^qmLkhawDuM!CJCj;-k|Iftk4cb&?@K?Sz!u`(ZgGTypd=EUO}s_Bx^TOJQQ#e| z0;#~L=R}U+V-EWe5;y!-E99qbeUP5zTTKuK4FG6@ZlXq%?*HJVZSQ6bm zo-92wuWx zk@K;XIECEZFh_$gIEo%qKy!XAx&>+441*GK z#&%o^eVkzouBC^(;D!EUfesCm&7>=Vb9=vjfG*gZv=`?3Pq$HK-hxQyb+FSQe(|mCB3{5)#(91IW9i)6jzGUKNr$;^sYU95C`<@+zcg7qH5 ziP(I=M1OY5tpXEm62kMV7@TH~gcDV=cufYnn%$3(r|_-@4S9pt{_Pj<`idY*Ah;7! z^yrbVM;&!jByU>PnnzgEpd@QkRR||Qm!NxM^D?( zNesPPTkH$!UDUE#DB$#$=)YU9(GR1&hX}|;;$VrRrwG@Z!wRnXrmYK1tC7n{Wz3-q zOli1%Es;n!@TJ?sC#T2TLw4Sdlb1}Qet8~airFJ}jjRwyG4Q+bR}M-6FG8>%T^bM` zL%^9t^$}ML1LMc^#95NnEb_@cjyb+r@8x&4zbX&oT+-pyUX{JH_^2TqQ==htBVb*h z7i?v*vD5NI?k*AQn%U3wD{xEeV08uI*w58B_%w0zdOH7jf54X9ux$Nfe{b{9&9mZ% zzxrFo4#~0ybsJ}{yF{w<`wp0*d^-9w^@&OQqKhUp$w7?*u1w{hW>4h{Wrn<98)X;; zdk>+xqZ$CUf<4~U9*vdv`o8qCxDFqrP0@dKeno-LE-@p>31xt$=HcY5p;k}0AG@e| zo7YPjxl4?>Wf=up4~J0Go_VUyJNq;lzsdR9w@B|Gf}S4^;opq%Hbuz~yt3N)rg8r1 zYlIN%v*_MnHQK zuD{7xQiKn?8U{PtYs7k1^;DTar90pYibLp9Kc>MxaS3ZUVYJr}+dt<5rg-Mvb`YpK ze|=Moz{D7gSVk>IqAM3`OY@1qBBbhtvB%N3>vKcG3UWnKBk|BqDiyMZ+U>#3gKRSq zHJYLeW`&9oiftE_Uba<4Shbamtz6r7hNvtm|JH_H%O@A_gMQkBq#qHJ(onzLj8#nc^iNY;>(K{kxo9kF9M$+q6 zn|>nviU;cUP~5TUVscL!hdTV16nF8p^Aw?5E=p4b4G(?+8c1{@L|@mQ3~2P8%wD8Y zDi~oYU~I!)By8)MNqkiIoGHkdYo`EbhLbv+E{yyei=4Dk{Z?pn)Rj>*Qlx5+FmsNB zWUNfi1%1T?M0DX`43dg+`66nU_25XybEi`OFNVruz4w|wj;jfy5kzT&qeN+r9B)aAa1DkreVd3`j%pkr?te|vng=I z7=(+`e2W|z(bHCcribPbL{$Lv@V`pqg-hdK<*Wb+KTy=*D6)oBmloNbN*@nKx``?I zT)H;mkE74ZJavcp)A@w3k_zDgr&;uK8knR`{vxTc$EUbC01GfJ?^3GQ0`vJKS|Y7r zFK;NS^Gu;q`!Qo{tiwA?Q)cI<5j%rQnnqT}Y*oydnG;y{m>>96>x}LKvNbwvcr5XV zhHTV!*Zv_;6!bG4T>5$sc>1DvTaH9260N|5y3# zD$-6Z<|;H8yidJIYLyqhS4^;g>YEa>-{u_wP7CaT1bm0VuOp#omG~NC9K=!3LA1NwUPm2CL}P| z?G;@p_g9h7V%f1)mE_GwJufD8fs1t>BU7vlUTBN=q>In}CCVd$OC@2}!ip8QZhO+W zbWV>;@08J>LMFz}qli(8Te5|e9k*`}9JE*ZvG@MBRzfF7SUQUMoms4;_>Rdc@)}u^ z@r6?-EDS;;)n;DrxpEs1;Ll^b2+d6qkE|kvM_ih~#v|817l{vTmV!(9IwQpqeGeS& z;+6m#6H>!0l`L2 zU4|GKqZWt4PPuo1nH8J|R?!D4-343%L3i4sDtrRW?(9OUx%gq@*AW$|(jAo$!%k|j z(Z#kA!%p(>@$A2>gq>6ur78!gnL6Z~X=AsLRb*p<@6Yd3>lr!muk04#%c~VIVG`Ns z&UU{d=*@OBuSBMoVTrU!YmZPX0h?H-0Gq_5xK>&oAk1SDQR|KZ=Q$pF*opG#xW?If zpxg-<6rHn$468O%khQByYK>L^XOhV>BJ8Bw8?s;SpFEPOMDCVtjVawpj8+MVJU~Rm zn9dS%!dol|Cl$zMngIkEr)X}KYO}9gM}(5m87CA5!6}C!W5^TWQKKzNhfOGKJYGHj znCAT1%loz56`iGrhpH#T+>1s_HN%u4+Tq#{wm(Y>!VQ`p{x*0LaiMTLvvaBOK#SCj zHUEJ3VB?8(K#&!lKdK_lJ$kTzf+KFYimSNxWY`npz7^ND_v_+uNa-o#mqusz<=n8k z7;VJ}NRoLG66y%jmJCYb&v+&evB_~2L@F+wqCTewdlrHnQ*yK)HN}9Y9I%MW8bTw3 zAB3=yX36Y1hHp|q2c^QMTsP=45Kc+5k+;CeIosP{p|MQ@P zrp9Xz!EI$CqhTm^91?$g>-Uy8dx4 zAkPHyoQ9#Pf1C%%+krf{VQBLo=L?gep%6Mc%X_Y;JDQ}PbwGwu^Y8rtW_igymw4cF zn_Ct9Z2PyvQpMZlC2LfFm0!n|?OZW=F63w1hL%OKgsMeqZ%Zzsw+*1N;HNw9#if1R zdG-BpOQWzttSi=Iuf0XC+hO?(t)LAr{$qobh49X~dzw-4dc^t+6hHNX)|^8}uA5A< zQm)$9ygSD!Vd6}%!2FeMG;b@mGOOgq+HM!>89kStEAPeTr2P412oJdy_xXP303Qm= zguD%G&Fx!jT?a4eFe^~CchqPK{`_s`N7z8jO7qZ-Tz2_P=e~f1ldgy*k7GPhPzAr@>_R#`vMZgLLGJxAz=l=?+hrcy^E(}FH9T*(`V%6N#KbaI-ef4};wWgLk*ACgG zB_5gYS#onoU%*w%U#$%Ad3*MM|Lh!%khoB=WAS|aT+Wm>QJrX&mlxcuY!W^aJV*RI zi`d}I&Ys48di(6$ST38s8r>M(5L`jt@!w#{>X@IrVyq%2zMtgH23JeB?6s*~^lx?Q z7rf#8Yim#U_|fGLip{cX(Qm* zwmNS?e4eYIH~*`eA7H^Tc2GBPux8Dr+Bh5q*-5e$E|jmac4k(egW8XKN+_epM6=D{ zZ7L}^gVoLHypjO`tIukz*wszkDiVw^8rAwOIMGXXL;sK$Ao>v5{>vVxevwnpg zTd6m$bxuj;7ymO>Ub2tJY2~3w`39zQSf0%Ha8P( z!QJ4SjNIWH!h}-lpkY(Xt=usU&9K#Qy0Lt&5ualJ5JIyxgQlBL;s+e*8UFu0QhAVs zzm{MldB9WPha@`F^9Qt6&p5PR&tBVHuAKqRS+?QFnTZ@T*l2+;_ka))nULd#-GL-3 z^0-JS3@s#zIvaU^oiYqlQOmi$F8z1+2{05LHu(PEEO=OfAjMiRP&hPLDbklIVUPm@ z#?)9d-@m(8!OMn*9Vyb&yH5`=4-7a(LRNS>75b-$qzHBzLrC`{QG)ASPBfEXrU=7R zOFd(LbI^d7lKM2AyN8YG(z7B`=6}VDrjoikw4u%=NlmUTbPQgS?+I^!Rc6O+vJCD+ z=9zi@75!~2ZB^K#%lSSxH!;H29pAMUU-rShS&MM(oa6KdCz2QM##!n3!wGLzSK2;HPZ)a4!ZS-%5Qs|$msX}=K1t&?of}S?p^s6Az(dFP>(8JK*;OXiv*?K zr(Izt>=)1Ct58CPc=^m z!0xl!(nf;wl7>>8NVJlHID^d1kHGHi?Lx=4ZA6-;xZ;@>Ex~GH4WdZvS;*My_RUgLOB7NOYNuaGC+sZyu)R^ST}GH7|VA*1)|4Cr-f!sJGgdOiode* zAB>Ey8$p2!{v&5slcR1=ON5`%e+mdI`g7#WEsks7GD+c(i%XG8k)HsFK4=3B80{z} zh^A#((E*Ow znXr-^!;zze-Dl-$*;pLpYd;*MpjJ?dDdatww+r$Cd#1VqCj2+{aAi z0tQVJv|*B$4|c`Y?j6G!hwQkSDT5~!3NFDJ#a6u?$2^BpsNa@tZ}`Yr>`QjD*si|D z<&0DLG50$`N{rg&A<)#)g#t7Iu}*^ccux&sJf4P07}h2;#}@6M4u(sk3`_msNq_}? z9mb$}DA5m$_DTILTA#Gm!f1Ez)|B8JzQuqa69M^%aUGRXIKTU&Lou!w7gf|W`FR-v z!bh$UJRG}TNG|j!C?NTgDw|dl*mSpbW+In*+lbGgJyKusHv5+}q_|NIkZDI<`)CqL zD`Zj-rNPZ7tV`;@aPd4+>F_uEP1{lWYG(BL0^CPvIxr(I&LYZbyE()lA8bhJ_Axr) zL-+KhtbKm>6WI3T&?~eAEcKq>^p$UXv7hRfDB=e=Iotnp7G5zyKcr)OX=$teJq~%F zZ0SVsmZe#Gb?;F7iX0!?c4=Jt0Dzt)IAqypg2xgH| z5^xPIhrLOTaN{pEJ!F?C3Rd~MQtq2t zE~z07^bu7<8AYsPe4C9J(L3@FUDSb4Qh-Z^)XPB<3|J_y+EgeeqJNp~FU?x4 zpFB>GN+G{kxDk0W#xcPP)CS&d-Gk^+pc~uI0>x3~RZ^#|vVzxgmV&1^nl7lJixHLC zP4}xRDwT!g)tDetiY7uOr>sIN@Ej-8{Nlq3qn}UVXnv}k#BEGDW%B@kz=?de^ZxrX z^pNMwSM0_LeMODeWC_Bl{Glo11_b(Hh-qUf3t)Fi-+|qoB}Ge51ocUUupw%dud=CW zWtCdh(aT@O=>2f7y8;d~i48bR0PGdBpg8T7@Gy?^Mw8#b&%MZJMPi!a-+G$N@m%?h!V;jf_(((2Pr34cyHrdDG|27}6}ML21}+|dcO!j4eVc+M7i{Qf2VN^|=FzG>4Wd~6rvFW@(` z6BK?(6tO!8=s;)Zq}D68UKvrl?3$X1ZpRoC6Rj3l*176kZO*W;A9QD!;<`7QGL~2Q z=00a|(JW1TwW=##apL2+si`fOhp4I1EV;`(+j2jC$;NfiCRs1_lT~0?H-Ekr-FX-; zsdcLq6IE|lsQUb7Zb24iv$UG!Pr);(S_5vlr{+lvocjsOrG8ZjYN|XE%o0OiI;gI{ zJ4B^xyVMzfq}KW=vQ4qE2l>u>f?8xe+13pQRA34i%90}k;Aw|3#xuMND`dRcQcJ+$X zQko2H>3P6RR7fI}RTkM3oK@D+c+fd0;&RpM`-fDxFUQWhHlEB=LNL&vE|?Y=qMU1} z2Q-|DR&i4=b1wt^r~+A8KvCkK2wn~pE2jR5k=#UP54OBMD7pvT_Xv0xcP{k}#7P&> zqRPxtm|fBu#|L!yDNHkb&KzSYh2k0AwY}mvSI_>W0|`1)!J(Lg=;jV0mZ%b)*us%a zY2l>Ln^ZzIr}QuMV$*TQ~c88tm2lMZmbj@c%V$;B{zav7#G zeIo3NlGsAymBP9_pvwQYd_YB9o0eodoIfVVYsmg-IaUm0rkup{36j}A>3wG$m;nza zVXF{588Zrk(_@r!f`g|hFdM?2{LiTFd=y62w>-Wh7Y7%Hr+8gCrg`^Qw`N3F{1`^` zA)Ba1KiVUEe>yBe(SdRZRrA=A$FnLxh`DKdq~@6-y+^^JvVzk{wOXSh>N`S z6;E>J;<$1!a~bbIg$uthl0?2J3SZVk5O$1c=QL5Fxq?8ujEh2DnkF`>Kq2AQB?~Md z;czMT^)N_GQf}R;Z6C)Z7KG|vExQ>EF{CeGmzwJSU54qM5>Qcz~ zF4iX5k@F$C$i4zx`*OcWM)a(Hjexp5B*wedd_YG0Jub+$TYpU4!yp;acwl)8W8@&= zvAuDjv(WhJ%dAC@8_7z1XnUBk3{1d0_3o?E17hyx;1B&Euv5es>~-{OL2L6I9OM0L zJO;ZLL;BQ6O!sd}MI$tiGcp1UvH` zK!uk)-;C;cllRjfuqS8<0^NPN_AqjP;WpE%4)GS#7sq^F@sBcwsvv&!d&bl3Ukdf9n3xt0Lu%RjaJ#Z~Jt z1`FaF5R7J#Cl<14q~F@AT`MaQn<&+qrW-|&@3|$e3t{is%57>nX42T^Ii_WBcZVzd zrReVucS&>>g|~c~omv1fEmrCiAPVNy7L!OefwYB7bRbU`pW8_6bkUbwl$okhZmC^C zuC?%o^ni|`-$EU$N8(t@dfK)mTNe#v@D<-_I1;YixD&%v)3@=YUOFyEL@H(N5dl7W z_9mpuB`r`3-QOD%6TjNq{d6@7o^fVb1i!<0UXFb>cXa#cU>ZIGUriFXwBUAj(dG)n zJ5*;7O|0p_iMC`<=P$5i9&^D!6mMBKrY>66?qP|x4I5SztE#;O7YE17s;T@JmRcK2 zrX$acF>g)tE`;ig-N+{1lJqZL={cxK7%n56Oo#tpE!6^5-kHVrkEJN@1Y5@P2P|t} zJ4Xc!6QPZH40#=lz3kdU>ita%uBpXlL~-k);t8wes?YDG3>Kq}<-x9T%Bc(1DL#5q zCIzZyO?XH8*z-8lYOpmD?W>S%P%>%)RZ7=xcdwxCO(Co{<(dS($;cInx>z9&xc1rod>Kqy#st9Yi0q%wmgCzXVis_WHFE zD_%@J4u6#Mo5KDf3$Gk#T|HpupJ9m(Dc{-!KJ1Zz^nQ=l3`$#nNiaASq_M;vzRzg# z-xaQ+D#TphrBJnIMQG?2#WR1pbPGxTv-C7bs2-v*n`6_}U(q<1DMrJda%B8&Ks!?u z=Tg4d3^mGab3kyeSkvz`v%wW&VFi1{IeN!c{IZ(LbivMtnA{Rcb?BjzciuP1?G*S! zL@Er5&5ZlXlX=bDJ4(t%5)cGMn27QNpbmSWJ7eJ@({eJX#Y+~)dKww(h~)HJ58eDK zf^DksLovA5tY5du2r8eH6hl3nXxa?l{5BC48t$PDk@Zh#oC7TsfZvA*_a2n&>FAa{t zF8^q+sHRnpwyB9BIU=TI`?Gv*!CjDuXPx$h-ElPI0k2@D4GQ{MF-V=ec7%H*M`N(s zSs&3Qmb*4w>!=Iw7{^5)v2ntSeTwYeML(-lT3J1lj+zqn)K8~tBw?}7%D)Nz32RCm{!0sG>op-BYRe8e?Z;ZkQ z5?~y+#K86I?|AeNuHiCtx42psH&?#+u`BNS^gbhs>l{f`IvxKYj&ZKNc{D0u^LW!t z@$oA|hA+9`bKQF?@QvVq-0pW&%p2TvGJEe`_0VNj%;Nn;KhfQD5FNAt6qT-@2sIE= zj^pY6_0eWr==w>%=l{b0!0Gt>K4Z|Hu#!cTV&bd~Bma6!}=E?E> zJr}I0RWogI+)1KeIWB{cNe{K|fW5&xaM*H!2|S3 zGmaz&Z!^x*;gT`I+UAn+k_uUjlPN1%jFLhm{Yc_;1ib`5VFNG-zX9jTbPO1zJ7&B@ z(>Bc2fUs%21PcW59~;IWMGBJjF9oL>Lq3Y3SUD#!Q@i7)gE=ZTTn!$-aN;dJeqn$S zR8Wzbo}eSiFNW#_saQ3%cHv}&cORK?WKS_W%c?`vKtDK#j zoa~Xcq9h6ZI^V8)=3DS(&lXKtcw?Zm&cEBvw+CS`a!q!?KNUZUe3Ep`!vo(9W7jWAYle7A?zap{ zW0JqErmu_!ZU~h-sSJ02S@e|b910Ho^mlZ-lcUv*!fGHud=GiiU2GJ>5hVC<=uxa( zdSaST$62<)f)9>S1Y3K*Ma-IJqQ%|h>piBDhbz6hOPx{(<;FdtLmEYkD!;mG^@t!= zF$O=gn*ijes(L)bH~hkQScZ-3)?9lz&P8)?uEs8hVoBVNO#TTydyAC}PLnDa==6 zeBCNqM852aY@73BazNwpb-yFnz+6=695_tCdvzl;@)LJ|Ie?y@?HR8VSYeMUV z=gC&Uo21MV&8a6{Jiy>(dBL=>?SJGN1}4QHZpS&mP)Obp%`qV^bvAkt6nVPn1Z}qW zd-*E9PPYNK-g3U`Daea8QlQxUVdS0tD&?WFhf>bf|AJ|WZT)gvIsiKLec^gfJ`6lV zuV8C18xEQYS(54HVkHf9su*?Zv!mGzuFc+i!!wK~QZEW+OlY13Zx(Smc;iIdQ*bbYvsk1dP=6%rh0P zY+1HZm3IzTMSAPlXl!`W%!8Pw|4V{*?kFhi9wiDv^9|_*-87v8D6Q`{X_fB!g~_w( ze6HH37%6D4Z*6|HEOutg>M01}-fW#3UH=)zSK~_mj89}=xkZifp!!aYS0I%=&kNDn zh2L%6GQ#wNVYcqlE73mbb8Vwo%Ku`M(%E&=gGA}d_;M628)%dp{fzU+)pe8!(1>jF zUoV+UJh=+vCDk* zhR*KWXyf=m(}qV)dVNWq`Z&K0bv1Ko&p6&;PqREvNMlK#*ySs{gFMVuo7nmmJz(X6dmOWRi%qPL`tM)N zBi`0=PO}pg>?4};HO$)=#@563&^P&MwY3@vqC_wI=>hVBe|7qvdw((3e&0qQ{(Ks} z$ld@sKI}?Y`QtY{bM%xwxjMOe^IiY1$?MyBi`zmW0)C@HypzxC-u~Nz9j?<~^@wLg zJM)gEw(sQGG~;hc%a3eA5&~Bz0N&`R%n=o@!v{q0;hHHVaCR%b1tSuD2L$lp?lYu; z_|6P^1Yy~_S#^$JudH2|=htxwCOSrfe)_Q>4W`E0XKFZYc*`2eB)YUPgho~D-s4CAWi~VS-n6HMDihH~ z*5$!ne5D~DM;=D(XgKD(=geijKpHO6+qp6OI27$NGM8e#u_<`H{vea$*;2n#lgrAf zst~{1`dt%iIe`LyA`mA*xmqI~EuZk3lVHx3T@#Q07D~ zJCa3|+T~|Ij7lz1<&z|Do_bu$K}EAP5a2c)SZ&2R^I1GM(ZWZBR2o!&TN5gI1BIql zkF_PK$xei#&E?_xah4;@dB7wVq?M2ZJmCJ79joMyfdVcY*|6BWawvwP+SvI|H00QYu^Tj_xmW zSNYQ7SCd;Fe7Z1q0Ux+4+h>gR_Dh;3)-@H&llro`8DoXQ+(E$=>{**$fv!zG*+wLg zHyUCwLe?mKc^)*@F#Y-!4DVrWl~YU``9h6q_WvUgWYWYhV_ev6P26N-&i{&VXJ@l1 z)PkMnu~Zjsgv!F4s}3-KYP8N9y-^@$ROb<>?ef9&_H>7*7=O;(V`B@KD8)|GmuW!L5z5#a^3}5NU=?>dny?uYN z$j*lyur(mgrjIr}hVoYw|IWg#f=d(K$zmMNrDD6}qwC3k$3hS^i*m7UL?tYRXaQ6p z{C^00>#(SzuTgmDuA#ezMrx1-X&AaCrBjgZ4(TpwhHeQ#MMAofMp7C{=@jHU`g`B! zd+&YjbMGJfIcu-IV#k`b&YrWQfU!j9cYM6o@iV>=E$P7Y3D(gzNlNu+i(#|#%-pY2h#VMGNIxoK zwipa48$sS0YHs&GOO>v>KR+iaO`g|oI>~*mIh9rpoAqPzE`wpVFpmV<1LZMpwmGF5 zuZvf5nb~G@SiLKP$p{}j-1z=%p1#|dXe{y$LZK)gt=Wli+R9fSm^fVWc{eKDO%H+9 zWE{mTU+iZ=j_1rLZVDy_AcYpb_@Z-Lhfk;wG>GN4HeagQ&E?Jay7^op!Vh|00tgvt z-?Nz28A}|`=f6X=kj)PGF~h!j`Qa6%LR3uIlvY2YTde3D%|Xz z4tj8HSCic=P)J(eFr{g-%!DjM%yKQBDrA54r`ePY9Cm`xV((n6nQE6_8VYGol@y=N zqCb$@Qkh=Y%t0^63!IhqnURD2C!u&{HONvkHd>W)d=qbe<&*mGF!J5+C-b2yX!8pv z3W&v=cMIamhsz3{1*h>XtifI*T#9ALi`uN{fek@Bag#hzZr5?(EX>z}tDCGy3i9m_Z4T2{q&X$k*6?>2TZe@|^mq(xXswx{8zN`oi} zg}W@w=Rc_zxdynx^!YcuWc@r^{;d8;oZU$)9`-t9ei%nuKP!yiaCjk3Aklt|RyU~o z!Ja~ESOeT`IoT4I*;kyE?A66U4j?4hi%>95gf+lF+~JVgpCMkpdv@>Y*Pg;#dI&9w zv*quz5Z3~_J?3<`RpLw()V(J>FFm;b0`mY+_!n3O0K8WKunhpg0N{0)ap}1|hKfKP zcznSz_im97UQ(}PYJ&z(>`ZJ22Qu9%9c5%1*?-RPs(HSgnh?BzZWi}8@lt#2Ibxe3 z^n|TBa#h>Tv$bNf+F8A5ZlOTBrql&5PR}A)Eg#=1!Z5}*!mt}iceW8r_Cx5(wldVa zQ0cndA@mkp2FBShk?{@5YSg{Zo=uiahddEu+laRwWfL5_r&^C(x+hx4T)J;s-n{-r zW??s*Q`Kr2U8H#!wVOyEDPKogjg!KkphxTB&pOB-D;lW?cuMwPWDIQ0?p2>Hqe~~h zm24)`r%H=PZD#7-diM8YlP9#_B^PV3Nau(~+Wm9a+v8D0fY1D&4*?a{Ut}Y!5pm?} zH{-E(-(}h;a#|*9BW$SoYv2Y*(vQFj))tgC34c6zTWy}ne2bHIF{!3#-4)MV0Ks-tVaJs)$8l27yqm2J-DA*hWzcb zbT(WMd}KfI=9(e{tZ^l~d8rSWInO6+Lu?+QHHDRdu0}Vptr36~n&@e`TG=C)Vl7+gP0C`<^`*jHLu_Oa@zi zluf3b(aibmAA%g7D$6sg2m0(!m(sV&4k62lAR_NrO#A^3o9-J-Hq%IDcO9ydP`r- zh%FW#%OOkP+Npt%GcEa+;ZHt%Xa$6I zwB?Y=RqrEYN1tvimhDo)uX3oRSFC5KH)7 z9Ta(IDg=g_NLobx46)G>;31YM=-g$?!WOoMT?mES<8B|S+j|VwMB?SG*{OWz@h?ImLGa7XR^BztObxy0KyD5h%ugW$hq zl}|SLrFFQ}Lr3Zga4r+vyqJ zF(8HYu@`k)@^=&jjrM6$LODHr`h}z9|4I;EPi4_PcpKGP60A4@-hp4?xeC1$=J`WE z(mnj5)HcR#@*XYtzw-^sEnZLS+-L(YWQB$9qAmfghEMD4QOzGy=S?-GsF0;fxo4G& z19Ng&8=jGR7AuaDHbXYoP^7xv+ezpP)sR4>(50cEuf}C$A*StG*dfoXvr#Kc)kB+X zLL6{!nY8;s4~W$kA%@}(s!bLlN`9Rwaqqbs9z`P~Fy(|`MA$IIOj3NacCV4kp6ag_ zpjRElNT=>KWFaq|`$6qq?5OK=$~15i1m{A&z&g+^5B2&q(Ykg07X$iB6bw^~!blKrM5PS9F@plR2R z=LUy*4rPn5FnpgCo;*G8&PxuLuEM=53K9EbB8~f?AdyAr)?f|Cy-WEIX2t9uMy3My zuJJ#ZcHe&(sM`D;Z7kpXY8?&1^-atd zUmGZ>z_wUY`yI~sA~?AKG9tN;w`o_v2Y-}G4^4WZs1CMf45lIRK@ycKcM7*uLEaGJ2vBJ0(SibsSf>;inlqBucuyAf#DK)2eU!}n?|A{|=& z9U}8q688|L=eo|{cO@GOW}cC>Olc*MVyCB}icBE~B(M4iaf6lc$$t?XJ7=4VHgA&!8$!(v^nKQi+2`pRN zLH#Gsng-9uF(P7i@+GM(gumi+(~NbXOb_S^WL4md7Iag+7POI`LUZRA1~gKS`B&Yd zUEm-Vhx_6Uy~uLL#|Kc7f4T$0L-x#mAc4bMkE-vU#qXMRN`+2#x06Pbjai4D<|>T? z6o^IPzTEgpX5Zd)@c}Y0BXD;+B>T+X}6HLr&teg5n(RQ?sG~R8QbTSDh>jXk!tn=SyPVKIQbKM zGvuwWDQH>zi`xW5Mcz$S@HO!SK(iAt!oOJ>&yeNw{94-EPd?7>xwYZ>(WBU%mhj#6 zsl>8f{zk+KJcZ`S`KK*90(T)_@d4?2U~&?nO=gR>K`=5BcY&JXDWrp!-=pi-q!6;B zb;a%b@kD@-hYImylXAd!J!P~bH8NZTqgLzf_X!1mOZq~dy^eH#d;BcE#w9bbDd2Q2 z&NR!pJ?nu1eRKQg#o*5gUHpc;UIq;>9POg~J*lBLAz$Mz5s(5ZzS-gI#% zU8(`4CuwLd1hfG^^*e4!nr=znY%&HG3V7(;OWY<-_?=OYY>Il|n=T2-+)FrZN>|lu z1)T19UTun|_IqzLON?CeBl$$*bw0Jc3K(pOgzhfSFS9TwWR5@`Ep1?rUE9G(+K9!+Z(F8=M`XR1w1#yq|K znkFt>eA@?SP~%0WT1CYc*L**>edd>^e@W?>|H1vn)6IRPM37ic;a;S!TZ^QgFyG%+ z>5Yo-Wm)ka>$XF9opSPOo0gmQNEu5%MV>_ntN)0>f$Yy8DXElNG>?_d$?_s?twJGD zOW8}Bv@f0m{~|qgBc60Z18(n#e=}PtI&QIc`WslV1y-e3NY>dN>~8K*W^+{17v6sY z+l{jU?@^^XiLq&Yb;G5*MUZlu_}id2_`_%m`=mKD{OP~V6o({ZQe_F!K7^$^Ms0>-q$Q;DJlI8UkUu& zPiZ8#yiq(-wT5n(_S)dFq~-bf@4Xt8X_Zu94%O_G?-B}b zybhRyWbAQtzqF`tUQz0;Yc{g`*lQa3;sY;##MeoXx#j+T7Oh(?B_Dp+C+1{EtM1Q4 zIwHqIp{%5!ZxZOR#x2iJe6=Ory|>fr*5kjU`*O$F}FvZ8%P`#{{lE55cIki~Lzj1oTE z4Mk`)EsTyLm8p7TETjQqMi!kOk|5ca1i`n{8{nGeq2t^qKrIe@+Q5(4&5z>QuR$`0 zrSRY%V_`?p@pC`3vI#p_$$rlSg*Z1=>USWuP<8HR@2nbHd(7x_BTm8cP+ zuN0L%=VD*94hbLB5B=FGxr4da`a!1h61kO8;|^hoahX8pOXvz#Wge)`&kH$2g3cR( z9WR7ShM1w!1JwFhGe+C8BaNJqNwwznLK^=?3jSnK#6j*Py3!BV7j({R4lg9(eo5kz zr@wY8*49jn+f8k}s$5OICYkC#(I$W5Zl(><>*y))G9^MBSUSp9AI?1{^lcL~kM^tP zM%5@JjVj%x=nr|5%o9#VjD!(UO(vNy&lY`d0bh+t4%e^-Rjx(LA*k-Xi8G)wg@pn>8KF=YB7)}N*Ubwmw=K)=6sE50^O5F|gL7-u^(g6x9(4SASmEF%3&gfUG*J9w(IjENTB3 zvt6>p{7nJoRFeEG0p6|wF;lVu>qqFpq>_^n2&hWxDC~2e-IxCPiTsD8Y&7 zy5G^mQi(7rbzL}qX);W9_?gHiRKKdoM17K5*@gT8B5ow5oJb%$AG9SCixQ% z$Pqe%Zz<`oUu(lUOKn zzH7Ho!*lac$j(rD8FWUU#)$Bd^>ewsj@(Nk6mCvbIp2VU%T}qXBaEw7$Hee72sScC z!k1}8cO*{WR&nHvk#~m$N>`J@(KHdpj?${Rh4$$Y8H5GL4RhRTVZ(a7lo|5;N?Kcv zm8m+j1gFJvF#V}%_~^xwqQuB+#=Z0QOtgelqOkWWS_*Y3=!SC?MKgFn56>$?Xj|lg z4t$M#MMd@k=(D;MMG1!lS>)<@^y3Vm(~TI<2I4g*6Y8u_F62Up3+w89>Sw9e@( zvDUX3Ri#JBn7T9Dod_|avqE+PB`3Gc{)hTiXSJ2mMMnegE)#&$87RK zLC=7TScy_wFRZ`RekfZCRy;IxrvSS>%3_|9*tt&fDcnvC3>K!bOPf^uVMn2bqyaWx zBq%d~{W>xNTa^_CleQdL{hpQvse@3gc&K1fSn=Prh+`+&38V|nN;pf(9I&ZM`+23y z4n=8Fd`#?y-4+ngrTn7%BYGW?e9)b16ZsW8cz1g6lH|)-)U`Ja9~(Uz3V+)MwHTcN zCGyvJDf~0)GfuW9li_dWGwW?xttkOTF%kInWE9i2!2c3=Hg-w{G7bnzy_A5Sd!O_O zbps9XM+97$2Uh`|MQ$(=0qs6QO4e=(xjv$d1X9_CGE#cP#DykU!d?O9GohAdSJ+hW zE?t!@=CN1(T(hl4tA_=?2#%9`R$sRIF`>6r8e?!kR3*f{P%@ttc+$~b$ko&nA=wEQaS>tx^3h% zx*lvMIW0Q%v;c!?!-Br$L~BmWUUqhVQneCDz)omtgKG|U@#)8he;rLHG8nek&K#Y( zD>IW^os@d&i%;Nr`;bDnnNdi$>Fya8{fSI6or<4U0TAE?^wqW`Ohro>!ko9&yy;QkI zKWOHpK-#EuoilLO9lP+l$I!n1c#lDE_?37g!*x!B-qLH)FVVV9FV$4YHL706urIOuoe=I9kW=?}(k>Fs91Nt1E7_*Tm(h<; z@ixY$KlwC?G9dI;$1Ukbx{<1qCEF6>I?oE`oxj^Q(jSKhUxBvFG?=gUBwIA2acjVr z^-N|S)nMvMZp^C@$uVl$~VMm8_UkE#CDzdpa*0x>q9?Py6?jxU5DM>`$ym zr>frDBK?BUc9NM4Gg;iR9+%Y%i_ERooB1;T!>Km(YEEN1;i?642=~&r-Pbs?nnyW~ zxG)q+1$wteeCW*Hjv~YW9~gBrLr-DA*-{JkAx*C0_d2z-3xMK|q>g!u=cUoe-oz4Wt~W>?`<5PY(&zo*a(dN0jC8 zPOKTRZAn@sc(xwS^8PPg=c!U{D+WZfmFNuu(3I{X_Gf00MM^VRm;@xcU4Y<%)NYB; zu(b*Wy$SK=1bMalqjuq(CZcpD-c*Bz-owZc*HI+_3M3O^(4sZF3}^*p2av)0K|_6r zL8~kHAVClXRtU8nFUSo_ZB)p&cf9C9nRAMs^--WzwhP_ZVUd-AjzWneg^{2^;TIW# zV7Dk3!T$#}<2tjC0)yE^n*S2E_us3E;2quy=3Sc9_=I|X1 zupexz;9dotWEt)I)Vp%*6Ero&ys<`t^M0BReecTgP!0<>S_IjR)p@{QuV8*1oL$#j zk*tuLWy*P3V@k?hHn*Up5owsB+g5v}W zlV-sbbs!HJaZ5H6xz!qVmFk8rJW; zuLyV2RU?jU-yfp^5e;NJSq<-vahG#&Oy3g9 z?FX(b6mEZVD|`s}bNe7X@r)!*_n`YaZl{-Y)~oO9Oho8vvA0f-RIyn_yj4Z9o$zpJ zU+B`y5qmQ*PRoTJ{`jn>!2a`sg|$~IeT??WI^O^^}-ii{8h%>Op`BLZ@xSRtZ#>op48bF(U7~2AFtzvw~aYt%0tY zd~5Ol~=Z+1b833jXtLezdMv>1E z_Gg=)nRO4I^D*eW>jpJM9NEo}mL0#Nr3!-5YzJIjoJXmT-_0HOkJodXn)-koYc$X` zI3>wL8n7VozOMLK9Gl$9!7yS^wI%LnhpA70naB!vp*(`#C(a8RbA9D$`qws zKAEcMeLf`o-8zWm=fPUk^%KE&pGfWXk(R}E*YnK$g_=p*4)4f18-*1AbiX`H%XrAZ z7#&{Bs^T}xLgnk5fmltJ!iIQc(~=YOndwcKRHa2|b0V5maw29ulZmFC%Rg4b{N*+! zRr%jB_QV<32N9twC5m1##JyoDYX&An5hNdlp7ev-{}4r5di4}Rq@VK3H8X3Qi8IkT z$_6F%E!@W7@Z3Xw9NqEMp&}@oRKdATi1AnsIgyU_c(R5QEm+7ShN(+i0w*MHkv}}_ zK#CVKa_u|;@i+po1pwASAd#U}FgHVi;zLI7txlL2(>ka%D+tOOuSa7)ria_>h;g2t zjkN9dO0A^9z!$=6B&Ba`8~)63(;n=e2}Pj}N@*2i!*QozHUCybH+&6$ZD$toeR2V2CZwC( zC0R-rN@FaAXi^x4EP{iA!7qq{VN9o)+*KBy2ysWM^u=Tkd|a-U3<`GgKu)hHW1F8C zCNEXx2M!tAVWmguLDbfD$sr8<65wx5cdK=t&ONQohk(nZ$=t&;oQiHv%2oBopO`0m zPlcDE^OY=Y`nXNUEn)^egG5H=Eoo#V_5(jgHe?Edf(X~LkBk^mBm=Yi_rfBMvWgnV zu?thDARn{MFT5iA1fY)Zhmtj*7v73ezpMYgY)jct(~yrhzb<_*;Y+|xKf|x}!3;cr zRS+{4&!@iNu7M5j*!7P#GsFx1_DchM&t%I6-=w4ot!Q6|k4jTib^Z|Zj?!43LEq-p zT6|TY^C-S{H;0itV{FzsU$S8NHT5$Y6_k2g@&s0kFsz^-SxYyK*u2E;vDW;>(HQTi zMJyaXf7Gdz_2(XWk63b)mOf|G$w*( z)bqhZUh{@ih(@AUuke-DA@+ZE5nW@dk` zgJMWpKiO&N9u2$jQbi@qXsbr7!z#ZX&yi8d@pjQY7Wgp-aHQ6RZ`QUq$i;7;X8(kShD2Bet{LSQTeOi}7YJGH{*JM=MS7)V5Nf z_xKn!#7 z#`(6g8fa$eF>;{(Y_c|qvKWYK$oWtfNgL3JZ2f6Zr*$m34u>~&XsYPC7cv(PS z+6$^558J$-e)DE2bbMQ-NNJG0?3;2)6)tMhy#3hJyB^AY53~un3h_m%eUHKNIJLhT z_5ls~*A`HBV1emvyqcvw0jm0>JzCoM13;@@k-sqJxiqfs-;{mWoLeaHVX+VrYdFRm zLQ9qK?cKrl7G&6*(!POO0-TVKyd24x+&5{**iCYW40be7rRyeXLQbue5CGSzGAElQ zR3$=0vBL_bEHnI^{7pAwIyZhb-@?v*HS@o^oETACNe;IF^{(1uNbdh?rU~)#Uiycy zzCwn37<8b)P4sBpG_cJ+*A{@%xoU1e&7%i0xUmS3UK&J=dhgu|>F?-6X|ntn_JaHv zRaN{Ltt^Sm^m8%H^eoBD^xh1UkR{!n4YBW4u-pmUS=qEv>6hvkGihUkkiV;5Q|pWP zOeva~_N630Deogq=9GE(utgy9C&0Jk2SVCS#AxXzISYEXa!y_a-9N7O_xqzFK<>g! zo#^dQcPEj-+S1Tu>|wI%U|t;!j@IM|J<0xv@MDZf4Ck4vt5tj8j}Y&QzB51%Bq;uV#8T2W`N|9&ga4^d=jGiLK_NCg+~0QNrO~ z^E{AQ8$_@W_5)Mb%&I-;GeC}j=@3UGBYg)33#pg_!KQ5n9e8=HA%|AB77vMUp9Mjj+mxpP;Dj|?laY2e^EMH-nZ9~pgsB}l)>OTj;0~!NxLTc4C zK*Vu7q3xF| z2c5Zy5hSgH*w32LqS1UXaq;m60fKAo{mCVyJ|r=q0#Sef!w3r|BocMjvFa z@iZ}Xj)bwcx5RA`B<~77JO?%9#vpcmv?Bvy*So<$O7(6)IOxzN0(~^^1Hqkcun9^{ z+yQ^SCOPf1Atc?Z+q_yym1?6$ruaNN3#*hW1rfi>#!4`UK#Dp1$@w3vQ` z5k^Y~Ih+4-{OCW1)9txXGFFZ_CTxt6Tf2Q z{wr)SE~pC^Z0K@fsPF4?i7Rg(u&^T>#m6FaowC0}hF`M3qXD&$R6jp-xA`)w0Q zy2gnmD1avQ6{+AWn~Zm?7V={K1W+J^6g}%hn)n}C#(1;>&Axb_SVrcyFBLI789qJu zPL_e>c)eb8NN=S_N`W~rhh=b>M zgJju?hnjR@Fm5;d(W7EIc+@UmAB)U$`+Pf#z9P zT&oN&bs>d4w~Y7gUqBMQQRBvC9Q9lw6Q}0Tm-{0%SnJU01(k0^)gHA42krb6(mX!R zYLLeOB$eKb)^|87k05;ItZQ`PcmOJ8QHa)2IMfWrj1XP0&-NoWRG7SxLkJ|yvF{z zSN1z-o;-T(Q%nDSlx);UBU+MQU3zDcq3mfJ%!St$PBP$*b+<9TQ&C#c++uhj}KWq}oA=U>}*NUk2%Ae}BKe)j$5fZBV)H4zGX3kM_iO z$MmFqg44-H?n}-^HS{90o(eQyfJcy^)#gn_Kge035ishaDfy={KsN-p)Jv38I&smD zZODb?FN)(YY7{{ER%j(}JOuwa!#YC+Q7axtszy*5El00NnfJ39;s?o>}|58=0_qcp}NB02WheTzql{q$(FuS zY(tDx+bMX8$LE65iPn5&bV;tsgA#Nt%G>C|nf@7Jd0@7QS+<|qdJu8D4h`&dCI9yG zf}FlV=tVZT3Mjb&N?vGB9N8+BzR<3Cur*8psft74Y$=GU`EGD~IWYg#SXj9%1;6J= zcsX&*#Uuro}-2N;XlQosOXvAvuYz!+ODYX~sL z0gO|#P^d%nSf%Sod`|3G<=I#~1q0L}kFTU0c!)tuB8TEBD#j|SfXBpGB|Trs02|bS z9eDg-*hZiwH-#_6@5t`&X6*NV4x0Ll<JJIueF(uuCCb#5>2kmP z5@S0xwJB!V*~n;6AK-TQq5t=hA^KDyGkP&rKfT#2yim#di0{(T1$tpRz>Nx- zGeJjQB5|PaGkhk6KMJKn)YGKLw==4Ii(k`#EXCRGg05c|AqjUvSXH&}#>c9SkgBb6 z!PX~_FoP!)QOG!Ou;9CKkQbYIm%yW^^mq}o1q%FzdPBGrJY1$|vB*1!lI7ylPPG{9 zjv$U2gRlj<*t7;IEEe-{WVId<>%0ihFd>XX4;OG*!p!@RdK(p3$)D9pQL27>@GAbO zz>>R{phePZuSmLHdaOZ-yZPzO<9A`&oqSCDwwr?T__MhArSKzWWZql}k3O2DsHHkt z;H$4;^tRWm*z;^t%)1yR6UQ%kwvTAuc8e$_I1xF={xZ9~`^&KbaQv)mOzUo4ooTbn=zQA)3{6I5S~97PIvq50xCWZ_Tkj73b59DG4s`Fty8vIP-a zPa>q?Eiw4u>Bpsp<;fK{L#sqVg~Q~T5L}(Petz%1QY|r-+-u7ObAwav4sUqNRmZbK zYK-7B;_ymVB8D3zr`VOa`v~`mgRlW!9WNuoEU8qg?jc`3%mEhl_b5=s3`R0xBl+%I ztI-xSR19}y#-C%XWQBRHBx7_=iDuhl_H5TO!T2_ zP|jwfj|=z}Dl)L@8s|_5gHp^DEFil9air^l>3heeHK^gRn46%f6*sFddCph6LlG7O zp`Yud^OpqEq+YG55Wyb1$&xL2qpv$29VD%5+)zqB9TH;Bv z95dV#)Le5FF~xGlLFOi()MJ(pcCskox%UV{k@>a*keAFZ$o~_dz732y=if1RPH>v- z-P67$#ug4%FmFJRv!uM@x!(>1I94>t9Fu1jR zpra|VM}rxu8IV8*IiUYD>`Han!QF~Ox84@xEU>!;aSZ5(E$Bz4HMktzi3#J^0tH2UWH8UDQY5`_&$|QqST4Jr&QIicpXoo;Wlt$7w{r z#R}czE@$A>>ciyx19@kqQ^^BUESB9 zua$!RTK#2Rp?<$hWg)oN;!KvWR-CCN$bz`HNJ|Mj&Kf-2aNRs}AES#MmBY%*Nh14K zfbt;=310xP(UIYj%k9Az9UVgSHCOCkdTACrbXiAK49RA1 z)p%bQ5ZF&jhR#A%5melvZ1GqA0uBX33Z*n+x;@NAfmH0+tm*U>^;#TayK+R*cguvX z*#V!cxB4MCcsx8~xhI_?UFpd8=gaTqOS&|iJJ;Bew82NC&dn4Fh`k+mxHZ@+urBVe zEe`yc*mt}B)qFfmQePizs;5|aj&e_m|1$qMU#X|pU`3Y?G$%6aMZz8fjd{yhnyoG-%xcI>WzCvDVn2omepGkbo_ zzr|wzDK^S~^Q7PD>-(GUat>F<=-K0v%w+Q{SkS~KDmlpV+gDW^hQTOK_o>a4^JRYyNBnxV$mIF88*Zuztk5h=(v1P5meA*9+`7kaj)@5O&zFl;# z3aVpr#?vr5d|#+Pb~<>dzAEtRHg{@hMm`hdbCo81cx&156sjUTpUa{)@j=z+QO^sw zd#fAWOwd9QF$j*lEWw=&8ATv0D-wn%{z=p{JD+_mbJ*1Dm}7E%zh62?GlsGfXIH3d zj=bzxUX{IIU+Mn1JuA6ER?du4!Sj4~y*WsPJQp?W?efu)Hej%b*j+I~5@OC<3aSh4HeT=x* z_I|ZB4y%{RHTKo|-RgKOM!CvLP0xQr(7(|weO_i6o^PM1;HEGikA=PSy4jg-Y0ZF` zd@voJH0Tp$9Ugt{rthsJRN@$4KsPdwCv8)^Z*}3i@a5j_*!XRfLJN)saR$kGrw#Qt zhw=|8%30AhXJs}jdAC})MUEJ_p)B+6Wd7h#nMNilSMW@9FRlmkEt6q?taiu80w!0V5N9Xm|fPdDc5 zf~x$@Y(Sxuiq(a+LXs%XAT{JdpGNiGMTH;m5_%jnNl#M1wZucr?i!7oT3J*r`UQmcTHZ{r5HR3QGbB#ACJ;x zQbzT)nozucSSphpb%eN&L5IyK5zhx^+RlX1ZYs){qGWnou2 zPb~cWpGoa#pn$O4Lg{!Yq>2Ilr zh(Gop|HrD9a2G;k7eSQgdScKbNi`^=-q7q=G%+8gVZ*vHPneU);y9#--A}a^S|6%*n)PU`K)C0D^@_#aI;I5A>a(B-u<;eAy z|0l~X!pXFeKML1`GG2Et2zA$6R7G~Z2NP~{)~*-xpYal52n%Y6M7Cm3ckv5aU?t~J zHlhrnVg|i&VOyMcMoTSIR2-IeL>(TOw+7d(w3S+M+;ADZH03hRRgUQa3O8)lIj5<0 zwsv-eIE7KNKF%$N+OdKS`IDjfb`rS2+^)i}8QbL->z`r^cdTIcVZ zostUM;HR^rv*C%+ua7U+e_n4MA0W&OPeK0JKExy34X=Gu`xAKAyEt>fQZaf`cvXsq zJ#}$$GEwkwOU0PWXZ3Wqy7gon&`(0#W>8$^{{;jBEgn-(6vKcs>B zqC6__@qCxrWy!(Rc*4|~^7fq_?TiK!8~NQJ`{IL#zq{|%b@$7!U)zF$&kimso_z0i zpAyL*PT*7DznazwJ+MFQaJY>G#SI^#W2>^6#~pNjtQPOR{&jWrvt}91wCri^MZnjV z+v%qhgihkxmnYnrv|(-fov=R)?F?_wZFjcF#De65-OrdM?rW~2NH@Oy%%Iw3+&Eu3 z%Je|!`Xoq7`Vzz9X6r|2z}24%xt`B|_Gx5}g75FIu3{e!u4mQ}OnNTXmj^4@Mk3p7 zGUPkHK6vCxd)(6fxq95G$$cki+H-R9!Oufb``KGmqMU1~q=j#y`%n^V1OSHOb<}4+haCBdfvRv9$yi zOXBj(eIHtpn(*s#719P0f9<)rx@d%}Mcdy{tp1RBilHY6dVyO+B zAg1Uk4>XK~#kWAqWKaF$Rib~~kSzu{r(kIQ2Jj5mk9BJ#(#@TKzK^u6_-@I+<5V;T zT9F!ZQtRGd1%LizKeX|qoIc7wHps3{M#;~_CwU1(^%R9-XJe; z`=Kd-Lg6pknSk(d90sP}4lyy*R6r69A-`{f8hQ`H-vGRTN|1gpTk$`FHHm z?&dS3qpAHFD4N;Kiw^cyf2*rPb~E4%B^1=v;ob`M-~!T(5})@4@mB;1K#Qe61&<^Q~yoEvXF@_y;Nd%i@yb4TO% zGu*$D;)VxK<@PuWAnacH1_6t7H+_tBJK`9=4fJnV{HgFxqRGz}_q()fyuWd|Iw^(g z=S|=y4cr_+D>FCea=kw}%dDChGHw*NZDGRP{YEZF3dDUc1MWV}ZP5g;r3HTZbLDmS z=i+$q-8(^rTt}x`xo#$$!~>DS!f#La-*@XbOmBU$hIYmH>T@lAR&Xc+pK-9FKeGl^ zp!)EOzhU0`-gm0_S+Z3IbqK#LMtaZDKW6iCDne7!fGGD`PTJ+{T&AW$*x>Ex>51Kp zx%-z6t98e#HHD~};9!$SQSoJ-YNzqJMXTrP8*5VsYcSXg;{@R5C1Y{JyxQHW7@a&teON(>mTh?|4bIMa%3BS+Nb7=}^Pb`$49Ow`BxWV^Ng14%;UsKlC z0>8ZfcEq~yf$CZ%?D+ZN)z!=A55Bm;T-}ADT{lBPf(Gbx=a^3KPv<+%6plxYVZP#D zX6n$0)#$r$xKEK>W^x~{k>C8TuH31;dRnb1(fPJEic3=<7GQ_wA0Q-bY=Dpxg&Jizu3Q(?ADk7{Z&AUC&M1+ zN_N0>Iq%x#pP)SGYGM0}f8V`gF(=K-o(iqKr`-d%%ADc&;qY3#v*IV7&01v?V@9Kk z5T^B_OQ{+$u0oaGhD&-R0I(S@x>y;rQ>vACZ#X56Z1DTplB*SYNB%dExj_Vd{ofeD zIlKz|d~^PUC4)%q|BtSBfR3d5_J)&8Y}>YNYhoJ{JDFr++qN^YZQHh!i6*x7b)M(G z_q+f5u6M28RU5yv_c_(oUEOu+>|K&&$oa+GCS{4A)Amy>OfhC&%5c)u4!OzQ^-~=# zGNuoa1N5eSjG14|^O&3w3cww^A?B0|IqTPMm3(CFe*GHp-#jxakFm0s^=W34Mr;(*c96nC`fgsysfjb;eH>R1U9i!Z1g(ZJ6n>U9p)f7+g^tWKOfNx7# zQ_e~~i~%v+1k>g#I)+8{HN}-Xu$MSHf(yyBvhxWo8r3V1AoW< z>*3albzC*6rOm_A6`SZR8_i0{CZg6QeHf>L{$MBS7#nN2S>0djoK9w&?C_hq%~2w? zxBaexIdXEaZ6W-ZKe@7KtCLaGZnG&d5xybmJZ8_%cmXe|2Uc7QledB-Oha%h1zH~l zMJlb}rxE2}39>&5lOG`l7tDNH^}}GMvS3_IgQyc>Fb*MR4#)xqqZD@D50aU}hI2mj zJ6nNCQ|ZY#oA{Ond8RT9@AsW5*_|*--N07oTYWz&+fM_%dS}bi0TjWcpFc;qu(_BK zac9pEMf8O26AgdIgDmEsEB2(?2;z)#E}t5kx1C!!EC_>-IAeKQaK zs|5wO&nPyt-;h5cpeFoHN(PCQ>yq*|CTT_xT^YpY5UtwmX9s=r0HL4P&WHxD81&`$I9k&;6DAfPXFGHE8E*E$lH8KGf4 z`7QmwngY5^{t9?I0q~W7;QNwOVz5o%)lQWDhX9YGt)ab3I=NvZ;H{xT`6ZOaiOPI6 zM%Ak6M$4+$Fs#2rZJ!});oPtS%oM1Ft zwAr)Af)6?NWIr_V4B-bpxa9tTvb;MjH&Y{)1<4o-OWCFVNfnvHTiY`EGWq!}QW<^E zS191t(WGXU*_;tV9o$R{94>k!N{H(UXF)@HHPTY2la#-w1&Qs!*q+4lY;;F_VI{10 zXc3S^u~jzew0Q=e(N;K07i%LByPdfOip|-`Uit00h6ASYot(VITlvzrR~B+6N~kr+ z;7U1`2DYGAanbG(*LNc@|EGyPiTjtwyOju`%41q6{HS9i#qP3%!D&xUf(0^rD1DEd6oy~_&ux#8$8$&C0XOnFzDMG zB{`GO`z=|>=7W@Oam{)HWxEe7r$Nud1={(qzr5CZ2hMwHOw4oJR!aUyk(ekhlr{_mFmq zKqV>fo^_Z4GhntBPV(me#Ksb6%PC7t@B`V-7g$?8ZxdhjsCf?=&^3h#QXGWK; za_5wc!KuAzef>*;ant8SfRjw}sN*`lKM|5=QG&L%V^bi3EGva?jQ112)!map`I(B( zIw{S-*jB>teaDQaZ^-FPMYC)UH_HXxFhQgyywub8>1y-3+9}7FKkkwPa$gOKftSCx|A@`GW4^vCa_ODmwDM!9}X+{^8fM|)>X z(ecTN-*)HL*7XmWgLQ9V&X9{J0;gjZeb%BQ)`p7Ji^qojHgIcRxo9Jo*BG5@q7)H& zsjLOs*ca~uQfcm9X%4GpXg<1V3FbN4o|Ns0)D)j>y(8paiU3SwFBQ>CtIh82CzXAl zz{?_2mshRBGir>XVKuf-N+X-5!dxRIoNml$kz7e+Fn9P~FbS7n8v3%|N_tShrZrCZ z*UT7oX*S|J$vLS8x0eAj{EHMPql`b1`H~z+YnF-?*FGsFodg$DaumD)GWt6yEo_28 zsA6NnjLO_}gRHB+-UiF2oWDsuYHDD=H!?gNz)IAZAZQ!8tOddaCH+132_nJZnA}&2 z^Mqn|gb^w@Rd7s!1A-B)aV{Z*Bk@iJ6GGX5OAo4OrzOYVs2>%RSoj<@RyAx2_Ak{! zn%_@oT8c(PG9L3#89F>95z&$?P-$pscj^-AQ7SYbM}>J=%qA4tcTf?*lB{n^q1+<5 zfh7&!PL2)Wr7a&3Qq;d@X*t6%Hu#@T2G8`#yI}=b*swwZYZp1+1Z_EggCPUsz0#+7 z_gx-ZwV$~x?j6$P{IfgtKXWN{*bUdy_DMGWnV$y#z>{t<8#?;|*30&w3vKwIYg-g| zSvGuNiv3*WuJa`*?IE-1Dse`cayLAQv(T}>X9WNEjlGc5}<)Xj*GwS|!|5q7g+Ibq*y1!qx!Loou`$Q2wqMwJvj) zA{ie|9|NTzHpRq0FBlwHWhqNg?msPjbiFd<$WrB8%|M`q8cwO}0pQHUnkY%I05 zZ&ugK?d#Q44?=0iBkj8o97Bv#@r_ebT@7+`E~#`Qg;~RpY=D0k67e8V1WM61Q{xN~ zb(T8R#*D4feahRyhwT8y%hB2OMIjz>B~@i^R~1iHC*mhu!vM}_@&iF-3`LQ?EVDGJ z0~z5vWi?0zRU{q@tz#-0ZY`W&WOz1k_P>jHQ-wJK75-1(@DBrI>1ej51Vk;$bJ9?_#JU|V9w=i0aVw!j#71<{YXtH@E?@FeKWH21(q=9NGJWxMK%4m~SHiaYVW;$@IuDX=`HUUJ8+RhOf^LvyuBnwC5 z%g~{TieSVmV*yL!i(|ziM0j>0$82uVzU(AIsn5V8)jQ6#St(Dr%@Ai!GI>4`TUqwB zmit(>;;qyW!i;|Wp%1G78C@?YUmg)=@$eix>%L6myrXD(MD$0hk{xDM;W1WNdqEh+ zAfA@1;>M3}@?n>uDgt=#OpEk*yL87XVjX$f=-1($%w*j#b*Qtm1d1<=ecE&B;jSV^ z)PK;{m888f68Wi*V^nUn=fD731j;{XJc3`^cI7z$nhAim4|5f1C;NkzK?l$qw=8{m z`O)&6;IJsn2SZ;2wI^DV za0yg~&Pb#s#6!O`&oTJf>k@|O%YgkP3&H?e2brKxs^98-CgNAWB9_t&k4_>JbJ_m=S?YnbrrT9ZQ+3AUNQ73`I^Y zri2s(MTDlf%tV4_!JAk3L8w;ZAUp_-CI>mlcu?DTSCISb?yd_>C{MCW$O8J9QVd&G zK`IRUh$6AX!FvE1&0SQOf4Bfb4I7?{qEI;DmgWpPKbt4sQOXAU0M~M!(yW%9?sTCi z0O8Prtx2 zda0L8T8f&0PCt0h;tZB}28+du+OXrFYzo(n*T69$BGxqB`Y$en*G& zyGXcxp?7TgUoCgbF7X>`3p7!;AT=R?v+|wTf%16JsyZ^Y|&zDa(W7wIueZE~>`_Omu_;@yXI(=+v#`c^K zuA_xN@9!AYTob?hylhwN>v#Bk?%con(E4=035-j*Uh6(ld3nDc>-lmB$kBWk@Zt2c4;K5= zlE8_qSwE$k|8p$zZJB_;YaBsV@@es&V7!DSEBo{6?(OyV=tv-IwGohl$R0Kwjr4ae zZiJe@2PuF+D=bK5p@hhlrr>~dtT>@6g2=YGEAdkx-G4h{#Z+Fkz?oRUF zu3i3*`ewzfZ3&kj@3Z>qLrrfV!6A_N;HhG}91bz+24VuWy_eAnmh*>!!$G@w4_1wq?bc=A!qu|$<| zoqL%O>(O*F1(6;<&#NulmAsjJP7YlDd5#{_lUjoSFOP?gIA8*9|L&|H^g$py`$J+@ zIfviFmfXYr!TecZDn~%TgBx%1Ju&AsyP~#aqTX!0z86rI^l6iY+)CH$^_9XfdwE-c z%cH~n&yC&D_J{26lbd`w2@o^Z+1n8LoX@BJ8v*>_%@Zv$=gC2JwmW0y3u!nX{9iI3 z7Om8u{F}k#^AY+VZ0~P&!t-a^cmNeNWXr`>V~jUo_Yj+U#CZO7P< zGAPFH&eNE1RHAM*sJSQo$xs`+Y>9p=iGHhz?ig6j`H+aTVE=75O#1Jw*Zz9qPqdpB z~j+Q zYn`oeSW2^|?Z0h_vY5XyTL)IJJZmrn(o)b$l#&K$OQ3;ps|&}azTd01PH980%2t2wTgX}$;RJmi-_*0?8r2)r|BL@G1%o%j7&H{V|08U9d= zj<6f(2QOJ2Y$nhStE9u%d?Ec{)nIyle>o&@+Lfvm$dNhPfqlC&Ys@+CVo}*3D5c{& zZu)kN&H`XpmRk=}lRvrw(BwxdtBW2mCH)dMHf%6qwQW4LI?dcA!q-iA+}7x>&> zUMAqz)33ZU#QJfe|56=v4n(lssq=n0{+MD{&0o=Vld_&Mjd6`YKwq7_JpTF1t(`fy zdq7;|<>`Q6eEe;XL%(V*kH541?cNzMw^)AFBj2sR)#dH-t}WhB7jjyz&#>J@(5#K7 z_u=rIGMeMl+2VS8a&U?Um@~~-#_(}-dRg|-=YP9?P7rYMitG+V>lD!U`aopR*X28A znD_N&+Z<0Cp|9S4Bcs(Uj7guBKzh5s#NZg`08GikwX^~9m@$D~eZ1TY&~NRB+ExpA zpYdO6zOP;u+<}<;EdG?Wy=!h}NU657+xo88@TnJv0=GGJYl*?l$Egv?;R)|8gYa^^9x~p| zr_EpLk~bFe-cb3^tn%SO3Oxz@w70u+oBNacX3VwNZg~agLYr3f2h%ZL|K(-XwtmOU z+p&*c*K@a#+$Yce8qK|a?ThLYOl4U2V1-R!c+rCI+o_8{cBNub&Li?+v;6cFezikW z_l8fd0IyYF-{8tk&di4TiiGRJasLql$4kWr1;5MM$WY44!6lji5pKk0lwe)Ry?l!JiY|s_{Dr2Z@c^=bEBPw=ga=w2s}#UtA#n=|yw774_NC(^ z(+%GACSL4g>nrAS^w9~@+syM4wNt<35zdi~B%z*3gmkMFP1Yoh_x-~?5F zjNW3=_FV+{?Oe$rcO${LKIhx_K!i-K90GBrMp!_vt#g2;-hf6t)ZT$cO5cEEA1gkNi4dT3XG3`Ofa%a#>`|(b9JN8Bm@b{+RH9nx z{D)Fq+M&yaHj;&mCfao&xD4Rk*ur_<{06%m3%^kYAqqbJMsI6%oexQELbeo`UlM{4 zBOK!9vii8QW!A(E`9%MFSizMk7s>X5>83Q!^iz*SeZ&lYBnDvT??f4qau|+v%eoKn z?4b13iB*V1D*o*f={jw>#k8Yx6Ac`JcG(Af^&^N=^Y)tf4d#;$QLr<<7}}K0vGiKPQrTQ}`4zp^JoR82`s&;Y8M}}B@`_G zL3c4D!Vu$N+Xj^B=!=7+Cu+^ zDS{&_=mDU|8F7F&0C_dca@EW}cl}>2W7x6%;H_Cf08PNi+p5u_VV(5PQBse(v?v&- zyc#F-Yi;n)OKrqcI|1Zjo`Ga)mw|MMzh)Y^x^5cS8q(Cd^?mlUZT*!}`gIZNh`EX@ zrY1N>ql3bwMKr=U)FlZ4@$3oh0Bs)4j+}sa^B9gnRkGhUg=j8o>qIGxwZ3=3r(0hf zv*{F;=-T8xJ65aXQ)#%168#UwC@GY6u9x>5y-I0^==9@+-1xjp6& zO+DsC?wS%02LAmKcWsf9ix8w9~1kXS0s% zhHU2GFRP+Y$@-D^tnCBDDrv(hTkG0Knvhrf3UUm3m9wxU(#zk%TmSd^d%Mq(H4=l&$NRXrI z0@*Tg(SgJ4Z6CJpPyPnvlG2Q~&X7~U-3YBf-gFE$;}>cNW) z+~elWd`kH;(tMSjn#M%N^K~tpvVtJ?w2Li+DK~Ww3m124IlF%3wM-L;d4f$hQ}(&c z%)=F{cCVwFZ?VFzsWxzf7)-hym_o1rue>2VYq04DTJu^oUNC5NWL1WK55q^8X{4B8 zi`~*5Z|G~>Ti49PVj%gnch>b$L}|Tl?O-z=tiFdUYYLH-^ln?gEh=k-X-^v0ro-xt z^L+^I{(3x4ZRO^3V;=1Q5>f~``zCamM{16i)!mD!ee(m@$jz*!Zl=_Wx`b4wvBA-- zA5Px-m3_DoI-SAv0ZuO4(-n20GIMxs#0y1ub>0V6bT^?;t%|X+^Vj41)oS3wPM%k=-RScq{uZ>C*dYlP5lt`KBgfI8+`bQ846I~c_qQwKR4Vfg5Pz_Cv+a4gU7 zgh)2MzEz4x0&SUf?D8V^w2vS8m0bthvi7vk^ZnK8*cJWKQTXFt(F^T$4&w5gI$Rp` zKuP!m#p?1StMIw)%6tLL`~i(bgn%yT2>@4c962!Hj&@J#5nzUs$cxchLLhQn7!X0{ zXN_3z8}HMUHJ1;anKSdCv0y55!l+?8Bl+HV8QDKIo@+~%xfJ&^QEoZR!X#7$zrHfb|ke&?ZjQ-b%==k^kF`hEMIr>`D@ zB4Eh%fmUK=ZZ!9NcU3ODhL88Qa%WX8Z#ocLoklt{SwpROGeC?{O>sv#Sm}hE@{e!ab3`b zCU`oVOCWF8Ga@4HY{w0M%z3%zFrx&gCThkZoSCr8$hxO(=5H8V#Wkg@GIAZ8ILFi_ zR312XP6QuIG1Pk`=2%x+{xwPV#E#WGo!3a)Y{bZ+jXqVVR!(F(!JAerQ>;$-hf@M@ z^Z#(l&8Xj!y+Zlx&%vWssd*I#zhZ6@D#xu-v%c7$30$%r zSZ;7E35^|1=_$P2bp93EY(z*QEcS>@K0s3l*Io;;_BU8#-+5m=5t0`rdv?!QKxy&4 zyQY2n`M42lT;rlqc4X^|J}}&mN~_XdGafyuNsP8eW9aL)Xl&xGbLukm z>$c!84*HAp{^Cwb@voV6JL2=;bLvMpYEt>L@bT~+?D{mAW`&-M4^B_fI(EYJ-fpUo zk9=ToKdVp2%Og1YC4AnmUk=%CJh#S4-#$)kERP=_HG>yyCO9NAq0g002(O6Omere! z^@F3_$?#mURN8ukWMNza6Op4GCDIWy6PCOeaVG5Cmol7)ri`MxLv>~iZ_zbI7>8ji zD8vo;rP9Xa>H}aFNj5RzMQYYYU=6RuUJS=t6%XkqFwt3-5tPFzS@?nuSnpV^(2}u) zZ9F$Hwf2mL6mSSQf}Neym~ewzW4V=VNA))nH9WZr9x%!ACe zj}&$xhE=BC5O#@2P-fV$jwIe)YHyswHUb%9VvBonfbAsp&aEI*VU+P(Hw#v1_p*hp zTsIr~mqBAT;)Km(`@t!iw~i4E=-J1!&IeR^tN};RQFv|BUGs6 zi-&q9THDWcrt{_)`7j24JfG`V3ITo|?T@3I5Q^z!`ROhj)x1n+Eiv9oqN-dQYI8HR zhFj>h0O&O_uyaGOb49T8+OUTs=qUVoY&LAf^#)`_^_ewRcNweszf6^~`NIwD0Mmac zw4#FD+SQ1Nvea@2v(!=m6jSHk2V^f}F33sFDi{d3YhkZ(f{6$}3i}jn4DPh&E+fHS z);&RL`N>@{3;jc9dDQ}1lyR}GhbU_49?qhH-cBBS$6VRe+gtZ{r^XY4Yi-4~xhUDX@4Lf=PFVD}L z@wLTMaZFahHs69q!ALc$Fvv3Ha$OtvfQK+QOGd5p1=tr{ za-yhL641#ujvLz3P_uW2(#d>G|C5Rt5o1|=9*k8)FddCG(Raq0^+Z5Da}s#NBjSXR ztO)B+5PDkTPN>(dkcFf2oRn>d2>wlGV{5>4xn~gQ^oZBkw7%>j^0X z)-yGj-$mn*sAZ4~SD>Q{m!oSnRhC9pNlFhQhXblkS8@MyC%(+PGZiK%3{rw zPGZd@nOi)W%_x{?#}F-`kX;%cd-oyLpck!iGyZ5SD#yu%&GY+f<6P}bRftbiprY+4 zUYaxAeDwhM6U0;sb)32Yil*0WPKd{jBP$saNS-Moj56k+pGYh!H+HzlKfgr=?)$63 zA+SP77O$%>w7!d3tm6VH>+lFq|B))$*@Xj__&Yu(7GuAL^XCU6Ibg+r@!Q~6HYy{# z)BScI{p@>do5UEQ3xA&XR3QU+B4O4z^%j9yim^7|# z;#c?1*ts2cTR8%LAIiGh!-Mm2X79c$_h9drhus@Hz$Z~7_yfLC5$j_qV14CzbR?P^ zyBcD-zW!nsep7?HhFMdOjsl?z`z^0?nfXJ=e4BsN6Bjp2aHd*MXnu)vjk$yc#Nw?3 znX<8CX)myxfl_Wqaom0iD)ahQI#X$31jCghcg@BZR|g0sZBAX26+qtC((b5iSQ?s=ks7kOnxlhdSQ-lTZ*v7WBgVQ58yR@ ztayfSK2{_aPZ_>0laHe)o1lx>8==?1hP-+Y6-GK_4(dZ@uzT0R5_o&s4i24DCZ@A2 z_@CsA@ODQc1jI?EGz;1et|SYIegoZ5C6(ZR#yCv~ukGB2RR1TdG~&9ADiyxIOtPt8 z1|4th*~>f=DRHrZ+IMb+?Aug9=?6&5ibUaSKzHZmo6$uNtT7Ia!r2yzc8!D6zqU*c zWToi<0?5lsTxDvc@%jR4Ku4pn14zr7)%jv@ZiVXGSRwi4;n%~-Ud9VI7{ILZ|C`YO zW{rQ%T2YP7!3W%UIPbIN2EEyT$2`VHAh%AHn9sthI*JP-cRJ~5`m_HIdFZzgc3`N{ zj~I8Nh@A_cqnp8DV@0$hKH z*{W!Eg&V$Yn|cc+mEl@2cfgwrY>f{B9Sx77@TS8+IFR@TDtfRI?+g8Y?i#zb7!6i% zUH1)`=%EZfHgJAW>E*^HQd#*O-k!MWJQ!tR#)R{A&Ly(G;s(qJrDx^05VAbhMAr#k zKP`>>uZNK(m<+#Fj1HqzlY4qh?LbX~1rx?sEf?7OiUlyE2u2v|Hds^rL%`z9G99XL zg?g$m2-IPdo|klISQ+KHY!2R#!X7~~9ncD*oANt1GDc}8FoP%wy#+)!#g`6b%tgC6 zU$=c0xVY(pX&OJpNi?X`kX!RmP;xIlsL04OWagN}AfTdNlZly9cm12npyU%GT;i;G zW5=Ph4i(OzC3w~xZX~ZI)`L3M7cl3 z`vQ|&|Mo5<@ku9H4wPM}cG#Dv*=gf@N{ZGb)0QOYOf|Ow5)GUU^*=dEi?BzWj4Y*d z4o+naf)9||asxZ>!%^1Ghh?%jK>dT>pyZl~frDY)JZlS4YQ&?plYaZ0qCKbK7Wg^mzWOPf7uTL{=?YV zf_PijQ02b&vzL{JQTc(6p&Iv~(kTE|i#jDBF@Lh)D^a zYXFU3u2X)piLvE@6%(7~5tgv-BGKU}&_0}`0`M$f_MZWZ07tFbu77g!U;$FOr7L~q zIjcJ!N9j_0{?A7*Pgw|D@KKF`I(aXT`JvC(d->8Yg)uZ_d-dst~Q;S1qx>i=QA ze_q1Z1h=let}+cGee$R-j@po)YPc^V{cG7L@+Yo}dm_K$VA`sK&T-m36z$YRw8;1A z3VCY>Q)gUVchm8HnD-@QRZoW@j%Y@{t{X&=?lCbmqs;55e3_VP;t9%|fOD*Q&bhm% zF_B4?I1=lP4<+7_09l>4GFWzV558|ypg6P!N%^3?MIy(j)}Co#y+uY#R4Y%+F#fW` z70NZ&Cg`9U(W~JGB3-Y-7}lQGeg^Zq<&fI@Rp{H+3_MCxJTG!7_-dy6EFQLHo5@E|g>HFFI1CeAol?j~M9am-8sHnS$)m6^*k zWiT8w&pm_rJsJe|y9ij--XW}Q0PJZj0PfvcLbV1kSa^28U=8LMe$9Gb6}rZ@@3w@V z{td2eQJ$i;@5if`vt3Ei+zI9}&DyA8sPBPunNVvn6q_1pHPrV6NKe!oHN<8c*(N|7 z8@uK9{o&xd50OwUyYTu!IBKkr95z099S&pLdF3NdwS%v)L^)UO#JIy)M~r)8$DAXa z!0e&B?lhm0s;Xz0{N^@oe7l)_L~tqc(j68&BPLq)J2 zJvO^0y&x@*r15ZfY7_fY4QkK8z}N5^zxWMOb2r71R95nq$U3dBQA38pVwdxp#8=& z*ehezqcDty$vE>X+0VkBQI;q*v1VnFcHLDe*fcmlO*Jnsnv&6c=Z7R#I#;x*UQkhw zwL!RU@F9?dqXIy%HDFQ+HucNbO#B@du?pt=ZG4HDHH0(0pPCgQ;J})gN87VXsG38c zfgd6P1P9jPe*{xc6LWjUAdMe#%DJZal2<*N*c>Ft?cuGWvb^TWLldC`ReJPDC5?ym?qY{w9Hsy4Flj4(pH!>Fe(TtJ;83r*j_k;<9 z*`C!RD#6Q{JTw$KU`tUn($aT*@;-Ree}5#8G0u^a!{6oe9CUzoN5%MZZ;}OiL^%q0 zz~j2-pC9BQmzhP?D*n(iwLMeCM&RBaugsl9+#{^PNM+ZPN^%iYtEN2PN4JI|6pNZi z(16dSCM7+TSQfGuBH1>`wF8}G&4*gaS@U&Jexz(EMf=XZGsX@Eemo|`+#VdDSus$zgS7!_wvz{zHl)|Y!jpGsai{889vd(h7Y39jB*T+a0~F}y#|DT? zbG@<4+KZV5M&|@N#n*CD4~eAT3&e~YxFDSh)L@)Uj0@m$Lckqn9m98nMAGEGn%ItNaOpl@& zz5i2zbRn<;G_;UA#yCXh0hV%WLK1-q=0UsF2EjGDCo)hHh5#a7rdZk}!4c`!5Q@n* zE8HX5IKJ@kH<&2~56MXP%m4!zAo($!ql_{p>m{c}(f&X^t99K**(Yrto*QSWj}wdZ z=W8>ZIIq29p0qYb$~TCy#dP$b?bKnx(Hx*$$%h!aVi?p6W%&`+>N`Zg`(SqkIq}Qb zHx6%yvN$@lnDtNi=FVTh72nppipDuOU*2)wUEEQ(_B*Z@;NtI?ZnYQfa0OZy&+_g$Pa<+%_PsW{YU#j%y5FmPB9JALMc zC%be>y8*&Po=GdBID9?E?;_0Dz64s40Q=yn!Ok5?fX~|M??T8L_^1=H=_(Ir82!kG zQ+y;T3FN5&=Y`%~$iP@6Q_diL=!kLI>)EW2LX7q(f|i zAcc8*r1n=&M@c8y1P38MPHIZPsjr)&S41kqlqEJ-TO9)Z>QT=(_A7b__2kF+cNp7_ z<-sNK=t?yjrYk)RZ5*y9ttioH54=<6qu&b=%A;)sf>ThUX&8>S(B;2@sLBs!FeFr! z70}2u`rHj=Y>KV1Uc#{-W|xR?D}$4E%HK7pDlCv|&)jdpH1tq0!I>`54omrhsUi$} z!ZC-+G%Xq`LJxH(!*k+QYl)YIe#a|b^!+vt@~a!(DK=iLc%sr|qw$tDH9UAfPl!nf z7cuT4s=O_8<0;+0Is``fgD>vwAbccfrVx_pJ{E^mI+Qo6&LVNN&vO&DjG;IsRt ztC1@jXZ5C<@y>Ag*3e~T(NoUa8dNL}d#gOoQ)=`kuzx)dVt5HJZBD7dtOMtDyBP?z z%op^=543Gj_Y_O}>^E`}MH~go^_h>CPUq_rg3|8oldg}~^~vFn`}dP|436^Ch~kIB zze0HgT5_?IwhQUTgQVIOfxKL(d zMEX1tDQOMntWOze5nIv+0m7`y3V&|x>Y*Ok5&2Vd; z@I`QfA~O~S_13%l=RtyELkA=5MHvk|CYNTZV}zXp=^$&_Qb{Rlf|9zY9|;wCVb#-% zxRQ*t?)5=bL26>#TsaO36W*`AG`l<**R-l<^|NsWg|j38r2r^xmaeUk&}bAnvDO%B zLZc4Uti@E>pE;4H7jrC$FmgE=k*i%4Nm_67Iiqfxfd7y1VYp3=%8%@i+x}0zG`3m> zgwVf72%l|u=;Akablo`~(7<=l3@gh-ogHX82~?A24Vc-}m|P1Em|R(yC$C@&+tU0qk%=z;NXFSCt@}48n1D+#E{RPy)_&i!1EddLoBq&>CQP`v6f_Jq>gNa}l z?Z;6?3tLR6EwF&u&Zh!!_yns8M zswIlzwJBJO!J(4Ic5k$+GH(f@Whton+Lt5umInXqaMeE4h^`|Nq60X~KfT5_T||O& zsjuC&2WLjJ4eGQg%_S0Gj-YV+7V%5O6YnzqyJPB0w8B-mPMa*yEf;MHbU9)%SH&P+ z-d8~GNkh5|$jPrL7VuUM66Oy!aE)?~sSkh_;7<6unf>WTvj0ac75Wd6P5i&bnSD6g zCAjNf9Xt$CfB)N|sNMf@Na7yBBV2E1Rq_iPP#PxCpJT^r{!i={t~K@?KoqX=fB7{} zD{a*FPuLddtll<@i$W${4+--daC9rHA-Rk($QFHpFx+IZP zNRolGqo`qwTEGBCNs2gfDG}MQ;VBt1A2tp$KaZ4wmXDhjk^bKHde<<_Dat<2<@+=O zOdw3Bw!6Ouq0ZmUIhFyCUExdgY+|{e(e|%QE!$~p-nib7KHyZrTO?tpX&rWnQcGot z9Hs;QZ+MCO9^;oThxBYzGn*u}O`POuVolrH2YIDks>KhN7DW-PnUV+enTv70 z->55qj};%d!5rKtA2A5SB${=q7kZB3Y1fkaBpBuLo_0|m^!|4ByBSR30&x&s534TZ zrJBj=UX5JH+7{4|P5{|_E~k{*e6~YoFp=`Rc+*WRxY!{cjl3u%jXTwI?q(^6Sb{_S z`2Ct?G}^WgeQ7F(2s5HpSIz3pm7@b_M`uZ^dEa*1ngsCYJ`}H7&6A@gwOQ+=BB<7Q zVS_?*D1_?yORw^Kxu=>5p17{$5}7K0aq>fgjw3%^5^5Z#1=SsyIw=K%Q${E0iJ7{b zffZYsif&wHlUhDBLD$?nMFZ7O%$1H}T26ApwQ^}5igKkOh9OOg z{ywp=1S*t+z`U#k)$+Ni(K1zwBV)3%$a2?Fo57`3e6VRB4e7_V-u;AzC|4q03z$o8 z!DR?eAt&b?6j8GWE+Hp10TRlNWWOX@A*z3Ny;N<KEkK5VQ$^su zHTMQQVTBZ}Kx-RnK@IJUCJ{(Wm5A6%WiUvq(*h&FIAXd(ez(%Pnw1rZ5@g zNl_IIn))V2)1kiZ%lygp4gyi$T~F&iFD+XWins#q*&IqSZ}-+jLKkCiMi zebPq4@cAUqn>PQl<%QfYFzQoir<_?oL zB1h7gmWYF`DbT(ITkwk>I=pn>h>}G;D1{{pW!yYmHYZG*0XeU`o#wn)lt>UrKvt^jiz5Ld=~grY)&^ zefuzZDmx+aBn}kvWX7E!mu(?#TtU*?VjsENn%O+CHIbv?aIoseW! z`S1cKgry~6MY$z#eY_?AZF2YNPf61f0umJ$O_-q608@q*>2Xo`5Hm7ZGbK>SKkWc% zL1Fk13R2jTDt|B=*qUuK{J+xG}nP z027uy>ZFf-4kzFm>FBbYp<$=kA&82kfn=$G8fL^d=XA|Kj_Jfk}iN}K}=o;k1tNighBdrnbR(tht#d{c( zBLLtw*j(B1saQxda=7wF#?gC%>S;r;I6#5w;=RKs@=$QtFChzY!r*WZdD1^DLL0y@ zUWmRAmL&XW_IIR2Ph;U?wk5F`0WL@vua*n&s)?WSZP{s>SQ28e^u1Y$vclSOU;%r3q_LZ-$85|jQPya3~Op%zz4Ri z1)zVV284WP2nLnXfio%04jK3v8>p1NBMgYID`BE+1qvKc15&@N@|Ce6NUEVmySpPR z__on3#aHuuIJbQs+*OC0C#82}`v6b-d=87HTKA2%L%F2?(eG-SG)KxT$%MuE;qMb9 zEzVM{1}8=(!4$Y!VpC1*wzjeaN*2Bq3iU>DnNMrM%(*(oH#J6F8P+iQgOwx7>q(UX zhPz#mrIlk;Uh+&7B}%@Uc)73IB%gl@);OAsS2_h@5K5KJ1hV zyFzF9Be*n373B$?_QzfAGF`o*w1=2kgK;k+*#n##5!X364A{FdnmkGi?mp(jS)-DM zG!N$k92<6VU_YIrRH?gCE0dF^Dp@y}{UPH6T+=829h}=0-(NLI1y_bsR4by99GzXv zd}ny_16lujG^%i4Xw+X=n%_t_!E$}6-Qb-qNnGF``oYfiVZeOv(P;tCkf*X0H70$) zeJFRa%gGmHH0y~yVRLt>FDaa_NzW-B<{;TPAOd`aa4@1hVW+_>8V>YnPxR+x(#ehN zJTgU1FY?M5pM9@Nm$0G)^4x}%(TwwYv`6p zzEB}&CX4vlh9O+4Lm&ACUYxg<3O-|TEso=F@S8QCX?bUlbhsIe8sVf5mh5aw#a2?h z9qEyyIEa%N)x@KI)Bw$CB!l@ErUbk`5=HHRHETTYAx(ay)-Nzo z)3nY==x?5+g}(99qnETk6sAn4f)Ux{dN@w`_G$4VC&v-l)HCtQme~cx*I$QH$^b=Q zDUH=orbXL{)1RLDhh25Up;Vb=qWmMyPmOQp47-H2Znxlr67Kgt>VH=FacnD%PF~IBUHnGv^-UcVmvCd!0910VO z9H3K#bio~Dbe=>lge43A9+EXNacVXgbhVgbTycy8o+M4rf!Ak>oi$*UCb$W$+kPk0 zK0)ned>)~W9-+JHWvN(Np`Xd31XA{KUKm&%Z2`w6xSW%dy@(JLBcPfGPiNgD26NgC!>X?6p!$}N06N`Mdx&zdcR4Z-8;1_dbwu|~R&i?JJgFV+IH*6xpJn;c=)RCC znU05;w)Nb<$>fXD0KQh_DgUYnuE6p`?=!Z`htE5q@;5O&R5in|a3MJ@^MSBXEQ+Is z=$93`nz;ojSTrFq$C5PO>S@WsVO*ONkk%+C*ZuLD1QD-Y=wid&zusC$_3{O=QJ|xP znvDDbLd~w(gE3=~BVrD8a zeJ8Iy#c4Ul9e%TUa0C-qyF9QRr=~X)5;`d>AER(87%R{hl>Xt0z=vc;e7!JyplBHp zG)5_8g79mfx+pz{p`9yZL;`_in#K+LSAT`;`94Htx+8o(29Gx^HKf!|sLA;Pi^2;$&4Rk}w=;BcvH-xan|&0;a6FU}NYB@Pg%rF!XZchi_oQ zYL|Pmupy%ov5J;*!RSSn&=%(v<+BOOPCvswVnzX>o#lCywrJ`l2zgAO$4|kHxqIlP zRMS*$bjST=uC^nIV_SRIG6as9Vvdn`5dK}dgbiOak{>8wJihszDVxxW8a`L=^L&FgDfy8Bpkit zUiVT(7v(aDhBpx+$M%*uiV_meEGE*o|YYwdh{w4iBE(+V-i?tvq4#{7|^G&PzBZ`u)K=X$2Jcy6XNcy7j=1^OS^ zl(ato8!l5N858 zZ`zC|vp$`WK09nDLv}bV$TqG6cFaMy*fxEBc|$f#!V5F~G89Yw27gK@%Dh4h)9g%x z(y`@eMtqK23O!J(??gP8LJlWtU#5=((`9`qRDULh599KX3zm>&ff7`YM=xT&20gOq z^vcH9c20%aQTm=+a$eZhn!09kmXS z`32rSp53l~kK9I`RXH=>O*;_Tf8u|&7qTleQTzt_vXp|Y-?s$V<3aG9<(9@U>G&;$mA zmjavb&5tK}0)8(ZuMaDXpSMG=pXeLApP8|5ce7pFFmY23gd#x&D#@RicV(y;S zp-Snd*Ctpi2Whj9g!iA%d;OrS=o>r{XK!nLQS4*g4jy#(xQ4wJ*a{Co_5l9DNL5-e z;f9&e$qgsAg2pe4o{cUd->+^k)7weUAiQ4uz+&(0RTr7zwIkHTuR^k^j816JO$$YcD@uMV(FVnFMSVigz&1q80pFQRV!`ZCyfxp{R1A-e^S zH?VuS^bPpFKc`87og2n$8g;A+Kv|r@(52&Kx+6alQNhb9bc2>s8NZdgmAyhsK%-p* z7W_F4Az4VTe@9muYOMt{`A#3*Btu}lmCl1`q^y@_$fY}g8i7obqfU4Z41PtSC12G1>IcAwMR~m zQ_x?Jvp?No1vte4w#iht;lD}Xl|Yhc%YTswO7+~^P5!S|316EDLE2SYhP#e-!MSEY zVx7XVes1y7k628q=XKM|5-IJBb4{bfI+ z@HMv$$Dej%|Al991!1~x{IGZ300D8?05NXea?5nt`2D}Q{T9c$o6GnZd(QRm*y^z( z|8|C{fHgx-ecNulv5X{`XZxw#Lx0mw$J-|AtgBH+N($j#ye1kh;tc!Ex9W-GF2`)G zi1@3~b7>^WQanz9$;J+QUV+J@(Y@acN8%vlC*mODKVzV21sypVVn42LpP!SaQ@FOl z9DVOj+^Ge?h%;*G$Kz@`|NM9!`55hDnMojgM>>)OAzw&=*+*P9jf7&S_I6yeYNc0@EQ$63bi!!^|Kwi>Bdqb|I*d}r7sogY2-TO zrW~A#1^aTUGdT&e=xNm_&R5bg&dMWkZl@eXivMM>|I6rXPz8a22$D(2ThFgXp8D!vHu7{zVrcPp6VhC zDj(PbNu9SKOj zdyAj83Gc^x*!6vWEN5OQ`E8o)FQwTsKYO0OW~FbYk6Fi7J>QF4?&Rd5|EH7P>;0;e za^e6;AkUl315VD?sF2O7g^Z~arA;^TqwggV#FSHP@sb-jA_^pFlP~;@>oz9h%{+TW zY+?IFU10mqkqp3?P>sNtx`xt0WJNPTrrexIsbACZ!ul)wVx&W{`W{|o$+Ta~ctlT8 zB}IRuj$fj9LZHomhiK$6B@7T%B@D0?Na)+ZB<=HQ?;^a?+wo%a!LN0cQ0wz{@+nXz zsOEj&t1)je{_fJ~hvBD^1}N(|gtNf8*{P4*PN%5?APdHR*T!FLj8q^Z2dhTv1WO#Z?i>vQ|Q^Fa#1GL>%7$; zcZHyC2C7ks%u$GDaBq~LZsw;@e;p*|WUmdhyJY_!IM8}Dgu2eYF_#Y0(m=`(@b?g4pjCX!p49P->d)IucI+{`p=>&{aVqhJUf~8cEpMI{1{P-^s zUGv6N0_#Dt-AT4lBQQo&#!*EJLkB^L&S2Z7dTDm)6q^;)ts`ku^n&Y~#1~EhI;ePTkb~?oKbi z*Sd;&JVKbsPVs}ukWz6PA_H;5G?eq~2h>qTWa34x3zFypLg8}fbpe0~U!WqVEASwu z47zddTr#|YnJWw&5WLXR=Nw{i!=YWaz6BEyTR`ryyOS;0*=&rQp@q9Of`80co-TAC zV^|B`EjPQxkZeY~zUk1GlcB?-KF4;z%zYp<+ttwGhZZ!)VKq39%nWxui3P#xcc*2d zot*xe%R2@TJV!S-3wO}f@TMl74)}iY?3}HRE!b*-R-S;ItqMI5j{WoVnRBpuR0|7t zV|R!w)7)puT=J_a;C5tM7QIqbKe%q?xTHoi90sNSOnWcLCE6Wl*}fg2FfVF3Ezxsr z*kKQV`!0;wGjQ`%JKEx2H{SgA#%sNikUS4TL5;rXMJlY_IX6RLUeBB%}p;E!TUc;ZP9kHCfA3bk>PckFje2icb z?N$}{O}%J(Bww%Nl4R6azUZ$8(_f9I^1m99e>JxLYRpedH^Z(z8($=P_GUTqT`kKQ zb-UV>bzwPl4a;?Ly;`ww{5I$1`28TPHq#Rnx2 zgr{$p+z+v zEfEwE#18H*9T?ul8#FLvS6_FOVMXxTnJXxuK>yP7mH<$oox5)XTD<%N<^*U#LeQj~ zM8m=zf-Mx+=`YHsdeYbAYH-ril>fwSvLN|&X{kz+dsdO+ztU&84hLc|1z|ELjo)Ay~xcG?%q2V^Bo~eI^ zv-f!Lj6qxjp!*9?$H+3r!8Bxnz0}Kbj1%N z_s*u-Rvw>pzc1qj1zS9(*b&-<{1bCKD8bZVZ|meM`3>UchFP(p>l{F;p5du_O+%Xq z-N0&E=Qjwpy?u$9ZAro)2+_RSuvS_ZvX z6pa=;it_fcbjXEnFZmM8d zoKEl3-HFHd=DHy+dR))!jUH3Y0O$0{hp%m3v|V7on366W?H@(mXl4v{HU)UU-(H*J z_r5|8f_hgCzWnJXlFQb+eDu4_62M%IGEs@{30mdkC}#q%xP5Q(WpnM=`FzH#XC!fR zGn|KeHQsrf#ZZIixo!S_4vq3UItmxZI6Fg>mzU#!(UcPa=d(2JYp-`OYM znf!}D1tZ{n>4g&75Om5!AjSFo|7OHgcYK!(?+K!Wa5u*))WaEE?}IvBX{_J7;v?ufe2!C({Zh*pL^v96laDf)rC+cI$kH^b$*wcP%hvM0Lue1n@o!%}Oc>(DW3li4sg zUaWo6w|8rty&8ZevVCO8P8#_bP>xwTABq4D8S>fM)sB()*Ns+x>gsy?c+~^M0~Wc; z*HkeAR!{B3TyxAhu>OuaHcDTXql4gP=xuX?G8cML!)y32bylp7vu%N+4f4dY5j&OH z-|CA?EdnGSAjyXTQG2tdEDA`}F0LW{wCy z51-(4F3f7D0Q>NbJ^yNeC`V5*DBm?7xvll$TQf4W&2- zhbFM+HdwCyE zHq`m^BU;+>>U>U9*@$F`H_1UZ)uHt)XpV+9>Vl^r*RinNuwHJfP+ryb*!D@A<_*KJONTafw@J#cOf>#6eWQ<z)3laB@yn_nq-JGh^2sbz z9)^|JMfVK1V)-F9vU|MM=LP@R}|M8AQqq|w!yGcAFkhOVpq4OR4lx{_R&{bwPf z-KY6}D|rM=CMr3q5S=F4cU&2MpAU%VsFfQ7@7HhcTWuGc9h#;}3_nw%=tD>i?60?#dldqeri-k>$*0KC))!@E_* zZ>6zSkiw<}Bb-VBi$pMjv^#YY0)vYad`tc+siLAS7Ik^cG#Fx7HZd+5mk=AhQ{b4k zP)T+xYqL+m1)QCNC6Dk1GL>|P3h+w}<^5p_x&RvQPq>F4qUS98k+EpHv`3y|BiZrE z@rsm$(zL;c37m<_G}Lh&3CZb)z_Jf4yM`y#31~??iD)Xog3gop6ULP`?9}B-nM<|I zsa*a@F=wKHJ4K`-x}Y&+0)tkj9HD?THB!S^8x|^83)S~fDk{PXa!fKk-L|UAv=jca z3#=sE#TbJFJ%+m_CfG-|^~R3=aqIc}8}<Qh0$7e*fH<(2yO5Wll8P@RCtvE(hW!If6ok*SQI!05dv)4WI8mz+Rds4E4OJqk z-`7=omGt1naZj2JuTh~Tjz&sKo_Zz3FGd0HC~PrdWyZ+hVtou)sUB*yL{BC1;u})A zok$gV@?y`V(Wv2PO0=II312rvg>e~wt_qnz#bC0D&#elIkR!&yS>A1B#ic01dm5le^me2=)^fX4+AZN^w~r4|z<= z$VWP=y)JXoX16FjD|y#S;-gjcXF6h6eQom+-Cr)!anqG&{hV8J%`B>A8l zsERB59lEDZ#e8dwlW+YRbO|=tD$u`uD(9?gU3ZmPRP}AfcY?ig!K$k~u1I6jwCW~s z(1C;yBUhcdkBGm@mma%^h~s5awEO_bRm;&8J@d5=?62l%eRqBQ6HqqvUV>2^iy&AA zQ~DWR5>6qC3ApvqqyapZXkw(lj~W_Ahy`}M8!+;__mIWY4sJmd?&G8lOagSF7>&Q- zSi&qG21UOnGRm4KjIRnoe6a z2%L+Oge+t4@==zVGa67PTAST1hSnqzI3fLplR+xtNZP z7XR`2+Q2_;RfKlQur12Z)IB6__Qy`3P}o?vD}=4814v?c$3Yd4Jp>b zXIwsz9n7rJ7wNO8j5D`@tlxGd z=m^laKFQpt9z0lMx%O*ZWL>h7H<@+zTt!~hYOfnv(;hSsv`a51xAzSXGr!3Fq9M(uPY~-4ndEgv3S`%gxnxbmZWvC9!rffiU z>LOp(J!6ZA(QcD5o^Fv(S_L}$AJPg1^BaET*C=-AEb|6bTRp5HH!w_ zN)<#VubiWa8nxj5SSZ897ArV_s~#nk?P3MgYD9+v>w*cDzz4B@ZNC571AIUXw;G5u zc3^3LXKf5!B6cikKV=TB{~Df*itZ#wefg^*s%nuiX1@HCPbE$HHY_%x;M($}U<{m^ zKTBONYpWy4cy>ovA1)~wI3i&*fDw$Fjn>#Jc^9=cL0?iZ8v;3gMT_RnH7q>2g{<`l zOm-&HMH2jj^y`LXMq3}^uJ)^rnYG?BclxADtEl@Ne*5P8v>wnUd zDd3L@Ri}O|8tsK$TTmXal2Q^m+uDtc;7{Qt?89J2(*&&(ow0Lk8Y!n*rW+_d%qB;1 zzjkTU+4yOIIX#RS!(7CtW5`*{MzWEeTgIVRu~0nBs)hJJhL-xP`vqQu9jy zO0g9+Nen@8vvS>PvKJ2e-GwC@AT2}Fi7E<5VNt!o2D9Ib1hRUEDJ`f*b8 z?;#%0EZs+T3ZqDa4;duwQxBILDuq8lUnK>YiJ$nfzS5x37C2P7ZvCml`0| zpbWY6A}^V{w^N4O0u_`l@FJI_alwYA31RSvS3XHZp(#Nf?CbYW{@EjQr1<0xh*zc! z8|)kLPgdr__{iZZPM#>ZzM3K_VH19GKaN+%B~in!^3+@!sQgfKWjx=y7I|WoeX51z zYzLfSz0TDvP$L-zsiQLaws7(p6YfnQ7Gti47OgOIj11V!(MBO&Dr!Qv?v1-f%Q^1i zHcuD_@t`dS*tOe#87*zMMf`_=f@|X3wed#U16|g;2-i9}NJf*kdjPM&e#xm(fA~J%02`Q9`*8v_8*o3ytkV#@m}7;&wudaJiB7h-eAA=$x6d6 zdj3qmnrn);rl-m$i5Wbp=M^}EL4l~cNWa7L_GG{NC+;+1&|dj&F~lLx=E;4s=U=#1 z{>Xo3_p0`G=74?=4OqS7{g4b0KSSNLyvaW^_k!M>pRAw$6F80CL$r z7spin31L5*HU5KZ@5wxs^=u=zPVN`|>-l2Iw_Xp6Xu1#T=^X0;7^Kbn-yqio?qAPL z_|QJA>h6MoHMIP+4PgYyls(&aNSU(kg4T5Y+@XP^d61^?9Wr<$q=xl*D~f+ae{)C={hS%4a$5kD`(;2mZ? zuxJ2N6LYA;$FU%74>$NG?5l`GXcU(@3mF1DTkFkJe9T-D8}KtS=`4ejeW7jWuI6h~ z?spYdpS1K|%(5j`2kX)+&{BszuKRLV7lNIj&FWbqV*q?ynM6&|1}SB9*5MD-(1vSO z&qeOeK8wM2DSHAF0K7s`(6UxE-tIwteu|XXOO@sU_M!PXdbKW#b^Rq)QONJ|ADGo0 z?ky@f=Ean0b;sLF@@DDDnYvw>UK%_pM*7MI?YM1mm#=8%rN>{5?3E3gfv9~Xx_KE8 z^;I^g$8BRQXXB7zPcK@;t{QyM%EsF(n8|=V#7QY^Jn*z~3$;w)M=qHKRhw+7 z%%A#g_&~p4X{8m*Uz6BMddzWJXZJTD1j6VOrBv)c#eFiZSz6NM+}JIRGBf8|rB$ZY zLanToJJd3*YguGfk|t_?sye3td8)NiDo+{oMw!;J=%p2~7IK+`3e~U+s?OO=a&lj7 zeq#9MDx{ZY9t2xi4{9ZqcCK^vsejeO`cXo2{|GY7IQ}Jx!f=f(MF!|8iEU}02gK>h zy6kU7yg>yo^)6K9f2u}pd^-P2Y}WW3yMV8}nnoj*Qdzh8Ja~XydNkKcDyF$e`E+~@ zl-itD{sQEjeHgvc&%|6Wm?h|9*g6Jz|6{4pFzW2!A4_Ni%_|iW7Y9^-O6CjLXUMrN z9hBoXu%~kDmLZc)@_WPx{x~OT89+`pj_@6B@lB9Cd za8bi7P+iLwV@cZxTu9VM?q607C`T6o_8&Pfv48MCay?34m%)HI-@kYbD#tkI#Zr2{ z8W!G6nG8=s6-CUI^kEuz>g()4zC4J!o%5-5^9w z<0^G;%OKQKX)hEoKI5XNWsodAk3jDx8Dn;DXCPZLPn9uZL|d>|%l3Z=w!w&hrj4ui zvTT4Acrj&f&6ZjAES@8&fS7~A{x+uVfWb{B*38lFPq*w@IEPA;*fIb)*gK+zdasv7 z&k$+2)PDX4X*W&Jy>d6rCTT?MrpjZ9CJO#~a{qq`hz$LVQB{EkwZK*!spDt|>7%cO z^X&jOI!0fmV}>}(5a3qVuSS2>g8_`3n04cuGNev{E-^LT!*21FRf%mFwN3*lm5&0Q zSz34_W|zay$7baR5T({{XL73?osqvl?SD=qqk4yQ*$=kPr%c1{9H%=5@6v64LvM{? z@KSic)=R|`fM)!woc;7GWmQ^WA z=Y|D1*fUOveyJV)$3GLg)zwgxc39RQwD_$1@97cE?t5Z2MOm038RfuE9MSx^`xpKC zKdAd|0C3Ph`*jdc`%|{K?~}p0cLZOTBS(!GGNb&*miyla47O<@CH9Wl_M)sod_?A^ zXWHLKjSw)j@7OI2>bjz|u@`@49m0-_o>pBJF4IvRYnwo1Ncv0@Smunh zgYtK(CPcV@u`d|^+fGc|E$tzsf7@wLGry;R#9B{rI=&&FSsVc0%*iHN1ApAX@!OpV z%hJITq^1e5a#ckps+b%LV`KeubI>&~kw$8x5CZadc%u^Ey1Euhjt=y%)``HmO>UMp zHLS&gqDD-!azp$cE>7t0Wn$|UQ`~kp0oe*eo2NdYUYvqjK zaCoz|*yPk1wn>wkp6SFJ&FwJMe{UM0Rx3w%#g|<5i9p+heYpHPzM5pnHF2*`g~Ri} zfj`G@_{De^TLP$p1CrmJH$k4oy{;5iTMge$on63y$S;LWjwlB`gtyCSiMALkY4&%r zwhrk;GiB9Mu_DaVb-RX+2c(w%gJN@1^12W+?^rtS z>m&Ty8jTU})&frMQH@wQrh+PkzgivD_+Bh;lE%;Fe{lgzc; zx)hLb-}1znBM&e_e1;3Y_NxuXilI=)(kW#ZQ=b>XVXTtCfQ?8|L@ogNssUhK_923f z+Wz}1oR7!~+q~T*_dI>!23T7uVWZZ{aCL3Kb?{RQ#+Kz}BZlqRkp(6O+6Xyl`6F`~ zXh1-)aAb}<3QJ^CL0gt0q|S5Rc%tpMH@ty(>4-~j2;;XoWA2^7KD#iig=ee}JLKkc zX}C`~M4)WRnT?*tnWy&3e5Ws#d(*6yy7Z<&Lh@G(QDx(_$l~E%RH+CbvP863aq^HC zC_o2pLDojsqc}_gh}q;5?tN-o10>HA0aEr$*q?>cZ~mB^Q89+hZ1QQ=Y(q+yfS5yi zPW(bPLGW0Ax;X@q9YBobwU;DKsc;bvNZc2Cr`*=^2R3YXjPPv!rSSYVEm2Yj@Szv+ z@Jim9RuH}!Qnc^*%Mx5FlXHt+n@qpaisogz*aV9*JaKgD;Vt3?_VIYqp)!KE0d^SklsZ#IQIZZPhv{GoAJ4h(#bu>HcNDWwf;-J*g;Rtor(>+8 z8Yj-`iqpm&MHN?g>F)HnO4|dC<%Du-+YMj(yG`c9 z{~#@M9(q8x2-!1D$gF{KVM*)-j!=soe`f>#?NvdWM|iwHVC2eMKb>0W*r{3+aMNE{ z5ee;p ze&62_K%a_pVpynFyqZh*!wkBehh3 z9b$JsT~UN@`skxcmOF_|!cZ#I-3ec;Qg^HgVh$Uo1B8(W4;On@0rM<1l>r%D6t3|5&u~U8^Wlk3?Im+2&TqqWkPBA(u6LWKDq+I4EPa@ zLScdfMaqGrIy^Gs3m!dyD;ciT2sdar?6!fG&;UDXXhuFvt%YaA6zCd@AP$O`Wr)w= zBNCk$vx6XBpTHBOBsfM1xJ7}4`C*DIVW`}-2tGk2EJZja_tqZjoRT6)uh9oH?39YK zuD~ON$CjWVl!%a=#XshXPWn?m2U6~^$kB(%9xbW`Jtu(hSyGoMJZpFl>gkVCJ3kC( z!~o(oVwe*M|9nUG)UekalltwvISp4%5-D6Fy1$t(yD9c79TZ;oI`J)B?e65JUA$EZ zOVp@8_SfA=8KlO)yC#I$=s9i({oR{Ee_vZe*DKyf2d`#YlKqPKfzv4m@*@Dz%K1!4 zKDkyMiHW(BLwF?HXk(52Y7ofng5zyx*p{IE^}g~UUfbC3gI9q7eVH_o*w96sZNi{~ zHFI`MP1^juc72D*a+D@O$D!p-^v1vr9JRwwK0EIRgKC>=Mb}}7^h_5t&-o#PkVX{J_#>ZUsPT};i zYB;}98e4y&*yPW5`98^Txy=e^{xAYvCp;qP+1K~(^jhy}aar9kULTHhRJt;+{SzHw z^&3^2{a0mdhNckUYxRzLri+JgzX69wfA1tOGK}Q!n9>gG4~Cu6@(!}`C7hJwKk-ma zhbTzL8u2EiVA3+)(SyxVP>FYnour5)Axj)V5vlGr=IRBZcoTQNEpY+AHcj1lt)F9j z?nAq^p_6B4bEOkc;>*UHA(4)=fW*+;oq@m(Un0OlBdXAjhiOw&!?)lh!oT4p%H#Lt zXOknD!RQl)6~BoOfmM+GaA=>y0PY?U`}F>Fbla0V1MRo{+3@MOe?=r#NXH6s@`<7P z)^IHTaC%cEV^i|M6}W_|4A zhsnS?GVg0Yi^N+)Q$v?4h^yWqg>1g_-^;<7h)F^x+z;znANEG#l-18T`f;}EQ&8>h#LtfQZluSuEfJM)%%RI52k z)`4NNV_RpfWFye#TB_myY!?)pZV@p9Mw)#R+9qFjy%600jz^AUstFK$;xXFHVC1 zMo`QIbK)X{6HMaG1!D^{L_CLz5aciank)o}w1N((DQAXp5sMKLEX$i9Mi^-g>Q~bp66Y@%nxTXmZweXGIU#`QC77Vh{cZ<(YPck>$K-(us9j}-IcYY;fiRfjLGTuf z3oxYhM1bu5st7|SKmUSXBl|O^v6%=7fobu3CJuT&6Rw%HfIh&m+?k!#QqYKPV%b-a zxnJ>@jk#*Tpk;Eyd$@^z!OYrJJ$Q&xT1(hH2rEGA!6aet078ff<3P|cv#P^@Y)`hpC=7Zr!F-S!rPO>|O$}6#GU#|sIHh7lZ?e zC;>o5lmZ|tYL!8XL4izVAX6F0gxXkq&e%I__;vWK#YHeXOG%lVcSAl!a=L*M&jcs& z>sVc#{WQX=XM+9*b&6G@Pzt*Y;KP+H$umU@4Hye~Ag#?Z>)V-l=^bqod4X!F6qp)+ zx#C*#oW5DBf2nGzjb(Xb=kck5#e`WMiw?aC?ZQ2as+OMfeH|;Sw!WF0aFlXJ?qVRV z#;_Dm(2<%sRXugeZz=k}O1S#a`&~YzaDPPn31fDZiSRC+D;%t28X?SvpxDzKXvZOt z(6W%IC0)4liP_6Lyj6rszs0Y*2niJ~KtfgsO&?{!u|xz7m!iX=<;YV@%2_k4sK#62 zlXGk-#-F%|2vugaL}bFTBmhOofFiNfl8ENt{#tPYS`h$6uKIc5=+zRFVOqkS0k6*UW z910#HJ|#`>pK0^#%3Bwxi|bU}FIc9}ZuwYF#;dXv1blD1Zd4+x9ZBlVr^}&}deD|V zu_L>Kq{-h)iVMv}-2O}7Lc7^NI}zQLmp=l;eeM=yw`RBMy(i-ddV&KoJ4zmu?8BhO zw0hVVyWc2*VVm9putLz{YA>b6%T*H3 z&JP7xKmd!g4n|ks8-PUtU=jL{#R_0)aYecQ77nn`0W79^7+ooS1Sk+HbCk&nECPw9 zDh{+&Tx;y0+FVYQ-Ci_odP8qAROgaL^sd=i00zbkP%;5ZUo(1_-YP(8_>a;~hDtu{ z79pWtWKMLFlS}=@9}2-@P#hq=(a-y~&wZx8Nl1?rq(E#9g_KVW_XwDx6ikd<)2h9a zfm+di!9{-tb-a9og&BE!AQHobMRa=~b9`(exyz08Qk&3?&+BMAFgz+aQsFS^Z~LS9P||B-8)&zJk26RX;?koo!&p!5Tj_y1AkTNb*+ zNB-~y+i7P#H!5D3e->6*O%Wpx>~2|4=%G!K?avhs?M~YM`a_=Lv+lpXQ$41~`~UUD zzj5ZP_tt9tCc|1vf?bNq7UGr3pg49s<7=GSn6OIZ2T(Z zDuVAB={eG&CCl8yayWcW`bEsmJMR0)fJO44k_$C+nq7vUYu6Aia* zC*Rv8tu{{^*M~(Aa<8GW+Y8h-CObZ#wXJXzD%i@3G(KkCjqZy~#0<0j0;OyrxmfMo za(!}kVl^@t@k@SWbI4gb)SUmH-6b9kn=Dts8=LB`mv+NW!RP2UY$+fcS#1L?6TA;- z`Sr;~`J@_-DmQHO#6kUBj~_61^|qSee_sdy|R6Lz(bgtmVa8TJK=YAlPvY5vpa?Yfs{2DMP#`_vVKg z0sp$DrA&a!bCbpD5;s1`Pp-m`rBtzl;NJxhZJReT4-C&4_mUZAH5noqgASYV8S_odg zvUwZkdNMi)x~3~Psv*!KpE)B;58irhjYUGh{45Up*%-=DEoZ11&wKO{dxGZzitmSl zxyXhKwu{D4dZPOAndDHo>*_3wOFZrWWk{gNG55tc`7 zP*_^*p4xRiUZPgQXz(XFT3MsDyn>bN(r;NK4~07_7Qm}Ave+AzRWbX=7JS=_%BpXU zqhopV-%_AhXnh7k=oZKY){|tzr1-v(m)By!m#48??Q?L37S`p|D9UF9D0MzrXee$V zye^!-q3?b8QGS&g;bJHUvON=4uEsE(wnPaUOC~`{Z`4QG-qc}7N!mg~u@5Oi>9XiS z8{7(JKvAMfy9#ZgKFg=)0B^Lklk{4m3^!XO8gdNBxz73sQq9@>st?Y4v2Lm=)3c=s zb1I;`fc@&LO5p8ya1Qjidc1^`zJTR^78dc=pVE4-|O+{pdT@w;OnFR%Izq;9k|IE>R(%n6H^}&Adv_BhoulZ<=jh1*rqYyC0Mkfe-fF{BJzo43+DLm724&gfsLMR~<$pWCz5R zzs4xo7?thgDSxfWe$Ue83M5S#F@KR+qxhcXhhyQo5ZmT|Q}MiwnzdNWjI5cpOvBBzfwt>eU#H0 z4F4H`rSD#_fx#rZ;{+(?uu*1kdq$eHnk{qv`ko?Q!PAo`M&aNdM3ba`pkMu2Scjh~ z2#%NZ<2doiKK70zmLr{HjG3)%_Y*q}^Lv&|7)8amaKPOMq$fB@!z!ZZnyoh`xv))( zx2&?RWGTZcyQ-QN*%EGqOj|m+w_~egdETqe1B`Z^2a&N3X4hhPM{w@^E91g$a{ETs;`b}Q^gS^(H{Hq4;b@{ z&|>4yZ#oCIl*svX9UGY;@3!7}kY7(D%oXYRnnPxSqfe5W)0ao+Jq3|DT{tbreM%9( zp)v!q?@s9x5+t5MUMN|+`0-_vsVAHKZuHf=_-Ul0W&b(vu8vyAE zNUHQ{us9`A8;?J)f>N?NG|`Q}FGJ@a14?LkaOxQ%^c>HuaAvGg-KJ zZ?_;faC1{s9)kC5U2O6O`EazK!g1z!yLJ-I1FvGy@$s}@mDi#js&34o-3m>9L^-zt z&Ge#Oq^vTqV3OS$e4BR}uR}2>{2Y91>zt4riU$=PJm9g`4;o4Uy!z-A@Wp^oco6-WsSn~Y?5SB8Q1IL!ZhtqXbznAVD}$qCK@XM6l$zV;CbshNg<(t znu5Al`O8xCImf&2zcOz)#|}<3b@0XRT?56amw0wWh1^cKy!i^qsIQ)F>h>r9+Bxf? zHJM8#P8VGrHuIjMwDL9W(f=oew*Z}ey|=C@Bo&)VgdL^++*SvNhR+mtm&n8AhPps5 zlxZ$UJ<33bFXDN6p`eVh5tn>it^O-8H1CWvcq-b{J8t$L(iURgse|`bFSp}qGWtoP1!nf3_ z+!<}P?!S~rD?z}@wO@&$vBu6a`MQ7G&CpBNpKFlK!d}=W&sM0bKq_&U>ri0?wA~yK ztaxX;^y;o^LzH-f4}07m!E$<6GW4@3wKbCmZC)5g#zmZ!D;0ktsf)0~+uIZy_LpC{ zdgSz1c@jfwo;kFIAC+V3e@_9s4Gd~z5?3gPwznBH>@Na59sN~~WtuvJmGAgqH8ijF zX6;A6^SxLAvYbd98*J9uzk`g&B@~G;x6>pQeACl&^rR7%s;OBX)~&a@Yj5*y*q;SJ z9{Q_HhzEJ0CdR+!J@$!wZhkbTS?N~eIO!o<9e)YgqNX|n3sgB>tDd<2Q)MRrQ~ghs z^C+taD=)*qeVCMqanJn4zh|v&U(9vOaGYl8YirgH+T6p8jC(kvf5?RW{vSff|4XQ> zmthS382vv&DZBq8lxl{vnJG3qL#&9q8$Zz>4iQZU~&+R}mXhuiHHm*}i^D}2!hK(k0 z%8-6(flE~6;GCbPr|<0Sj4^7)m+p7;S}t2U3!=%_F7++tUguoy$J>@)eVhLrOYU;S zY9_bPD{8EVfMlca3P~6_A~j`ZdMunxK3Cob=}CX2JNa6>Us1IeE=1s`RaYCzTL^O3KnL_~fp%7|Ur+yKZhJK%tjz9k5@EW{2_#OpcKvM*bjTcpx z6ICAM@_!$Zw%92J-i(np$(?vM3Y!=)pISOc)*yRS@F(QW<>T5_$8nzMQ^3W+ z-c8@$+1`A(&sfi)TJx3Pa-pzj4wl=I+8yFi9MOJ<9050b5&Ff~I6bY{{i$rcI{Upc zlIL_a?j#%>kadR``~6=+iwHdn&HLI3lPWl@1>Wj=>VI+n7eWUyI)SD>R)SX~o*_?zNglZfm30j<25nJv}|4s4Jmk zkf5NTJVSA4jnZUH?`G8mN?(A30665;71SUWmJUuFpB>Fz@rFjnMwQ~d6xbAEyhgxZ zuSS(P6vtG?-YJZ_j0}OnO6**JxcRs=L8|P-%A-S7iflup6AeEU*%ZeI*_3qN^Ju(R ze~so5H8cZ+QBHG0#8!L=mINii^Q9+g`jGN!Id8%4x6G#Dyh(*7PAosR@~~4 zAS%f6PU}^NhZ_H+QzGK(q4UXU1;TJ8;<1PT#wZTJVc1eQ+r-(KyP!sOz0xX zE?ZZZ@FqG|<98m|KF3Ye(DHFn_^Yd^!@Rk1d+tNC*Pq1Qsp{V&vc_(ry9?6GF9$1f zgieaJZZE#J?X(HA({~inuB0~RMf-01hN&NeRKrKiTg&wdx1}1?If6~+K3{zG-Dxw7 z9Aqwpy53#v3ztI>kLb6H+qR-Vo%X)r(L5pJE|t(ki=15hajbLZ0w)zh)gE1%ZJvxU z4vK$8(YJzbyau~4B8$*nmk$z>0-aM)#S-?dMkaSjX@HHWV#Vschr5oZnB9z((7+*MZ5wE#fV^1}6Y(96VlE{>#=%$R71wWna^F1%gcIJ354Y}qn|WbYurifq zPnFAa?SxIuS%+AKmtDrev-khW{#3czeOJmDvrZ8jx6FKN%W>&)DgJ2{$2zY*5ZP#S zZ2I;E0xZOnhL@}+!Wm2$3C~^BtK1lToHA=&fm=ya!=2o{YxE*}?q5XRbaj0z{_HxH zpkS<~_C0E9%IPGd?1+peW#mFFF5G!y*XHN+XKC*$Yj^xjN!_?2^CVTz0qk5}fw(wy ziExbFmo$0%=_uAYErG~bBPT6#ko5N1+qrn_+^L zp3$xYQt0BF2;v*n8o#q+A~zwVuPowuLXV$3f?L${>{|fymFNQ!wTwXFw!-Vr?1UPX z4Tn&H2UnABDIF{KW|$Thq0mKg0Q!1)U`-bBX#Ns2<+o@2H#u6Cx#u)!eCk zquBuHgb1;!nqY?#kHGBd0Q$s%muK2;mC?ZO@!Qj=0gtGF!RdPALb`f)|DD}|*2~aw zkw!ar&lBG_ro@@8fBio?PIL{ zbeyVX%bh!V^+4IpDgW!l$soM2^X`&l#P(>l&ZJ1ct2Y{X3{zTUEl zJes;OQA|xhNC~amX#G2yI&M#9bsj_gbRf8W~}vN6`QK_EOl* zw0So#i7}8qd}WF*Nh2PWtN7{T(0U4qVPW8q$9nlL=|d%53Uk&!4+&(M1;r2P-BAk* zLUOL_%}qp->WcVnvjqo?^x{wO1Kg=b1zl~9|MtoV?E9erTlOhIm|jDS@$_S$D#?R& zO;1J?wfs}cXiagd^ufvQ%LlKT4VJzP%1w{ju~`4aBqqDy@fFzacQSjC`RhX8VX<+ZXU#X4@Tzw|tzYls(&V_xmn}`P zconPmQ}AH!rlYwl|Ju_JrLdkPsrtcdgF87S6k3WxqZJg#qEBP@ejh(GM5hT$1y$%U zM^Yu{A>dsRFrni4D;%*~4Ljs>S{yPSXif0ta%iZ9l7hv~FbDt*1+#F+PTpKi)GAkjAmHpN4 zC72#nmzLSqy{y-rN~}KbYyTt$|1L9*NZq__o(g;kiICk|zv!Q3-pK2Ha_~pSIt}$I zai4x`k-iULdW2ap>gPp0y@s<8$A180vky0$8>QNu`U*iGHM!6# z_bl!}?gOi*jP^?0*NdpD_yMc+v5XdoKZGeaHHyq~U6|{XYy*8`hs%XAN&BwdTd&PF z&kk<;)G&SMSaK&dvg03d@CepWQd?Ul&1^0$kPB4#?!uwVNhWSCH`%;C%Y6!7AIfM6 zyjS5S3;gV8H~yN9a?gt7ab$+QgQ1o!yEmViyi+nsPv7NeR;Y%HL`kn zhQnOO!<~@fidpZ|dI0AU4ISMRi%GhImG#m~i0!MQ;wU|{+uY2mIK&>9ea9Jjp)kivSjy&K<#s+|J?_)lbt>h+ zw?%K~6{^SIE~m0(Wwh)=er7$o`B@(COJ;5RW_hwXEW{RjQsPYa67Y3oIo{`4UH%HB zNwN6Jbfw$;33^?c5qCGxsq)2MkQkC0g*{+Bz@LS;6Pn?z!~|zDPyL!#Cf5^Oy5Kk$IG~0 zy@rf&yuLwnGIlJzd=mQ`L8CH8d12Q7+7l8gcCa-4;xRE$qcWNLe&p|yT(6tey(*JQ zD0JkjvVTmgFrBqr8{*47!>%WL@F-{=A{h67ZBaBF z-%0}Alhpm2-wNXK@sXXhPXYma^AW|FMLSP@+aD%iChVd;LXAp9yxmlL2c&B>8*X!H zOpD_^8}k_!2ag;q_hJEp#Nr82Pw7kGl@OH$OcxH?Jd@M~_W_#(W#Z?l%VRV}75``* zUtZ8`tZDdQFqvycbFZ6aT$hzT?Gzo0^BKa;2USv@~Gq{w(DQPu$TF+dNr>+b0(BrIHN>hV&TxjB1R{seNC zMs90N@puq&b5%=`@a3_+vorIxNljjt#~O=U4sDWxqk3SfJ$XD=bNTt`F{_$&;)4P6 zx&JENdT=Z)#?Kl;g(s`XgA2*77aBKKRZ40bI1ggmnIi8W6j9i7bE#V=K z0N`JMhrir+$u@ojJw@5?ws5E4Izzc}O?&gxqUBNyiwdE-?|+EFJ2 z1W_p)=k=nmnQw%yKYieH6z4YI)*2c=vKw1!D3-U}cVk^ivf$cV=^LiqQ9W}B(;iD_ z9&oZu6rG+^_BZ?SriGq`)~4y($jQmx^|djvHETKekh44=^0(l@(cxRKd@AvInZIM&mad9XB0!HHg!q_o5R-3Yt$ zM8nIp#IcNPd$%cl%iJEC%!4*Qi*Ny4(pBU zBq3RIYi9OoURI900JrH(1zwn3NwbEk5SQNvCPDE0pVhxz_Sy6N+wrFgnDJt7-SoFx z2oNK=OC0rlN6TX@cHt#n;(^hPx>PRlEXREdglKln7&G6=R2H%9=HHGB=lY=6Hy)Ef zc|X<&Pwqal$0AIXvJc44`50YXfpt;#>m@lCKR`$>D+4<`l3b@!Ofj0?dwtVt&m5#w?&)Sn6&rODZx2J)d{*D+Iq%rrT`nag> z&kc5loS7I{sZVl6qubs1`H%k99-*F|Nw*H?6sKt#O>%N@h`o7}eD%@|M!(JYogZP} zsO$Zm!Y-q0Vfua3#fpK)?KV?YBVm;e8ZcYFB6DR<*?zuj;YXK&OJaPr2;NqQ{yveu zA#GeXmA`$-epXbqx#?lX`zFp6gX)SoA?IyyDyb_$6 z&t|NuJn*VS=`R1p{1Jh|DD0Ne?Z9DBp?<@6?DnN(z8Etm_;$CswVz$2xa%+Qt2#*Z z-x+OG>tuapC#b5%v1=1;{BmG#6^6vE>Y(oDd(mi~r}~+x$;h;tGH#`!!qcxQ!*icT z-;a^H16*p`R-Tc0M67Q}b@?yy59N;{phTr_NY>9M#Jl3?*uM}E+<0LIwm+L;70#^q z_ZZyx+{`{nYu`|-gFd)Xug6Ddy4mn?#;PU=8)Js=%Zyn1nwKMH^3|t9;^4+vZSb|R zr3>1yU%jWP>EQ+NOj$ZBt5UP(bpp8RF69K&YA@?`()frMC4(DP)^?$z&4w-?xo2)M zW>)a4jW_KKU=s!x$*3sKQiVB2&x78fg(qc?w zEyhe!#EkB3t2#74!}HG8)Ir{~`X`5_gC%!8l)Vnf3c<(S2=AC3^%mx-yA06(y~bi^ zw14^Oa6h;aXf5Fpnlm#z=7)7%3lVG2T6>!feLiu|5D->DNot(-HP4X`R&vKLf*a#| zJYjz(s`mN;YX`d)YTh@|RAlhhWSn{e4WzKLskmA+scl$fNf|~%tPc~Y(_P-mquta} zBZU8%DZ_5)DZ@^~Fi~_`!yz{eQWL*?d7dFTD&3PlQ2Z%(*Zfh@&^(u_-~aR6czA0y zr(Tw?BDVmrLbwjoswDRdyMq-zHI+V0q)vB!TVC5in|tHDrE8(C%OD_z?yxUDGwSfV zYvH8M%d@mb@f;{o1vkD!v)ig(F)#z_0;kZ2anxG|&d{*YR=1IJnq?7yj%%n3z@*U3Rn5 z8HQKRn^avquZqR3`YQmuaL>%dW5$@N!P)6{{4$4ih=3-Vy{~eyR>M zJv8`>x5(E*TERyJv|uVCeHcfKLvFKf+g=A#RSvm674c%Y_b&_uH&Ow80inM=W`8T0T^Ytq|Cf%F)c9&&Kkkkbe&BA8k1wz7z{kLiOQtHQ zc%7$~Km*@(W-9AguO|==KwIM(nf>YQ#@*p;V0yUyzDf82{ON|u#bG(R@i)3%GtivJ zAvy1o%zVHzSXeXt5pKb!&SC9;V;Z^9?E31|AeWuT+BFXS3m=0U4SPHd1$&M?t!|f9 zo2p?smEFtZhh`A94-Y1-O&QDMgrVuoVI6L;W?gtu#;a=$)5ADt-t@z2(##*18(j;% zb)wCS%fRTQ_+M!|nVmKE3{&_U>y1%eyA%WNnMb}lPixa^zR`hHNX(f@)q}CAgKLYX zXv+70Nn`qV3Z@Qw&d>?EvOCXM@+ z2&wq)1ITLBLou8 zyoS6G7_NpVQoe?1u5S@@ile{UySf?Z`g`EaxtKvofI0gi>YXaIg>^!1fX~&#wDR5V zRV&;5sgG()Hrq*aQ;ExwEMdcF{^zyI+h@av1#Z0VLiD+fATNvn(#*~zmYqD=)&>?m zzI^J}+ZQ0O;0FzXX3QN9+15%Hva6%JRDN~nIO4Sp!^!FHOo#+Z6y!J&G5`EDV zTSweOV7`kf+@n4&*%@-}Cml$Ryb69W3_7+OzR}aDeK55~KY0j-U<-$w;a`iQ2x)0N zV%R%|jR#M*Vr;2N?TB3qJv&82pOvZC6q@A8+1ssE5{_Hm=LL_=cM-~EGbW3}%3g%? zP$^hHF;HmG7|8z-U3&=|z49=NgWwOV6>?bEM8b!nGHU$i+gwJLE)_hg*r~oUVYK~x^^<+u>8Dk!e^{C z2wvk72h?Xo!wcz=;t&Go=-l7&_(ucSa4{(l(HrO{xNY+$9?qVdSe)43gVn{u zYo?iYE_y%>wPg9DK4atpwV#QhIf2CgISc2$uvV!SeTVXqdR+#RMu!>)ubE=HZ4(%p z*uS?;o|@HJkc6Zi$!0)B34{RKIFTL&Xw*>SC0yW?6-Pcf+qmNoNo$fUtAIa7p*q_^Z zXE-csL!MZ7_wRZ-=DFs~Af1P^C+p6gUuK)GdD}%+t~?x2*LNrbI*<3)odJk5XYpD) zVzVUJ(h$ha#OVxbybcFqE@Kt&JptgOMr_lh+5K66h~CPXo%cV*&M$hlAh+i`Dmb@o zgQ9P5+uFGP&U%&IW`dWUp9f;^ocTUf0nq30+H<`89-pzsXHetZox3(JI-$H3X#{7y z^|V(f!R=A7bMDHstafQ1JWHhUg~OaYBIOI(j!885q2Tz8?v__j{P&e{)a)mdK&K#r zD+4Vp=vCn{Y%f$G&F&22Pnuu#5UAnUCJ)L_aVe-KFCB624$mv=V*x^G*cU(mqwK(M z0}x&*IY5k5vLm5-aN64?I|rGJyS-?C1Sy|19aPW!$+cETjsmK;l^FydISh}eL;_y~AR->5gU&7Yz^FU9=Oce2 z#%lBL#l|044WQ2AqY@7ZO!rqCvD-3_E zSMdlBWYEYB<=R<|><~}L56DyMVSY*Yh0vqFIACrFHgKtc?^J{j3C}v!LccOSmdf+1 zJM}*4xq%bhrXPn7b+zht5a<;noN78eYtwa1p@q zoCM!!Jhmm(t{I6=)$5v9y^3;+=Xqr*R^&|<(At?3;<@_hDSCefPZ95Mt%8RF z5XxaRDq_M4U{G4Vb z%P1tk8WHPd{RCIh+`%CPWqZ7w+CBiX?M$An=j%zl@PdrQI^!pCbd3Sps*z<42vyf;S4{zyflwto5UKx;sv*zpP zpUimOy}2j2_#=%-TIOQ0$0OYB?my`<#o=hdNG=7<#AGt~fk8(MA);1hwEeX|#pTV( zgJbUm4mTsOS?L{)R&H>*54Sj}02z!upngOR4G=U&eNa1UGEWT09}-TAyD2M%2sK`g z6rRXE*do9sYjB7nvM>5bDKZal-nqAj>f#0tIV;j=BK)XHw#@Jf52^IeAbSMc_FQ9I zwtVldr2VBOhlSyiR8yAF5gGS89nAry(baQU_dxgZtsMFKD(v^Mg;I}}m(;%c_V37o za(umgc^3|Bgb2piyTe#`Vjs=qj_RfA=m(R};J)uYWnY|riRDq&$Y+GsrW;fXj`);7 zDfwCr5W!q|az@cyUg1A`-W@U}b6$Vn=iIn?8>L4m#_LaT>UOe>EqqyFX4^=+hYc|k z8_t_^zoZCl?UT>Y3-5DBGM*M=Ow^O#lptq48`>hDS4 zIzL^b+WxY0wH4Pf3gPl?y%cbt8yQg-zC0V$1|Q2%bQrY~?EFZZmC92ZB}kqhp_9y0 zQpVh>@w4Hy(pFrgxn*Q5P zzbFL$?jsJy^-sZI*G-Rk)*4L_$F+m$1vG9HvyZRr z&sb=@7}Ci~WFv#s^uZy}(P&nPad-P50*huedb+P}2qKer2}>V-13Gt%f}xB@m(=w@ zRA;&g$hO9S(SyBIM)a9y_6UseDABw5=S$0jPq@uJOkSK|^c`>^o*6cvX&+=xrZpGk zYeuF8qZRPv43`fA2pwp^W#3I*l(Di0>hN422~#)Nle0u{a)6_BwDB1%ecEPqt}odW z1t{zHwE~x{|MC74Osqd5tZ~uu*D>t0Wle5tbbk4F&J@<=)Nt|v6 zptex*)km{b1rg*;k0D{{tQ)-?r7ai$tzuN}#t@bR9l}I!mmcbE5m~BcBPc^KDEleL z3|j`Uu*9DzDV$<@<-NBLP$q+cF#Gxr8*5+RHPXNIalN|;=O8qwfeVf zo#^%L(fGBpmYUSvT&)(iysg^c;$djW8a+``zH5mE&l%CcO&fTOk`c-s;sI%a@6!X9 z#mTGS`*ip-a>bWWup~D7M<}6CyT(EkS6aHyVVa99Dt9xK zpDK4IVJGYWHlNTnUfnTI5iq=DP7cVQOxw?$* zHDLc27b*DF`)=MrtvX^eU!m_&I6ZVCtf*wSnXPdQZjxeWns>2YN_6oZe!Q9s!o#dop2eI3dy1M5=hJlJto5P#$Ny_@;~sTtz*(}W5ZnY&mb zl+^N1{V3k+J4fCb#>-`%xz7RCqH9oON;1 z8vQY#NhUsu8{yUHT5GmYC;)>mz)x{p7^5P0!#w0dRls-Y%4~j&4N2cv~Ld5lgyGjsdZPb(t4)(0tKs1U1YStX^wUEK^pmbRD! zGotbK4E-k|1WNq*qr%6spmT!IiHqyG9n9XY0WsORO>;Uijn&OgN{=-+O~>tP$C=Q& z9RlWh>eMFw&76kMq}bNk*xv{U$iSKBI>lI#>7ptW&GC<)EO z+ZU$ABj#`X{oq+_ZrJ?hP0!)r`5IdQjp&Gq+MbVGd`qm?4u6=}4miwk$NIrQqm|II zhSdK{G`!My=dMOwN_$DM)KSXz_zqrak8~^Z1P^Bm`*-(VI5!@{L;@pL4eCiOYa+;6 z$0R>l=j_9Z9|Xt!j+*F_nB=ggiqFWx;}1;m!B~2WaXjNprXi;ZG|c2=aj^Stjjd)2 zn#U+fj-n->=D?Gy>M6+N(zO zHDNT4dK@l>KbA3aVth}s-%38oPwLxsr|;Yvwz}Gic-rlIQxnGxr1fz4fVQ?+j!Tpb zcV>2-9d&wIFX)9*CUAFr!P7HsORr|OQkGYmNXX{aww%m+fgl}cB9M+6hw2rNf;11ai|emA&6-AX-kt6~w)1MDogk;K{9byFiHp#d z%S5_f%=INVC#iE6BCskujsIA9ywr^nYHHjbd%%3H$OZ=tMuDs-yCsWI>its3vUAH= z*p7}`pXe6k1~tOUzU!mdwLW6!L)nqe)C)S_{->FIScIAE<6wxEwPfi0X0+^Q$BtN8X;3bcSDad_ic3?7uh3t<5bImSTT*e#-)+qtNv&4RMGcF$pAx66qh3#tCmK8tZXb~aIL?v-}?mlSvL?(r?*FaDqIMYU>N?ytgCF7I$kjRMHM#kDw$2!$WkqSOAM`elY4(e$iYy}V7s zhdk#uT5@-54VbL8&a>V3^|WrubyzwqDRU+M9f(Ve-^&jn=;2 z%Sc7^?ctvpJCoP#+a0vt6^^pt)6*G;lfe}Gm&5u)XGZKTN;`|*OaEdeGhps;XgBPw z*yF0|F%2+ekXoT|VWf)XI!?SU507uAmB=7`ej_}Qo4P+EjCeQt;neV)zk4fF@+47s zk>B#FDK2@xhhUM!bME0;8qHvf9KEx5FN1zxxVh?o&k@^_(u)E6l`pP3RY0>n>v> zY`F37NXz8NqFFS%!o{QL%7~c5g{#u;R!&{tH9BL*=&6a=6aXm&KK^pP8HRAZe^(*m~okLE_RrzR2a2l?Y)chTb+&5`J$Yj^jX0@bFL?^9cWn^}7Z_6ZQ ziVJxr^PPhQ907O{T97t^2Hr|eJ0m&XI%)E46-T=I%-vPwXo_uZMK<~R&?C9nPBfvc zI%z{IBME?A;z%x$lf*!gMy9fM>c6^R!Y?K@&pJ*x@$}M#JJN~(6$O(cDQ3swnQaXp zd<09YO@<57D!UW>KMpJHsb0-B)x5{+Cf(kvTqCNscyj4j7KNU;v2B z?pE96$VJ79T1OzV1E?++l8ec{en->p4DGnY$*@C&)VEbE`_5lr^p)S7pI`x?C&I+> znc?We537FtVI?4zeT0x)eA#yu2*ESUyy&ksR!sK}AiIQ}`}R;tmvROEfgCUSMXt>IfE5Dtgn@)p1r$mN0N3~V7`6L%B$Vis;w!Kb@mQ#q5A+b=1 z!QcEq$+-4HL;ZCPF@owp26Q?6Ug8=~Y zolSC>sEp(mdh#DpN=6z&4Wf%lsz@Im#UC_<`D+CnHeNi6tTcu6^9B6h_H2@61Z5-( z%-@`QiYii47t#+Fa6EJ2QB0&N%&TFFEKrmUkD@C@VSY{l|KzAm@*hqaN!A{NAQ`!$x9^#7ZOxnBmdEfaz63bZUgHW; z#3r_d0oe)2(QW8?6hFNz%x5!;l^n^}n2saDe2rs{f*K{Kz$!)J{zgIptQir)6$ToV zAqU$q?5Pul5Mk;(v%|L~icLHU1w~5e;}HegBwNtRNS^;1l-SpZ2>Big`XEA%{y~^W zk%6Evf7kS`^tQXGc%B{?jUfN+(j-WMCo;q&^p)nrwuYt!5vC88A+`mD2k6=R$T-!; zjOKlfX+t8+Q_MH$Q{i$7CXysSU)gg{>I1bRk&!g`MJ}NUDDe&ffmr4U^7AJ_pBaH5 z329?-qGkMyp)sjY_bNYr62$U4GK4JzH29iFu^YQEKg3ix=(d0WGY4IRNc24ykuYHM za~a8vt{F*B;@HHm!JrS6k?ZEnv57XpAQ1WPb2{n21^MdD2{(UMpRS{bz-8v9WW(h2Z{y}p*ooix(8?wJu*Z$=n#(*H8znl2=oCczLh9a zzE>kjM)?_i+_bM=e8K!R?8%^4!WW>H0l))pjU#OM%v)|OIgR_r}mT^co7TA^C4 zw%_x4p5K4Zb^ZQuIj{G9&biOI?{lwn<(*I*-=YSizCsC~!ik8Z?Pw~OD{c6MTfDeF zXv={%v=;j*4b05|b1#3sjL!z_hkYr6E2zQ6Z76~N77>`PXPC#A*?Q)U~K~BXlf{U-U*&Bfo-sSDGPh3LCrr3_<2Oy6ZrTqvLrhgC3umzAGj0HN*&=bkceNzrT)TT>g%8GIDA1-uP7>DnjKw_j0 z6UkG$H2j5ec*IF0rXRrH0O|oMHxlD{gh-YE2ixhA$gA@R1l)__%mIZ}#-JIskFf{^ zFG~IiDC{?YJpdxSD2tp>nCX2qV-COzUKHVzP*@&-p8?baV^2Y0pY=sJ{vIWgk6)rO zL&Z4yj~2R{j>GAqNX#sF`cIFBj~R!npIg8DRDb$O`CGHTJf8*|{QJ~#xT7QzqkWu6 zb_64H#^DQ6NX*+r4L(jjC`=$0&A>dtvaG!*W)LXs8i1|<0;^8phr(I_3{%#m1;D=m-kpBpeq;hp*IOT-&b+Y9wGZq$ zBmvDhe2I_qoS(^gz-mzph!8vy0IpHJ=p@@qFjT4#%Sr+hOF&_BfTRIPcMNEF@d>!P z2@(^=OC*vpm43|P|eGWJhQczd}fI$EjfFmIdjuS?NLy?b2h8WVAMiX$V6%sQF zpca7G3Amsw65|gck_iAh0jfO`b23W<*%Vy7&hE-jBtHSqeJ0?JPV1MywSYY-0(qE< zaP)&AE5#?-J|?3Xe*mu+fc1GOOeF=);Gtpb`=+08`RcKQh+vAQ;WH=Tdjuq=1i%mg zAC>EO>C>=?Nw8;RsMmU8xNQA&$SaD=`8h9&WV?ahm}%SNk2CPZFcc=~tp=a-S%a=a zm-woHIR62e94W*G$9Pe`0C8CYnVbPI-isnH4uw4eP#%crDiD#-1U$kBiD3sKN(K-H zmH;GH0$>AxN`PvH#GrwXehr{Hpjsd?p8z~;NW<%c1tu3_5k;P~NK-~%W!et=gLZE9Ia5RC+W+@tcl#>Q}yD!3wn{IKijoe3@ z`~>b^2gnE<9uWf(jw{DNIt0Q+GKf|ZNX#K%BNzZP$Kh)*B&HC+U;rNhDjbQq#6cwI z0$2{HvPjGlfZc^B*{HE--+}6KEU&W{#YO;1C?pgbcMU@&mg~{fC`X!EPZd@QU!zaT z1?|ZyY>DqI{PYE1icCIg5I+hf;I0u7T@7gJI{l>Kr5s3!pIkXd*ccON+qg&%o88C`>cJEr1*d$ikn2 zg~>w%e~O?mB}TvpD^A#Iv*VX$fOqzxEJOhB{2X{^SE8M@0(H`m-;IcPREwrA*wco$ zDzTowtMy4gK>I)y_S2zRcmwLRq3E@B@c^*LE=St%auwDybQZpS(U(Gfh#JfvgA#UE zH25MOgMjp479OJS+nD~0TzrG1grg1jo8D*&h@XzE6(z*E5)t-*O%s^ar7AAF4gU_!R3JWMl zGu$h%!BihgRS6W<3E&L?ANo+_2MoH>I4MyB!2Ijc43cxaII#-N$g9F4;Jy^+K@c{; z<5~a}d@1=uAZ!5GQ#H|jsP^K^&#Ar-_?v&9(Zod;@!&AkGosbi*B@l1cj9P!(^^GF zazfB2%ioFPmnvqg-)5;^O16eRiJcb4I37qoFmWxK^Zn^@IF${FNd@W3br9o3#^Hj; zkQl{7L~K|409pbn z9}*LzRQUMsAxwo5D3(lVMgJz@i7(d2_5kM8>UV8{h%xF*+24l3PCrL8Olq<9Q@#|< z?@-uP09}AUf?R3LhB-KO1BFSAB$B~z`m)VEN1GBS`boCXnd)39R^|Gc`noe`yaabbq19h}nANKF z;Iu^>79{x@N;IawfGtts^ z)NH{Fyxywk*|JcLb8(!P;Gzu(U_#qAdA34NGW%Dbq2Yq{2_5f4yE2Qh^`0|N-j7Yf zsf{4$D{2!Ce!)RuV_9fMYcV!B02rb(P-QlnF$~}hZ;GD_urg3YOBmcJXi4`8O(Ww# zohdj8UyB0;5~nwX&j1P&1ZATss23lDLQ!@SZjuZN2XP{Kz?il?{nvw(cCP6J=1KXx zHZ5EPG@o7mii?dGj4+ zyiMp>#Rg7$QH}&cVUT>Z2}kL~;H7}@jyac!V+v#553X_pgv68l=n(C!wU-EIXGhqg@Jz8;Cle7#3s^bz4`wk49o z0o5G@B?l0c>U}8tRiGTIL^BpDu=Ooq)it5;GcG8Mzdi8hwlrqs44mqQ!klyf{@jkn zj0K*QyAm7R2SQdI6m|y$B}o7WeJEe*p|ByDiMi)&-wFW3QcxTCh~)k<#U`GUtTfv|eRq|L?4uqmdQ`U&j5Wv6ZB!+3>QcHFZd{ zegjsz8g2KpNZIT=*Q*6q|J1Qn0`%+%xU`3q>yBjULN8672){MmIqhvJyMiv`Q_)yi{LVR+8Rlt+u^YDf0(xHfm>lPW_s# zxiwavA(BfpsI;}dkB|SsnL7iR9`!=i|Kp8*`M{C3JUnx;cCuD@0Bx(m2Q z<0?t0{M8aW%Kir+xL;l<-Z9?jcaiLD*TI6vyihC#@1Ga;Qp*cZ7FOM>(YX$VXMbhr z-GLS^tTy0?Uuq3>SW?z5%YdzVHQLI)@a%7mQhr?^nK9ocXe%yplO1f(YG=|3)X{6z-(yMAVgbIsp;ZtM_;E4?yc_o^l8131tRLocyar0h!x7Dj47#v`8C|!}069A0h(~A! zdRl{72WGs$Y69lUi$(Ewv3zhrgZ~s;roC1f(|>?oY!C3hf2P-aTCW!sT^V>*3=0=Aqn`6ON0Y z!(T*)OD}GtTYvj?MSEOWqmvP<&b%msDpD~^#c|DAZmQw`cR)PSN(|h*w8xk`90PlBem;Spzx>l3+DqmVwLwr zQcAx*39!_?C|!+u+!n2c(b(}0H!f+5#=2=pSv9x)dElS{v--Wc+rYh+Z!Gfc^;n-| zp_C3HT~V`t&c8TTb+0?;hIvuyn~iIdlf>M8NrPwBOYt`*@BjVDlep8Uy7eKhclM&| z2FaE3^U!nVYTBb+On~S|qs;nK*IC=xg$pE&(3Z0agSV>=yLDv#@s6!sPrke~a)dPS!difDPOnFtUV~IC34nYA3Q!Q?l>2jlk1ygCNiA!})j zbIh}T(?7rH-kymMeR<7-<%@}e+1;K3`>SkYrBxl)d6V(a(7YoGzJgs^iD6l$WYpFU z?rHW*9%nhB`**IeE()C}e&=*tW}bNnv)}HbSkybs%{uz|;3LB!9iZjbOr6QIIwwhY zJ}BSjmHjt(HOkQO?{xfj+GHm-qxR=u=Z_Dsk}Ol1IzZWCj60JYI-{h2^(!BWaeDz0 z-=EgbW3=^2Uxx+_?eM{}^!bF1$oYNO;6w%NiMgCineM_%{gq&??9?e80xX33MaA>cxa-k zp(*l>M${8#qM^#x&Uk~V69daqX$v&7VX3r>Mgwb7maXS?*QG6AO*&ZoDVWT{yXOh}s+NF+hz5MwlXAnQkrVBaL_K2tD~@(4G`A;jJpl?~pl z8%ZsK)(_5RQ!g+beUoG^;G0hHs(Ufj?b#$i(u$7!Dg~xf{*$#r^KV?Jj zPTDWAtU(q!s>-+$3_Wuxl`b~M6d8$piKqn$38I(ONo@&I421f@1no$Yp)sLy2)V=* z)k3PBm{q24^+3S>vc|Qfr8A$i7YvxUDu*eG!S}TWt1J++qJ(Y*`owHDoywGoq#V!3 z=R@|)pD+3us0^h?8T!{5QEkooos9N>x+KjaLL>&N?U+Xcg7YAInbbx9Ui_mTNohnE zTcRdgaD26rPA4UC)0t_JVKS>^Ju*;W#}u$cEIb@aE5;{5s2=7eID*uX4DVS)XDE5C zxx=hhLgfqAvxr1hH7>zrkWqb_`oY;JcoX6HtcDabcEoF0mAZSwb*VYZ=ASgI`Mv^a zwvZRER=z+gBgW#o7R`few@tUSR|M#A=IwArUi!e{Q3Okc5f#q2c8RGc1Zj|G=>DlxC+6Jq& zA!XQs^*}3|k+p0hhxlBnNiZyFBlnH+ApS9?R6V5*QDB>J#EC&G2`OLsjBZSytV&)9 zIQdgTgTa-<&gra_ivnw+gxJ%h_{(;$La4$v;Hz4cFIH%NrsxSNRBm8{?S?`us7u>+ z_>=AtK!V6}Rw0BDqoAZtkQ||)6glxBl9}i_+$aljFW}43`o%R~cQ}y(; z%513`K-SbR$Y!iKHLF=0ugjpq`63=JU$>W#j!K z@vFMgK&ry)4Z5T}_-GGY<;tLi06V;MIXaxrF?;#&ihzvOvc5#_2VzPFi=;Jpgu<#j zP13UqTaZ0}y^{Ib8b516A4oEDQ4kQCK+3bc2Vr2_m*o(aPo39C4aME)!!it96SQ`a27*6<)ezDdS*0 z{6m)Z1zYls;``q@%ABwe^wwF%h4U>;FKallO+FtwBN2Aq^kDDj0)nJ-7LZlS0AjW; zrFS-%hU!}!49>WiH2n!NDoRKt&=-=-w&hyX2+S{;TNVcOt}-}MRYq{7R`!wh;Jj*{ zh>GPfIdYthRO_#p4-T7wD=~O`DbOV!!C*UHfu&Ee9WU{r`Wf=75Ayj^<;jQ{QP@_s zS%dh(2L4$x$BhX@kIel#2hV5cbuY-l!WC~iEi1~A`HVaTC;a-9;?!OzF#d?ic;QpE zFmElWzJJJGwwul33;xTZa^xcppBzaklEJkqY~tSZu^9p9tHGTOoP%l+FOu;1j6Fr; zq*JeCZH)Az1`<4F&K$1uGYENeR6kw*=?XdBM6_Al@ePw_<=9SB{kVGJ&oYRKzg%*a z@u*G2un1e?1I&8G9j6mgSkHayo-ASkr1NJhTlIe?qasklPJ-GL9EV2)>e+pX6PEE7gJ<5 ztHJXHUq8CX#+6ysA}-j1zYj4{60g-*wiokn)FY3ZxT+L-nury z+A4M&4$4zuyJZtG#%?02x8UDX_T_GCPl6|I<3a0GLJ3NjDE0Ls;k@S1Q9H@K<|r@2 z;Gcs=c9O)ZC_lsc^dX=|DKzWnxu*DKClZjW6+eAGiFuS_T4jvU67(@p5qR-eA{$6f zH<-%Pw(~SfCz!5FdzHbT8M`^ZDH zIz93l@av=-aflJygPhukH%UcMvY?&h3CeKe74LJsWS)_lhf6MYRq`=vLkXS?HkHib zFw`yHC1Hu&&xdZ#;cINAxbhY^d>YRzY1vVcZxCaBoSQ-PIkw}k&V#d_z4T{PDWRKr zP|i+fv}I6|C-dkV`un7;_>>%Zi6XhIdI+Ok_C%Eo?=?ox?&5kf_gUpaD#7=hV&fp& zx3nReouopWd~gX|+tbj>bm(IZhme@Ihas;FBg5i|M5*R7MXw*0TtEoSADpU*dCa81 z6g|IT2Cd}C;D<#vos)*XV9C~u;8L?K!E1Y3334f3{4*Qe_PDzC!%MQIoUR5Dvk4_0 zdLz=6)yAHB5u52 zk2slc%ZCocKgNrpdb z_ctWB4+)JT9QyDXr}f5Q0XULh6DzCwQ29rE1J6iW;(LQk1vK(1`O3&1+jdF&_4|@_ zx$Pe-4zOE9%;9P*?zPS&e0p|XWJwi>vtz~J+iyl#r2mFu!o{lPLHUG>1#amIgTL)0 ze-uW!-3nhR0)JA4jD8qN(afFzIU3V-xz zJhb#(ku&K+>v?!;=yw;cEp#}a`~=9~M{e^XnTXLOd{ahVwZp=JEq(zeI^m;BI$yG# zS%bg_^d&wy z{ufu3tg9VL)IeCJXRp~wCbkbh62yu%7QSEiVfF?i^4M1 zYdYK;DfDKJq5(>q3tQJWnI8@$gC4{fweV&w#3WSqhx(9vaMtf?hbKSpR(3CQueAM& zk#!Qip?rVDMYJAEs<0W{^&&1Ey0*ujaH_4W|58+MQjvXDtYSr;nD)A?+Q}txd$zzA zLsw_9)TNKR@V(XLuU+?k`!}Nur*~hYg+<)7}s^f6&^S;Hji>e96jQk6X~R zFR8ekJKM1i6GVrcm=e?ec%=mR?L9$oph@`p`oTW4Eyq$$D+|XB`TM^1z->!5WvvuQ zoEI57QG*tPWD2-MpN;tmOqx*08AQ~=@P|e$*m5`iumT6z?5=>LYn_o?+9l`DX7>~v zX6dvvedhp$>=&VOLRH4=P7yPG`;xsxpto9jPS;1-+KWRVD>vG9_s=xnT;)v&ctwll z=xy8#bqXd&E+e3nNrx@1b?f|I&td+hGuCBr&Mq)ggS1p*?_vTD?ooIBaxY``97vMy9M!X zCSmEm9ex)YF(9kLL#7naq|YfnwS{Z5ZFxxK{zl;0C`MHSlK1Mz6Fis1bka4~#rw(d*v5sRV(3!jMY0@E#%Yl0DSOc{yXSklrJdpG7rX6f}|vyXD7UnXSx zitX@LH_KZ#-jLrT)&xGZ4s+E)!r3dj&u*-uxqGIzaryuT1Kn}*GlSXCF348rSQ zQM-@Yu=06E7E6D&H#r-+-ihdvRUx*tubQ2^KySwzmdIwKYB&bW%{{PHTapJJ!b3Cmf<?XvH@o% zG@*s9j0Bfk^EQR75}u2BFo7i}P#BG8jertYiox$oeN>JaN$@1;`HM!pemG>4$x5wM zVp$BfHKWbi6r8}dhKNNosM5C;ztTCDhO|K5;?8k);e+tGv$rgZzrGfu8o6o* zq7Kd6i}C{Y^6j_U-hg9&_%&7?glcINwosOnV$1rpEqqmwKDjI|k-Le0g{99Y{!BMh zR4!cOi_(GXR}U<%1((};vQ+8ANy8*mLj5DWSHymxAaMvML$Xi}*BzNoGoe5mn#H zzuAa-#MD1XS;90nCEVk({Hq))!`eUed-2UYYc$%D{wDTbks+c>d0()3ZkFdl4HKfn& z72kXm@*tlG^7MB0rNd}s=PB`1Rl^qw9WSI~%tVoe3jvq^%hq8A-MTVPq`kw0dl0Im zHOSRf=nRQbrM_4@ru{Ihj3>kM8dTSjX?CuyRMRt)YT+On4w4xU!%tZxX`hW}*kuT_e)rfw( zdx?>RSe4j)m-@**v!KjmjtJVaqZ}e4s|wr_DPMlrkhND(srj|A@MjFTyhcO-PowSq z-;GR$jBmb7l2Tg;iA}cxSlNMyz64Jnv9;nVRH5T=SGDBld~;M_0>d!FJ7^gcor2Gpp%PLv*KVDU=t&$nAeEPo=)15n!Md3cAUJV@W3qC< zQzDn}UOM$9Y?;r&NFhIKN#TW$RK^M=`_a3*sB{EPr&Sj>YPsHIpEN(&~t9>DagV- z`oRePB6oA;nFlGsSu(Cy4Lxt9gQ|7m91{n^jD|$+y0!4tG{oUN=dWhi_ActB+nj>F zhElh)g{}_|dn#R6$e|v$=vN;aaFV$oG|?z&B{&4qJq44Xc2wWkO7Uup!LNh#nIy9c z*%n7@yj}(<+lx+T9JiBf$o?LXUe4n!0R;&EHs0-k~F2+MW;4 z{*X;aBTsqg_DY4nrVZCB{BW=~!^mvs6PU{2t3ePMh{0$ya;EfVr>)dPA&3M;YVQ&} zyT#w7YI^zh_8wxTL#X@agLaapY4U+3>4VOqVW}@@GHI={V#;muH*9s$ToKc`S9aAM z@x6GQ@{b3ao@t284oz@n(AKEwVXhohs_AX`=}Bg3K4MiAUso-*ojo*VM@@V|NK6)# znZlQUA2QgqsxxAU%MMi$N`6z8YhsKKNR7>hP&u6KT4{Xt8lA@a3Y95dH3WB|^lKZ~ zlHRNta5EDUG0K+6_kq=JjeZyw(Unx>lP*xbjOfb-Jx>_lQ1As;Cj6P%)dS2YR-sv4 zqGGjbJl;u!>S}DLL~ke>nf5+i)n>Mh!)nnz(?n6M_7!bdPF@Jyu<#D}D$3%inR71D zBo61dfrC!x{DZ{@6qx1$GlooAmMdBtB3nt$kIpdmW!RH=Qf|FCJl*gBCkvu?PYSm3m@du zd1_QydG7XVFN#|yICWNUf4-oNXGu|RR#ksEkB{#Y&!TFAz&Qy+@RD6`a<#+a!7X07 zPvMt@efkCsr-(Ppc`{@rFRY>4hZ8(ssvTNdwxfrM^mGd`5QxH^45Cy{$R^A=EHf4R6KK`t-P_!?O@oYr z1fJRkqm18d5~)GTom^YDuI)u9TyUtn#o&}k4OJEpd+Q~a^F@_-GvlJh$t5-E(R()= zD!VlkTIO>qPw5JO71rXc^XePC${heErt4-fXk2!REgg);hd(5?p;6l(zFO zN&3nzNS@iUh-}>9?PXXv9}P;X{CX?FdV|hDO(#tGrT3+YS%jx57I^mx+L_fDq4U>L zt>o!qwfApkC;M>rI_`Syc_uUzlqYhps9E!^JflsCy}fRpxuVzpQvI30lBN^QM{wzR zGe_|<_t%EOnN04l;aMOYWlQazntZ9QqfydRk<7Jg;L%QCE<8O_Q(^=gZuF^j)_lY zs2?(q<&lqHO$_{Y{+~S0>oA$#X+O8TtjPE=<8_9v|NWDO(vJLd_Ed-Xq>%YH~w0xWXSN^cdd|b>0LUTr_7n? z@41?f1g*6&Zo}^~efK_?M91fQJKb>0OBtrk9^#FSm~M`_M11qdkJajIfB#|Ny5nK@ zn>u+MN^C+yzZWz^W#)6#W!;%SXX~WAB_{y>u&3VG7)7ecxXD?@w)9jChZCOiYzF&7+F#Zu}otadoM*q!ZBed9{H-nk>5U_6ZpHf z@AkreiCG3N!~@peu{LyuP7sA7ZV1DEkB&7*1)qADn%Xu{lXc(eBxfl3){lQvdo@8g zCMip)d1t{zNQx$2cjG5dkp03$eRh(FV0cE$kCGb>i!RN7*se$Ie+Wv$Uu+Oiihrp^ zh#Y#DxAIdhEBTq|B#Zj&*}wIekTI=ybAMM`vr|@a7sjWLY3!B;S%ih|ZTp5zD+hhW zOZ;6CQro@LvRiO4*!d-O&imOlZs+ioZ|ZLg#&#X+yDb)7j>3|Pys(94R>A3W=Z!Ck z%O?~a94{0mg^b=C5HuK7=b;*mCLTCLQX%!&hIc;PpuR1*t#$uSn~KZV=NPwW+czp1 zC2jh_BTS@3G?{ivWmawet@&;(1qyhaeq%)IM5%+O};qP*B^5IVez|{2^=4h=Kr#P z|GIlLDduTO>fZw*yu5G{O~>G?)IsN80y<1d-_^9hh8PEP`-y)&=j5K69()RG*m>D1 zdZ?Fy`*o-$)|oOmDWI&nC7q~q%fg+!&9fE)U z;rUG^-~0=1UB4mo>e+?0!AefSr%w`PznqeFrt~;p&1gMSEAL=U={{U}X*8XN^kC-fALmPqTTkZau^>(3%KfZB z`K+SO7Ywp5Y(d1Wr(@Dr{a5koXEm4LYCeIt0q?!WS-WQ~w11!6HIK5orK~;Q5?Hu{ zTGP07$Oz1`w{=~Z+?&Ivl~@d6h9b-@J-lG684{7V9H6Qh>c38+Z32h=QXh8lSMIa< zRIVy1KR=`uV)9GzligEY4U@4YUGD2ig9nWUegrDbKZJw^b??1`zt;ph|#-KPt{!o>x0|2>|3E<`u8J-bG~WrvY*2L`>-4|X#QLvumV3QDR|$t z_u=?p58HZtD^E7kfqeS`q-z_}aNTq04$(G|J8sYPEX;iJoqH0bz(Ye*-SkfKXHUdd zpH=t9JD)Ag(5}Msbyj$ee`ePw5p*8yEG^^vOz+uj@~5A-Hwc@S`EUM;TFV(v`=Mq$ ztYd9d_5aH^jz*m(GAoe3HH9j2bc7P73w_?sI2dQ^u z?w`my8d)7E`0`JIMGMn;a6l+mgW94vtzn=0^OM=(kd`J*;(itxMSb$n);XP z{^@)3r-pg+=l_KdACtAZ;eB1?&ruC_N8NkoK5IH#V#n^qibN&-1usLh;=OU^B78eO zyth5FG-Oxb72$4sek`_@W;}~Fy!R@eE7bZt<@cH6Qh7z299d5zg_KUD+}7grTRL+cx!a!pwcOo%p8atz^h)S&Jw>x&^@#im+Tvp$jzc&qJ_7)d8LaQE!s4rRUU-L?c zIcb(KRAC?pt$3d#=z=q&$Jb4aSh;%coUL)!7nf|CL|)R%Hn<_t`aXk3;_%P4^=N;) zi8~^kY85ysuj5?r4Xt($VQaO{D{g_LBp(%9IQy^gxFF44kDGaTTGQ|<#Ei?;VHmX_ z^O5fcheq+^zvs`V+6PLXBh27_KlaXj>~6(PNFry}1r){%>Gdk$e>5RYy595(V1leq zHA#i+AdfrN=9a))N$_`9QG&S@?l;)PGAc^EIZop4W$=6I$CWfh#|$_;woLVew~kd6 zd8tB?r{-pD!fdDX zFHv6SgS7IM}^nu6%d-g*!|m+S1@2hqkOE-DlnFUd#q89D)yg5);w&If->| zqay*UCMxo${WT#OqFHfZI2hGvp!ZUYZ*Ts|=Qa)8U=>XCUNN0Ji$>sJH}VKqd|K*F zkI}Oe8`#iU4>*uO-Q{3=#J>IHo0al?r}Fn7d$W_SP41q(;;`cU1#g*aC!;37s}ZwX zZ5OD@#01?%acH0L)YS{LpTe;T43#A+)((toup7#0JSs2z&e8915Eb*nN?$0a;lgoe z$5yty^O;Y=A!ap?adva#=n|7H{90Ghzu9oE>r20UVzZPY=T~;c8XdbD;d<-XrwSbC zERQw5>&v%zqkE9otK@LPsZ1^Yq25WmDQ$XD&5;Su z(^sr-wC*}0C;d+{E`#0sRo@#IK4s;cx7Cj=1*;Z&sR#clqf_ks$ZzipP;`o94@_DkUGV6=_4ulQ-f} ziIUQ}I}Q2c6U+5CQm0yxXM>b$Qb*`TgfW8+NptK$r{pHMl|ad0&AiKwh6+fnTf*14admXHvbv2)U`@*J0E(O0|2 zo%%*61%j@Bp**p)Z@5OF^-RtW|yKwt=&`!bEFjglLY!5&!e^B+N%!vr|{x-uv6-N|ogZw~rt7 zRs4vVG=L<>2=KpKwD9yMCuJtGB-(SOD%C{WpJUUL)N>09^}k`0FAax{YT5Sfb67Pu z$CZ?;Io?|dwne)1wUeW3;yYAqI5~VEt>=wYY;l=xPzi9pWUo& z%U^NxqMGLMs9V|m<*hCU`)7*0>XMYZ)PCGgJd>k@t$TW?IC!R!vfUY@fzuzd5w1r5 z&1iJ)TY=PASsTjP@w>rL1fAu|IwUu%Q@8K0MRLGF~G~b4ulacTIA&7zb zv$)xcGY(xGMn#-F^NCk;V#^+QqDFHgX{8h)9DJhwLgT5-npUbq^+D&nub zdxM|C^`&QL2tN6=5!q2ywGf%V@FUl;Tek-SQPd~Z;PV|`$NW(gHYqGbz}u78O&0dq%-f(>?NVfGZT7_{?xvBpRp}}*G+z5R(Tkdl z>mQ7#CvQWfyZM5S2pbAN;S+hk&L5`uFGW`WsI6aCMj)!ZP=C?lfj28FfBKNLE9dxYPla52@Tf4YHHM-L;~U$G8g8oR^#0Ipd8X~^Iq0v= z3(Qq(NOI5O`?${EWx8Z->mU_=4=L%TzbQ#=1UxaY*Aa4|dnZ^+T6938v@oRC*<>OD z($KTibM!vy#=X40^9KbU34gVlGK3s8-(v<-Or~HEm7bQmCV?bpyRFOG{sj%iYe`A; zPc6K6a2WXNxod3UXUK(>vbW+5Gjz%;S96nkuw3NA&$4f2ButJl-@W%&-(6lBtKomd zYO?DL9A>G97X?3&7XKsF;!b!q@$q;|&LK42R*bK$ddbzGrF1nliH$IC#awWKxQrw$ zn?2sr+AQjh>HEiq6ns{D#nfhfxp`LR){8eb4v%ME_sjX7vX$o;({yu;EV@F=znf7Z zxc=C~Jm;6ee1s7JE!_o=7yW-qRl$$y{wR#l?BeyL!sDUESy7ni-cE=JgNd-4D)*O9jiD zni&t|GU}OTy^JQKz2;@4KlD40(LVD+C?%~EDU;(mX6N4R`FJ(PX%TR5VD{44mPbk`PAfUq$()pXR1su;_Vq?2se0)n)i@x#61&e2mD<6;0d$Y zZ!i7}MgB|bNCRtag+@DE6?vB49G1tq>eYl_mZ(fLNkzdd-cJQ*=G zGX0J4RgPUq;9KgaH(F6fJwL#kd&5p1S#e*D^A$35Ui)#mkrs<(JBNh1-euX8Rk%K( zLm!eCWvQngM?fEv7G)hfXQH_7tVQ3x6r~iHEbSWgccHdp`q>|q+UJw&3ie`-MkkP* zHu~v<;P=ipa_+VS%a#^wN=NJeTb2&%lo5uo=e~6RI`x1^r<5nms1?nO)HVkcI_)zq3poXQLC`1s@e0{2<@%xiKUO3cDpaM9BsdQw(%=%pX?C4 zN}lyPQ?8Tw6W0iH3=fy7RdBBK$oXrqG*+mvjc&6^&VIdL)mJ$R{fxXZ_58PNUrEi6 ztJg)UuW>w%bEDSKxS+QxKA^)mYjWz0;VxkAZ@OpM$!;vPl189)@> zbwXPl^)E6MtVa2rkozxxoVGOFHtHc+SsOW_hG*NIYWmn$icqMrJ?frB-Hf6dwvXpy8HGab(~)s9~@SaO5dPiVm@nB>=r%Bu$68JC_j2*8oO> zBN`^j)JKmZX6PsEDUnV6leR5?-pU)`A7}gNl8EJ$ayp^mXAwY=B|gyb zrsNh3id_CrYWR0aYuntns*X-^_pDT&hh8~4%`KtkJs4CRfF3s5mHtBwyVVjG<|F7M z4JSh&MKGC#U4WB$V@X64p8dg3A7;t9?^qGc7+E)^P)VLb15e4}^!!2^T zy5!O}IVmU~XBq3Y$UMi*4DUNE&uO?Xp@H{|*m(^bN>?IRVUE@d8U|F%9*awnlis3nZd_cXMZtR+dOmfY9SMpD3Opy`2z{|fi6=PTCY zp@#0VjfgX^qDLBjT4vl97b|WdI_P*udoJ|M3ZaNM;25Y!bP($5yy#F|s6G+`d$Fg!E z&|fx174E5{mmYG@Ik;S_wryM3#JZP`2Nf~@EcMoLQ;XcvydmZjFSlvc@-^oueRSN_ zD(5m{p4eB%=Z|4E=h2=d_0w_VF{~!gw!eKG-{UFD~! zSsJ8cvqY;@FOs6cI<_g1GxeqXY)cTZAv%WZ5|;3WhAA4VV~GrF@hW+mhB25)XqPX_ zT!4n_m@2VJUZjpj=oq0yCa-PZYVk(PwyoPc&zRFl9UIH7L zX|A+e;NR9ULCAYAXg*Be2qpjo?4mGDqI{qzOZ%=@W^<*6#qUgiD#DrWkfM2<{pFs>&wRv(BbRm)eL??iEqu}H_ca+#PI3NO}iQD(rv4agE5!^)lA1p4p{ zE#;h%WHh2BSf*o-M2XGME3&j)#}0`)Ue8i8v_i)g8QR1yT&ZJhX@GDqW!tUNF` zwlXu4on1H~NZWL@sJu?OR$(J<*D*h|+_Pryann*R#uV?%mVKX-qtvz@-`}C*U@4a2 zCFM>X$3w`2SaFw*4@)ru*D`#>-8y!a29Z28?P08b494*$Cwq0QDz~%-W)xZ4$6eNA zxUV2a6#I4bC^y%|2Z0Xg=u@tKe(E4<{y`l>C2raZtUScTObx%Q;C;>eVIAvBM?pT7 zjxdBpA9%HSor#0^J~d~@qdLxO5~b0;^CnpCF&&3ws%id?YKD&MSSC|VxmcXgF-f9C zdgX;J@qvz!GJL=*s#!XzW8vd^Vn-zUDemHBdtbZn=afIKV?w!Q(I1#%H1r`e$>^N+ zrTkn)JRkcJcUltjd?qs=b7GYa*8C@uKGAVcX0nuzvJ8F7SRA2NapZia;~ymjY@%03 zXLP(8ekeCG-EqZP9bF_sKQF?YoZ}v$TrU^L8dt{iI%Yk#@joB$f{uBi&kzZ=cu~jl za&KgcK)A%sv{pfoin4H-*|}b#P&=pQkhr2_XGozI2fM1{v&T_X#U0XXI+jXQ331oq zx{fvKcM{)-w)q?FQZA0y55jNg=n%bJ`fdqk=yM&n%e`gcM

    i$@<{PU)Fb!?QVU-pmr-qSH$QUfs_xvyiTVb}R634NBp7)`SF*3*TZbYZ(k&crx$MGc6)WN_9k`kf-cQkNHM#6gtJwu%goRx92 zJy#YLq_crfBsUSfzKek?GMnU|OHET(1D{I_usJZg8R#of1M{^bTSwgubd%7)5lK4_ znD*dJB1rHaJq`4c&{@EhQw4h&m>gjfZX3XyJ43KS~}Rk&9F;F<2J;= z?T|~oZ(tp2;F>CF2j}@k8fM_f5#}u%0K*NuBEJRa*9ZguATPkwXQY9@kr!aXKFYvf zOMcKKj}S>3ZQw_eGd2(IQuMZg7amiCB0AAAoKQ0NOT8+SqOk_nOCUpipO>X^2A0Z* z+k+%8bk)jC(K9boaUkb7`(ZI(tn|MR!G)*$FFVZF{nrz@RnN7U=jv1O_ z;DU^Vr+bE`8aOQ@;nlP>O*3#+@qXy2IIZ&&C=sjE4Xl!23m&pFBF-?-Q{q6L1Vh10 z1HB_iaAv<_pkD+DPVHF+dPI=mPGPoz0TTYs@4>3iF)&zm6DPB2u7TlEHsPu^&%m$< zo3OR!8yFj*sxj0qFz~P8TjXOZMGG1KOY_fsQY34KHn1_I2)UPLXo-RQ zVV8 z;O|PDL_`IxF|bAUc9Mr@Nm^^*#gZ0rm*@4@F=Y^ z5ESTL1IJ5~d2j8F2BylK-&;FFn+%+g$o=|)lBUfDK9x|wbAtW(G6Q2IB=STfOIr<` zk(3bZbDM#Sk`jW1ZZ~jFM)f>t%Fuh9F_A=4^gdHvrScmOV$8pT>ss_lihJWh6w{qt zwq!@bw%55p>@qO0bO1V6b6wcYRaEw2YMY>OQQTwTB}uGEI#{vSz&}ZDVNX~1RQnA4 zi=@Ub1*H83IxCW!*m|&$4j5=z5@qsV5OL7Jtx~w_tjb;5Ap<)e!|=}o&%*|$m428a z|81Q|n4N{+ALKQU8rTz3!bA}{X5jo|P{vJ!YC3LUp&t1eUEjwJ#qEg`20F_0A5OpM zz{Up#=9hZ(LBs@|G_WZ`trAS*lz|_Xw5Jywr#X$I-)IZoo-uH*bWpgy<}CWiz)*=v zQGFMcrH>69kO5`ynV1xPVqkY^4(En51IMQZM#~gCZ={)_&$y3}IgVGX({#qbF`47A zL(UqQD03Vyl2UZezygWI(;Q6a4NR6<+-u3wbiu%fisV=zU)+;)(ZKT(`*?LXOP4sW zWo+uHou$hL=1NG^Ck9x49XX?J8_YsiQjv-jWnZI^FYK z18+zcahoY{p4~I>&yot;XJUo#8|eDDZlv;Z4-CvG_dZwga*Wvz4a_VJ@1k){(IW#7 zLs^OaNv{~*3u!RDZ>sDV!}Z5d^M!+`Qw&o}J})J3wQ~$dWh1M~8Pa!&;qOBiPE*$y zj)brsQE9rxa605lF)!#I!`U!%ifY;;h6|6uoSvC_#<0913MEQLuNb;Uf5M~amTZc8 z$Iw}SA@SX4%LKG@gGC&zPYk2=lE!r}XRPQO!$_G5>&;>_)Gvm;5_K%kKho4chSic1 zVvhkayelK&F_sJs6$yIbuUkCxtsg#R!&!^gEJlN4=+)f+hHXy! zjUh4gY5qHjUwz?)C!cQd#5Y?!XTNJFyZz?S80Izqjl{3K*|Kf>Z`(hNDdKEc4CkBv zdZKyzpSOMDHSwDcoXx{y=-Z4pY1h7O;ju=<(64FW2T|-l>|afCYfTHg2N=mNYr?1b z(bL~-@%)qDc2DCSbrjp$)}df|+tH(A=+@MKOhF3Xj^VQXx+mZsKSN_UL7V+v;@8`@ zYS+s7f}&ko5c2oeTZ=}ENT9miT_?C%T4U~7-rgHg~tuSHThKqWE?S&E6aym9E@Nxb=@46U1D)6zh zdydw}aH$}(#n;Phh~Z+v>tdaExt3ntX!g5_U$g7kx1RmslZCl`B!>0P8#pwB>`}(AW*&d_ohN^hmkx#@ScsXB{JrN7*;guFz>OElQHZLxhO@a7{D6cO@zm3hWK!la@o5bAi!n`v?PoE3XhUiqA3aue zCWh$^xt+U~vz(+sx7YvLm}i)CG3;x?2<73QyMTMv^D&$#z((<_5*N4zHEqlWUiG-h z?9?V;PA&T8_X`WvCAMOKtJu+cIfe&zUgWE%Cvk-tp;VU-;Of@iy~bCtOj!^#GfNzrX4 zFAWAkhVI00s<^_W>gX=BibiXNz~XznT^J-K={}>It#Kj3cL}wVf08dA{?4 z&$gXSY-=7+!y{o`OuXB?^p@Ppo7h-b0`hNlGjZBq{~cAB7j!pqH;9bBbQ1{L!^DI} zAx0o^PZR5!1n75$dYRbVEb`sLH^f(uJd-!kSMAKA-X;zPQqcZNjXoy27b28^ioPc9 zGz;`z#Xnffk<`z`{-7~@WkUF{zloW)o!hl~?UfhoT9*=?YLW(+=u(&=BC7_Pcvwg; zMZyj;akE(<$P0h+F-e0>Ov$5?$B;c9I>f|+hTxRbY^aHajh23|b`LZ0enC<93d?X4 zpNCtHN!kb#d;Kf8PO%^SGtr|_%<@XeC=)yFz$wCV8g1fY1LsQ7+a_-L$cDQcC*~Lv zlNvB`md2X6Vbe=rW{ADVnK)EXqda67Z(>$KGb#Kv!Nhw7Oj-cRWHOQKD5Q zt~D(la)|dulU7Uwg%)No!1W4j`%hy@qQ|bZwx+<1kpq-fJjAxRR_lv8?dC zZlu@I1~y6qoi+EWeB@wLnxc(dU+veuu=U#NO(q8T+iGV6`_tSet~Y7GwOQI?;((uI zi4C@LrE19IY1(GuNMW<@;lXwj6Pq`Lg@wP!%?+!Gp@l7y@Z0+)Iuy(j9WzwZ4io2^ zhE#wm+G%2Hus9W0>J;tbw6Kj)uYpHJcQZQLF<9JVCutA+&USe5J2kYIcl8%l*WKLf z@8jUHId)-cWNE*NO~E|Q(g72n6a;~Hi{>DsmmfexQXVofx}hU+M`>b_f2QdiiBEKd z%Y0yR>gj%zQ6UgIjGf0gGfG-j#KmzFsoTZOUOfL*dkqRI40ztS;(t=o-5@u(th>#B~z`3&yfuskvdIr%n1ibs4z5Qt&yGu7(mlM>m-c z2E(NoL^5=X!7i8<#bK4B+a{(p33gXrvA@HVC17|DQ13G6*yX3_l+|>PJ<>q=VPo9q z?d)MvUPNS%ty~p7V2cEqbYZ)gribhjdvH)xM4U){#EuLfKIEu_h0(URY_)&nZ{j*y z*xI~!Dj`0e*~!A3LYn4E9iD|=C3P1G*weyTTlXTj<*1j1l}#hx$+g=&=k{x$&$DnifY?k<`dGNv zq^xaLqVFKrG6H+6@Z)of&Law+92oUqx~&6wwnf680e?kp8Ez`80vrB zBZPx24DrA2jm8FB7-ZjVdE(jcI&ZkI4q>C)8C@Sc9t;e%FwVb@_xdmkgYB@%6Lv4{ zhI8x|yy2a6goRQ5l{|rw7KQ~1bcRN;yMqQw(P#^E3+~!^mF#T`ZwCX}?%FJLXc`<@ ziJTm3;eJWT5`}D>h4F#%?`7C{3u_yg0(oywu&^Z%YxdUyTDTDogBhA+VMfX8#2F`B zm|gNZF>RT`P!WDzhNiNueG?b=*v!SJSr`+{<>K?CX}X130W5UhOVSJror?JjJ8Pze z{sC;U8$=7Y0tL+GL9;A844l#a6c7ilk9at*Gx?x742H$8+eLORhiCo#X*v#`D~cLDed1yT4141qk~bwR2N!UUp!tDP5vSaAKPpxUyPkkF*%LBWn*6z;Pyt!O4A z@O;08gT;rpDc1oGYC8yu3@mc%AR}Gzt#`KlA!Y^*c!gp5Fb8z;HZ~X?u`s0p3AXA{ z3)2GT^Pz=v1?)ip=|>jM`asRqo5Aj53nLpCA8?;)p<^Iy><8&B z3@_MNMTn+mzcK5k#hlSVDB`%*a@8P6BWzJaS2);W-UG#r2#D`J zbKRPxs~opcPL-x>-1f+w%8{t1>kK$y0`Bhui=W26!JHxXwM4FzK=4;_#ZQWJlWk!~ zIpSg_ag+OwScG^G7<0?Qr*ejL!!b>_8BD_L;&X2`-C-gkw}s0TGjx~HLT(FDH11hg zBPZY(J45#^EQwVo+)Ek}@LMY-CLs?jycY}k*@Xt2BvSdIg`H)%*y+t!{1KBv**p+8N5?phM)6z~b&6w48T$!v>Kw=3a_l3-yTtK{tbK$(yT&mqiiTfnv$2)b8<7`-n{?ZR8J>r-utBsfl^^9Y7*dD$#sHR?VtdmpUjo>8pj$?Bam=Sp0 zCyv9BtR~{OZyej@G;#!T)Gv^-%$Feevm=ZP_9>;-l#KrC-;@DM&xEG=$<9I(zTVJut(Wp4aMal*7#n+?b_^ga` zdJiAH9ml>%+Y0NBiQ_{#{Tz&_rLl3$i2@O#IF5_s-6&$h{Nv-eCU-nBaGemxOj%qN zrkfbY$|$DuIN78)j+Egs&*78fIA4yqaNLwQ4wfS>l%5*L#Yjqv@SYaOhA4g%%1)2t zN|f!qqCX>!kHY-#f{k#>%s4j62{LyP0M6ei#!9vNB^$FwMlc_&*M$H}mbd<-B(%i>rct4$ZM5>|}1oEL2$;$EGs61rbrJ;*Kf{#US1RH^lKltZBk}p>_LKFaGk4 zR%Gaj|3(_W^31c(J^joRPo_wZCyZyF`&K$lD!=@k_p*^l#9nxo|NI^)zmjOuru9ot zv})7FS)(bx^~5)yfBtVXq*@96sc%33Bq{udb1yc*e?0rc7n7v9fA9wGA89h&KQa_^ z|HzW%{*fb-5AnSp{>@X>6z7-h(JM9Km)r?=)Bg`pO9KQH000080ByO6QsQL^!88E? z0C^Dr01W^D07p02UiPQwhwI}g_jjr$y0eIGiYxf$38Yrk?ID^NTDH<2hFkZ_UWg$R== z9)Lih;&J~)s``}ta9)m1Z#&s zAXrPgF}?|y{d$aovbN4-F0W8a0|XQR000O8ZMlh3idlLL;;I1v2fYCR3;+NCM^H&m zUu0!%Z!UOlthxnMTwAs^9GsvD1PCrcf(Hn$!QI{6CAfQV_u%gCZoz{T1PZr6;a<3Z zmE6AFef!;h<9}oPj9F`~v*%vh&OTdFw{}xyVHXi3f&7^oeE9H7GjkXjx-CX|A2<-Q z$D5W{BCEt#wA&pWD_oyMMZu8xf7_e?il|Y*yBsHiF0pXDE1!{5V3a=fv5+*z*nr4D z&oEoxXifjwroZcZSI^UGnV?SWu=JZtp`eE@VKNqEgc4+=hqJwU;^(`=)4g-8ZmXx2 z_G%nWUd^8T0dO2!Orl4@3XWPgzmM<5-MC)Wevrd6?nTO47pM1yUW_bv)Rtb-ot4tf z)%vMI-<)sf-OsJ|&4f9?^K{|b3Siiyzg-cfY!CT^n7*p z^LVu$I5C}R=bA-Gq|EN)?%lz~>C>udr>o+s_48tUZ>fG$FNS^SWNrsIM4vdE((Und z$qsCszT5`gcqy&$dY|tWZzf#kpEn<<4e=9J>#3z&l}WV&FIPH69WNP|$`-ji+`Blr zJsL}Wx|cqO0Jm0x%c>fXLV!T3nCt6jcwiEhr!PBWTVON-7J38@a4WiPnJI;P!y)AP zX~b6P*{&Vnf?iI$)UNZmowNCAKuGI3r`&fE$iKT!+<)f@JZW|UjLkgFdOP+n!rPTj<~@%k=*FQ$#Z?5V{(q2v16gy+Vs zWV3f5FbW|Q0TZ2zNSUW$$K2ER-VO*X_LO^Se0jO=im>DfaN#QMda}IMa7697{C$^rv3?Nnph#RuyozjINi=RSbW3f2-;6lWzk zfguIf33XE6kHUCwa-}RC<@*#a#a4)Ae7`)I8?j&c=J-IhlTKM_V=ngOZV9^s?}^}RKUug4I&YxB{e0r z^Yf2xJX5vI@hX%D(zaq}^Au{HwdHJ&(Tu zLkM~+E!>huS4l}`+zPME!c8V8t;b5U%mQ5>OG|Lnx!v@E?~%yW3uhv=U1zHQ|w!*>P6fI1KEY2M~o((QA{qd z%BzLF$M>xi)@ttRo_qEKOYAhfY4^ER*TU{t%d@YBmJs-j9k*V*4}TwE9`H8c#i!t! zp+Ob2U4jpsFE|Av+XzY=dvY4au{j>E)L-6u z)O$F$aUOVCa~<+R)4!FTDhn1AAeAB&%S{u^5|jd|Uu$Vtz_XyhqnI*nzx9k3MN)_o zh{Tph-04%&%31`^BvUm7Kb^)3xGUF|e=LJlLYZ~SlY5`+ni+~;#P{K=$%mna%`Yzd zIOGl@q%x#Jq&d`q^VU@s7A9h(gY0tYr(T%jgxj6xmwN|+O(*oak=;|T9pR*@zWScI zX6{+=&USL^2Mfx`1X-ay79Q!mbwrtMjI5mp&kOrfBF;2wuW+qp9A$J7W$X_8Bv`ZU zUzwgeKo4BQ)pvN|(6jAVejM5Jp$HU8iukI(DD<5RLG5NJVan1}#B#JQ$mN2pIUlDV z?G?Ac;fq?B{%9%}p4!dxj_W8hzY!=!3SJey=AVxE1sd54Z$*diasA%mi4CDBz*@B3 z1`>o_c< zK$>7f*}i{8f}XDj|CPXxpyuVe13p9ZPl%{ziuCwhO_D~aR@7k|f#j?%_+%N%ojVCG zZ`C!kONiLK>pOKqAAKKg|M{EWcmE=zHGtoI$}4lQWh@LEg0}6veegj?8o<8*KSs%u zrg?Mf5hVxJLQ!T%fXImZ%?jYf;AbK?ZZy&mXUcTx;6q{Pr%JRy*!Xj{ed()I8Nj?X zhG%(PH$N9{aL6ZR^%?b#ktMa}HHhjB>Q3qvwY#+~1pQ}4Y_GAfS+SSS?~iw_>%AK| z@v2`k)NL#>uaHplTgL4=nsfM=38up)I zO2=<(RroDZmOi(lLPSMWe{C*{LC&7+HOmWj-V0`wuN`SW%RcFjZws8`cP~IA9Jnbd zt?U(yPnq&Mt-&h5?zPXZ5EzjB?uN02vH9{cq;fn!Sf~JwfEn1_q}MIsS;$4`KPz9Hrg@!FB;dx0BQksH54NW%1P@ z753?CozjBXf;i(?SaD0P-6)WVBH6C;hte0Nekd)!u;y3qyB9=$)|lz`w2sjVL6JQiUTCz#NpD_RV6g2OcD}Fsf(R;6lFUv zC0aYJ1pvF7fjbvxUeUkCj0V8caK0NfHIfBVst=RM^L%GpCa*oTX_l z*RJa(8^`zirSnbfydSg+08$}h*EaYlRa_6G?q2|XJ$=a`gy?fThwg3?1SfW)aLb4s zh%JRJn1|eaEFVfS)hFSJirgVu+GUz{7^)Q{a1~69XPs_0Jo9WrsctaIMh9xFbzf&SnQZ34mo@(hJ zNJ-fQJ80`hkB-{Ab22avZ56lJqB0t8Ag3t*?v3iObeeQcc1ybvMI3K`;7H-}b!mUQ zxde9qbG;h5qZ)>Ex5F;LJ~NY9UMJWy|k2#$7--UT4? zM3wGmm&<@gm~ zMlQuSaai#$Nr+UhoiA~(F{+S-4HJjWBJFEgGB8KSn~;=^Q$+&Z@IEPfH)=G-fw+vA%BQFwhF)ulx;^?RZ^xL` zJ3LymJFT__`uuzR*QfH?cVpcx zd-`?Jo=-iMe%w%ihwFf6-P1MXC(mAA#+wtk=gxIs=7d!dD<-bFlRPY(H_%8LNSsK( zH{dtna*HR@QtwsEMXp^C-i4E-ksOsr{@l8{aN!}mXPNv=Vw|&4MqAZ}QNDySlOZ#{ z01@eW+*(#ljMPmOZBUUv zl%?+}hU@O*d{myhV};to*{r-_@*&Rl8edr;(*2^Qwz;&wZ}bqIUsg1SuGFfN7QY&# z${ru~QD=8gu`g-|aV z9Q&L7-1QK_CC#^*$VOHM*7PWqLAi||8nAr}x)w(P69`hfa(MHj__1~*(DEm)4W>9~Y zU$^#miGmQWJ9YNZZ?pYnH{uK-e+ibe%+Mz4}>;DCLnHOxYgJV zXq%+?IQFl&Cjq{(ts=R348N13<Ti+k{CxFOHHd3U087#oKUJ+ z^nhYwjAZ=%`y1jE9#a&nn(kM>%VT9a!#|QSGd{$aA^gYiydIN&R?IMe30KwX6R)T% zu5egsX}LUoDmMk7zFtYn50H==Id>>*YmCvrarI6maPkrm3>+8}Wl1wO81D-X)LI(XF#t=9RVr)h zGa0dBqhdovU`L>_mj9k->%_mQT9Uhy(<4aae!xOg`uSG@2}Al%k^dn?&Q4MB-3ZCc zSmQWQtn#~&cNR`X-J#+XQRIQ-0`Ve0=DBiSKxK>d?7Tj8hxH=L<&P;f_{CLN#C=&Q zj|0Vhq#Vivsn4{DRBHWGEHzyI+#rp66aO(DCH{rP(JJ$ZK650=wUTsg&V~SeKroCy z3_U^{%13RX9{*&ROhi01ShNPnIHBQ)>W+3;jWxBf?ofe4X3p`7W70u?yWLc4XX5m8 z;Ef*?4&C`FNzRBukKt1;?L;jt3 z*{^qZ2S1D-K^{_o=dNiaXLV>1#Zwtq%nEK0&6*va#&h3~V;@zeHcNG24^DsV|3PY`cu+)mkKWw?}oH$JT6t)5Bz z?1iW2>?>a9axRqCAZ*Fc{~RmK_wv`eOMqrx`E#j!ae!RV;B-1vRNj9>7^FQqKTiT2go&ACnW=lFl!DCL5A}WeM`8j zCuTP-$2X+yKfVN^qMx4xO{?6Ip_(ivTTsF4yUYEW$H%&jWxHYDSiGNZk{-2Z-Tbci zU5dZ0?3`;Kn(GdlYa5#DGZdjG6rn3rrsk|Y&Tve-fX^}UH%NcNKmn9gZFR2%N7EO&%w z;P7Yv9kxW&huD19&gCd{$7xD9;dqPnx8LZ?_D)c@a0YqE_5!Hs^b%QkQ>b`TEO@O9 z7^XdbBgi>!6GvZUb?o#*AE5EX^u{Cjn#Id8*{+{)BJqF-FDl?$yd+lKul*BrL4XWg z3X(I;jk3Ak?A5pZ@%H19;Ui^LSb2>o?b%doW?be4)4EX*SAsXC+$}JIygMqVra)1I z{Et1AzEW?YU?vhDR`T?5%Mp%7-&Lyx=YkYYQC?=aEzPXbl-xj39H1bm2AKZ?yl5Lu zYmp**xJQK?TtTZP=`3I`z_jLiyxJ zl1wt=AoEHt)+CLHwMcC0;(Z#C$aKEB#~YcLygh}Fyx|36aTo97i9~+ni+jA0h#}ik zAm{avmb?3Tm3jV<4t~P~*ewrBM7h|Od%QT{%jlBY(oY5`#8(FFIa+AZF z`$8@1`CZ}UJi^64IZ){!O1~0%r8$bx|GI!OlnPO@iZH<{%q-c>^q(S`=d|5Zwkrle z`j3+p`DU({^o&)uW>OI>0$T!2tLU8*`oCB8OzzF~TLZW+IQ1QSwtToo;nMe%9Kr+rw4lr$thgSD zzp#GGbnsWEP)re5BFhzC#MqNG9*?bhQ%pOsCu!fNnDI|jFk|oC9(1W>0YhAouwYmN z#g8O$23eM)S|t*h-%rDBcL91+gwNq$hD)J~k^fvO!9|Awa5m0^ZoEkO^g{n>X4}q4 z*jcq7bOF=_M6t~11&k|(IpRu11|!D6L9ql(^7NEqqt5-H&%_3ib4xs=voFGG zFn*HfB_lGvUYVoYO%L^1(z?(Q?p8awu=zHRNnOGr@0jybU|nF;KN@D~u_(BjEI6J> z%9O5Gy>3Nw$D+};<6g4cDb!VOvl(%EMGgMf&is$gMG%x`UhTBT-#|Nlf7x~>7ayr7 z;$+C`{Ze72PfwzMI;uM{w7IkI`t{?t6Q`4&gH0UtN9Zd9o0HfwV{gVFKfz={w8k0G z712{HG*G_5StMPtp%ldVI=~Xi9f^Kgx|B&Nf&KZ~R~yfq_s0l)kXD}|51R)|@5wRhv!p*;0vTQ>$F;Lr zMqOV(zbk%eCaO0@hq+6KIsA7R)S!&jpp2}SCaITxYnCctn)+da+5ZoW{S6E< zOrTRuzHy9x@k*9>E%b^cltR2)koB})W!dVg@3$B#-KC-VE=|0(^BJ&PX5Fe$5LCr?&OJ3iV zw8br(sLtIj)n8E-;?^t)wGSO7y(*k2-8XHXJG(l&T}sH8UvYB>+w#(pq{99>`&lf0 zb1=F8A~qey?8fBIbPEFSm8Pc2q7!l9GW1@oz4brLB9E z886{qarDJ+}a;Bbr%@bQ^R@GOsM$Iqt`{|4&NB^-WX_R(P z)eKKe-u&U9tr;yfYHz{GaAYirrZGxt#cBL5#2SRA53+vCi7)E1Iy`~D;2xWaz-$OS7N;Tc{J#J^(1T7@=ac!M4a{u?LHQWOzhta0TprRK$X>EX@~Ft}x$C08fLP9ds4 z6n-c$D==3&HJ_KY`@U^5mLR1NND~Yej1}y|#^#)<+RnBW-;h=B_^2nF zmi@Bgm)2|r*FD^8Cp)&$?Ko*n?A2vVn{XD7I>@GMWiXiiP@J$Ze%9`wZ~GdarZ#m> zQ&h3B?QMVF)#9$VT*2tU_YZJwZz)Ka%xb~ZA34#ms2*C64NeSNA9gUhtft&{>2Kc$ zq@ExTj&JivqdDSPstN%tA@9h8jVj0NxB9TQ*)w);xb4k!-la{#=(8H${S}M5dShE< zzwY}($#Q9lI~H%X;kJst?!ZKOi+fVr4UFGHHtyKdnEsU+8$XIBzo!ou7Hf6hj>>nT zn9Qi5iN(+L?#{p9GbUGhX~8!X2CkJMk>dN7 zT_<9k%;U|xX$aXIBXtt+2|xR7!&#U_Gdt7X6(!}$YJb5}`d24U%miCI!?q)tYT2jSyQ3R^JeIk|jkD6SrbR&|4V7-+Rdq36vwO|w zx$1Im#u)Z;gGcFW5Z9+SpFVy1Cw>3euairIcz|C@h$K^} zvQ`V`LW*ZDA$0zjHeug~*2RZhW(~i$)F#{_AS%~MefnYVzT()=0-go&Aj>eLtD29f zcK5Wh-Z`OzDJ5+Fv9`Sa5Fn6c;tLO^yJv|tTgdk38GS+lXp^&%rU4LDhkXQ~Qg%6eE4oDO_-{?qL5Q0%ollC8E*MbyVD zuq^d08Bu$zah-Z4!S?QtY;6}42j?k zht|5be>rjn7k{~J8W05sp95yK%hrg#6H?NL0RtpXrsizXX8uK zyrDHNgPfBbC=M3aq>R72hnDzfmoAt{`Puu)@mzQ1Z9(7T%08*P z&*Za0`-A@{o|xU-Puakq5}&-xUu9!794!+JH08?eP6s@p^Tij#oKc#!jmkL<7Q<<* zob3syUU#%bWWn~M)@%}|zCFPxKiDL6&CgoZ8p@eEaz|LpA8kG;U3VpX}HqvEr0L?O@)}HV^CE@pHrN zDe#QaN01M)hW)7YI@s`<#^~ID+nqfw953RJEJFs4PlUZV#H);-cAndmR|Y4^5R_FV z{&li>AbpkEkpX&YBv1ZzxS92Us|QzDC+V}k*LV8+y32ehg=lv_==Ze_#;-fTe_RCa z>3Iw*^yT&B^)uAC`2TSg=rLPmcI0u~0OdOC&wW6m+Kju)nVwZY(w4|m|D4$Rf#$U& zS%dJ~uzzeqtN1pnzHgkr@&C6`oQj$JKW+dce_abw6LrRJOdBsOT{0T0|~9k&jm$YgyMz=RTgRc7XdM_io-3SFmFT>+1ag`qo^g9%eqtX)$vSoK|mqNg(dZmOvd*bY0t8f&u4_1+mr z1tRzkMoO@xQAsnf$)$6e**0O00eiP7jN3QH!sy9lUwIU7wM})5C}}39CKQcLm<~o0 z)Q%VBr-?BXGcDLU7RlITeRMoNN9>YNOxbj*uH6xL#!Eo&;EKYn{2R2oZb;a<)Ux`*c zS_f8D&~K00@>)YRqX7s3Nva)>)`bnSrmvV^P_M234}w+o(6VCww+Dur5k=LYq;^zN z3m&aKtLlbzMgM|&SbZ&q*?$n075zZL8HK`OiC(*srwpU%hG|a+;+(;hNm#lK-rdBVP#} zSUC_>RrN~s04cYg_RB=oE3S4y^~OJ~-9x0@a@sGD2yJbGyZcB6jelDI2>#_)PAd$t zR1T2h>S=``ew^)s%8h?ophKj%KO(fY34->K6dM1u{t^7kubj3YVyPV<)z;JYL;Seg z1+^RhvsGBh$|z{u@H+{tEiP zK%DO1KvSK&RH}$fuW3AG7@>N`@%2%*@#g`@TQ%;l%G_VeU1HOhI(0mARAL5IVklK& z+Gvu#j&h+50?{aeXl+#*B`)KFjaJxYI`!)vrs|cweU_+1s#d2pnt>reJf4chbps$g zdO4e$dgFgmv+D+p5Q^0e;*}4fRIE-*Gy}yTRN3sh0uVl?jLl8Cu{Xc$KdD9t#p+RiGJ21))l3*CiU^bk-PtgapW%+x+Kz<&<15Vh)SITU-#j@DMKg^ z{|~@FsQ<<5?JnO^3@22vQy_64E)SAd zp~r9PfARV+6vsGnKZKG!hqi}MkYZ$P!)-vQVh9C9?uX*aCNd@^NHx2qke2Es=&J4k^KdAr3 z>u)H<4Y)SdBBYXPy;3nWUYFf4A%Mz$?q=J+Bkr%L{VT%$4Ti<-^)^D^i-J{I>&j|X zWCqPx21+s0sKzsSdDBcuywr2|apq(RHiXIgjNN4$xRzA!-wi_1DwiDWzLNP4|tN?Xc+`8H+3) zZ-1W+{JKn<{`ou#YTZ zl4n$X zro+#JZGtACoH6%t z;r3`9yNbAdVWP5Rt0c7^HLI#*5QYT54wj-eHARx51qD))2^P&LjdF5fc1M*cb97tb zJI%}FiMfG1oI?kxzEI>Qz9k(Ra-f)d4W+QNc58VQjd^P}o5#V$q5 zibSNOl=t(DOzPeXoXDoK@va~65mAeQDL%IEacJoB97q{(;vGoU7(k;zgATd!3@8kk zdEhkBE!L>-R(MHsA8D#B5^3A{x3bSovXM=)5mLMcACHyqS{sd$Eq>2?Mol<`KMLII zcMiGRy^^PE)%TLalWa@>ZwjqhxuKmxq2EDFsewB!Z(!;TeRPrfo_ToEKb5)b#{o$( zr3$A%l}LL&wJO)|$fE=@waXAi_$o20yf>NoU0 zg@RTZ1xC$sNAD4L6lj9knCil6MYOvHt6vGFzg-YMR1pMe>MA!#qgGlPs~DxXdD%58 z{Z}> zkf06{F>((bm9ID%?lTg-Cz8A;QoL>H?zP|FqYSAvC6sfyLB8LCNrxtx|G64J1#?d- z&zj0?*~}`hn#!0!)a-v(#uoLITIs9{ixne3Ol6YUtm+yx5(>xD=>DLj(pfbYD)8ukFFkXt{4_6)q*8vO`c1jNGSHtMnip|W+9jaGzsnB?LCeP72r*d%! zxYmBmPj{VE1VJ6wlb4|bsZT3tE?+E1?77BrAH0=Nn$stN{f4$37!H`*=0GKmHu-x9%SuD4{7 zHQ#bBvP>tzyLVHRU9$m8C@}7mb!S-RP4JHxgT0}Wi~MM{Bsk?{elwF#SRq857xRB9 z<(+;z5oebj=%y=f^Ir6!ONR!U$%V6al(Rjfc{IwURX@cY#zP&3wyc>8UF2A(_E8?x zrTQD#+2PR?_Jqz+7}QmX@sbkVR4pGTj3A4)Wc7wRFgW>7ByEYH{@XA41fL=qj3MP7 zR>%{#{G*m!R+)Mr2{Jq;C99OsmCI|W=GM`jDFGO;{NFVbEN%@m4E?J-3sp%|G#+^6 zL|hlcxkG5Y_Pd-RS+2V#mjLelY}Xn7lM>%mh3Ag^CwH;O^XR*sqsth)!~gyxc%+gf zxRm}Y-bfVd(2xaU0n7}2`7rO#Q|Ge*{ZC$$S34}V{MpeSIER-E^9MRLbM5-ouD!Y^ z0iCOGo}D5N<%o}IYLh8exhg0&hQ^pi>0jsjGi1h=`bE>#Yr+DD)O<#N^>+Fu^DvQ7 zjfM^ps|Itph*`y*iKbZRrgqxc%yH=jyRnJ__r@yTbgT91+y=CR;as%F5MeNz(_ClhI7Xo%^^#$<{VNXj~5GE`7Ii zN?hB0-#w+^lTX9X;(h#8LwtffXp|b-xqeGr-`JMj>dEPWBF)+pv(Glx{y3hr+MF01 ze)a!ZC6iXGbAm44w;|N$d48b%|4+Rg3wQWHy9i&OXY+qin(+0qBBL!T4Ut-f3v}2r zF~ZoQ5!Dqc@X)ij0UB0~tk?Q|89b#?e^l`+m=J{~n-(&b=^(%s2@oqI_( zL{!DEo>eq$yg_uNj?lP+ZYfy-8VhcYCD?kFt}bqlI;1`xz_Gf(wj(gBx~EZi9Nt~4 zoOF@gtkaa)Me3Z&SAN4v|Lok$?|ljoc&KN18Udbmt32cz*rU*$0(y)Lrf{%tb^vYd z_h$7zRZRm$xjHg3$kobo-%^@YygppC50?iZ{4k~dekkIDwH(4j$Y%G$2lccGMBjVa z;7)j@6}PmmxibY1JZ4V5!}x6H;F{5nWy2Trq!qORw6|s7U+Oa@KT7E>qH@Qd_iE4E zxYV!5J93=7Ca-?s{o+W?-v|4WU11`(u>#*3W3dCi6QLFD?(O0U>}vcSevL-ZGS=N} z!vbLkrn|}MVnZwD1L0*JkDBD3#5o2p}8^f)^XZlv`4x-Ui4 zv~`|KqIK5c82^|e8T`}FV9Ry-VOsxY(rUzGfMZAiuY=a<(Oao6pf#(>*5&cGY(;E?;!Kylk9t9^qBd zBeN^q7r6I0Z3Dd}i02NNBXxz2*=~jDSxVpz6pO>3@g{MFsvdA`_n#xbhOU++ig5u< zccEW=YO4+qlRrUT_4D9ARe$X8%O(TBcFPjMu0}t+zTpE`t-CI)-321lkMZ`5nzOvp z&LQ%@-!*%6`4GNSh1MCzM#@39)7xpWhNzqE@#&IcKd^VD)b0h^u$CWpe2AYnICvcm zbi2N!J|Vh(HNy_&`c6&}z-_|@=UUi>+k$+{c>1n^6D}jSi?fBr{jHk``15BfFyg5s z=;*Ut*Ea709#5u;E>ybS$h%%4b6?o3Yr9?eSwu*YQgW@D3r zci>L7*^8NeMB+$`}qs)EndM+rtmvMTVa|>aZ+mF3y z{ApdQGzP{_*jwy07?jydT=RqwaFXMVdE>p`USgKokxrA%mahPK2|AlhA0A(~2Nm}o z4P*95jbQdl4Of@hhkHTYD1nVnqd~mA#PP*tTnX2Tm*gj?PhTGx-H6xGm!SL}gam%rH8~$dZ6Q89FPK~OQzKAJ?{z!?!B>)+yyOfXe*+e=JeKTw`+(_=KHm?L%6S%l!h1luRXjxpjrOc1Fd{q`?S!wt8&)g3 zBGW^D3iRT=;RmCx`;M>&JX5Zf?+-S$NL;e7hNJ^Kq953A3>Me&?$|E1di4~#Q6DI7 zJx`HA+&$R|C(yo9UHA`CS5YlguWyNV^%72Ch-Iq+$GyNX`|ULo=w&D3KFXIuyxjrb zuDrf4u1WZ-?#OQ?fNCRhr7mWifU>icyE_h7da&!H9yx#Y_816frbW)@wHMv3w{y0} zwv^?Bz@rIk%H$^8ce!CHAcw4v%sB5bci*;A@;TLoSZOx`U;ING-kQaE@IDo&Iq`&| zyYdd+o#s2inB$tlCiJB%@F7(jCjEJ88*{gFINLv)+!x9xd$x2>YlFZl2U5iwWu?x~1B!j`c<07a)R4=N*0);17EszOn8k@*n5)IC{aC>ki z+7`LXF9S-iWanx<;*x;Kt1jy~ka6k&`4kHD!mBi^FR(c7pf_dD9q|Tvbt!tCw!{^h zxMb&Oy?j`w7kOl*r#QYnFv24mmG2XvwpVAEx2HJH(;qNt#eSOVkqMV2lm$(c2T6MY zgxbq8^4LRr;LhD5aEyLjF-Nlgc;P{?tW+J-!w+t+hI#VuV7T#gfN^7A3|Vg+dFkfr zK-7WL`a)L-@U! z$dRKX04DFz*PVy+dlD|a-aepqpERwXc^o4>#otA*14d-lV=lDcJmh9Y1mItKVeo|V zyzB_f5(;pgauh#>2U)BG)F)QgV2eEl!o@=*Vrc2CTQg>WyhT`$s_s{O&cl%ulW`Ws8k8-L$v3EY-v+k(Z>r0>E zh{X9&9jzT^UMD*;PmG@}*Bd;)vB^@{WU>igHvt{= zT((J{B6q0bB)UUgCiD`T`{I5l?gMjw;?IIK8n1;fl6CO(_UltOFzoz8%oTDjdae(( zn-|8XCJBeSK{c>XN>tlO@`*G5wm_qeX zD$Kt&jh>aiqFV%oiRJQ z$lg->a^;<};F#~#XUpFD-Ec=SeouI}+VggT_oOwg;a5AKh$TB=F1pQqIVQXVy`Zew z^2USKQAZH^wiOn6pjN|7h;qE(E@67*;?be`WuIWZIF8Wax`iHz61(9?yWjG}d68Qu z|0qJc^a|P`f_qds^35R@;KREc1)=8XN!$zd^bHU7Y>PZf`>#>jA*PpGoWI`xjQ$7| z0AkPZD?CQs*?%uSUzXDE)``+!w>?UA-aG-Z*dO1~?KsTa3EgALPargKzJBO3+vXjL zXqImm|bZMCg7CuS{<#;EC+a{rD?_T!x6`^ zI>z-~o)^wqgVtu!B>D9$8Fo{>cLGPQOF0p4;b&~TXOG;M6Rb)Z1NdqNE6$1(yJ6Tm z)t{nja0SxSdmcxgb04}I^w6(%emt4ZU*wO=O`hb8(@)+jc4Kwm-Ljo}fR=g>;u!^Q z?e@)ZA7F2>Snbvun}lzd4!Aa#o!yS1ccUPSpu5Ex-rc!rJ{VoW^mhGvY#Sn>+s%?S zRBxI6>j1TCCadB&x*e-TN0$2??;6~KA>>`F+6)$j?e+#=$#JY<+TLwJu!f}Y-jA$js^}^OBX{Q{1&_Oy`ip1ZyC1At;UHSab~&| z>^UB_OD^My#Cixvq*T0}+RGbJZ{>F({UXrPThO~!b1mK%0gyi~v_6ofF-j=uqdwlv zTTi(b`tbe&VMMO%lIGTeRg8+i{OUyg$<<_KeNG4qkm=@k4Jb(q6jM759kiMUdjLx(v0IFxWLLSmIi%n!APkA0I zelGubvU}@id0ny4O|+Bxc)&Q){&RUR zD@@31E7kj`dgQrx`(^Su?v3o-kJsyjJeXo@Yb^E`b|ZQM{K-2{$j4%jQmk^rX`NuZ z65kN!le4j|Ti2`w_UB%f`^~k3r_w>hM*{zQvvJ*9;u&B01Dk!l(#sFGR*hzoT`zZB zNPWv^k3h$hjPHDlXP-6d)t>N2_3+t>&BnWK-vSQPpZ8O}&-E@kps!$@yP?;FAE_Ff ziXlS});@o^H|lei2{L1$=dKbx5IgIQTU>IXW#r4K zol<~2dtojJI9~Xz-TT>~ zQp@8{L@&Bhcn}|6xjUcsfmnNs=8Av^s? z{x4l*m#@4qIN`Gebt|^d8vy>u9()zs<`!^SMF}dM`~{U4DPe&p|epj$gLS1$t=zRlW5 zMNVV|qoL~VPlNVA9weQx2IjaMaLQ~9#WZ-yWQ=I$$Ev&+T5M2 zRKTNWh@@Sxc9vR1ACPUVr#D@BF`RS&P+;9``BW`bWrop8fMgC^23xfzMb^RE`|;H9 z=Agg=KGI5SR;b3gSAaMX;lOg7Kc7f-cj%*~NlGF36vc|Cc+JJ(4aR=XL5{SVzGXE(G6?cNWSyNsS^tc=J9HH zA1U>fNw1~4*<{&k4xAy9$=r3w(!;{HOYNck{M&qJS~81T+si^B(1j$1_h^s0_G65V_e_=Nn- zJQ8(69V>TNtRYd zt*w#wzeC38&$mrmTN%-PaCJ9OJ~bBY`11_2-jnTklRJ}v#80%DUoo%;P9~rBa)851-)Xj+*zq??j&0sn%L+M}Duy zzOYDo@iuDV_Kn0W7DOxZv`H_{WW_3D+UaHQ(&y3Q$-sb_D;S?mosSR3)zn1$1xL4V z0|_sKf|#0r0>+gb40`{hV-?rc-x-<2M+U<^hAZ)dvcbf{-Obg5t(#Ukm=Lm_eB36w z`i4p0YiVs~wXa9nknwVLlhdpJc-hi;_rSU`9)}G45Qp0npZk*MI@@s<^)={0d*(}> z-7Buhq9tdsf55kN4Er77MbRGv-osi>4U@f^V~XU?ozXVaEUFN;b(l(5kT-A}`+8i}sup>!p7d9flaM=*ctoT~*hdG>q3Kcie$l zp69avJjS1nY9!FTvZ9S|+j(gUp1*8A^pA-}#OlFn<>}+hX^eO?Dh5&+WDtJ~NS3FX z#TNoXIf@q4g$cbr6)qQKeD!n`;zY>m8NaUEcF|z;hE1X=uU-*GUtd;w+#ibZ(o9}A{32ezePdvwwXsUzZtiLFS{BPogO7L&t5zws zl*8?5t7dKvki+e|irN@T*tsHBg84Adyc0qHB%hH)O*<GthUOu6Zh@$ zbWsa%Tt3K%dxMO)Yv;dbB|<*PoU1^#Q6~5x*Gkh-%>YrJx|4gB+^QrBWa?oUn~<%5 z(ogK9!OHrFxEC72CFzrNkUV^Eyy+J@=x(^+dNBJbP%Q^HiBJCWjC$v-gZb6K4T8rt z?DfNFH)bEE^kZDm-jy*q#=d!GbuTBa zj>y@Z9O4u_dm}Z@?+Ybv13w#6`k}o;aoWQ_?r{yp zFxb+UiG7E9v>S~euPb8B_#^ku27c@^yZeangZ|KpI~DW!ZFgC}T9hz2u5{ExH8@o; z?(cuGDXLcfK>m%0hk}CwZsGVU87{bfkoD*Wm;P;hm)?1s81)d=r#nkcUt9>flW9nU zHUpm5wSaoxm2I9Vy+ljiX(oa8R{h%sBdR>!K4C}v2A&mDpH@IB=nhJC$%iO39)qbt zPZ@7a1&{XZ1)^x1uT61ovi7ei(d`w?$*YDx5L#|Z$4IUv*Gz) zJ88T>tvU}Og*ZacpDYv@NbpDkkU;YznG{e!lwCnmuv|&&WX`e#_VL26VI}2BnitK~ zqHTTc<4RCV)MkAIcr-LwJnshKZkR7h1@DBb>mMa-f@YLC^U!nh8&*Wa+NnM}gXFR9 zs7+-%g4Cunw^fv(SB`)ajptj_I`ZLiU?ZyX@{58tl0#2UijM&mG<{F7y$vjB!#7LX z@XtM7si0hl?3w{wWx80ft<8a#a1F##xquVwJh+`Gr+*BksEv+FC7=m-LLsnT51QvTiO>8sRL`l2lrI30zwPzR(~fr*3#^S3X)= zyPKHmV<=XSauUgQ%J9suneq_V)OZjkI`P#lQM)xq_273qNWP~Eri)Sxk;lHk#@6HwJ+)Gp9t z9cx?mFJxP+>>3vz79U-^Jj=Ke1Ws01vd7T#z%mHGa3u8tOJUH<7%Ua!1yh2iPAd5u zf~Dhju7QG_12vHQd4EaHl0IPBV^U|`V2Qev%t8&W?FxA{^xKf-0p#%TZ!uoarqz(j zwqQ|-bwmpI@w6UNq1~9fk2MY$^mL`g8L!FUJOV*T&2}3ulJypN(vwWm&ebKcdlpi2 zb~MTmay!-9Y-|<8J$8-YX&m2hB&W7fnQ)sgX;FIQnYFYLQc;18H11URjJtlj`HIQ> zht9i5*%eBBeJY?^gHT;Qq%RkEBv22zJz+N&9rFnnbwpoP_}b2Lb+|xdmYCIaC3JqM zBD^~y6}-b{584r{3M)iv#>bYI*a$ux$aG``hRw$h#yL_9B&+W9&Bzm3=XzhjN zuZ3~i@k)fFzWTrKRyQxaly8eJFUg6mwTz}l#e_BRvWhiUzA8OCxOVY|C0CJE2pqrp zbu-tSbq8?h_DeN4K&UOd=*tJ*m*90}NtS4|vqUdRoX@TsEopb9+F5GPdFiJ`H#S&? zOz&sC8Eo8v028vIXYWI3dZdWlu2fK7oE*5uGFq4#6NY_lmjJtJByo=Sh*n-fb)D8h zY9wg4C~Rju|5R^hDfVj}=x3vb*goIxJtgRJPq5;d!L%uIX2F`&Se|i8M7IW6d^h16 z{G$FUt~hgKv?Sr1GHuVnwSz1y@T=z(**JGiCcI}oWkM32iMzD9D~rYyshph@xf~;g z%JePh@%o|eW^!|PG|4`Xj)^m($lCmqmJB5bAeW*bt{K||FnZS0jK73Q$1C%snAn&w zn07um9d--3U()*Q0G4_(FM`MyqTM54hxDylR>04vT?yjVrx?uSb zxi@|*?Wp)@;Qk19fZATpLMzY3nlUqX;|2w8BuekcB|0vjatoFP011&Aogw z6C{T-x!SN9nYLS;7%YAQu+xR6hv7l9+KL2Erscc9r8BP;aOt1v?~X2=nZ5sRA|2KA zQtUHZq$oT<&dFlLR1J;k{ppuUOrClSO9yoP^7XcX1J9v0}q!%E_bGbbo+-G-2)aFE1N^)0hnW z7PnzhrIbHPKa6$)IGf^wyp8E{u&vsY7fw-Yz6G2OKRWr`KLUzZTK?*a@)boKQral?*00`{f88V5cj0>N0mQWfQl^ zP#deg2njClb~7u-N8ZoqI6!0eGq!Mb1=;#olGnlyCBXyzd=hxBQd#hHK<@~Ty|O_{ z=(U+|6)AAL)o|asTR6JpSs7(-{zZIo*rgI9Dkr zcooao%}#cx$B1ra4>^fF!8%uxPJ)N7*t>%*0I!z1OkrapH%0UYd$IO+IyRp?BdeFW z+S2vufj$zC4ND^qH$_uB3!bQPRU9T$;A5xasGST$S&_534w9V>0t=e;6G_zhPCII+ z<>lR6R|msX;+OV^gan&gV9|N62~yD9Hbo{m@tcgehOJMcE|i%{maDBpO!s|NgSye} zxHAC;nY0p#|xG>%6j4aH<{@up{&{ zDdBUS8>pR6{x)F#uoFuO;1X8YG4ll^n~?jN-PRTkz>k4(uKNzj#e zGZG67-Gte3Zi&q&TxxC)YOhLcTZN4-f)X2aT@lG)h$ z_sQ((as$c6Hy9+d0~?+o*$pjEB(%m5BpZtjk?=kLg=7!B{FThkeyxFIi=G!C+4?Us z2q?;Eb&MA$QcZXM&FM<#smyVZk=1Ws>eDlmv!$;KGLafvQI0K5p4b0)xUPYJ6#XHN zh9`}X(#L+}`z42Vkkb8eD<0kJP9yN{ExqT30~rLRxxl5;Yl0<$(r(s|)@*P8aLIA74`Eqb-mv1EIf2PXql8KmB4S7euAc zU8!RPn(Uub&RI7?qN@<2N)ECG$F6IwbX~b0G{`QsA$iR`(4{^#cKA`cUpSuX_Hk}= z@q>HRD4yU(X*<_H62DdIa1HnzZ-K*bLpXqU|KaJql>bF?B((ZyRAh3!NU$0_U zJ+6#O*U)2H!d{F-+^bA=n@jM#*J%FSs?_tl4E5;61HzFqH=7+pE&x0!a2u%_GoSP^-imz=T^U} z0{sZ}pU#&@8P05DU>INKvM+jy^|^uNdu0eg)pu(WQaoi(h9K*|M+`)7O>PUh{NkDLAOn<5>uP{bV4{^ zditnUE6T0Eo&%k;p+41K-4Oi>bB%`?Y?+agfXE#T` za=fUJy9J_R3v{3i~8d(1%aO1*m zyyuq9#lwT+q{WQLZN-{xZ%I$_^&5&GbX%u-Qr~SoxPMQ!tZc#ZLnZg#?;8R8h|huj zo0_zrjH&9$6zI=^jFNBGk)AR}K}I9&bkYlm9mpv3bp2Yx<^>0Q^^nE>xhuK~Mv*P2 zE_|Ji1wnixMz<6R#foo#e`MiR+67%3Q;BCWZ>H^ii;^6HB2<3B1Sg9eS_n+q|r1v760 zFMRbK1H1P|!S-Jsx#ECq{WkPvy>jCH%*y%Z*2inCtuh5OdJ0A@_R8+Zc=D;f$Bk3n zzytC|XOi;IWj$|MyVx|1I`pCrw|U_Teyvrz*H&`Snh+Whi`pNzu4^@YLixXF;)rJ4 zAF!qRE~~n4Mef*NTb(9bkoY#{g7>nGqWjkQT+-9Z0ofo)c;@5oI6Ry9h^m@)nKs-% zM<`V=@(|u5E!Mk(g4~mvC85UZ7bo|W%M`rBCtUcNoGEX#D?a{$cftsYoP3dZq4FGV zLHOHLw%{&0?80iwQ3azIbSdfSP#sAD*AUc-w)eAx15xcW8@_T zal$IX3ZXj4PON1bWr(VRluke}GZM2UT`5!+=~n-pan5Mfeg(!ry-cVXT@3BqwQ9a1 zLTt45`7(2e$!Q4_AMQG>eha0-aU!?ofn}+lux&^X&y^WKdqRpEd*q;vCn9fNSpAZ% z)GwO*llnc=&Uk;)ZDUXvV&MU4G164k{l)BS(xNF=ubA5_mT4}Ei@LBnHURF&GBQ%9 zs|%VM@6AH6x06GUESj9+O+N&ui^?vPOdoK4z8g+MRDcp#Kog z{x`4rf0X~e^WXAk%>O%FecJz7=|ks-|BA$i#IG9vNQLj&JDn{Zq_8czhAAuwd?6*=0gNez%b|qXCE!~ksZRY1cH~*MBGm9;LlJt)Y z3GK!+zp=$dNf!f`|Ka&}(^&r{THKfTU;6(w|GBngw8;2Z#edUSAGQtuV}7yUzsvm_ zC8&k=f4usK=heUDe-_3T+y8IN{g)Qbf8zK5U4Cc%8>Nx|xfK0x)Bk-zc-NXCO5m$x zxO>%ML-R~@L)|*wXJLgIDcV!(H5{vvkd=7VJ~tc#HZVUkbeg3G#7!{2q<#*f9Va`F zPb#5q@f`qs4Lma%@jYT=ly{{iz)2sxUiUXzhbzU> zd&#?NJysJc!@JBZph1S;=q?$Q*<+BVD{FrjWQaC#d@6CSfpVx!Yj*HZDc^DsSAo)t z-@}t{v3e>_-@&~;Z-b#45tUHcg2&^ll|no~sin%HvL;g&qAJDdmFI8dYp1~E z^gvQz48pnWg_7bML)QXY?jIFi{N_G}&ez~~y|&fl zs|`aiq8*$rvhgYLvB;i=zYFg%W@CLOv}s+#tBO3O7ByGYG1HJ9^SVe478OX@R-wLD zKs_}9<9l6xUS*e{byeM%2o`Q;x$NyfMv$>?Er6ey*hizBR?O+#di7Jjjdajqkv~s| zRdhu+T~I%c8AYQuuP)>gPgP!Gtz9vBC@|paPrt{V7WNQp>JhBQE2ZbfI`9YW$~>DE zyt^nMy4LMMpP)kjf+OmcA9k9;XrA@(`6jcL$d~q zyLEn3dQ31lW4Jo@P?QSFQ|x=>8vKSmvzfLBFuMd69B6moI^U4dcf%@RongBE$Css0 zsf#Am!+{WJnLn7|CARfqzUO;YrlCXqUZ@7T<1M+42X1{eE6qzvY2Cp91wO|mGnZ*t zAa_y)daKi%yWs|c`Gy7qmb&XwT8-D_85Lc=%m~^U@)(nAT^3Qbb36)n&J7 zsZMZ$BKHjI7NF9tn@TZ8sKRofnv)MZ_i!&Rg^(Lxs+XpjKZU6xVY#omZZ#lFOay8VWkVb&F=x=wG?^qQy6#>ht!JqV979BXtJcST(C@>0Yrg- zMIPkZvDyK9&OvoT22!7^&dLKydtA~GhLE}$&lmLT0F^VjsZ%R58`S6tllFHBR1}r2 zR%6C0Pzqf5d=6&q?*L0cw7)N6aOL>dnE%(mDp*+@I1oQxRUTKY`H{$p(=ML+Ub4&P zdR&if<}P|!bIt9?7Y{ijc~&zj=>7o_@aFDl6DI2yX1-XQN7ZyQ61EXO9H z8F&U;)JzGdlr=OoEYC@Pb_M0-%@ya)pU*vOXliP2PuFK|W#NHsEGOU~FZh~P!Vc~M z)-Pa=W=v|##VHu~P*NJVn=1qzLrMGR2o7+OEE7Pb=QvqAuPBwcdy#9!45!(UvMHaqTPLeEV$23Amo$xaQ^5RCtU0Qv&Ou)U95z46YpiZ&J){WD`C=o z(=G&!P{M};;_K^o_}Q-u1Yx&+MKJ}ALf!a*OC?lHWwx7u?+cYtY!QG!3yMoW$Oi z&^n=I)}aqgP1GJnn>7 zvBc+Hw6=q8`9NrEN>{#3YF7a`)i~cvI_S7a37QQBhr)UeWMU}0AhC3r#OF`2dbNy9 z*dq>4Nu)gNm4@0Z9IB*L5x$oj)T}w#8r0G;e)&V>Gu`m_XeA-~i5@K2{%Q=>ZNh98 z;tk1(DW`-&Zi#F;gxze_`H7c{Tg*E8EEXW|Us1fNAR%<#;N_y_FglvPeV&*`*?a~flc=SF(Hbiyf!gvxo+vqy`E28T zyT(eCDt~<#jDZ^=j_U1wrJj=#UZ7jiZ}5itLwrwkba!-G`5Ni-oUJm&Z$JpoPA<+OK$MY*6u z5K`!vqc}ftBa=-7k&1>2ltk(N+xoP2hC7Ne+v0xbHj{($G=Rn)eAD6_jZuN_jd4)K zx;ZF`a{YBXOZ+tj7VTTOx;Lb`Qhj^WMTLn<%wv)aA3@8H!qdC~N_X|+$i^^R8>gTn zcuBhDf#L$5R6B6ZZvX@Ml?T#9Cn1!1aXyrcI2j~s<}6fUh79+mQnwey#TfZW5k2%} z^}9k%Fx01kx*V#@K!(W97A)9n3lYc`)yoCCG^hr8!DJd78)T*r>1QXe!TM^IlMOgm zfHSmtfU#JdidGR?RiM3uCSz}gBQQ26A@Zo({(S*iJ!7d1<1zV0BZl;t0YhPI7h2Km zSOX}~Nu=n1&mYoR=r6}{&$5r2ohQA$uK;)QGGNLM>!vYw*+|3Pqm)sD4ZaNnH2!X) zabJQuA4i)3l4idvHFyXku9Z#72wriXkYc(`$&I;wX+?SVApyef$O?1g)|f7-b~sen zQ&(AJNQt8+-*91?(>#It)hY6;$jE@@N#|vyWKG_VS)bgFl-6&&4U-Q9%JJImbrBkG zoZ^@W+HU~AvLYq+z-x?-6!Dd2)#}xk?GK~K9KUY-<38lX*6D=pXB&V#K6*S6IUG!%O&9vsJKTi6z0Wgg*#VZU& zDVsSZ@8@3iGv{t&6#>SxkeTuOlL|4gj|AdiV{?cC-+TqCEZjSNI+ROA5jOCrv1qq&pv$D^rhVIkk2hS-4KfrAWh_VcLSfcu3|h+IK*nmPI<%QN_PBEskIO=0@5{Ct z1pOKapxHJcjs_|c@{tblJ9rArC!=&}o2JOPv3)ELpsVM1Q-g~yrG2^hDawBQ3W4*3 z3|bO^Mdiq>h48QFx{m7Y)ET~Y>|&+hscG>tjUEtmyHl=nY5>99W(>gurVDn)gLu1`o;Pf{9R)>)sUCqd zZ>IP^m@7Mp%E=I(RUEa;&L@>_&srGF`O3bv;52?t4mz<&Fv@0c=BaNpZp|O-5Sj8k z#inWroPns7gwY=cu zK*tJN0skVP^n_S9@`Y@oHRmBSsPoGP-Nd$a6_(D4K)S(Qr0ZW$mU^HrKLiNye`CgVxuL~H zbQsHsNl7+09WfnqJ+}yV%cOAIwdJ+X!R4Uumg7j`!BaAmpO!sHOFhzUSyO2#9plNM zHr;la_{u5m6u*-cQ0tp@8K3Y4dYaF8`_{=4Z0VO7n~4N{WPu)-xv!OA5noYvg>U-2hz3}>@NHCi?I6>x7%T|%_?Er!K0$e8akcy=Px4s z9%Qrl#+M-TnLe?1q0s4Iz^I=>nFs1DG$I2hQ)GzSvyki_U&6&H6d5#b5XN20pFBM; zxEKGbDvIi64>iYLUbdCuMSsy&K?e*Y#&2uHhxj2hmxNS_OAAjv>&SjQ*hXsFWPR~+A?-Lcz zRv-5Zy^A7T^FO#_(tq`f^H*407FH9Tea+yHrUpKpDfQ>UCj9Gb+p(;78X450$j0px zekY;7szmLN=}L4JpN%Nbw)_Wh6-k*o107TG(ZHJc2gGBqsqG;?n~h0FXgBPF`i<8& zJUHbAeezUqK5P0=TO~8?Ct_!)wFc$*SCRZo4kIkOV=ZYm>5d95&%k{>&3kQnr%=POKjgkjD<^aZD#oI9JA;nSU%c^h^* zzW70O`z)pRRrPJubLQoDUU$&xv*C(4nw0PF=!!TK=y@*mN6FWLF(vpOtV+7MroI96 zOg1jdnRtZj{lor}pOIU~)J}frW3P>b07@rw1wxt&=slM zIh`lD&b1RB?`*Qd3Czf!?Wb12zdJe)tt6#09wtgQ;wAI&kFE^d2Gn`3&X14p%v~LV z3g6;)cAkzeS>2pL-9YhshsdHk{P23Jj#EY(3EH{D4I*!@$&beOkv)`>v}M2Lo^=9L zP<#D-4_fyxIImg?J*s*B9HgUR|1FX7AC`PWmrv|W3Z+tH*|y{R)G@6=_Kl6Ip(m(n z4Q^O@F`RaaWMKQ^&qk<9k8{Wtt!LG-{lr4xZJFsg(Ybwo53#1_R^<~nF&m$tETDC@ zL12oN1l$ExPpgU`J*_181MG1!(HpJVnZq{$EQaYAkat(H8j|$CZudl-}1vDx-bC$Rjsl>TD{No_!7U2DY zq8XokuA@D)XDo%X9U>(rhg!b8x@*2Dg?^H#i`_RLT0zgBz_8oQI(xRbSBr0O!9&rq zD|J16$co*BsB1>;GQGqstj?f$m1sNH zZhZh_Zsc=h-RIYv)AxB}H}tP}89T&BTNb+skIlN&5>8gPDxj>DYcdbD5kf(O=x{2^R5+ylAEx&0~4n$533%?}DKBiHugZ;mY-4 zT-GT^NflfhA4chF+ji}jyy4BuY z@r@kmNn4!2f}2>_t}jrLG`N=%6DtIdWARdqU1(*BVdAI5#0vOB{v}4romBO6a=t$bS-+qlXBrf$@@s8jKIVJ(jhYBfw?C6 zLzN6e@m#iBA-l*v+?#TpuEq+ETizv>#Vd@($nn+Z(H$tOgoDtr=6-Yq!Ts3QLl9Esm?FnyN-_AEqMZEO6O`d4GYQmg53ya_1?$EZgZ*G>KK5XCqD|J$5@?*Bn z@RI4m5Qa#5`?f9i$@EA-TKAL$;7)2l(ptc#9b}0!32d(DPYVi(77FiK})$ZL$JJYx5vu>`4yaUvU;Db8nV2cPR{B!m=tRA z+?i)+CjpZst0bleE&89Yd)uscnZ3L}mH!oZL%R&v`NzIB=~`YR>%Ks%1coPXX724!NbUIU zpdMd8m6L+rNu3?<<>MRbUx?=jeYDak2crZtu3{1XJx8gh6$5#LI>K*c~Fqe?q+ zwo{kKGm*wz*uwj^kep7^R9Y@WhU(OiVvR2TO3EhB^n|V-M+_NDWU^y(lwila zbRMwZPC-(MRifOw<^V5p{fiv6z~D8Gq%;5ca`6dG9ca9Yl*Ky4QdFir0_8*>ma9n* z+tIj~HOFBb2a7O@tcVt_o~wjDsTz%wHQ7%fSzerJ1@k zFEVAkxOgIG7!HC*N<^Yp7EOLuharFPAJYs+J4X2>QNFBW%wLiRE9RNVfombjntk;| z`e>-o!N=x?+XLb>A2Arzgxbn=?oj_E7{-1cEIJ2QoBYPV44jAR$G-jJz-k0Oue3VZ zQqQ4Z+{U3;NgLr+%Nw|7N@X=3*Am5ootKZ_xaohp;%qCLRZOZ~gmj0*mYMqa~DSsp?->NSHf)Sjt}sC%l-o@slA z&GVaxW)^Vu4Bw~Z-zz{Qxk9EDpVXhTc3(Eg%WJF{qSCQ#+lelB!UZKAr@w!+S%-DY z=bY?XeEZToX73897WZQuXE$vIe%_>BT(#BzFs1f@8B{4z$sC4klbFhL|L%L5By70n+$x>@E9q&X5?q_s5K1{4Rf)bfW!v}MaT7^r!EQ<-NvR?7_ zHkEc8sB=%TqyD{JA)l@dmi7IN9J(N*)0~=CvhqNJ@fwt1!ITRmuT$dp0gRsF`+7$e zkveP&+cS%|p3pA??nFYupvqtd)*R>+-@o_$D|uhxof5(ic8*VgBx#wBUn1%q z2OeF;t!4=E($mB0t6!t{^p-fmz*w(y>S4!0hhBTbQV1pY zY_ig#dwfWi`66GyQi4On^Zwk5-+vp`ze&Bp01-2GS+Z4DvzJeGg@|DD_lwck>u22g zZVf^2LL0Mr;g{nLVE4R9r3~^8N%qtpioU<1+aRCwjJ{CJCc>)C zdWvf|_(xD~hQN->ASyD~q{h0CM?N@?HbLj{Y-yiiCx$aIp-Qm^csB_ah+~qPfuCT zL&(P&Q9w2ZR0ZrYf*$nq;{z8(-=)GvCc+hQbB~fojsiDp0%{bH^1b5`xu01ogBRT8!kn$(sGko4*s&;B5RU__vp_F zKlAYwXmK5r2y;hm!N#tH+`4$J=wrztBKfB~$;OWg#?I5;0MBX0Q!%6CI=|yWI~?Tk zn>nACMTLTW+->XGBx)9_U!=5rX$qUg`?jh7Z*XEhE)#Y4V^xZmu@7bCk7;Y)fVbj>eCXq@#PC-=4r!YH1{_M99quz&Gh~2M4;!Bggnyw~f-M_4yrWdA5n;3fv>;L|prqblG`o8(0-KHRn|2iSXvIqUPDd|SgY)m)W zk?Qto-$(_4qXYO-67^$+SLQhfk}qw%rGp7-+vgpO@maE$N$!|3AQA5gYd)V~+cW_@`O>h`9^!msnR{#l*oh-$e2h?Hy2m>8Xoc zb-qQZ_1~B14zn5Uf&KI91CNah=cpaMayhMxeQo=SoD)3;v58u32m8qV^}ycwKkg8d z7&~t81OvEw!+?37pZ8UB($fLdS!5TsM_#s8Tb5A~xUF*tD@;MRz)ahkIkluyJyrhj z5988MTd-;Lbd z`(IMTuh(6e<<>@0qAsjjic5h>>RN%tf<@cMhF`$WSV0Q9mF@=oqMZkhcejwyc?&5% zj>)r*%ANZ)ni$GgY=r?%;p4X7r`9KgdfYt{#2EA{>CiQo4!AtrOBfaBX<$&br{)qJ zc`bsUcWd)&LxWnAb5}xcvZj^7E`vC=24s_So=4yL4raz2-Ff=N_fH^F_&1?JJa))r@(y#xC$8t&lgtMcfC%hnTvIeg^Un^@wnhEta$X~<|OO{bM?Y5nHcr@t0q z-S-#1rfK2<=XuvQrNB2$+3t|Y+aK~OHcdoVE_9rS?ub{^Q94SFh$Tu9K408OjytFM zxC54-w?(@wEEzR+Rstwd_STD!GWe5G$qKUpi|sPp8VLZNI4LISO+S;AY)Lh|xPwN5_;&FPWp z!xjR)qozAgZZCEgSq~9ITK5hS$JUddpXjnq`%Yl2s3E(!^AEyBevO zwbFkBZkG(TjCdk%&*u0 zlYNxOlf}Aywm&{ynRStIyav*#4I}HDWyw9;?g^Jz6A-&33qJ(^s)?ECb}dtmYFU6C zB!{kRt}>|GllK-QlJ_#92R0RBO6wVNqO^r~{h|vyV%&cz&YY(z`9Jt=z}d0;=uy7w ziL!ftWmL%NT#h9>7T!ru1CB0ery^c0*mEs(y`<0&$a-sF zs_V_=P=kCZVBX^zq=;=IfVfHv?^7VH;TnL;(sya@#w0T-R5#xeK)gW9puS*LQi!hz z%G`(~YiaD?!rXn3sk=wg_kZ1dS#rQejiuq?l$)ff?*pz0N{P97S~{IhVDG(YaXqeT zO4BVD3nwsBu8k3lNE4AAx%b&@-OHU8U_thh$0?3 zfb>4J`|!PAZgP{Gd_V5Lo1Em??6dbeYp;FIQxY9icnqQh&e>ejx*Z7^6rP2WIN5z~ zh6%Ug6LP4=S(#sQl`6j8(nI~s=kFZ+N1J*yb3%XBCdtq9Z((DbX;0iM&HJ_AfOuH| z(d8M*W!N6ymI+g4!|FQuRC1wcKC)R}D9qT3V-|4ouC0@&_YAVu+SxhrYLy8{rSz^9d-|b`y|qrf36+yTlpBy!aU^pfoOI}%u+yTO8lby%6@~a=a$wG4 zGep@gzqJW0ay)Ka^xOY{G#+Zkh_ST$u@M^dXjp_JC~bTYz;N`{JRK14A}Rvcg>AeE zSSQek-@zDfxgfc>fn0&sk>&K~p5mNqh}1(61uRp=dTo{wsx#%CB)`CWIRpR*iE3=Q zXMf#RTB>!slHj#br)k%iZZ6~YD{;7-am!LowaFzLhSl!W7d{nE>y;p~Hm*vv;Y)gz z`zTQ753ojhVA{BEWAX)Ze?nWm;VJ*aBCt*&i3XuL^8iEqEB@8TNcp&6WD(fL+SJj; zlqTxd&2aMJsQ$njazA)qXE~R{Gm{h3rXA5(I9D9G5jd4fsGUozy&lqKfDcHkudXMm z4@x*3PJ2~Nd2MRf_@U_ccBnIXkOD_ZIb!%^+Nn#JaDnM&Mn0E)JA)5nFX{w(G%Puq zvtumt%HkPqi2iwm@S%?01q3}>f*z%PIgOubAh9MOVfRu zxIZndumBBTSgfb(1T<$JVpTm+zc(vik_kxT9k- zZC%2RRP@Q+4-}=jX0u_S9DX-7snH9@yp2nj`kBky^E)^HmNknx=STi6bI%6Z$ZaZ= zB+ctmQKBbotni_Vl6g^;e+QuwJ>h^?8>{DYrkqjj_r*T7)>BRAbZ?NXKXr5^xa!x zuI@rGePNbj5ebZlk33Mh-&g<7W$QmAO=ueaUZSI~*0DioM}m+j@3s+Vj;dXR&9pFe zpy`z}VRhQ!0(C$b+OOy#e_`c3#Vz}?)U&Iyf5=>gTa$B@gMSp)L`#JZD+bHv965XP zw`Ru^(+d=4TqfH63-D`!Hj@6D8pC79(IN4|O0TG!3iM84*0uJ~UROjYUF5CCj$)sy zkNj1Sbw^gb6`R^Fax9E!06duJ)l2R4+_RS~$aM+#6@#8^Di6<7NUnTSYjP5o=Kw_& zw`VESb~!Kf;ZnwO88b9sgpP>jb4i$s%94IpW!OxFgRAbEMrwM5R7?S<^i!&vtN6gL zl)T+jKC1~sypNlnM`XBn+BiVTo1Xpfj=fbr`_X5s95ZgXI<^#)np6E9n;RD^%Xx%< zadNYn;EJ)C`rOJ*!Nt(;EA~wy)W!uy9OPFM4rMsb85a*})DFUH4KcY_Wv>NZJQ=U} zNRz-7ES!KB!ezAj=$vQE`BQ|p`Th1>sQCWyYIG-FpI#>{NdqUX(ZJ-pvrZ7GHF}nA zHZG^`yP)GV*?9nT_ulQB-TO{<33`hZ;~?w>rCq{Z>EVZqp>5^&10!-2JH6x6LKNS! z5H{6GLa~J#d%PC=SNXpWyH6=C8uU7Ln#WfJgP6_n=U-Q0^IGfdeOD}7AYo9yrrKW^ zzx*`w_5p5Fy&4Xll;W_*zT08MV%VzCqIvH`CoFLzc!Aj)ud#M>VbQsC@}BKm1ns%$ z){oBN_yyc*<}<9u#-8j&P9Z^hiQmh_-^Dlgu$@%ec$>(5@y~6bMj=w{Qlw@wPJ}lp zS1jlHZUHU~1L-*6?)JLHg?~umq539)ee$i15Q9XzPk7VGf&0XqS^12BvfPB8mm&BH+V>errK%Y zpn^&QK~0ZOdO90RE*Xo8smXAz#kcrAY7~L-TB9&0i+g>-pQM-;h;KehfJ}xhiN4d7 zE)d*AToL>5`rbeHh~~WK-N?bd`1BBaQJb0ufBv@(2rCVNez4CzPV*^gJonyk$qnT> z_2Jl}?`rpAX5a89XC^7Uo0!%It(jMe;-vcq56y7BYejhWpB=t(iMirzs#dTG_Dfj6 zI%{~$y-!Q+jkBwGbI(7cTPql3K@Y~2QoVU__2`0sAA5DU^DLp`o-o4hcF~D^MpyC& zsX|c3ZiE!l`n-oskOgd~(E>87%v=H?9hJ_0B$@JG#am|+OMPv6IY-J>rG6$C8B~0k z*Evnjj}V?CO{-En`{6m$w_s@;sC))Hv9~#2s_`4UE~xYyy)Gz3j$La`nQjJ_I^4hb zkbHrCLFa-U{T};FsxM-UCbip9wjo!$Lu^K|_utT|E54IhOSjTZ&dv%?9R-*i?%UNf zVw$i&Qn+0ga21kS>{JsJWP1q-HX{I8oai*bWDQexR+8CR{0o(rLScCssrxUnot}g! zSOuo-6jTQGogNr{s10bHs&N2?)bP*rZ29Nz6nqQpJ$)(R1Z`D_rXM^dqc^S#2>oR~ z1-|)t63fl`&aVNc>3Pi(9fEl|qj>Tah)6`%1|(0(YozDb>;9SxAPU5Q7m^DIvwF|;vr&oo?-Xrj`b5S=0oeJm|BTo*IPed9U{5LM>Wk zvby#>D}ewcSuZuyL+$nE{Bfk?6j;4Lv8!j&hQ;6Ecl8wbeSv0I&w{?j`T1zb6u1Ee zC{XL+)}{Egn;e{9tJBrfv^#iOBYasKFuv_P1zuhob^xVK2rpUr+yLV8_tXVEP1x2k zGO5TEa?nz$0@>Bn+p_x0r*116&Axx%)zfGVkam$+vO<{L{$=9PP&H{+-cb)}Y={peWy|GT(J0MZkA zu~Z<3$LeqWZ!r{Rl$E$&vnEFlR`-E)^@ucoA&ypMNZlWa++g$PK2{(A9c>oV8+jCE z#z9=?oTl@-n{pEO6Iy;yfS>FmLSg5Ul1o-suT>m2K8M0grTCXtQis*g@#o#i!JM0| zp|Hg4gng}y4R(Kzn#^{{hzSjVy7mRAy-j4vD)l|Pzr-mk0jSJO&r+m^&EH|7hyYX} z%Dcpj%g*MXyj~az`zNW!l+LGe?$a^G>gE?JZ<)(DlF7^(cdQyYFH!LgmhPcb&Q0i{ zYXOQ5^s)eXOA0O(n9U_CL=U))+3*m~si`4)H_{0}-W$bNhN{WYecvGV!u9h^Gjo*S zXjXSEHfl0quB8I6IS=vZXP#p_-1EQH+8X})2@n3Zgv^b*LP3cp9nXRU=N# zv0*95%`mJcUgOh2#FBa<8~K`n`Q?%Un}6tpg2ifi+(5)wN^YMx!EG5w)Sq;hvA)8-V%^oaV6F3{l4b@rY8X-fT7rREcQUP2V~ zeD-yJ881d+X;*u_XjEU&ddm2xl*zf{6kl6m7WFbMtBG;{9`jAhGA+}rQC!l7jj38u z7JIk3xlii8ptd^p2p)be-X&?;*V>xWY3lH|RV2*i7|7wdaW8ZnrV2nHHq54~j(!GZ@8gV; z(Da?o^I%w)L0oGvn&AfrCTyNLOcHuN8T-UbmqjG}3LUb4#El!yl~yB+lMsgB}HH zo--~fTALCn1j+UXDWBUdb$1ODO0nDUCRlxs9Z6L`vO@eBQn8t`$?xE7p)24?TJM4U znEcayl(Uc51tJLI{;z*?mP-`GcmjMz6<7b*+U}xTrP2TeiaEnfp+oz5daU!fP7vBd9ux^w$MP$S7choNl!b=f*@**dgrz4%s5usTY= z4u^Au>1(kcqvc57r=$G{K6%6^?4b91s_(vv4D{e3qAzxJjWPR}^a?g6p zM}M|w>zY+ZyJPT>>~Te{zsXQPH>moXX|b6w5c~&G1uhL)%xoya_^QX?WMe#igJ~*@wQ5Z z9sNyPW2O_bxo8R=Gz2@rHD@&lZgh$}o%;^S$Q7-jTf>In_mB^%u+nqIVz~3bAsMbX z3vZs=tj5GH<=Kd=ovTz>yT`1C0hmqe6<(eH_`e%&P+dKMu$RcO)?st;rS4x7j8lYn zM4`j>;%!mBt5g8SIr6z=@>+o^$pFILzw$@fNbE9r`WgSO6;51#@_LsmNH`p%O=`s! z_48WbpUxch{A|zDW0tWWy8 zuaEZ*U8Qz9WM>ttR(}OJ$YeLcs0U$}r5Vbupdww?UFP)iUjePUCr!E3X3V=QN%p@2 zi1jO*U`eI)XJq6j%i!I-z}cQPftbtBS-)IC>?16@1%a8}0RoAcsUHq_2UoybjexjY zMn3~)Z3miQqT7$oYGNvv!3|}evx?8^BQL*fp1Oi=M_%q)$%yp?gsP=A!OAzO&k`i( zR>(yu&$O7^mcf{E@7bR2(@0}P|Hv_H|KAbkm3hQuVO=Na?Qxj+dT+@0?pN3DsI<~E zcN?t^Vu@tnrBrH^e}rCRd;yE5?|4`Sl+PabA;5EsdGTV_lCSf!4-_24e1=oaV*U_p zaqwnwmwSWO`*(uyr^Zo!dK2k@7fm9*_nPo7{9V|5pEa>x_?`tYn`5gp=WY+_tTHZ= zuhuX6AJ#8=91`tjD4G#4sDg2Ca!#HS&dUb=-I0PeeDwZH8RYW17}lEoZUkrdZQc#N z5C4h9V&ZsiwDB$WS<0gK<^@4(tOViJOJ9+5$LPU(pu1mW>v2mB*-m6{KBBoG-e*5{ z#;azBVRyU^BsNLD0nK?wUPU5PjelYt8}NkRe_FEP?tT3YVvXkNb?@}!sk aHG?? zrzu5vGi1r~-8n%xq%lbYFG!7n$n5pa_(_B%xZj4*mqlVPi4Uhk22634(w+1%vlhJ3 zv?p;Pm+Z?YSul5&3w$til$zfg$`52d$3LN&^9{v3b`iQT243z}T~rPg7KNMtS$i{- z+^{vh@Re+Obz!-`eGJ3nW7oLH$EtCkwBVN4CFjI@&hH-e2ePxhxvwq(+*@_<$wIK; zF7kNn`(Pjccb;AlGSK+9Vxelo8)6^(k-8rzrrcm!lS~ZVqU@@-3AgfZMI95l9PD8j zHS6|J^RaEq*-Edl%!1l5c9pa8_4|E>XhPh*I>Xy(J0E z$y%BhXY0Vec0Tl5w4xp)y2-vGIKOvs?_5-TGMF?m2zQSq2>0g4^v}85`2YHaVvrB> z1<}67Dqm+&lW9;BnDwOkdr{e*_Zk0q2QJ=t$9+1({n$=}B{N=azL z9gO4nWYZ1p1)$=kmb^Kvd)cx^DANqj3PY6PV7^YcC{cCm4LWDD5-M#Wtg|}1u`^)iMKEpbsD-_FO@&iR<2CaG$lOTPGQ^|wSto5?m6x^OH> z_j7YWMVAh{s6yStw%^bnDpa$WC`jmqRS-=+s^TzFX=(u8J271k!{~^F$(-1}D%lSf z4H6Xw81)`(hCBT8AC)=QuXXrf*bwXDci>IA%RQ^ml=-y+iI!YLj;S;?eyz}u5X&T+ z$)vdw&#ZZgyLZ4Y8JQjTVL8jgPg#>~=2pah%Hh)D^ssb;GEHM9U=>|6MFjuoX2>q<36|$SKDD^BI%;}&xC7;w*^G7*Ayg{fuMLr{e#uh*g;mS=eg+K4LTuL;PBo{w<_$QS!{ z=}4@wyu+i>xE0GDpoH=25DknkzY=sSZ?@?#GKiZ{|6nA-r7y9vy3n=j9DW&1{^9M& zZ3qv4NvrXJQmJ%lg3eqp_m^F2Rd1qVB#uSJLC3d~jrH*uClY;4O-YAOMivy~Bp3_kT(sU0jB$j>9(y44uS3B_`pryi00wnu1x zQ%xjFz(Y@LYgd_HwDgy!^z_zjS_!iAjxySjf`?ih2|vA6tYOcqY*-C~hGV2s%;nB* zg2Y0uyzye_V<+WlI%|wI*-ciB8hd9wa%!EGvk=Rq8dK*{l#3eGh@?N}Z}Uz?h1vfZ z8;u93M7KDdZiJ^YveR;aXur}f zYo4=GcgW;yof8p$Yro49AczpVwLhAr>qFUJRm?5V`@rlQTZEGfru~I~aF)-l&%Xm4 zNa5LnY5HBYwNkGVzX8c|9v9~V#d_o{cUq}eqaU+a`P|BTT={yxIpcAy9q<`-fG%>O zjeuc-!KKbAe>!BB=dAQJ-cL@dT=L)iYMec+Y06&9kr6SawW9^8%b&JFReiRHA!*sQ z91}8^9!=!9I2S6`v(NpDC_PQiOd*@d@v5uHpXT3nJS%PZJ6QYKepohIrsAghG%Hg9 zukugF^bsmbF@UdW%2&&g0FkJ*qX5ayUu#=^&nh3lC&xuUVdl!8oj3tsQsaOqTM1`;~GYZRRb8R$2=ND1hS!;Sa3k0oaug-OE3Nq0v=VMVZ&+CzYS{ ziHxp;>i1@Um!Q4Z{e&x6%C8sS|5d05E?cymNxVaipAJVHBY_Ma;yVTCmNcjvPVuYX^pla;?AD@fAZVS;SZzi zXJ%vCN*m~nkPu&S_`^tym+Us#M4)HgUj8&Mq%D8iN!XaTd?)x1Tg!YK3L_tIIr|k^ z+5j@@C_T;0ETfqS^yb$o*cQ3xC_V0t8nll&rM>z12g_(Ds9p|bq);z4$E^;TxS6>5 zr2oJuB&hxeicoRV?}1TX>8UUxrqqkl2&yZN%fnNCjlCmpBri^~s7eK zR!`7haZ#O0Qfa0;@bQnpG;SDNFs4Dq0uozbLParNBmB$c+Q%8qx8nIcd(*^L2cSnbZ5Ork z{&o=(WpX*=j2c_IpgdaTS;6eeJPk#LU!Y9+c{7pHrr$n~+B}63zEB`1DU6+DFVFbt)z0{p@mKH0L ze~jK`<9wTsSAMd4IuOR~KU?bTk_+|2Kcn1boi%QPxtK2nc3p?z;Ujsfn2k*oPyO5f zv0BY`;5I$vTi=Z_OOT({9fvy&^XM2oP=eVe6$E z;A@zVUQ=X$$)brON_)i1VWQlGaCv6(y6LOS-2*lqc*JrMZV>+0|Jow2;s8BB!oN0A zXexIRT;g8Z>T+FjSPFH~7Ex>}b$Myw{e0X7iMQgx8_h+U?CIs>GM*4p5cl+{=jvi9 zGoB*7sK{1tr$x+-8R~bXt8oUa__`wz=t(nX+->7v8LelUYB3CZJrF!PpZibcy8x>| zcBZ|XK~z@a3sZ)SAtgaQ*J5p@=nVJbjI!eLA>Aj%&3SgMU&JoNmLiQ_@Cn4K2-c|E zylY_77nojq4_ap~tF`nGmS{d6P4p4D~>{zlc#kMX%afIBM4d5$#r3#BEPPg3J z+SbJ>R>-q^T^{LKPB=!9X9vBraL1DJ?2PU#lCioxyM`|<7ZVd7H=9ucToXShCPFta zP#y~9krfpdvAmp32*7y|acTn;)vBeJ(4g z)(JR!BP?K=1=RKAy8yd@Y4V-b@t(`NJo^U zUGT_|t`Go@PCy7~w&x`P;K!kx38-J?Im_J_}xkCbq)Qrl%@v~~HIfRTTML+f`{-;YZvncV!3EyB+X&PSO9CBpQ z@^UsmuKgkJcRXPQ@H;w_&!kRq{@;ZjCn09vHMWy$m)%V&8)??^Q)vK@yy`|RmHbpH zcczjNu^@ZSU13&9sS#!l9KV9>`&iqNvlqma3@j3FeLlO8F6DH!h58Ylk?nHLYBY81 ze+&}c7%eP1X&HRVONW~Fj{L>SBlagUj0XG!+qVX)7i<@v|~B5m9JsE5v04A4+aEzHgXBBrA17?54u>0t&DVZylU=4*9=WjgA@NiPYiJzcwRc$mLXDVfX2{@F%>M<43G_4f+)%Y8 ziE5JE*rG0lfos61Wo>p@rGPu#!f)a40V}W_kY1@ zVlGvt3(UG(h=~iFs0r4jZ*+R7L$-js0NpIsSaV*PH*iK=xKwGvi@ip19RuioN7$)0 zDFDW7I4N%w(Ay?@kjc}FkB%;Q;3gK6g=b~D#tXRKT>?S^5ASvj^>+_D$dmXxJe;v6 z)VLII&|F-9eZI%1E7@ueAWx7O$3C|Jf7^Bvb>vDy~s{ISny;HU2N*%vK(Pc=3M5zp-|j)zvk->~b;_%JJWBhPU+GR&({7qtKebrM&hZs+>nRrlcQ4S-y2D%a+15o<_4T*iu$Gt zX&Bkjz_6cuC{^lj_5%Av+)C5KGflMk^)?UXbS8^8=&ZaMIODD0l@gXqA{Wt0m$A$p zpWfHH@EaQP8wUR|GC*nP%)G-PXm8BqPSY3VPwzH|^c#cXCTU1IO!~eOpD~O3(sjWI zFJOeo1;gH%$AQU9Qc=yh(fu=&fyuj4{cauW@n<^cS{b*`XRcA&^XvNs6n3|P$sQeb z@r|rkXrFT}rj4Q`9=+DNzK3PW>D{@XqD}~VFqyps`RPwpa1K2ukK{i1z7KofFVTD* z(M3M2#8VT=UB*h?OVe1C^~2E8;%v-}H+9>Dqe#nsvvTeC0ISt59&|RbU`$8-(V%r7 zmZ-3MANQG##_l3mBh}%#%6mWWhRgfZ8(^u4Ir*VI5B9m?ccN!FWxJ_vIB;a?Wew9t z`-=(FXOs9X(a78ix80Y^PE5P`^QNQMiwW-L$y-hwle8@=$lUL)yU{vxYO7Hi-n3^0 zazg=1<;e770yOVN;ORhfPZYAINd@YSbA~N66ku15j6CK-4|z(Btjw-x&+!y($auG3 zGBR#3YrCH1r+o+U5nZ(z z*gDwEUec1O1d809-rZQqx_sp-oCY=6-)-5AXvy+ZE=Lv}SE8%-0vUcc^J#brC(P5# zJ)DEVM9;=`z45mh z(;PTd8d6+~_KD|tzb@^MKM_huDB1ry$Lf*G8HcO=V;=fv_i2lU3Q){Q1>onL4Ik5K z%!_o83y$#&v)y-Eg1#=SDk+Ky2mXl5yNnsSAQ>03@* zE5U&O0~*X#Kacau@^Mb*OvJ8MnT>GL%Wfcu&0(-k$5?0YrSSkh3v9u_<%*goO-qzQ zan;$Pr=h5cXgi@%Y8k@j>>8^0xBYWLWa|tWgPxz9B9~p6hh*ZZ{8OGa$@qQr6uqlJ zQlnf~fwjTU5&3!AVCF3AQ?_-Sj*Gq4cf^TQx@#J7Oykp_T&0E({=TiX z)@+1t*^)Nxt)17_m-Y4 z2E(jk{n;GFZRx4ZD5fSq0DmX8^pp%SSuov$GK;p)mG%=X4bnq=Bv6F`_}NeCOk- zmF^6|PDJTxMP@W;!b|3ot$Zi^)Khl`d#9qbVRG;YW`8;R^cMI;oh~mur9-4>?RcNP z6P_-{gIB^ZB(lad6E`0lgv#la!|Q&uotDtef3^>jnPHe9LB1?1_4*mBBwyb#_f1<@ zoX}AR_%wC2(!Io+4lnhZjipnlr*JA|Dlb}l_lUE+NN(oo#4ETYo#U7+%1a?2Ebscs zM3nRl?S!T1DJ~L{j=G7lH$;&r)Qcdb@{NQLAzD259nE!BWM}9m;!ElOMcOOm?Znx4 z!|6ZUcjsL*OejlV@|359Hb|AHeDbpQc%@C7*9isAm~8Y2Sr;IT27a#?Zy za=j2QeccKYM_t{D`;~qk^n!WW^d}RayexDp9#-0VOo^e`UI%#QsYThJz}4dHPx4M8 zQz!3D+i01~L3Hwsm?3G3^}kTRD z)T-n|Olehu5L^XDL6GZ$?Z@#H@6CM{q#?rc^)e{j*J<>`JEx`2_z3a*X-$at>v{`R zxcq=P&h)2JXAuN4f4U5{8)si4gM3+@O|ny5DugvXsI@~3aW9yD0}07L5vQ1LE#2dW zG|Ja&p`2pvZRIjS6GOp5A}w+5d2`e!vEg1Cy5gkMD0JDnoXp!zZ0s@n2kjr+ z9e&Fow%xi^8L9{SYF?6$R1Md7ds)*9uZFss%zIn?R|*A!L~G|gWT#--1Ts;u{ROX5 zXWW|z5vR4I4>8T3_J?E_OgoC65}U+@%(y#@ra-(`|N2Bhyw^h`-aPtxR|$xHaw450 zX>qLNWzc`i>|CB8Xa3b7>D3!;PGV22<46>{OfGQ%;c*!%BoFq90ZWn+13_ECVPRkr zj1PJYJ{-}04=QpThN6)%Ck_xhrbXGx=Hdhh9gCtiWOMN(i818pZFqG=`+ewx<5(1P z9$#2HF~$we1+NZmC&bvJKftTQ7;q%XF#6~jxN}6#14&v88+rs~1@DoCg|yRRsL=-~ z3i#f~b~+3L+AnV}q#cC0j~34>k=6*y!Ih+k;vTD_4N=}Q2GWYCoxB;D#xU?35BHe3 z!Iy`EJ*9u1GN(=iMWx0y2OJnBxcyF!ezLD&O0UmE%taQSg8xG~)Pl9^8@a{X$QNJb zAOHXC{?nZl^&YE-50@3Js#v7Zxm8PZ{dlGL)r z>SH*Z+USu-uRJptHD&V@WqZf%ilrAmbkksOt#1jaz(JHykx)yCJGc-6cL_9T(y-J}AORbzP1mpRH?HRs z=;8&6Mw&FD{Sk%<9i68U0mjCtqU+$p;b1(B3Hk^g(agLiV`qrH!={mE5zzt}rY?P( z$1c|^?-wWikP6Bas*2bk6i_cPrIlryK6-aT(Iz);WHBZ>a0Mwv? z?-9+wfeed}JWax)FEGYXYVsMBlspjA&(i=}v1u0^r-NR(TlY15g$nZ=5H^Pj{Vr@C zXZ**+rf4vU7CQTG)(kF31r-No%^sB^Wu5Q(6pck+hKl$IZ4ql@(KHVO-<6SuvsHq+ z1gbrblD?*b^4%p+p;M-rZFozy(7_aW&SC8jFP~~^jHQ-Bx0S`-I6=RoJrllx@J9fd+G#tk^ zK%)Ylqq+$z@2JBbw7kws`55QniFphEK!xE2;J{>PF@P{ItAW#Emgjt@7=N%?rKI+H za0|BNz7yIHz8BU`iU~yH!S_OQuq3IVPmVp%v?%^OTbYHmxa8p?o22>+DY(V838Lc> zngj?2s-VJ}D?_yaMcin^jZfZeQUaYaCpiA%v?(l&ZJOOqjdl$Syj#}!0aP}R=ZF z(xe;+4j}9g0YWn`_71I0I+}9&5wJa_@Q*8Z7tizUX-q!vK9Z9nWWjTk!B&GjWxrw} z;A#t{UkS}+wMa?(rjzx}j9mYN??IS9Ua|qX9Nhz>0+bfxI7_$?AwoZ|lM&%g>_`sD zmJN|DwIjY}(yaZifBI;$bucmhkX-es;f<^*U#GyHfl_J7ODU=~`sIwXOz9a84`uxH z^=n>Dqr4=!UV%N*;4Ll>?K>9-YWPV=NKG`d+4L$+dfPL?)BWYSF}aWr0UPe^o37V< zoj(`NmHrm4(MKx-Q-3*8e1!BAvTV3B52nAOMi{LvHA^gFXY*00N3UK!e}vWuBatB{ z@p}2363yp0(#eafkhtHN_RcasJ~XKH0Yyk5%T>i%_Ge}Kf0ps&kP2D$(D+Ch)8GaU z4}bjh$Kw>Gai<|Gvb{gwi3c|rl!{xrlt#-K!Cz0;k$S!?6k+PXW)7i$&~I-;>OJ^@n*ixYQ0yzN zEIXv~VsJ)@{1kP_``R}Gxt@ZbY=F*x^lI<}6Do($^sy`uMWkDV+P`ygYL>}HSkQQT zBYkLs`wC1klk;C<3D?vnpR& zC6N(8mu*f0c3(gyLnRTz0lLUTX!H6GFN%Y3?oJiaV0Ar+Jg{?i7*TeFbHLvA^$jM` zDP{L^C2fp8qeQznYLU`}a4Ly(sl0gkSA`sU*RhF2l+FP1Ny^re<+n!ZHp&*xP*vhn zveHIbgYfvs&UUO5Ep*hKQX264geS7Z(3Z#l_)yZ0S{gJV*en+DfYdH<7UEN&I+DcD z0z~V!R#^@&?%abX`6y3d54wDlmZNGB0zgT~(2%gIJNe~s#8mRYpJkkBLUysTD|xnd zEM2~^WUa7%dHj@@U;_%!Qhd|pYc&5@x4bc0hBP>wLqH5bfD(GN%L?C#%@@q83DE^a zJEn%T>Niw>Fas%lS!DgPPR~I=(e_}hwLQ_LB2}1n?Zk6%n;qpys7A;*)_Q|ASB2VP zw9XKghAR=y0ncV%Y@8PPJm#9{%O+EaH*l4Dg#Z&`T$L^xvrmzoT8S=qJL!cyc@^r= zNu~(X^q80mtsLe=0@P4|)1sW`dRJhKv;mjEU4d~_XxuOi6Ru**e8-UlUfdp) zuGl*)g2Wf2fa)Sz7=5z*jtgzRe5%+Ew9@+CuC%YanJd#6qlxvsy=a{hi6?z%-Fn}7 z8l+hutegG}#4F-f@bmuoa&9Y6_arZ`@~ME(jpJD5(CZZ=nZ4atG0{Ost;B}b-^8|G z%|5-QhTbKgF!281IdCpaV}udwZIVq>LdzXsVfPmY21D_EL;oG-9eCR;3a zOR#c`X$o#O7*_Th*R14BVnxp6G%y4j#_HCAyz*1a*hwU3bxT8D;i+Y;Bx~h#9_yYSY5@&TdZw-ydjb2uo39d^vH0q^@tFCPes&HAS$8skO zBtB2LR9mAHP*Jf?58>W1P1TK@J?360H8grQU}9~zQ9I6+U<_Z&6i}hFPLJSr6jZrh zP8UShX&q%~3X~gDM2%$BVYe9AdW6bk)H$h9vT;aC6&`&FwnNMJIV$tvZxXLVo`~tW zAxBBWJgDnL9-3CKsu$6OOPPxPJ`(U~v5p#zmKB4g!^534Um0#j$ccqC=Z8DJb&D8{ zmJ^GfDhzkhePz1I3g<69bB%K{c_p`5#F*U^qbs+k`$})qhB3QS!dOn2Bfa?eFn-9A zttu}wb++i38IH`KXBTZT?3+`TdNS(!dtK1Ou#dY4M6}7he)*)uw@#G+kj&&HbyCqb2wn;g!J$z0R zf2gYMY}cg(vH{f&lm%P|7Uez!@cit}gQPb%WW}N{;M0>E9M=-)r&|FGml*#dbNo$- z^<;bV+=An1fVQgP&bE6>AV*={7nO>DfV@$@ka_GAhN1TMH=724Bo|H7lOS!(1W?tl zs?!r33A*7&GV190Y$jq0MxjyU%#<^p`m?=u`F63 z-sFC-ZEtQ~b!@yu;6UQeQ(rBWe>?-kIsj#;qy(}T@_(r=3kXOb-3#}i<`)CztB(EP z6`Fe={!ZpgC9%QyT4AkcvGd-EBhdGKL zdRJU7K5sCl>t!x2Y)bqD;|0FW(4WoMg@^V;)` z?Mrr@OUwu5AZ#dT+)v^Xv#lIN2Q+RQ$ch?W2m>0Y2V|8W_X7%oD^5=ulg)6T=Hr(_ zfSF=O4}?ZewBHnuegK+fST{Ynpb2#Z2v`3(KJja92Wz=|VYPv#UGOe3e#+HEn-cGt zfT|TY_wKf66cDb5i2#s=0PdtIH!oXb1})kF8-0c;5}ppJl|AQE8ztJ<62N^hP3 zvV2wE+0Nx`yMKJY^o;xTWH@>LF~H(CK)7Z!Q&ueGqIh~zN(++)z`ia&J)u%|#tnG3 z6~K3iNmF(v02tH*P_momz`^jX20{%mjevUZzoI6(2@+-os2t1JHD+1_gT(q@BqvGD z5rvQQq>2;A5`OsU#q^USPC+=Su`bsjeBPvf-SL_A$gKtQHqmd5QQpmr%HG_D!+{Yk zrc@wrdmPR~;l)*{o6P=CfBJEa#=%!8QwB8x@_1r1qjd6{mdxM+?!kh`RioLJFx9Qv z&mf|ej`!lWXs?W-qWr(=7OmM`KH^~qUW6+NnAm7qIr++Qqc63w?8K;O=Yg?L<(Sud-c}(y@gf>C z;{(F$SJRPa-oYF78Z(mziwet?T>I0;jAjWPZ6wP(M(7k{4m03g2! z)s1_e)Xu^kv%Jex=Vq^43->&!_WU~N4G+k{jYyx$Yd81h`olKKpC2~;d=HGy=W~`% zPTDvR%+4-5&6X<2S<$XN+6-en#831^gVixb`qV@H%U|{yBh|zgceEOmqF{ z!*%_Ngwk0`YENc)XWMV?XT@P#NcYUx83`HRo?k>%W5k{(jWcLLT(z|=V9({CJYWz1 z;PkMK!S3>ZG4q~LO-0?Js03-Dcj>)11(Xht$p-2ZIfJhO6&{3pG zlaPQYML{4^1Stsyg-}93LV^k9;W_t?@$Mbx`}cmlG1k~~t~Kjkd-au>Z4PR6J=iuS zz;o-sYVphJN_0K`&SNlSilifqkQ!1t=$_LG6dOw1D(ZsD|2(i?tbe{sUxQDw1k+BF zN~95I2C+qY+JR!$PxTZ7D@;BL<#(}*{A9IVe0{i^!`D*cIef`qPe^R34cn1QY%)y~YL}K)a_0-S9E> zS=|F-S`!>CrdcRUacL7?S?GI9C)$SLA-Hy~J z>=#E))A#B8se}CJ-42Mwd31_DHIxaFHAQOT0rS`6x0H8PEf>?ec2#W`>yf*v){7&9 zyQ=n!>tnmk*A~;h?KbnYv}0PDxq=hUo_sBX1lPIr?&qhAkS4Hyyr+j8TGnV{F01<+ zbe|OqSs{#ZV!e<0VyeLe>e976Ln6`zK1^kL> zpCZWw4X&u}2_7yj`|BauF1?ov^qn5))e2l+ALvyJl-nQZH45}S8Hf=N#MC5{?=JK` zWeSd5=<8()wp-{UG6e@MOpHI(I^&EOD6QyH6Op869*h|$i*g0$V%YgI$<^^Js$7I? z6*1bP><^n$MjHc=Ls&eaVoQaSpw`c>!rKDvM|xvxj}T)+Lf9~9dQ>vOK+%J2(xM8@ zh)U>3jtn)f#@MINO=8f`u_9~G0Q(Gbk63^GklWVCrodh*WijRkLbQ+k3T$-vq=G-V zbrPA|74~ffS={w}eg#?3)s9&~zUrD@TS4Y`g>A1OUv_c-SwR+dwUbwnxiJZR~W9AB1{)M*So$XqwkQs)|SFgZW8FkI04U0ay_P{kE^ArAK5ZQ{Q}*$ z+S5H;f?^?CMbziu3JQ7>d;GJg*8|afLb{S3m1|TU#Mkn1J&w1fdGc5mT@=^TpGD3f z97~{shCcYh@3bK*cH)o+=Z0$uqXB7vnHRrC~oo1 zfZG2yeSng;VaosigtuiRQ=oNK6acgk2nO8xP(6y)rgXl%z)MN#Dm3D{$zv zTf1UC;HJ{;7d~JwFk#)*PPoLYtJ2L#WZmsU9al1d*pm%`x8s5W<`NRh84YNn^@%Dd zEmLTcD6;{5v?ft}X8jt@5M>I3ChRa6@W2^}cX7QAJIc5clm@Kc)IO;kXg~+&ABA(D zh@hTV${AzWmbn)*s5ZSv8z_r2ya5qpYm2Mz% z$_~51xoB~sBkHkQaB|$5KVB45tT?5Bp$qy`vEe^oSywW^ z4Anly(@>t^)?pPXar6{_T;lwRBdYsp4{Nj}@jPx1)eXY17_dbv6EEPtp^_Xbq$;T( z_C!MfT#V>|6GbIe5=?s9VZJ7l3FT}CtkH@@9-N2#mfc|#$Ue3FJVY;iaskg1&y9gh zU6L|v#TPr`=nI2a-I{@y8Kfr-l!;}aIYnnSoD4(Lv)}{;XZnWEyxod%53koumNW0Z z>O^gT9sGj}O*4W|zdyLP(noCCB8!o9Aw|0DNV~^`+1F|>qYj3)*^y$<) zD1qqMZu4U}of~9eHA#KYU{1vufWt>)qd{`pWkHOTAcMFd#9cG)S+HM#W}k)T?dsVk zn43v=$hSj4z|U(ddFjOZ9u|V^SMOG$-*BDyvc~A&w*Z z3Q-u>gW89!o2n(mHQeBDfr@p^bgjf4sjDS>oIk!uyoY0ei6xh_8Zbnw67_L^QOq#0 zr*WU~NvwN#3A|)5EUUwm|L=tN`~^(!j6tbVIQ8voJ)tEY4AI$-%%N^gd(4GVagi4? z@$rX^@2RMypp_ZL+ci;zhff;k79S0{!ZS}5QF1_h8rI7FaX%CBwFxyfS79eA(ZjI; zh*DKOA3sQ*=ULE5wqZPZ77SM?V3)XF-;b4h0mO5f)Y-+4K-G8_7#uWQ>*KM$mw|YZ zv%=G1_J=%g*v=T6_Ljp_NWe2Ja8D&PC6Y<))%e!qh|MwNfg}}c_Uf(&R4F&P2yuQt z`c9=ZVq2zoZZ%Wd%Sa{oiru~YpAn)(9DZUcqAU_;bSK!u6j8>1m=z51Z?FHvpt%`T z;qPtj?!9GcVl~pwmcwfwReC+Ef7UtoE`rUw@^At;*984@Nj)mfgvw#(uswH`B#+8&>AmrZAtD`z@2VdNT-2g0a8F#rhMLNhOt_8%znH07{Bj#fk;xvlT3yyg4F{oKV`I|ZS_Re39Xgbn02!A0`dS8&0WQ@D`htW)fJ$#_K zkj3+1D}&_xi=f6BW=;1>t49$jjbuZ>a@~O;2THD?QJ-#l?ldl{g#6+wdjJ!)x;b74 z_qa{W5qAz0v6L-eVtv!eerGHzb7ZahP8j)ls4Py_aQM<*i;{1AqO4*#&%HlwNo*Vq zcPia3v59U2qF|t2#c&~5{8AwsSA%n9MndLtA)Kir%Y^&f<#5yh0RI*R1}*C0I1;UR z;B+W9HeF|!2rpa!mBY5Fiedw1(-N}-mc0OhEvTEI?Bzl>{)U^Cml6bZaEytYb8L&< z*xi!JQ!bKq% z6|<&JEM$@D6l}TI*wO7}ey>8z6ybFHsc-QJul%A_ZvQB)gSs-^9^zcAEH zI6nOGc1eDkm#%6TtMw}S_0`}2F{qDvQ|~YSo+h-DMf;(#8*O_*PCWXvDVpFD9z~;Lp&sAjD3mF}XX=wy zri6N!La9uqT`HX5_B#_2l_(eqeAxae)iTu|KKm)81G1w_`3q>;->eVNg;%L@_`NZy zxL@BK;U=hxYSJYhG2`rUM!=`npRusrAUzc(JrIpihc52;5WX}r$tdVNx$rh7xHaoz zic?e1ZSsKM3VZVoKhun5@bkFDQYZ4yUw=IQT|Y(2mGO_I22GXC@Lh^$b$?gXh?dF! zDb(bl=G^i;>$DaCX>yPY#|5#$ZD11%snm-$7-k4NaT(>=bN7y_&?c;QIYVsdN^=L^ z`+bjN_CeOJ z*R~{6c0gOY?^W=MZgA^LwuZy*_sQHiJN9?Hr#wXu0JcuJ>D_Cs|1c6vm|mN@N129qvgN8}XSh4+fEEjWva^e3C;hjbtJY6Hw_LESMN7Cy9i zSrm&3W$dYV>y=Nzs-Ik@U{~O?mVOSuHs@TrD7iT(5tM)uOlpSe>uPdC-ToVwoj=sX7LG3LUs|e&Zp5mRoxtaAdGlm7CYJr-$?9eZJS?)8_gl?)eb<^S$5) zoR!KX8@{GV3Aj#2x%<+ljTgtVe)M(GZ{yWkE?$$a|0}iJSf%MeHs>{Tzwlh+O?fGm zBrMROo|NA%uad?0plt-qRA?^!&X3jNow8)Xkm!WuZT;Kreh{`8^)!Pot18fn&-&3; zywMEQAl@^WGpV>;q9|-Vi=&$bBZ4KDEhLxWvQxG}iZl5d@+CHEv3 zb<0QeO<$@sNkhW73qKi7NKHL4YXdsIHrZ-Ky?bZ|7tCuOE`NQs;|*uiL$gM~>w4R~ zSC5!yzQ4VtU{vk7VSnBJl}}{2k?kC#rgdeG-@qJQXZPl>6l{L{@7tCIfA2UMhnU>u zv+C{g3Ck9|(llLF{`LyWCcc~iB*48x6iYufNw`0Oy^UtT9ZUAbeJkar14ILzo?F@cQr87y3a(8$fzi&Gmv^QL(VKkg)N$(vQow7oErZY(MucBYl)M ztRnzbO@Z9FW>lIaUmB=CwHmKAb4=cSU<6gtz)QIw!1o;r!mok<4!!hlGcSL%?vSMa zFn6T0fYhQ&39k(Bav&>*`h43FemGou?U5&M^Gt`)&W-C%%O~&ymad7ewKj9K#FzV9 zv+qe7)IZU|o*qq;H78e*9*T@R`1Olw%1}$UCpMi^kg)8aHV$evBPDo-EQ9NZmGVpO zxIS_FdQa)rBbluHck}o7ru#JeT%(&N?kWlV`U;!Xd1R3G<-Tm47Wk5TotxUZvShnt zsCj6yf8j{7O7=$RGBzmvJ`GMf8~|qvR~im~-94n-RXoJkex~Y7@1Nq~@Qk@lmahDP z8|w2`^i309z?T^tj2ZszFN?1f6z^2>=LM&>C%w6}YO~r|H1*?S!h}~>;qblS+9>u7 z{k1TEJ+g}~|CGSj!zoPK@e4F9QIR;Nb7ZUSuo0{Mq*1Vam>2~sor*~fXWJhQ-!?Ma zc#}JEf32k1W7>Vg#qWp5=N{O(#yR2U@QC?QeV>+%&C3P_XuX=S;+XozIigc^#YMDB zaoIoIF&dLQaqp!3Ow@Jb_+zoNS8BxuRLd%U>2;ma_o5SU-3cI&-*C4(nl{|vVyR=1 zCk(?@vA2GS-;{c=L1FF?)zJdYDY5)}{jdgOw#%QrR8vH`>o?U3dA}}=m_rE? zf-Or)+k6*7ddBaZo%~Xo>r!j`<|SYIrSVUetq>#iU7cuit(Kfv%9dR zIMWTh-0<;8$N-q;kGYdLj}-f!<@R>?tB8T*5S9e@}*4Oe`kfs+G>=I{-Q#ys^*GH(ynQZq$4`6xz@Fs z-|mV^ow9$5c5vuLntk!N(x<5swbnP!7%_enx!B+un$HDIJRIWO$mcEq^9(NL_&J2W z;({iCM>tuna~$vKqzC5sIjcKoc#FqHn62hl7u*Ei%aV#z6o3tKaS-@shRL$Q$~`6< z{KiG^alD0h>IRv`BNYcl-UX_0EnX?G`hO^&okqviA+r=)U00i&(w?boyp?gYLOcW zLgwEP%vK^MQ#n>jP0<%rE0XwJd zt*3Y6Y`$N`+Yi{?c$C(PdYio>_Oz^OKIeljys6eYj(^*EsL!}kq_dps2j;w)r;2aNj-Oqz)i6P;k`ccetBu@B)+xv(-W+VEFwiR zb%;4j`iQP~@OhTGa>wID@CX$hvKM`bPQf0Kky%)}Yncf1d#XA740}jxES*j)VG8_{ z4b!XawZ2&WY-~txgxt(Qc!243LTEq;Zw@CAp2M@)xkfv4Xy#xF|{l?VrTb|rGtxWbHN>8H%PF}$3B?^^j&46P63AiH^f@XE5J$5hK0c#o@3%NQYvDV6uABrLyd4bFulQa8K?s%aMF#%)Fv5~$u9^v*y*tnh zezuDo!j}Dvu^!Z0CKt+XyVc0wF9Fy8T?*Z^W98e<97(!6pt`5JhaAB^9w&?1&v%wvc$DX5&>4MXXZ^lI^z5Hl&g-K#6VdTTF-nQz-_-ZlJ#ff{<~%;4kGeI);C z+-8iL%(imyBZBu{Ds@Q->%J1xk{Q)UK+2*oVqy%uL3Kbh9>HU!%a=Na z@41M{Z9B&lP%TY-%d`p8hvad!)%N5vSysY+GQ^+p_b_uolOlxx@J{LDS99nZCS&PF zPyUMW$y2|P`z+_ldMgkiXqh3|{iB^TE58=?%leI>b@9G#-JRaJ#qWdn+a{ZM)waU6 z1_Q8j1ZpxwkH25cNP0Ua`?HW*$9EX6pSdYe^@v~+U_?bz7JkqVm;_J{e+Svjmx#(o zUDxlTK8b(rz9;*K-`{N`#*K?~P#*HLE5eXpZK>enUG&9HVaYJ zAuN1D%)@_w%jT0_+}noMfX9#UwfT`qx&k*>#7>;RL4IVYMi;-Db9dL7&Dp>MB*l{Y zUE4uYcu!48Z6 zIZ|teXf~Xa_Q%+o2KWn|-;hcmEn6dPz{C~Gu z0L)ls)GGpBRID+sr|0l+7ioZvBg8z}izFcphV(|&sule`3(~q>r<$SXT5cmk<6~mv z4rHJ2lQ>&u$RyhZeu2la+eIBMme`x~Mmb1=h&Vd2FY4}6S}Xzf{`9X$cMW8W3q62! z|JjY_*b}6nE3xi7G0Q{N)IdEO1U{A!vbXsc&54~oK#F2n$zwPL^j*xB%vrnNQbE7& zo0AJl^Bm6Gd%qR~846g5IUZ~z#2gRlVbty?fVq#_9>Bm-kl&U(_@390lEGN!?T*)wV+fJf))!^)8U@I0%Xuy-UsQgfeOL zi@vDPsXo^1Q2PvO#blWv`7%b&7BLn}Al5*7m>2a-5pJ-@+jfnP{xL}oI%H~!XCUq&*bD66}uf(+d2`?D2W3-+X-U9e4zeB1m4W=!u@ z#3Y1pd(Utmxiv^#AUE?9u48(Q5pUydwIuyrvwj};*Fe&;$fx-vh$FZlz>v>Xxs15b~! zwg=0dhgi{TY{Z`)f6Lyh z=P4V+8uzgbW|ADf*L}rD79V{Raaz>T7`XS(T=URB2Yz+0itfdc#*nTEu_S7|Wp51+ z;Zz3S_#Sa8hi301!@w#>nCc&U5m3T7XG;%Z+;*X8g(K;SB^4-+_-jcW7DLck_G0-L zNDfoYKh6hxO{uoi2RlwRf2AY*tZ`3gMq_K-PZ`nqHSS_8=&2g_n=I&eH4qxk2#@j( z0_bCmw7+LV64`I6&zObKR^yIk^42f!7|R`qk@WX;O(xfXClArrEqilC5cSU^mvzCD z(s-=HZn^Eki<%XKq7H(6*uY7RyE7e{89UCpbD9z58gi=Uk8%2SY_#V?clH4y69FMD zvUs{r5uvFDGAV*y#2T!uLo5(f2*G`CXCFx(?42W{ z?H6R49EKUrYEuTmKGZuhNzDUCQPCrN5Sn-d#SHP=knF$YfuSnrv=bnzXmt$L1X0`9 zZGw>LBiDknAOxj7Q4+Fc$n94QCcPU)?#rfT5g_~{ljIwjbK`{G#{5VbyH^%(`C76t zQFGGxQCh?)zZ$7x_fIo-OIdsl5edc|ia`z~UR!&vm@->Z?bQFANTbCD&f1szyO(0O z)1i=lq|ji@DEUezxrPwPMPR~E%@HGz;C3+dFPd*p^Z@BO6f;PU<0dSwoK%1@f6-!C zckJoJkpO|cpK!uE@_~F}w_xtshK$dTyu`M>A3qeZRdGMac46q;o=~TXe^EuUtDJX{ zaI$OeJ0+HnN;W?LLqNR0hO8ZmstVkzipZxQ(lGMx2lNn(dL44Epel&znEK$N1L&XE!y7vS67ew3*1SC|5QA7LZ4dyprc*E zpIO+id^W7mD+wT+W^^x+Bdvb8w6DCV*}na0_hrp?{`hXB-H)i_mCLq2ct5S+^WU{l zD<{UCNVf6d+Ox&$WZ39n!0(tpZ8Q0WeRFpZ-f{gH7sTB0YC{TmlHy^#S4cUV@djgt z*KcQ$UlKYw2`*;?H(IH0PSxAB{i*=|dL*v_I1j8weWElN^M$ONPQJH0V7KSNXgIHc z=IVczqtMGikFdiUh{d*EN36%TSdXd>r6NiSj_uB!c|12O=J^f_*$xgSAI`HI&I>>} z2N?8*sPcz*>%n65V%t1~q?M#HERqltlR+*gxMY!=33wH>USBs9985*`VUgx7jei+! zVowbXpFk%!SErBPL&*h3$Y1usf2Zr$Ca3APB6Fd`{5|H^f>X;G48)?Z5bxnqP=79X z8p&!1Mzaua;vS=_VI!vY3FV9sC87$7-FPyoocX^v0XQ9$btS*4TCxWK$w=|S`R>+* z3OBB$yMztC(YZG(-1aV7nkfHYVKj0k7$8LBO#bomsAG5NOp|bglEr^Q+r-8=7ei-y zSRzzS%f=km)&C=U+{$vGW_mp4aOyPE&vT$=er)adpHSiJwSLwL3r?B$Yk2VQbc;g7 z{NC8S_pZ|g5$FvBlt}hZ`$Q;L;tH6eC5f&mPm`8}696R)Hwo)cJ>k*K(w$5;qK(!i zYT{m_t{-wrAtM8f9<%e_Tg~^LH4~9_tqSR#qg&u~J-ct}xHkI3|iY?!WIO z;7XgMOGjFjn1mBXiYbmAhDnQKr?}&yU}qb9W?j+g+a}!~il+f5%@=wj>F#&h;B(gP z7un;#5ElocW~Vtnt8zst7}`as5_M3%rWJG$S)u@{-n4=qqDWLg6@e;PAYA_fazJA2 z5MkobzrZR+17QjPw_llNT*0tRb7_e+TD{m3)xDqOgX%W1PcA=az(u)=<3wqJJlP>4 z#7@*4$deVqO9bPTDq9lzgemGcH&}40D1!mtSzGeMmBK8H<5|nhkMvB`7&OG9MTzRT zT9h@6-_(c&$rvp`G(|DPdSO9gpW?YGtT-slDEZ_Z1%e~PFez~ilt5fJ>~}3Y^%DHp zDe_+Uk>P8^Ye#C2fZvm;IyLz($~6n!-EERzqELGw;j#L{_=;{*_iiv}>bebF7N9J&{!+G@ zsJz^D)fQH2GNLk8?fs%$WH+r97O9Z~P@V+%!!->Eguh;hafX_{`amL(c0FO}Tz zWKd}#`32lIb*+pn{U{O_ey2LgiS$CSJ$ctlzDF-yso=XHB^sxSYOK^ixdD?Fez$ry zp}C{4&zq(WckUFvQ)4K(9c60kTGf#~zv{9ZoPgGN7R=W4rSh^)M{4Jol!;3^M}UEJ z^TB`ub-}*cm$~CA9H>Ps|7qCTxkZmue{0UBGEsT;$&5S{l54TF@Ap_#WukiMNyk;R zTW3Z4(M=;?ovyoPZRP!D+VL47CtN>|WIq12PTntKTvy|q@RWS@|8v5q{>K+jl9l!u zUDr69ze?RHvCwAE4DlBI`AYu(CcIaN{v(`8=(zp|!v8F>bn#=4S8~`V#`RRr30A3B zLRYo5o`nQm_&*5$QPqUOe<1wN66>EiC-S6TNm*!Ho1{}k5ws1uqT_Ur#3?Djf~ zo{q9j6@>`JEB3#O|GB;Aio16-+BK-QrHT>?Ica4$!t5#aD#c}VKYp!y^sp--C?_ll zfQvv0J#HoigV9&ygMLfwRli9#a6APTG5^0tI3rfI6|p zewSwaQPn>Z1wNmzm4V&rxH0b(fU`{*U~(7jHG--ZkECQC7v%7Ww+s(vY4gH@cc_X&hGD-RHpDQllbJ^zh=Jii4%@EGKYEvPFNh(13cz4S>rAQA`ZtINuZGf6pNlDJ-9O$WbL*>C!JX5&Jl>J3~AhkAsrB}|D&@tU^Zv&QK!0Qc7p z|6K@Rd6*kQPCnF@+Ah3j94U=B!2(V54j&Tbv3 z=~VeIl2A|q*w9-DYkCSp9XN*EtGJwcQJ4_G( zqB+VOMTc^)jQN>sC<S(%g; zV|czvKS1#Jrltw(Iqw43*{f;+!!u1v&uYZK22`&|v}P>PU6w{Y0cNwUCls?UHCQl~urHt` zQ8YjiF_X2Vu2s5G#Tl*SGif=-@C=jt97*3)>AC6P&Tg6uLn}8d-+#5fcll0DyDrsI^%Fh@m1GrbCZVujk zmNmeW*gVUZ{rFO`BGcTz-$qPJ|BU_@E(DhrZuni6@Dh4Pl0ZoS%eYKr={4vU+$t+2 z9^AWV4HNzE7^WyDO{>bs=>s?UlX~gkmTsEghgL)_FW_a0FDxlB-TxQlMV0o7QfU!W zynIHAOC&k?ff!3J=!-k>r$ndaW(*_&@UKxY4)sgLDh|FW(E!19m<~Xs9wmSNVGZ-l zoy43uA82^uOF1SvFq5pqzRXWEwSn^w6Qx;De^kxcMK=HkxgZM#P03^%7#~p6p>hm` z$WwL5`WFn8edg+JE2v%}ocrFz(V@F-p!$HUt34N#^O80Gc~+YrQde~MUaJk)n@)s; zA6lM2={Mluzr(b^X0Q{Wd-7U#=q0c-EDq>?A?VBCN?So0pJiT)_)SN~HP8h8}|E%lzvio4PPe9c7kXyh>`&fI?8?5N< z$qCgs;w{V_z(+m*xlsV|G@RItnorf=`Bus@S+kwBzb5q7E0rba*IBl1JCo*dYW$}w zze2h(55TJ4MQj%v`O@kmZs~Jf6ezdm_AB1t|0;-+3ib!Wemn9%^TkI(I#?454kR z$P&Od>1vazco(n$z*;D{A(4J^j_?kPi zD*XH>z5rq&H?eE&h)Pv1|69yLsM#Kqc$_-!wLbQ9Aot5|^8^K|@ra52n|`@y2@7F^ z7~d|I`_mQYrTU4gK(+IJ^|QM*Sy4ajV^oAzG-fuNFx+7?6E_&~oXk0=-RTnB;?t@c zJ@4a~hsI%%-&uCbd_pjnlk`j}3b`LwxGrT`4=V3$-A1y(FC1ybQlMohVG3sVB#@09 z90ryw{WPxQQMb8%vND&3;iLYES~^xtz|cpF5U=dI|2$=l_Xe}~0HY3x?Y=1QpJAH= zd+5gNbE>B|NzJk7Z6OvB5w4vi*P?qqOFgJ{Pz5W5k64281y!&?1c|Rv^&n3GM4f1f zk~66|2hk@!M)8|^o`*;fLvYNn$uv=Vh)0vEYA%j6<@QY^M2R94Rpu%0U_~IrB^eU6 zC^u5Z9Ha#(xEoQQZuEyan802{-)m>H__45yTS3q~*HI|pj@~4Sz$b!thNIpzyN`GM zs7|qRS#^wz(SO=JD2227{}A|1n{Qh32+gAV%{W>@srU5~6?tzbsfMN}P3?_*4ImiY zhiXMRKoX}!3q}&WVf29Gnn{B=77IUGUS?uD!`|Q2L_uJOM|?({+2e84)PCksi&)ES z(@8>8v*MrrIK)I!=;7>#IcPsw+>Q8B@^ov&2<*Tc7q)w_DrWHc#*qaBo+n<;iMChq zRj&_>EAfWEcHg?zlimH!FM*vI4;otTe|odq<)Ma;PIqw@E`Hx4?&fuJsY0-4ZwP#!zH4s;4!`5 zpkcFJ;Q9Pc+EoHXleRsojsq8SC?F zI9MbalEmHiI@va*QF6d0lQKn-nOm?){q*a!EHmb?NrMK#_2k1t5-{aP_4~4{Bj}@e zym9o&8f=;FVYPdZ?{*bLq#&zbVcYms%Uzhknaju6>CC!>@32Wc3$k$xQU9QD$Z6Gn z;wVSt)WGP%v)raM!r-e4Y$Q_Q7^_tW6mRy3gL2Q zZ*Luf^`UI#BPmG=cL|5odBItq1YQ2WV#cQ??3J@e%^_fHDtlFYb)Z`kE-(TW2l%qk zw|C)>T33gl#i$_e#f7#mlZvf3@cu_c>y|f99;Lfpl>J1L|5HiLlvmyPXFlqIwu5@? zR%eqSasb4@L`<8bRe$fG;h>dxLKL64brB^2fYi&42K@a!SatWoyIJiI-iFTVS`Cn{ zoMy*u*baa<#(`H=8lTLQC>ZTj1bC8!M>fjbFpzgQ*`)V0&Tz<#mZ8{a7$*y+$^Kh`R?&U_k{t8B+{ z7?3{%y~-yQ&G+dcU$rlrueHw6oAq6{5o4pYHiNYC;TV}GuUyvm!iq;Y@+^$T?gItX zln%KaQ>MSYSxDN7r(xBMqWsVQlHzj-ywHjC1@q4Glgg5F0m*UaEbSPt=@>6a40CYM zy?)9n^pwuL*44NsBl9kUzZC(=pT{;8L%7>AA49WM8bk8EWYsVw`F~9&Y&JdXJP|WDRkjjZX@_w8c^FkHJoOu zC|%9wq;$8@xPGayST5$*LG=Xb5OGbmp`o%*+C3@UcFJpN-65dxTLn*uZdo|*6zw(N zyuQ3M$rqLw5GCfJ*5`)Vk{YQ&BXhV;c-J@cYo4ZX-3{^T8>iNAno9?kr?j#oILx(p zOWnGJ>AB~9CSM61QAd`#Iix!E8nwI==pp=9ym`z>eMeO6RSNsPdtdr(PK1Jj;UY2D zyAcQrLq&09&XmX9h~TNmHKu10eW~FQQ^;%azn~BK@IyDc#YIfvG#iwfPc^4i$Zg^5 zSYAQA(U;(BCq=(pWly802GB2UHN?|MMBxSM+aR;J*12_*&3I+WOH--gn5t!FbwB9) zOd{_+!@6(fD_5y(&mxNuT}rl!;x@=JC0fvsB_jfJ)4p@S1@&aNgH{-ye@CU zmcq5+Q4^5cY)Jvm-YPyC)>O~F=2@4gZy|7;u6``{cP`hnESz@gtOkDjE^qexpO2F| zmKp!jcnum=JwsnTqYzwBm9{DqcBR@gcS2lb+um{0zku{j{$OEq-I87ISa`$N(#SMg zenTl7ap7v5*VJqSyNhMKnRmSGF++BUso>9dCw{))&Jx-;LSw4v^~9q;Ms)_iqZY3B zNw_B!zpN?EZ?=dT9O=+1%i7OD_jHhdPk9ttS3J8hCvobwkfi#}V_)rWeBQ~c;>SUg zaPFAz_n+K%h%|W>ySDf?-d1W?VB_83k#*etKSFtZHa4=}6gR=dR{->S{1wQW?{&U* zg|MTZm!|C!X(S%QsAZPgpK{iJ<|&FA-D= z`AmE*1xo?E_#-i0ubNQEHShbzhlq1^k6RlFAmPQ&y_64Q4)L0jjo&S*>A38U-A{v(%4;8W5#8;bE1G=B#K7uon;vzRMt z0vFrpt0_edMyH1>O-1GIT%*uTxnDCfhRbgZ)DWbW)57JZ6f}E`s=wS$mi2A}!dBPO$gWku_ljzUAfr&5nW7(pC4yjKcjacjYiNi91 z@6t-R*aU&N%Zb2mY*$8YE9z;ox#WMOeLc}%z?@PaJ-=Qeb~Gt zm%PAv5A(Y@zyctkMdG3>ofSi(BcoX@QqQl28Rl>=zwWBTTmaeC!p|afiUEF|kG|b3 zN_x%s_Ma+$Mno+@mSLLHPZ}QqD~FzO&@{XTK`FUk25dlDj6@iFiSSpzj1z3a@ImNB zAyo9nwstpf7{@#e;G?uA>EQY%&~!E@Oym_qLmj9I@;N-j5JmxXo_+WMx`bgy&6o84 z1wu6`3By*t7CpwdlRs$lUM%gtkhrIK_ewAmAg~rW(6Rn3G^vBf;o*tnc$y7cAr0If zCeiVv`VLzm?L#RPcbu&(gVH%$E?mUufL~G4iv-y*zU)Yyn<*c7crWVQ%>Ll~46KSlT_&HOiPu zYdL-1rX5{CaSQ%Ehm|^ErSy>%_*R$F1(s3%v7*0QPmL zChHAJ-neDnc>&?%8qkw?p3jw`wfxoh>an*+@sM0q~v z1H9r_-irPLZks2j)qwK*S-Y&O-4h}5y9qqkNN%mG7e{&Lp#Xd>NTQ#vx4Ul*D9p$f z=LBo*|JIe_>YkV+AJW1jkA-#w{^WIC;Q%g`8JR0+C^#Q_yRL+U6z2iVkC`6y67!Wp zq_y+%0YgX1KU9%8ps;!3@*W8kNt3u4KKAuhtr%^%1B{(1^ZoU|s|j(6cPrWdlz!Gz zdKxD!ieds80f~L=5iGFh32_`a1F>k$1Unjv2P_JLYdfdQiqlI}rJz=6!s$`nblP+* z5kOdbLZ8B2ZlTIbDoPEdBOk&>*H?IZlIl=&ZZlK(RGDK9`V>x&qBd+~=$9->sa>Q^ zw4t??Gsq@7PhG!eFKduNG@BYIv(cy$gZ+H^MdVmCadjjS zd0baCc)(7;SCW2u8(*3~tjnY9fe2HS|J3t4iE0ioD9`*=l)x0Xd!n_xgB^Xd52Cas zW%&byso~K{gq(=|M>Yx&wf}^-#wKe#OSw|Sn{T6}hX1*TS+v9kUCsLQa*Jry4V@a_ z=glQX_nrE#+EcCbWxri|!xwn!(Cv?bf$pJ6{0I+mw}8MY$Ek?3Nw4lpcLVNwa&hsL zk)#C%)#k;HIh27sBRWp$SrR0XrNmoPa@WMN(*=T}$-g)Lb?;qEUQ)x004_DLa>Ur*CX>z(WaTD5g$!k7FXmCz7bS zJ%m3>u*UP0?`dBlMHrf6spr3ho)&83*g>DXw|u6)L)N=?)M48GK?;Bk`h_i+QUZ{X z$a}eaCgR8*vzTRXKD zJ6#+IbbrLPQ^M4d4$~5LK8Na_TDr6VH*f9%gD_0tGif$JAV5%UT%~3W9X>8|&MNo8 zPR+~09(@^r6^~yLdx^dbjTM()H+xBr9IX`}?(G@E4Q&kPm- z{NC2wrK!DJWo>*3)WWP;0kdRNr12{N?K8gIhB+m~y)Z1RGE|9FkY~kdCE8JPEot7O z8mE@-JPgVVXl8*`<$n!yo(?Ulf0vNSM9eue{DRi`4h(PjKsSyT#s$FdN=)zlNr)?K z(pwEK+qkX>^E|KnuOhraYSzFt2VfnX$N3zw3e?W@at+1;`pvy<`@inaN9CzlUP zKChEOFM-92%C1rl4ghO+m^$EeG`mEmIa`tOs2)(4{f2Q+I^=`13u~fD{`&9mEx(f9 z2p$^en^tOJcyCDuGdi1?SX5e? zk7~}q0%Jh|-pv@V5`~|oakh%?UFzgFhjjppNt==!PBhaVe1QHjl1e3sub0$JM@%C| zwgspOC5|cHUFc;?R5}A=#&^Svb$o>_~w{v%y7C!iiTni9JbzfR{3;t54tb1mLeG)P0#n zU(x-sY!%JCF(Y<(G7^OMqQmMy^~n# zc(;xR427}YndkLO0Zi9^h<=J*|JV!);3<}*`_4(E**Iwapdue)L(10;S0S}6S_jdE zo57&|8(-zv;H)S|x;^+!+GRFB{}Z|`YFy+F*mVMl1g$fk>^v$cjWLz?t0;rdN-|YyB#%#|#n*nLEm0iaQO_YOuOLB{9hp z@4v&R-*qLtWC};6ef9qVNqET|{yFXI>w9`2mGipWrfbf7G$6vY5q5`>_1>l!M%0Z& z61V20F+1%W@$s_ywHxrW#ihI{EbOd{&0IR%E?gryhB4m>g96|;9xj+&ZhHJBmc^k9 zR0{blz5f_A$JBAQ=o-{G1g(?kW3^h?@yltbimZ;2NBV zc&@|aZ2vy~*g*{x%-m55`@yzJ+whXOVX!%^csq?wUJ})7_+ZY9CffStqWSoE)o-(e z=AxJ19c_bq^bZ(j9AF2A5B?CPVM6B@R!r+wY0oXoqpqHrjR!jLCK~Q`PZ0gZVQ&CP z!dW*>qG>AR*{fO?}|UKUq9DbpmEf>BMi|7Xf-D2Sj3Aa-cY(=~qzf_;SO zWS-^3Do9?{l{9p=PNy+r2{kimNae8Sy7ZCLvU1aeD0dGg1x%4NAxc&COJyd%0q&jW zwAZcjYk0>2$-wC)mqo+!uk zTZWwP_6Z0Mjf|UH6i4-Nr*r{B$L|yU-CPTNnab;4nsOCLN&%|bz>4$$L z<6f%$XS;UmbS;QUn*6?ciU{UV+#6sb01@xhs&4*$Yl?gO3pG(!vJP+}E)Cw;?E;(oURR9;>7)DFVO8o{?*Hd)oXe=9u7 zFl%SR_uKMcWw>62dDMElU(xTfi&=1=$Q=KN>xWj5d`(yugN}S`v!P{iZrSSf1Iv%e z+rmXNa!lOs!eZYVS_+~p`?iI}-q>^fKfJsLR8!saC`zx=L8J=^2na}5YUsU#_=V;t zC;ht>=4?+NYwM zipb7nKC~E@M8qGtqe9HbrFGMftWm1wt!s#b*SkQ)FonYI7gio$YFbtu(T^Jfx~Aan zTmOTs3SJG}DM&dWe5TteK&-}W+Gq(8wKCcN(oKm>N()}uAinD1M&GFXwL+4?;!1oql)SL_Z+;Kh9J1yva>atmKWLcWbukMB< z&Z?)E-lJ_eSd^coy^5I+?dNReQZ8yO#W3&7agiw;&77^AkHow*=OsUUF+N(_uI)*V ze41t(Ge2dT#{YEV3)-fGb)SZdV?S)N+3@+5SA1TY@QZipxFYt#CdUkQ?2OI&g8aol zJe24@2y;>uFPB`VrVu1PyfY4^2>WEHV`S{x7u4;L_P4Rl2-=1%C9)*V?00uV0;kc_ zjRCYxm*EBRS0Y}Tt4zv8X)_cJn>mxxKr3tCtiP7MdTf1zb36drG`TXb5 z=kvskG z$m2o&Os}b5;A3^|5YHSzSE72YV@3mPOT$v$zY6xgtAv~!W#R8`pxy%gp&(9Hm_A_h z%Ip!edg7VZ5l~u4Qpfzj)d5kPr-Kh%tu~QN4fl$@(#OzxjWsmWDqp4@rH@`-`+VCH zF1%RSX+K*0=yya2{%e1!^OfM!yUKtY+F0z6r_Ak~-qF-ms-l(uB7F{q^6vFMOj%XQLKdJd)&lk=s7Rl!;TQLMYbK>*rgd{r!)|CXJx-+r2KYlnG89a(U){*xLoi>n5H z(E*q!F}!W#xFXyC$+=Ov;1<}}Ps%L+V%x}mbkbC0u76DWLFcoh9_KHnDDdw{gss2M z68ciD;1DeLie;1WD_Fg0COV+z)5?Fkg!WTZN$ypJv7D7-!G`@JFK@Z&BFiS=E7)Br zOV*g5kqAqF9ZU6=njg*5kRt!6(j!|v&(>^799O?-X^)X}n}mo`#NK{%@zmq*tsmF6 zFHbu@^`HGVye;*(SI-zOT$$_YG#c?^e^oK8_mkVp_Zh3#LtkW}7sRKW4Sjz_W~8q? zRAnw(TT%Bk;|o%(bc*TyWD?Ptl5BghFW73s(vgp3*7IB;hcA^%g}%%}N8a=3EJ)7W z-xuVcS(@S2`aB%qUXU7`gXGfl^cJ}HOPX1PBCCZkWOF6d+_3dS-Sg*z+F1vg&;I_? zaBvsfufDxX9{p7DLK(;7q_LDOFn20?_?Lj2qDGbVmD->f$KvI;QE^s`aaIg*>5Osd zlwZ0yR{FTS#D3<%g_KkEwFtAX(SEW@A2>0%Y8li=c*$so~snhZTn zUVcO^#=rN7nqz10?1>0b?2Y0O@miM1?RsZRn;n)LYEP8Kwm;0I%ND5Ud{R_q@m&KC z=j}K(Ej1k?c=jGPU2#`m&}Qb~S6Bl6#>z$+r}j?nNrUjub=-`we?=K5_lE3wPGX~? z+LL-&_dF-CAFq(EsUH8Hze2i2cYsZb=pkTJBbo?$=56BD{zI(EMw0oi3WS4EXnGCiX+PFy-*dR^{0U7LEj5T^AQpQ z)(S(qRW2@$*N#*I;Yn9WiOgGv92Nu-?w{l$A1@vQtepkHhVv)+LMxmQ%X~}450Ad1 zTtkRuec|tqkNE2kUrR2YOdVW6Q2atvI%pYit3>GE`&%|DZqTh3(SL7LIqGacsQK_j zsn-{NBG$VO#`Rt5*cTT{LeGK#iuSYTAM6^Kg!#2i&r2oEm=?Bx_oLYZetIJU4wv&eT!jktf@@t9cJ9q{Ukvv_b0QdmoR6yXZ%` zO3j%WjmUH04RAHmbM&V_Yoz9Ul}1@*=FkDzh3u_K1i3475n0)V)EqKjmp=dY{74ZO z(+TWzW%dlld6Yd6+mLB}+~%=i>&X{M3wKqY)tj^PRF6!8^CxHmz{R5kuBd}XnK{P8 z(H`kpJti5qvwew)TTK-Y z7Ca0*lYB_w0{$z}kzJU8q6c1-9>%sO2*Q8zA<>8&2o46+BR-81#&mwp)8S2V>K5&- zf5cn;+8}03tI)sNAW}?^#`16hgMMH)it+3de?ZKwZwWz9(8h&<1rNL)=8vmuu;OZE zenuTWD(|O?ySO|q@#n8ZeXD(6fzxZl6=T2N(Z{u~k^TDgslC)c_NdpJ#$>Gf%`+TI!mvY&ID;BrW|G^)Ce5_x;;o!^siZ#_w(J;``9NTKPOpUa zCYl0uD`HaE+a{i!mBN6H3^C1|AnR_~%|2KX`{ns`ib0)^S#^U&Uk~LhCBMELY?j4L z=jL5b)hTr&=E~<1+C+wKn@&D^)dcLG_@VRrKuXf9HkkU=iMfN;UmO`JrUL1vwO39s z<(e8kZeW8h(;(I;31S3|oH(+HlIMohU(dwe@{sJ8k^^7b!Cd^=NU6GyQgoHo=MTB#Pd8!`rG47E21 zf3>g;B~jyCvgf;dM2@JSA}nog=uZY5G+Gk&ZdhM zpQ7HBW@mYxPm44tEnxTQqJ%rtMbD>Xo8KMI3`3<_hmfh~rI0L%3_ZL$%o{-oFV6L( z0-P|xzNT7Nl+%Q^0<0h-=ZSg%y`T+}3SDOq-$oxjle*&+8Q;RQag*XML7J;(&?Slu zYP|Ly2^Tq!s*}nYoL!*5>5d~r#2D&J0EaOndX0=%C6Ml7ZCP8?pLs!<9J6M}RAd?L zdGo~REoTmOlo7PJK!;Dh$PZEF}hO+L9^kOP-t6h*Y3aAW$BQrY>_9=tvzRD6V)@&C97P98kKH80&^c!bM{C=C2!o z2AVPH`ikvekpMrMu>Ye?U zqb_ZD>6iNI*U@V-apN>&3O27Jph{>u2iH3_ zB@^mA?l?Sja-uPEcS%puE|t5p@H`XXwn^mNBHlqC*TnDUp^`k%h2ltCdTa2Pq@M3` zcQ9^TKUnez?QdgX~Z{*JTm9Q%7p2yV^8yGJDg( z7a^aET|eWgj_hc>$SOXbvi&^Be&(3tFWFHwe-r`wOjCK>s39pIO{iGSJ6(4}!sWd@ zmZGt_^nvm7%``EZ{wsSap%>x4o=yE?$X4cA4wRjPlmWA?7e=y}zQvsU{K@#wR+}>Jo2rAFT2EuX4MxP+1dlU# zQJZg8wsfo~;#r*sjtDV(^a(6Q1jWnQin!wwyj&?kk*4u-4XKNOHMwj=@f2R%-r*cb z?lt(-rN*seU?n+PfD{G4}p|Onjen9qB@` zz@99$mHSs{g}5rM9_>*WO~tON&;5b*LCxC7c`B(d?oLaVed&)H=}$K&Mx)ryKcf(c zXd%)I#=1tIFd=Z2=}CK!tC2bhd-tqQ1BOIGWq-W_LQUzWLy(1onm-zAg)thWXIqQ% zw_Kdq17Qh$?0uy!>h~Npc7!UEl%id1{>qmowXZJ<-F4wv9|tT@0~`I9KL~Ds0r;jS z+VXXW!=S$b@^sx#gCejS{@pa78bAiK|4*nsH9`jItrk8{CwK9hG;7$zfJ6!Q{4ONbwLMgP|mb8aOb;S9p9E3*-FgjZjxwPizw%sVRI zMm-7jMR}M<DW!eN{aonqC(z}`+MY>&q=rkWccDjL^76LxzFPNKV0woXIX3_S+A!huYJE1R z)4RhzUh5ySb1=qlACtt3H=4s{KI=E^Mg$;yKv$jxJAcHr_gk7^9JX;D+cid#_Axbb zK^tHB2#HA?)84PIFfZh_aZ(^&TW|;D)^`G8q**^kd9!L3o z%9v_3trp9wGZT3st5phW5(^n_;j*(&HnbaDla>#~+Os%t+{B=* zQIBfIkL&u86`YvnjR&u~c2x*D84$w-tK<0CCg?W&iMspV|si323i zf+L))D5&-NKm1%zy$w66h!|2>>dp$sa7vI}SVvWNYG-UAdl@&8x)C3XGIH<#l58ta zX^oa1>o@%Q8ejuMQx((3XGFs*=`9aF&rUSF?z`yo>a|Et({x9=b^hBbdkcugsPV{s zy~e)nudhlKS0A)**W)*fuLh{PyFup1jRi`lf&SA}JmRIJ*WkY{r}sUV<@Zs#Emo0T zh`9aojrCCKv_{yOnx*ga`xp@?nItFspCUH}gcsvdK4TwW7*j?4UVE$jzyjFE7{{^7Q=lc}N9W?5Os4%Z`0<S?2{!!2D_rN%PudvRdA<5H{6Qr zXY<|OiW7!KrB-+d#dx91`Eu_ZW(~&P$kS+-f@9z7fBO=9_)};`|O@L zy|OzMRTpe)?*BGvYe*BGOO^h(8|_w9Yky{?o#8+jXjClL~>dR1jXU(a`9P~*$)x}DVb zb*&@`)^oShHSatf#>an3ZW$*fMHCM&?x@z@_t3#V)mPZRdUvR3(c!~~d?Di>S6}6% zeUb>`o-j6c;uJiHUSfYu zQomGE|Hq_$6Uvp?pOV;bF}QGcn3wxhmcyJ;ly^Tnja^xJO?)iXL`ULP71vGK@ekjJ z4b$lm=%^u399Uq^pB!b#lj zyr3k%;Kmr7OqdxGVsC9Z-bqi{=MDdeW?6hFoQ{9BQz_6bzo}TQowsXDe1yu%iOmny zM9o{&pq`X}-A~T8>hnT(H(W$q`m?LT( z&9qrrT@jwXu)op!S6(W>4D6~oqv))3ap$JE&Y|cNmOe$+k<_HC;dPv%FE|Pdu7)#{ zL_RR=u-CcJX5h%d8$Ry@sgRH8!`_^%d)Smxl{wlQCEDkeF;w=(ChrehCutQigq`-+ z8a$}DVV(5&`?H+yfy#exeVEF_>Ah|jGG;oP#p%6j*G^mRPkLJ`6Oz7`vOj!Otr6|$ z7X8>_ZO`kmd-_xO_)Dd$M15UrJ$&5I+L_YryPq$FRsqV31kx0(mWexQLbqo`CH543 zw2ZG^dj_wHe7y-QZFQ7Qe}<{3VnTm8xj00!*O!LVVFhpsDG@;MmqiE^vATyvV_72c ze!)_`5%3NctO>69NrVA7V6hC1b=&*q7p&0x4g(g#YGT|N@!q)R)RP>7#sCQj7FvST zAZ+&frm*)T3t=7fSboeL3qAxFm~>K1z$TnD5ZZ@G#^6CSdTfxT7@zilu9v+ou#*QX zgqfocen|))0(*eLiy0`aXJjiVSge;H-XVrH$BD#u*aZ(8M1tWFOjuEzh@yX-ZdtG7 z-WH#8)KPb`l4=R7X~cmxu3W-@s(py{fX-kqMI5E zz(MHn)!59eB@c?iGryU8889SXPs#aR-@PXr8hWX&K(N<9DRd4&7yO#gcg;V}G|6k8 z3a^fXu;K6FAhfO3yN4ZnmLR-#d_t*oJxUk|l zsX^^}gioXl%#{iI2*>v%!WwL{C^GHI(%X!YX9-RxJmY((&-1x8D89o2EVYP3dRj!z z!ChIf+8BAZ;8KF&UXWZppl^xrR>0!YJV&plL8n>-dB6`+Pi}RI; z>%AHntJH`(g4{q;)7(gWMB$p;`yMxN!Qy-sqG?He!t-9_iGM3g@3r|Lm&ibMS2ipJ zlRgkohp>*s!CghL@a~L&#rf7Q!97u=r%fb>f9u8GT1*pLYcXMKAfN?twHMQ^6t)CM zx?k*lf$6!39m9Nig0=*s2b-$qMiS7#kmE%Uq^Dx<0r8i+nCD3%QJrni)(;;YIeGL4 z#1z~}2#VJ<0c*aOuR@sa-S=x%?k&djFk>&^)Dtv4z&1-`lb))PA#k)XRu~75*K`9b zq|bUe{Y0zL4NK$Ta`)p(a(ZV5-x`BE21vKS9q+#&y`zMB7t|xPd-Y)vjJEXe0V0u`wS(qLnPm}pV@FKao3%o>rjcDJCoxno&Vy8W|BMU->a7*OcF49ujG-;Q1 zkNYUJO4ut{SHZxuTcbs_gnh-rCREyBtE1&fAiu66| z)TM516g`aHlM86o@0G)Vxv|q2Au9Z{W@ zUpLt}C5ClJ444^v4~MwWS|Xa|y7+N(Ka$Ur8i!!OKWho*?bU~C3Szx5U=i#BMu=AF z6-nhHR=-4u5$}b|NIj__NDrh>Kw@b(4UHVdlu|2MfK``{k=SdIWVogfmI^nPpm`e{vc!hM>O=;>HN~)Q7&ofm z48q0tR#RAsNE2AcC9FM8Apz|Kwp!9{M%>%`wy6wcZ><$w;M;4Nz*&*;&$7;TnTA-pRg z;Ee{evhwg<^o#crn8aO&T6ffJbwJLy%N{95)74EmEe73Ml=af1ImZ{oDH({!<^vSm z+{^+kRc1m2qTm*2@iK#MiA~GurrZ{5qCaZd+|1lJx5a`eh?=&DIP6d^YyWST{Q31s zxs3SI7Ghf$TkCW>G}UqaSiu^?>a_6wU-UPJlm5`u`1NB|>nL`oilM3X>#M5P5Zfxf zI){qM`f3RALO75PfWL51mDfhoyCaIDI? z#H>Lr%Tbo2AwSjmvyt4Yc)>xoWt4f1PPL=x|Aw42{j0>jukbY6b0hr8ksnGdJGSBo zT)iL#$vL7&ty@$`LS7tcR_jZXxi(uZ;!KEEs6z8N17ZlOBIh*!NT&KE2Wu70u{mFz zm7`}#WZE36*388MqIEaJ{@m?Lv|TVH-a>Wc^pzcnRL^DihIC};-UleeE`ac-w6fD3-1OZ1ZC_ecqK`7gKG zQrxC)j=tiN9vX-W`;VUm<94EpN1rMyN1PR1RlSjS|Dp8QqIJn&v09RLI!nbOH zmlps37c`%~IKo$-T_%fc;w{bqL^G6r&S~+HQgxgZS!nYeOu^UO>k6XymreCJPe|sG z_+9o~JwUYnrcMgL@w4KT6(^gt4mIKPB-DSQFVto~7 zp?0a&-=OC<|3%ERtInLdUf0h8ou7W(FLUg z7pR(}SdWi3*=#(Kj)8o=l}R>p;1S}LydsNQIh3eaCJ>M113l*`4!uQpR1T1Mgjz!R&re*iKqqPbdHdWLua`|X6CvCBWFS@Uj-#l- zq9osS*>U5=*D%+Gei!d2om$WkM=dlwf{kX~WdP<%o4|VhJ*Mg6x-n@HpyA>8w^W{v zvAs@Qk5h{gb?>A1d~eXN*y;h^u9q3fVlo zR{_P4S_y)`vIV9Y;%8W=MpD1ldvbu107fw*JAQ1`WbyIF7q58p!$tb3k@x6lWmKeZ zY?uNnEJ8H7)n*Jbs33AkHoCHKdv8Dm(^NJTEgZ@N&2mvuIBH8Op(1(^GPgl*f~yr2 zHd)k661-ffwZCiHCvdj_RC#|n@V%j3$Y$XUp)aW#sE{kP2pu2Vp;Mp=bm{ULp&-`<(sVqgmBUx#*T?W1myK#NLit|`sC|5r`(*TrK zhqedc!|61d;{EXKbnW%=eywaY99CcYak6LhH9D5%H!>usMq7Ff@X)NX35(69L+gkv=66(rT9(jc=rwd*DwPe-#v6{=lN#C*MWpyYveb;A zNqRmDh3ue8fDBXj%yEMx)PzRlM4Z$Y3i#b>th;7JfNaLUU<#c|-65fO(q zdAE~+n$b7n;zWEhbrDp$(q_59-S3k^3BxV}!eHWMqy_!gw{=Q1Wi^OJ!)`6OFE;Xo z4ys6_ek^|YsV6qRd#(R;F!ieto=CeTjkKW*xyX|%P=5MGtD9-v!WXEHavwNMtuD&a zdETPVOG;qseMKY9n8X?Bf(bAxdq&}4I4S`|{K_63lN6DrG$pb~cz)8g!X$pGG0FgVd^3_OVOH+W<2`Pg5a)rPi}&c( zEWx;Xr@s^#TX{SBCjMMz4@INv%n7k5?ATNTMv*a(`k24IhGLU7^-(oIA%^mJ zIVd%a`iXd6l3)B2Cf*w1sYf@9*g^FV97GC}1ekQ1DUGAbb*YvD-f>2nVtNX+T!vTg zXh41GyFXIwSfh%zh0dHG{NYDFOaPwSr~_DN3_)F;M{7`03RqHy5Gd-Q?rYnGs?ZfIKt6H%?=TgS8f_v2ka>eOOqZ+1 zjm!X~-^lG&d5Y4Frfp_8s_Fjp6g38>ZKggd&)?o;abSH}?`>vqQz@oR%KxSD#6d#WpWB>c3FC$n#}e^vh%yq2nlU^M5s+y7g(` z=}#@gpOw(hgA<$%PG04Y4VGCZG;-%JLKWig`iNb#D_xkM@0w+~ddq-7Us5LwW3x{L zOkRx=*zMjB0X(I#ITjD`fQi`W0-Rz--1%`-M;#A51jJO(Cfd|B<~Hw`8aIeAR(pqp z`{zL?2=I}vWQj8H!Bl+wpl-@khok4^28kLJxK|LUA#MC7+ox77w8q+U_%P* z`Yb!|l|2P4kg{(U-w=r@ltM=6`PfQ-qw`{BK5FJD&U^YU-qM_1&VgO7TlgidvtxVx zt1p95be0YAql5JSZc}|+>`~pEQkrUbrpSnASa{ET^p4W=d--EnuORKt!$ItxNG?GC z{2Uu&d`s@PTaQam#A#Mt2Kso#5}f(oD1GGUlguNWLJ4wRW27s;p4dPaDB+n`|Chf# zbRp&+EY|_*bxsrGjOEdd|AzA26gXi%HhIY5>91vEpQ!!agT~n=`~|&QlUz^3i4-&q za0nT(Mzkg>l~i}h9jV(IpnsqT(atPw5r-=xM{Lk7lzXs$%QNjaF{~Sc3B=#oH{ZlC zZg}~%yyPfuCh3{iPUUYI-QSL7vbCE)F3U2KY3dUJkopzZ9vw2vRU>HM`QE+|G?B(-Pdu+~f%+qB{;rXpzZL1@Xc`+Ym)5NdAMr!GRp3qC4P;H4V($7KnzPIH zl26BPL>_nDOg?3;ugz~+&Qv}Vx3{AIsw`bg_u_5?Wi@j#;hDc`WJ-^p61%;WECe3V zN=4&JnLd?76FyVc8S-g>=fe8k69O(u5vB!H8v(Pct^4C+UpW+|Xoxp*z~9#IGgvZ& zN-Ij&F8HSSggr>UHEas{KMiJPOhADi|2wcDYMdOTZoH9cm>eW*ym1Oh4x%;Q@Ygrw zKj!KV&q7K?C%1f%3>_4ygokkf-T43KV4J|?QEs*&r(?)J$JJe%iL41pZpoGm6&J2- z8ewW~PDf(8{|k8Zn`{sj4AH?{-LdJ&W4Gj%1&L7d#meoD|5ildEdv|>4|U6?3oVAZ zyyB1e?w(#D^KbTBoEZ_lP-i)rl|*lg3KC z-``K!wK`Z<@5*YE?aXpyGW(JD^s(5g95D@H?YjI3X4*})DgWV*1yKnVmv@?a)L(rj zDPnXyytbYHeq%H4fci0?M{Zr0-JV2z+t0&C%7HfOKqbZvV8X@U*&a%aSKXi~dOqkc z)I>9)^Gxd3-xiMm^wqU$-AkO|B1+WteSj|wwQ1Xt763Ln;F?kGN)45V64FsjMMR0M zz7k*+GZHFX$5UBK2eh%T-F6ZH*w6u2DS_!8wK^Y&GR5f?&`w!H`Oc4Nv2181NSt~@ z*66OX*=N4|<{Tme_?vF4A^s+{rP*ay`mfCPDT~jIO@AqMe{Nh;+)|+`ySQN|rotb_ zM_tCf@rg7bxN9AsciJHEZ1wrOpYeq`80S zFM)KV|GGA9xCrrUrSdqv-#ymEwxOG_OquvSMF4mf-fg4%hp#B<0PhL~*`mP|#7+J( z>dpcGKeAuX5nH0LQl<=1GE3(I8JMt6EUX+S9n&Rpu(x0_mk!s;%;&`qzR7ezEgLjwY; zpHo4Z{-`T)M=DU|94kJ@a+9`byUnz)Nm`ymB11w{-LBA6J)g`aG_Aipl1fY^%AaXN zFM)xSJvzx2A9S%^D=g+*%#LewOd|UNPxFX~K)I(sVSAEz0cT zR2%>u7MoizYup0!Cf%ku$FrN88j6zGI{uhI0z7WV!Sr;d>KhLK< z5Zq0@%lXn*Q+y!6KyE;NhI7fEA75PvrrM#o$FU=m}40^V4i;6C`m z^DE~qn0wM#$5>ALq(r(ji!%BzK6l9=zA4*cSMgr~LP&$U?h3+&Y1c~4oSDM4O!iBt`z zbpGT~*tw)D{efR^0+l&YWf-%|qdBL%b@hX<-o)q32}#44EuLoFCEaTuWb_`Ti&U{2 z#_aHD&MHTnr)9;wvbb(qHg$>3=BM&9SAnu%?mwzcEdHw+gVaB&sa^k9wYq=x7tH>b zDepf<+Wl*!*S|*EUHR7>&GR~{rh}#Aq>8|gO5(V|s*3)Rq{`H4rr1G{reVM329)(< zYPk4OX)=4Ky&*`(u-^*QPrBFlyK$a&;FNQRx1&d_@(Z`tC~nti<5{xp!yYgf(aCVw zqleYGM?0RZ@Le$|Lfi1n93<00VmCaq1Tl8>uvMO2fz89`y6Dbyb_E3rAZj67d|%H- zKXxMJ#0f;>zew+(^2y&hU>qF+eI?+Zu)#TW;_B)d6ekGhDY2OE;N+doE8NXiFvEg0jl9=hzIX13n zk>?*Zx3qqitXJr@qGO&eT~WuEI%H9CMK?KAvil?^c<@i3GY2^z6t}#`Ijxs#nR!_^ zJJfp%EQ$KqP{U9?*L^D>Io2Ge4+6df>RJ;`{5R=Q1{pVxHi8v(ql>K;_KRQmr}P!R z@Fa*$!H7(ouJtu%jPcMShX2_=f>G7bxE_f?lre_7n4A#uvTbR^ANq|lrvbPX72FlY zlO;r|pVg<)bJ^Q-)_9v3+rK@*g7Jtuc$T}ZSDEAx7VlzCif@w2eaDG?nrCOEM@lVx zC(&yWzWCYom&G@IZM!Z@N=flrSXhjXjkPlNe8%XG=y8Ypef{=%_Y$VOAU7A{ZjHF) zPftd5=!=uM8zHi@Db8TKwqb^Ht(5R*b%u@mPHjq6f=W_7)Uy?N^XWPwe34ti7@ouY zn^$W+4d6;LB+JIt$8FnA8D@;?;mo*ETZ_>RQK!~g;H_*3+w^0;*}rE@HB02vkNmk+<0E=WJ5PN0b94)LxZ5}q zJ^XNaqu9%pA9w2R=$B-e)}*}nVAvEAr=vD5kzW5f%4fkmJlOxY!GG1T0XYQl+ZRp0 zX=+AHu>EG{c^nOTbnWM#(iL%jHy`DcaFtojocW@iSszWwp7{5#Yp-uR7R}3kwFxS+ zE4i*}w7z{k{n}&dE`LkHn2(pa_>@$S^6b0Opf$q1f~msae+PvR#e7aK76I}uP+YBO4$i*^Ua0`&hqyx{UTVmxjNowmHk=HdvHoi0E-L-xTRLq1k8r zN)M7v#?a=uJ&)v+@vdLhR1Z-+ILs*aQ}RoG;3d{B!mG%Uk5e_or5~o@46~D?eTjxQ z3U+FFZ6Pear9$7{Nx#&p4Dnw2`7KZJdn-A-&B&+1k}&;KJ!xk%NQI2+n$K9g$zxyu zO1SX2mK5auRC{Dn*GK6x$^C@t0I~Z}SGOWCH96#N`QutkG#>14Ln4+1jdN8pN$?sZ zOYGswTG0G$IOOAY7@qH-J6C7kgn;m=Fe1$QoNY!&Uz=mM^0gp&hR>~wU5e-_m-s1b zSRNUC(6OM1-d>r#gth&+_hRNWFCKsYJJDjVV zxwA4NYDef8e7&6afc-b-HVP%kI`KsCSEJveU-+LOqz;?VA$z4=r5fTxNYWhNlGrmP zhQkB_f4zrcy_)sa-dKl&Y0H%}_9MsQ@G^=#eL9huRVTF#Pe z55Q7rT~(#xaWi=S`ohsLSw6Jrv@?#Y3ljezYiF0&kbO2}BoRQ83Ayd{4_d>s!1W z=o$f+Ic!ML)qjaaiN8ct~ z=_Ir%S7m$dtaGV%I}2YpQuL5*`WMseaRUM4V<$!OivSZxf1{6y<@&W=!iOd5TD5wX z<>lhqSv!6{nw1zQm6w=>li~duN0N6Cj;m4E5Pq10^Sw6ROs0+N?KA(hT?pcZywuUP zA{6^ntcrf^L#v@DRVYRF?PKXb8$@tFZ0bMB{P?fl0&GE}#~x}-W};rTzjIDnbdGg%7$u-QVJa$W2_v%rpvDg=7-OC?@jGwO*?eZ^&Nn}zW-(3N& zm6S+%-LpU6&kWPO<8=P`!LJbN=BeN6JNyyIR|yB6)+twAhClK@%x%lJ-JF%8Z+P4P zaV^;Cu;cCTIpm+fJEs>*`svq#MGiH`|BR`}y&GGwKGdB5W1|}9?fL1+p+0ZK?5Fv- z#CHcu$`7xNWZkKD$o7*SQRDF`VOANVE54s-t!hwPe0kFPzsUQg_y5!i^!t4Zrl1<_ zW~VaCEt!u_`bkkuf3jBBi`UlABCg4w-AnrTYy4Curs>b>nd*a&XJ1K-GvHgNb9aBR zRNea8Id&=Uq_(VECD3z)_*?!hPt;qFv7viCnGGIJTkCP`&9?)*0P-kIA+p3DCl9iL z5!7Kxl_tv=O{Aq7njKS##C(7USC-`oPQFJvLYq_CS+!%+JKNbX5pS!8wmH#`-ukR? zGsyh%agBjcj=&^l7!E`N{S`%kabx!Y+V&yENTQ!2E0A^Up3!zwmoSJCq{t5x8=HPI z;~o-)j1Eu~1FDWqCxmCOZ5amCb&A`TNT z37~6s56P$$dEF(A{H%uN#oQU=GmxKlSB4ps975qU?#!@+k^qM0g0&JOA!rvZG;GqH z6_o0~3jn#41TY8YuK^9V8@pzZ>=W*?Fw3z51EGel#~=?i^rxMkMc^>}I;?&TQvz`f z!9l}j+`;eg{w=hyv^A}B5+72_uZ2g=E`$rjSF(Mf**L@jB%+R{2fkgCs|-WByN2u} z1qh)Vq3Sb`+nS&-*ex~%uYmc=RljCN7zVh_tl%1efUbgYmq8h@86lui^KrsO6wnLA z2AkmkLYj|LZ`H%8kz0O&mti!`Y>BsA1ClCTwsn+@_4NdSQSRE`kIG7f?h-AU+0-Gg zW}XzE+64@aDL2Ep)_zT6%vBjcIL^t1fWZ9C5LozCm?uz(t+{T7J!!@$Ak}EQ1ukhF zR|8i@#(pY7tF(wP2NukHG9+09m>G~90?bN(9qGkhfJq+jKe`5!glVv2{zkGt4;VHe zaRZw=HAFG|Ky6XXI8lDGdC6CVR7od(XG8UTP7#Frd~8p|3HHA(|zp&g-kvpzWjmN2)M5 zu!kPg3hbHwdIk#@g5iKYA{dS4dFsHXncd`elYj_=xf*y+CAk3(hT^6$2xK@2rw1az z!u4SYAeagx+N{eI*fArTNNAlAeL~2Z5ltXaZc_+_Gonc^Uev&bD{K65%ph4Hm>U!A zvEYO}MPeqAv1p7cGIkR44yh4&1#1p%@B4R4Q_>1)ph1a;abs5)bGz<->IL#H#yW!GB!0~1O-?M1|LBw`Ej!L z9gX3r%|c?bC{DuIA-_%P-6~#j5793TVSdjy@ zK=SZ*5sWWzjyf=9Cfv}i7Or23sD*nVJ*P22pjJ>I2do3u&W|Yt&N1THn#uIIux2tF z?k-~Cj5e?xi#|;WZ(egm-Nl3fty01fGbrfT@=2atY4^lHNj(u!8CA@Bi1v*~?k4Em!0L5Xd zuq+~Scfy?(bmFf-hjVOJPYy4h5j5y=56Gw-n}{t1^caTNRC+YPB|xrz&6hCKz(eTR zw4No@qpIsZ^8O~I<0h>5o4Nr=^~fDY4)EvoB)DBrSKVQpwK)v6PY;!q=&tk(J~0A zo4c5xVG&YRDbfVL3gdyz&|`d>T@2-?fv%u?z@|hEk6{!WhOXJgNFLpfW5@9#`j6tn zb7!Irx1n)!te6|kB6Q7FGtoxce+{D;fhlW5Lv5Gf!&qb{niLcVnhb@|Ld)v6g_v+R zC_!@O)>-&F|jA&PdSe7pbz!?tlru*U4+NVy$h z)2G6KAl$Z|i^$(e@5HTPlvXQ~59|%2u2$;g+s|3mnx&gUevsJ*KkqN{^d zkb$a~k@-AXkCz>6bv{2eySaZ=Ms)SVIWh0Y~Sv);DEqU-CNHK9iOC`2UG) zlAkB>*@A1eI_B$>9jD?){>A4Lrb z(swm-5eYlTK4%65yw8~_!M-t0WHVs&wBW%zo6@}b=j|q{pZ`|J zv}`?UdGlV)%Qi>bzxD&KsXZmr&D!OXd1vkN$QNeEbIHQ9<9QJMS&nS-fD@ca9kdlWA-e!%y7Rtx6CwLp>1;X z+hy&%9TDfai<`5Qbg9fLJh1I>@ zzF2TrJh*Fcm*5V;7q`VF37!NEo}giYCAbGz9D@7puj;Dq%fIf+Ro$0;d%Am`r>AGS zcV3EB+fcsoXuZwo%{vaWe^tI2F?juIZ>4f`ZNCAyNwwclg1=O6D8S!>H{RZ`+HYvz z(AsaP-B{RfXx+%zZ)n_9+grW8*|oQlzsa$;QofnDw^FZ6_x;iW2&@@tUJ%d>wFm)s(+ zPIf)4*COpJG|f&wXu zw4A!I(wfN@TXAm1#|}Ry3-`*`H2YCyUPf6=+=C1Aiu&Qj=v$HbiU(#!d036Z6M%F( zZfR1@%*L5gz+`-Lw7x>|pUxT1Z>M%8Q12gC@(~{TKVPV6_GNVYM*j(OCObfRSc-Ey zk`>~1Mf&2yxYCtAm6Z>W>&$RpV~-@mY>x+{%4?M&Nm_yD`Rw1DdNej-U-8O2p?)#5 z;fuq*O2uCK6Xw`>fqnHAdnwa&rZ*q`c~xyf zP6!JnZxsx4AhSey_#Nk_X@yM13^6&qo(n;HK!apI6j9UXtoWjU_AtOBjByo-Eh+*@cRW!CKU&mfMuiKd0Fc)96;g5Au^3XGcHA}pUiALQ%Gr>A6W#7-hiN;O%C=p5KFPw5^im3vy55)V8=hHm&*;OOuKVgn1=Mz#GPL{%shnc6jDP?T03Fc~O2jA_YzOt#QeBJFrfKIMJo$gBEcD`%qq*o9w%pY&2?bn-vo zjBGv3*>wq}^;dR@_V0L9(%s66M<1^_%Lb@{yjgR!kFGo&S6vAyG!|>zA61!=-@QDM zEnBAKSYRrWJu^&bNq;OnO3zq609}2}`}EuAn)hmA#Qqsc?5x$ zWq;inABn_xh`a&!n?x*xH70$3{moEkt@U`6uYZQ{B}c*`ALMy^=wsQWRt}b5H|$>( zZ~E+OA;GF#OD^^cOj0GsIIY5C>+;u5nWb6*cuSr33v5#9#~)gcGA#0rky-=waIRHf zv#%`d&)7oomqN$ZRc@@jrTPfWb`+L=9y!|;Q)~JiA$O^Qzw+6$ci|%NlERG1TZz{Q zz(u=$wK>>JNgj%T?;2Vwn5HDdPz(qylDjV>wZ0tye%G0Y*NPUx8Y_ehUOu9zetm#$ z3`x1fdJG6M(({3WSdA?JAFF*1?o~=zh_bu|*AM~HvD?$ZBl4P42r`Ti%l{^dTf_rG z;ic$@0Io$@hM)BsvdB_$m{oKxiXbfp3^nS-8_uW!;K#c94zFiZ#1J)bAKV{G1rdsx zZAF4b_gip+7f{vx8IKxqAk;|J9U1<{crc%+Kl+;3F(mDXesO?^j*L`J9GH4kcpx0i z3}B3TRRjlHM(nZ#Pob2M4@2o7xJd5sHldFW5XWktfk#b?xW)oOgNJB{(De=+cPgV8 z5WR*8VHL8F70INSv>5|5l?D9#NJ4T*{u*aa_l93&BS zuqhl=fC4g?6~hXO8Ii}sL%aIe?(Cfr!^jb21P=#CdBC@!f6tl%D=i!B!&wJ+iAR<+ z0@J{wqCkW>N3~kOdld_~1<9c^V`_jg(lVFE93b(I=)eC!Gp z>47&PJUqn#d&~wr)UPa~16z^W1RNXipwbXTbiva9VIsqVqFlS-P??eIAZvaMQ$aFR z&w8Yld>9}QNcA?ml_9bK;yuI4EzI#hHuU}!zM358n~W@HFf`!6^(8`2>k!~;&9k5UJJ z!GgEmO%&mz92%Yqnqu<5n@YZxEWDEZqXYINs}1n*YrvaghSt7bL|ABQz*it}A64QF zB?KYrC4jLgratlk^C}OXTTNuB3OMY)4Z{K-2-XD!7k;jBnEMx;pF2I&{(-J$_fmkj zEWzboT61FG##9#n|Iy?zJzm4yUC^4)t2tJp^}PdeoCwBB-a7Yn4#5HYsselIU>Qf4 zGKbgluR=hV7%{LDdPOIH8J+Kl=*kS~=Cije#O2_DNc|@u*L?wac4wrz@PX?9^n@aE zi7urDvzS-q@S52}ZXkgn!^@(?!!ZM?_Y7XJ!U)%7&Jyyq=z=9KX@XI*{_t;GlgJ0G zt0cJpZ?S}S06iS+fCeyxVyXtAjOs>pTxN$j@!7AHU^~YGxXnkq%rNRo0TUR7#8sQp zfH7DU=}DGDqxAzY@z@L6D$tn__(D3fR7-S>n9|s4D;w{X+vxDEDhZZ{ujPV!telr z40E))G(cWg2CXU|x`8oR!+aEv#TbE$0A@?xiaeGRxr`}N<|6>#eZ$CQjg&o9i4H1M zS_AlD7Uh7|!B0b@XsyHc85@?XWk$@=q^Nq7hadbH93d*BbPd4ImWM!XGLi*6Pw3)E z4MZ@%@qs4>bn$}vq;_X`Nfzk>zrZm(1cmATJ5k(NA&Gq}9Ci2dcpk#pTu~nWaHti; zYa$rD%Sv<%O>Uud!7oR6rzlV>urLRDExJU?p?0movFJpc_KA)u<+v#2n6W z&dX9Ic{@YvqFWNI!os;2CrNdzIHqBp>=805MTJ$UcwiH$beK9j_9^~i|HL;OkxGll0N2o+cl zSm=N+=Tqc3O4l-c5thPhjhYnSXE+BxTjK^4)T{j|Kb#}zNd3d~Evq-~cxr%ZVI1<`Ai^pV5ayUhz1NFa^x$yZMuN$|}6Tit4I>?V*JXa|hI7lQ7lUAoL=` zq@$<^p>HB(Mdp$y48Aqwnse~fiZ=V0B6I(Lcod~i9PI7*blrCpd&u(rpJ9F(>>NMY za^TO)=x63JzM1%&-AZJbU0Yfen1h$o3`YE)W4mep`k>k1g(pmTgjIowrU4Ax+ z>J}y0C7%8c7TShZ$MC~$SV1md6o9IHV1$nS?EFXF_bGk4gE*>0`LjpIeQ2gdm7LTnRd(p$m@3bk0|sZj1vUvuRgl+v{L~aqVIlE zVShmcRWSUHj0+b{De=Mn(RuPBb*Ov%jQ4&@Gzc|J$09ZEPXYHG)4*A(C+pN+Mo4k! z#5XgQxj0eE3wsZtZ2qV}MD3E1VnsQ2QC+je+ZCHU;VDZzNQPY*GASFbVkrO%^U=}~ zPvIhEa2@ti+uey{8wRuhj2)97kUzx)9ERUAq^2AR>$2fZ?nPuF9o6-}`;UcV7dNB{ zG-(xWe=2r)K>=FV6;qBCqARCYf}Msw?#SF-6w-u8kH|g!LW5YgRWSoaN4i9uuVvff z`GMl(tzTXyR&g1C@Y|cwE3R`>2;Y$0Q#zLI13F~I$KLAikF3Gp8?UHWX_G(sarVwQ z_fkX}`hRcePpQ}$M3wZ_mV@i}RkdF9< zK3Kjj{0=G%)P?kDUgl8TUn|RBwgS(~xtd>Eb54<8_evWJ>B3`EzxONrS0%+m&ON46^bOS|ffkUjyA=+p zcD&s0a=CO3GWM|=lFWtz9pBc85{|3Kni7}SF@tzFPStpiMGdRo}|QP z3ga*S*aP1Sjs5=Z<3aeD!IP9kHfwVJ6-A1>)k7c_mf z7uR+v&CDwyI{a8W$Cpt%CmoEz%P%$6am@#+*amaRKrxlc)pT`%AQ!4b-kgdWdEJ0I z=48Iy3N}3hDLH-%g+Zr)^FwW4ln}q{jNrO+@mFCfdQ9D8qQ1wnrF&o^Q{LUs>*Q~A z1rh(GYtEY#=O9*>os}I8zZWtZd9y0HrRUL8jR5-A(CjdRrJ*spw>QAngp4qOrI;}~ zr5ividPW$>Qst;@>~W_3LM?MoQc(l0<7EN=J!n|W3h}1yWpO-j+{lApZh&qcUwJ`Q zxBI`K$(0w>byvU~!ccVO1vT9_9OHP`Z)dLcpllrDxU{hq)KzuT9OKy63NxvC24p1j znAb`(T6zXlB&4w+V3;GPD=i6Wd{-rmjMJ5rL{y;Qk}ta=S6+9Bz!G+5!KaLlrktup z|JQ<1nK!zkro54(OD`D@BRP4h<zc`BwNi@@^jo&~S+RO_}?$GA?OdxGLw?Oiab zOsXVP_7AV?7=n{Tic&SJjtA|;Z%Q~5Rs7~*%u4x*<%pTI4_x6M+QS)`>AR$04*V*w^WAOI9=NnSxoi0<(7mh=*r z=TcOJW<%hy;^wXUcYJ<&|g#A7Kr55(I?IG3NQxN%Kh~pj2}lMOdH)%;F8XqOM;$ ziLwN_BG9ji#DCA6=3~WU6~|!Mx;4ofzj8^(G<9qRdR1NYV^0)E2ryaFr%W6{8DC8| zf#J-5t~zz}@%n;Tc3c(v_oM$sn+y})W{(xM+&puI#Qt;PT`(QtREK8=S9q36?60t) zc?E-WsdsRNP`G?TqU|ZQ2SEigAv)U1tG?*o){5QwP}bm2>6F3l>Ym!FI>PCS^Bm+e z7f#pFHjbXpkkGfu3UFgw*qI5ZD*?%F;ibWu1ZM+1$!>whr}axc0}7IP!6vVB%Z!Q; zdEKZ2!4Q6RU3s7Z#o#C6-n^$XUYT$g54tb*%?i*=l4DiEF~Z?cnx4Q#>U z#J48xRuSC80!&nnGvUu)2^5ns9N@6>^0BtXWck2hMeRp5_pS1l!)_}W5XAfy|zLvQS72oYnuOvAw zO>P6eG-X(m1`Jt({1+I8%SqMW`G!i_Q(ZUW_lPb>h(_`QYA1(5Cr9F0nFpx*$sC$` zR>s$|GOb*4_i{iK`egq1*R4+8;(OZ%&E0LU*q5}}^%P%kisbd2iqhhg8IBO0pGh(Cl<%S`sXj_F>=(Jf zfi4+aZmr)A9fi}`75s`rovZp zC-@_(tkV1{Qz87{@1Cz~>pxt7FKp~InDZ}leb_b1{*tj4?K1VgeCmYdyV=;QW~p#o z+@iuTPN{GXR!c$RTy&?pVvrl*ifr7XtoS%`EpL5}3Xps1ky-uOz10N9ocL0F{D>?m z({2dkU+v;P5S6SBUp0$;nXRt8swmNu+X#()Nro}kpC%wlinp7=nk*#M`HwiSe@$5^ zMC{BGhLq^k%f-KNUS%ppXxvmHS`aLAUIi+BEbpMlh7CgS%KHKjIC@3up!81Pv7ci! zRA8iP)i3$oS}?(emcPvt0gnh?ru>Qn24(c`q#3ika(@szJFPc9D5g&nD#*yYM!`}l>c*I zkyYX8?Jx+8?(#9WcG$EuIk%6Adriif_kbWo{=}MRg;MG=v)!$>R;S*Nxez5$yFQss&jQ?~Rr`|PFo@F#~-}$O;`gec9 z++e1J#L&-Ifpm>ZPb*N&V6H1%ly}2;t~FRxW}m;rmnFBMX1aDvc!$&vA9160;8sP6 z1NTEMAhvKcuvv*yM%R^TLzk#}?`=J&?lOMXoe4{+zlXg z&*fU~nQzB7nBz4SvQ@U@bZcfcES=ha^kE{=j#Wt0bFBpV{HSG8-%{dyQeP&?fr8Z4 z%~;)Y)m?2_tJ|^)M?(!sMZYKOnP~;akDZ%QMjVYxx;}8)C)6LXXNE~Hv3J!c>k(H% zNj6TEZd#zAG|PcwFqN%MFsA|WwU$Qz^^#keflCLqw6K|+4*z|ukuQZZxmeel-tZDmz)tj04n`F$2QY7hs|B5Xy4bodIltjsK<(St9 z?v`;N5`G8cRZ`;2iV$`t5>|qJ;iD~=dJ9);!rb{R0almq{4B3x0_HP2VGfDfTflcbTE;6rIL%s9E*~~6=amPXC)AdA zjm-}P2~C8lXWFCttw017&ioHB){NgD+?0DOocY3mF8|EZD(=L+q$F}BR|Nn9v9t&t zJpTjg&ON0mWVw?2FPvM`E)AGc`73J$wXz;NxI4ZEqa66j$LvHUpRS7 zb$3DyX31MWQ7bW=nx9&NaYr;ogQPZC;`-qx!+ApW#y$Buf=-yv6&l7f#Pvef(_{~< zd5tyu8V(<&RA71cv%E`r7o2-Y| z;<^&5DAHpwhC36LTkUHs8r;r*NDfYdcy{<3L+0AyE__!-d=_fcoEm2z3Lu`HexOqO z-1U2;@{Is`%{s7CNp+wl&y|!1cjc8x`_=D#?B_;!F9>#l^I$%gY8XR^=VztLc&{Em zbe8$}2;csO(?_k0W60$2_FkGOM8N*gR_}kS4*a1COJD7(yt4m(_1g*Sxy39nw)7TG zgi|i(eSj6hWFE3Fp%5{SO=G_?)_ZAQp4aUcTgsKU9$X6HHkVYNF3~vaF@R0478}fF zAIwTklZRBB1+vO?dxUl}`CohuJI=couN~)dM*R|K1Q~Zk{bFMTF||jPxz?Beluu}y z`Vn&7k70420uhz{p~J3@kEwRMEJo)j*eRKDTwp)V@F*hQ&cXX&r02_B-oMyjWt&uGX(wb56&1}S0hj%X|OjYB9a{;wM{^Mdb0DMFpD(H7r_h!PjmO_RTvE8nQTKN7 zc=W;^f9nX*(~rd8{BD0OQ}H?cc)(6N%1cE4M_cWn%ge+=J|LcyFB$v5sQ;D=)Vxgk zr(>|`hXAXTs6lSBl!aYRfFzStw?NqW`9NjSX~J3`sjQhGSFi8E-{kySv~v-}Qoi*7 zS3s!0*V1JQof38Sz$`IZe4RX&p}C--E)mL7)1f(s$BjANZO(5cMxwE`2j_mlLd$+w z(iWLGI&+%`t@FYF}pYMQ6MuYfs^|4)mG{FA%MUiuy`yt~V7-px(+);T~b0 zD!RUN$Dp4-X24(lCF*;>DO+Fg3Hfxc5cw3!PKXs`|=3Wgp zCft>)eY(a@rl*fXl`u3vZjYmrFfg|d-xjLUAFA=SM(0<%FSmX|R$!r(gEv7BT$Juq z=sH&LoW2WsV52Nei>>v9Zy1hrSRRg!O8?v~JkvbV&lxy6zxwCW;R6xrXZTjC49qpy z2OZ+;$eHO#JobwJL$9l$SZdfjAdj$*IU*!5VqX@OPK~Yo?{Hv9KNo)V3rMK_3i_=} z@Q1ZB6M6ICyL}K9hE5-xmm%nZnX(jZXzs!Om}za(1^I*tU2!r0<6FF_S}l0oB&A|- zFM*)ttdF?HxH@JoL%0deyp*ZZB&FZjUH={&r%PYjm3H;d;RQ*0_k|2{d%qQh5&6!0 z1Ae8Ip!?BTztBJO|M{7FzDime$TsVHhS)20LkkIvypK4R3zFsC>m(|DjotTt-0-!m zB9^{ASzNhIJSjj-@4k60^^H50XlYAbG22!Wr7aD3ttFmEG{Vz&)a(_p3jGf0%q(4F?cK1$hC(JkyiQx%DM@pl6$N%j3LM>g*)8JNS3cHGEVm;+X-T$UeDJM|8U9ed+iqwtFB2 zr5B&*6z$CBN>;Ojx(9Rikpn)DPyK8MZd?)Q)gd}W8=_gyJPa$mvcYRYzshBoPU0V$ zN`{-MWqYULAxN9I32niB>h{A*&;M+egs+oh2F85H3bA5a#a(|73(N5m56Y>!^&ec@ zbSPREhZ`5dLN?$=%QuH%d0yh4LsPeKWnM&x91C12clewSpMltLt3Q|^(Q~$9mIJ4z z4?7Rn4kEhvN_3VN+kM|J{Zim1zV~(gJ(28Wm^+=JR?zz&(M4Y|123yP!!y0at@@`t z;h^N|p?&P|Fm%qI;mSLl=yrk$cPIhq!nl%j%S?Z<+ew+LNt^uNvI+Zb4&A zr=9x4-vx~+ohyNaGl5{2`!Tw`b3>&jqrvRNY2lCqIPRHJ2qk8qo$LvypbQ4jhSfzY z(K^)!)SnaDaFDd06KWkrCwr&a@JP^AdzRrd_^X0~;g9LykbBI)w)IubEHCku$r2X0 zuBj^s^*__^plf)n8zUE3#PC(!HvI6XYJDW7-s$XM~|4;)R(a6g@ETKI17Y}Pmois#<<2XQaI`z7yO za=urBAzqE?S$ePMNhx|Jf&t&Mb({{DY%g))EwXJTZOi z|I_cz1jPmHf|l3d-O<4C<(F{5M>G;;5^N$#6cDSh=Q6f>!3~Q72d5t{Bc&<>st_gt zDJ}+PuQ(}c=z{;i8mV#W`yrwUuEWFJMQ$UW_jVh%KY~5iHDAzff+B7s;-e%a_Dci* zjok)D+}?(N@7XBIBmK-*{|U!<{%tGLe9oookiTeaUys-}e;QP!*d((s>vQXD^5@y} z$-}OOEU&2yREO&4XHHJeV3)MXf`DK(-+hyV+(v&_jh`9XoSb^{Aqjjg5_Y~=U8xv2 zQ(PZo-!qw1?s*ULAh4y-=%alsq0z_t7(*kBPLV_-OisbhS<{wGiTY7v1h$GL(lNGc zh_u7_%K&kQ^_KxMb9SH+p1@Mg@4|%m^1uJckbLPnduIBJJ+r=};0}%M@}fGvk=RqW zew$(D%_G39Wn>R&opw0vDQ z{5~992{twBxuZXz9W4rF?HL>QFvI5m? z!3&j)ntW$y){dEW9w?4touuqY`i`yJTFxBod4a+LzN3QQ5rp$-+K}_Hd?pS14YKSS z(Q1-ud~pTl|I%3^OiE`boGA@`@CjZ((pOCD#LLH!a3mM_`nSXoL$jp5Euhi{k@LIy z$Q7z1qrlwUT(AvNe=!UsG(n<_xpWec&{OsGl7`d?-Gk68`+h6G!7qWmZSvDUFiss0 z_%II40_G!(_CfIHl)!47L9d&L?Tp9n7*Y5LLpk(eR> z7qUGn<|s4f;Y8+0Q*M;FKOg-SfU@8}*_9b5cu20u`)=aa;{j%rjtm0SV}b?!ZcM*$ z5FCXiYUBjWR0W&f&CY#&+WnlywO?`7&c_ZlFeZy5pZ`OW2MxGY8Ws8%Z?SE8iSw*Hryqfa|!1)sO9PYNs z%h&Qlq`Gk!1$klTeT$Y+Oq(Wi3gWgy=T_9Ep{}Q?fvyZgL*l18GoFxS>jhc7&iYQ8 z;fSckY`Ke5ikU5I)lQe&)dh$zR!Adx#@yYl`PC1um5~5TiQH+M@%805NBz1B-DEp& zXP`j**;IyWLisMlsvk_M3o+32!eJT#QoO~oKIGpmFg%VG(zu_9l+3>oX59W7#zOpIC~ku=0udQ?S#*^??5=v(*Ci zLU7s#3x4x`_g6_jZ@R|pd-NhS-{yiS^B2lD`eLu^Gw3#S}Ub# zTy#!`Xb`R*5Je4*lO_5usv4pEzev9*j9GzW1 zc6a-olv3OjZ2^-jeCvJ8 z%%qX+j~^K8%%ZpmqPuYG6d3DPq6#^Nb$&;eX$sws(OT<}F*%?zRUv}f)+jz#&+X5aqc?Yw zo8y#Ep1J6HF1L0|XX#drUY~ONHlj_sXe*NMr)LB6(-7~kO(Z8IOgD{$l{Jg3Q0im* zjA;dW#;HrN$GYqWK6?(D$Rc-x`Yb4=JkCIl$g$qrVgMF4ynrywcm1J9?M>I8~DD2juDW}k~Q6!TE;WVp*MZxDs1tkP@ug;P^xAw z%(;|{9{_`X351KjZ5#R5G-K@RTw?hx<&fW)M?DBv}#kfo_>Ab|WmK zvlqdSb$2lhw{ytakPuHIUB^qrQSp>|v_aM=zF11^FP{8jkDbeCCvIN^#`4}}Sxb+7 zY0I%goeW?eL;e}HE|CBl1DMB>KS6HL#yCU-lfku=b#V&72qf1`0w{e{19@~8eVs-u z_y*~}uGKC9BqCbeu7$Kezswj5TOoGpb`+Qp$RGxIFyT~GRN|h#rVoL+?>1iLgf?Dp1)+9; zFC@_q+`vzARdSjR?Rc4@kO^|RY@sj z7)ATBi(<}%$*BhF{;oX1Oy{zt!~HkMu%Xo{@IH??oj|;K|CW90n-6}(k*xbSk16Vo zm@uTwTRmC*PxZcp?#!UCoS$soc_V$k3w<$K^=$0W8&a2|Z^}AN$wg2!iOmGOM!kOM z<+|bNVzT?okK`#PcW64wFF+>fEB2Qv+&v+L>f_XWhUX=bAAUm>e$9}%_t_|oz(snE3*H}#Pnz;#b& z*_r8t&*6UTm%vWt)qN6+nA||_Py2TlO(!0#^VSnC;+p60LKj4jokI1yy|#8#ytn*2 z&XX!T&P~nl{_2`{5R=RLlaO1+VBgY@#2N1l)~0U{e)8H9R_P#M_Q5!Q=GmRW(+T*- zzOpkWcHI zvuuT-*YnXYu(k+YNv;QOsTYa`gn;xX60+w&xYrgQT8wM>4uOMVg2%kC^?aZbPK5FR z0Eenz$4uamy?tn7tAD{G!DTIeZ$bVAly#i<9Nxz>@jz}bCf0}kz_7b`g@$CgWv3ra z9`^P`xjeRIEUgkH@GDi9nABts7<+voiivEZSRR4ZS6Z~ z$D$;^m1#FEmh^N1@RF8wj2nck4tVT1=6mZ{aPb`wF)cT%DXuM|X0MSuw^Hn^KstM} zjEue^zZk(0x20P3&gMKPiXvDph4QL(?Pw(0OvFwaBl|@m*!W+4K_6rl?U%_5o=L)7 z2R)th3_gAkNf@{f-vFKZnyru~&^kBsLfYi5N)f_$o0ch?K$tI-7V;rC^^xY9@sj2% zRn-ZDKe7BW+UydOYJQTFAG!Q8k{+5UCN(-bAf(it#`%4FUySb$23KVaGt$?eW#>!- z(HSE>3{|s^1MQuVTcTHoLfSoAh{^DMgcElm=iQ7u;H+yvm#&3&I016_oLpnn^;^7qN2-T>)LJMT#D{^`=fpK#PCKD_eEiI zL>q<@BxS}Xj)@I_jLKIPO7R{UCIM)=>)n1NwjEs5kIt3+VR|#nmG+657<49Y0?@k0 z1I0l>p7JJ2YBt(pg!vCu0YZ6#wp@&RL`;HwgtpQiikh6m=7p0oJKhwwdw@v?HQt}q zzgo-69R#oMUNJ!v^_+6iKB%I*=s>DbyCrk$T$bze^pREqlr+;MF_6o2tk{4{Th!M{v?^E7M=d6($R-$aX}A|0+%{SCKIvv`ofxw55eS)kd|J7TTgZAd9k+f>Qss z@Q`bN<18qdbXXNgj~NrwFT~NfacN#88)SX#Kt_Yaf0@SvdsLgY7?U(rWY5YkvqeJ* zt4=`O1d<1DG6H~^(QhItS(gA^$;p3Hvo;fc#rTQl(7#QI|B07>7+4)*-K2JSpx}1e zhGQGRb}5&qhVhWz_bPL@xd6|yV* zjnxocP@L^rQd?6Kb$As7Ps<8#K9-<3`?YMEO1i@aV1gIA^Gd++T~Gf9+OC-ExG{KD zn{MJ?WG8cd$z;AY|Db$@)O~5BOEPV@n;S1$H5RD5jxz_ms-;zLt(?xm`3AWMNj9J< z;9rJ6)ZBsFjgmDlLGNZ&DC2JEUm0nfIlAYIxY-P>E#Lo*<L9nQ!R>Tg)pvF7M)vs zvNT8=j`e^t`gcyTp@_~mEMznLkt-ib=b0@${4nIddRuPTTifxq$;i@t;UQZ$Ayt9f z?I?Mf!ph=^y*>m}jHsdFS-(Qg-_`hCM^17>-?`F}MW6gLk?97J_a++aMd}+_6x$6p z4gN}({EYiZ4e{ODx4}|bcvo!^KVUk2+Ru2ei7dtZ%{jwu|_+QJ3y~R93?Ds@>5op z;Ng!boeyd<=eded)50InpN|%88OQ%CAl?G2f`Z&3Am!pKd;MaB^YW|G2jh?)n|;Pt^Xq z>(*u#TIalz!9Nl#%&*jVx}0Jai(5p0GnYT7teKYovSr2^5L(eow8C;)(UOVM`a0t# zj%x2MCF~YPQMv3&{HDQVFC5V=-%(?Ga?K&XnYw+^EjF0d2!G{sxjk}daq4lVl3-}; zZ#O&YKoS&M9g#+bDW(a<#OL>`NmaKl~GF z=G?DB?|jU+-w-Vd*L@h`ceeb5%gZ*3D(|$6F`!de5WM{a-7oTtW z%|6`EL~Pg`L$KF<>_Y_uo1^sbmD*vcARYZd^ghzVa$?zy94aUr3i=Jcs;w!;8AqV@ z-;FNtYMrzX5YqYVY$q{{)6VKkn)`0%=K+E6D8Z%GW}jr-|M)lZH*ai%mQU8u{Yd6t z(9cm6R;yVE8aTK(tTFA)#Hbni9kESRnY$5@2Pv{}O)!@76-ecgl5GbX>j>;@-?KYq`aWk)zjzc$35&Ni`sLNyS(!C; z91D56n3$`p6|a>U3;s4Uw(5Rb`E;Kr0eh!?NeS?(ZjM}OjTyx zS?dUG^wJ7i8T@HuQ{v%4MCR!AUPO?vF+T6(Qlfp2E#C>#u(^k>c;39t=m?}2!obx6 zTvkY`Hd+Q8$bV^%pUu{@DRC2#G@;RD7xq4|M{^ne2oUOuFaGFux6eo!7DM+U$yuPs zV&@DQdsk(T!eZwJS(_v(&X=++6x7vmG*(EeHIf7f>T{ozvt;N@O2F)MclP=R5?b0&)w*7Rt4LLA%{dYIaL!ZA=) z-b@`K3t8<@U~-iG&J3-oeCwQAK&?oVHsY@H=%s5kkjH=B` zZ98Gz>4RKr*t>Kbigh_Az<51w;qq1W`gW3>gqdGHO00{(9P0#Kc5&o!FV|fUj_;;ebMb%-w-l|zpkp!^4me-`A%I$-hIb4N&8kLmzWr&H+Na?hBhc|M z;1hJ*je`}6drd%fh-ad>hW!ncB@@efocfM4FN(79RK2rvCX(AnQs&3J)wodQZ@pX# ztTczb=tr>7L_MEyp&uruIUj1yJ(&z>P(zib z~S0uv)-$mXJU3A@FTB$MDVli!*i2l_{$&0l3@oW11E22c2qb+nI zGA#35F4q2ZH&Q))P%XQFt)^9T==&##{g>>5#pFH-UYLG{j@bc({Lgjt9jSDXVXD%q z+VEOEO(2rDe$GxB^C{nMM>>JHmc%K=b`AHhlDDgC3PpHFI{t^ zOa9iZI5H;VD`E4y?;;wTbR8XI$*;C|WGD!IVH{gcwLf)-jKy{>`LPH;(q~PIu=rZV zX#Zqk^<~fM?2~67`jfdxekE1&AOU@h<~i2swQLyd2FBq(DLyD7Wd%1gZj=bBQ@`J@ zl#{MHosV>H{e(Cl2nePdAA*ki0{8T|rxk)@O$*R>bzOHUIns5xbrge(O@pz|Gl{Qzdv_3!8N+qjfnu4l$JNhr+j|#iWA5; z)A=i=v$ndPvu`A%Z9u0eCG+XjFw$Yx5FsWzjJZ}2wc;D;vhSg#ybV=%v@L2(RVn(V zbb1v8C{;dX{Qc7zPSctVBcE!6dbwLdYozHCVs*0VJ=!=nNvPiN1t^i*9OkwU?osvY zOgmw4qIUa%)&^X&|Bd1#c`@1I$^>!;lGT4I&N1?;5W*bK1CkMoer#tw;O5XsBnbm{ z;$7}*KvzCB#{Coe>Vpfa24U4q{hHxxI?op?mqB!=+Og!Msa0N_FD5Y)*I%+GZXa)~v7Ks>yrmUcXo--?hb*Dkxhh6>LdciJIFQ*pkNB z1z@*Pv&+D4uVqp23JP|}-p5kBkDb(&{4$jHsg;+Y?4qvI<*35lvO0Yk1$$(5x&-fI zFLkzyq3okp7D3rhtt^CcfV$;YprH=RT5c%{4#`^XMZAy0)Y-lOMEP$ ziXZ!9)*zP;F10_VW(?w%Bkn`xxMhetrX2Ss;yzN2djoODmE%?;?u2sO>xet49QPXH zPASK&LfmQPxK|NZqa3#qac7j{Rv_-o7na~3$po? zYI~pFq2rQ}F;Uw1t3yI(sWxH8$H$v-TZv0j@xcunXOYuq>fSD67b}A|9Q978(;?k* z4DNLAjtTQ~b^8WmS>G@i3>F?6bQ2P#4Z7Ws&=*v{kAQArwOTnQg{Pj>VBY$Y?jbnw z_Fymk5z<%0x4lt=__9a?x*IzG*R;Q$K=Df?|7Aeyf>rq&s`4AEs!Vp)`Ep2;y&hG0 zj_|2J4u10@yR3w2{8rr+U1THKc8|Nm!MqLTJ96eNFyE6i8^QcQ&TIhlBRR7k%z1KV z9hjfUnYCbkCS@@FoB{I-*-UP!w}q*8()$?duT-kF?pNqBIukJI{nntjok}~HbXdUr zu5SPMQ+5hFeHwb99?HMeRl;SxuxdK%g)gCD{!q6+td#mg?F|)Y=*CL*ST>nM{gd=M zG&@b~ic0)9(OwyYj>{s`65RN+2bK1hx?@P&NVbV-2Alrg2Xld(*##ynlA75KCY+SP zFj@?zQ6$G<1a%SA#=O-fP$MEaPZ=LVZ4ya+hwK9|k>tz8^LJEnYX}b$(hq& znvydgfoVq090Svwl)-3S2&M(^IpRgAQM}a`phojntDv^zJs+Nc+KRlF9tRUc&a45` znw(h+rVTl>4oq8eW<8j8rH}-p5s#Ya>-XxnC*&6*<=Y)ljaZRIpv) z4OCg@$k29$O<=oFC$|&I_0-A^C^t|m+o5!&Zm8GMP~9R!8%Mt4)qwJ&tD| zZ5G*ppD1sXez(_vnNWZ>fKr;GI}rnwwYNG5LS&O?F>dNm1@3)}!*UGHN`@TPChoBVx4p@nz+c zioELm@MihGvhq^jsETrLzGZezhnAWqfuwecN!2OXUB6&Y{er#q3-;A7$cm^};Fby^ z0tHJo^$IrB7Tkf)8o;34kJ=Wb2}q5aI8tZd3cF7?yDA==+H)Wt(A3#*p_<5c3MA@u ziO{fr}obwq(eK-OUrI02OaUzo+DTs8t9`;aU!7c*tuBv_@JE{cka(#yq4&kRo_U3n4Y(A-xDGl7}=4 z(iK_`V`?TO4G*ajl9q>b7o?`@OGm<_?I*S8_`@JI<26srht`}&|9c)%3m(#QkfL}< z&q9jkAmV&)+gEwy^c35|%0n8-wz2Z4Jps}+Jfzzp zUCTpy9ny6HNvooCEKJ%!HM`1;O=uG!b=G1F$aEbF{3z%mE0~Ic>tzLZpx_2s!9)~v zl@&}uK{r{!WE6Cl6^uv0jk1Cg6x<{$s6fHZvVw9H+#)L|MnSBsU>pj1$O?*3&{I}W zih?*S6sM z+jz@3uH{3vtAAYE@!yVX2IT66=ukh`9hW+W2Mz~V_H}Yn9_Q!|2Y+{*!%`s`c@0qi z1Z1!51SGJAwL(gvmT;NJ2Fay(b3r4Di?XJmVXwCq%m;8a(0h2g9J4gJOO);K0g zvNBVwZU+S=eu?u)cuX6K%62;hrz_ndWV+P_b&wjzv=Aj%x?ae1WVtfzBsq!I7m^H= zl306VMX9AIiFKzF?5-g$yMv}zRCnob7$;&e5|-`B5o|fORGOYq(@&~J#*y~H(PAHL z9h4}$;3!QaHm*cSU)x;j8kTT*E9v>RlAc>D>3Ox1fkP`9__mUPTPqoOwUUuTD;fE= zl95|08A)3yPlTcakV&vv-8QS*%~ZE5m^gF=6W^|2;?@;RyasGMhgOQ`+e-1=S}C4a zE15a8l9_KSnYp!+nY5K~(%IaWk(TL5cUwKKjC7UHJ0p{`oq~A!k}LLx7Gf_DbE4`D zMH+2P8<$&P=Syx!s>lv;WO*DapECt6afp3E8`DzMGTn}JS}B-UrrVWnvpa0G+89xb zfi^VDK9o4K(V`VCT3K!nEmR;5+-6x0r!dgv@i?;dDi7N!ZB`CAGkIpe`i_BWdKf8= z+9qzd;81(GHgQ^0-3~S@Tp|Aav<$V!X;w3vBVDW-A;mQyg&iYW1RRp<8GQS3Vj9T zvj5{N23R)1SDshsAAiw5D)r|1iwk_CYm2?bxuc52_cPI#q>C-^dhUs0Op zO>)|k2MN|}Pe!a%(@AM*wzSydigAL!q8v?l)e&n;&9Ds;(gtJ+ zo}5faQo1#ETyAkiZjpd`DD~xg1OIrcWoF=6@<5)g)7h<0tFp#***>t z3!}t>%4#j1w!;b*)h}3FzhH?}5D_T&Jgi>9{Q3n8>KFV`zaT6;P_VL*_*PB0@$ga< zyUo!^JRMANh;zS2u%vOJ$9beRjfX)Yr?Xublc%n7UjkJv{hyDM8aT zMw^w9Cb&I0;@Vr4A6)0jQkB(i+;uvQWR^z#{avTZnnCROWr%x4IqopxmMO=bLfmrY zxRZ!mp&WMtaVwSMjw9|><+zU!w@Nwg7~)=2j{6XCuPeuWfVkDlaYqsNhH~83hy_iaK->o9xX%%{Q9152#J!~)cNTGPE606` zxObG}K0(|j<+zU#SAFR?JTT;g|S!c577Wx$|@fZQ+2`HPXl}DkxN3A>z zWec_PAe61t%KcEbQ7iXC*-ov@g0h2J*^Y6wGwO1mGFnF&9eT=WWlauyUNDsRsg566?jMgq*-x#^g>ryec?iluYGn?T zL)6M_D2J()d!QVlR%SvuO0Dc;52{pm+!n~jZ8e)6_OgdmQY(Ad11hPN-R$9%lnN%c zUTFQ}vY9Rs1t)3?u?uc^`J!X9}AW@hz?(2ji0+tk6zHUGB$=T&&^a zMNIl2GZD;bb(wC#PaI4%2YdZNFg2883!hrj2g;eK%l*`ni|dSS9uBlmq8TrIqY9z+ zhWas;3NNVQ1r@!qKh#gCdSgHh^~NdCJ|*oYVA_B=OVsK03CIS$@o_Mp5%or?&p!g? zb4rDM*uY^hUr;fseAvLnKfCUBb?M;v0CM?~x?%2v@)f0mX(0y6*HqI&;8T*#iSok+ z)K*Zx;jKnPJ;z&Z4fR_p)n4590pXZ+Iim z{Qdpf%YA2C|NnMv!@gZxS*2&=9Np)4isLYQf=TVsejSv5Q7dbq{6VcmLHSc%B`I8b zYDr~P2D^X><=@n~Y=QC@welX63)ISHC}GjW%1$WZ)XEMhji{CFP#RM!+n_{HD|?_c zp;mT7iKJF`LAiojc^`^~S~&nkORemO(v(`+2c;RcvKLBoYUOPxEvS{ZphQtC8=*u~ zD;uD+q*mUA(u!KCh7v=qY=Y97T6qUb8%hP6PLiRtjlRUDld24yj`c)byXe5_82(`@ z3ff=#A0jRdaUGQ7(h+y1@*B}3uA}UYWT4i?T#ND8rm=SSZWr+<%K{sXKR>XBzj>|#ZjmmMu z5OCX4xAaj)Gg1f!h!ls~k51aXpmd?1<~B9Opn>oN}BKaXRG+h(nxSRsjQ0 zU{D595NA}5b0N;895)bg@yc&lhd8tB4G%&=f--Onah6NQ4aY^uj)+T)R`nv}`LMbd zm!&T~vcU6f#zO5CUFRWts!Z+d2{Q?JdYU-B@53FOL!f$7QFw+H-@7=^A)h|f$KGe5 zBvC8#p!B6y+Ck~3u5v!~G}_v&T2)Z`Q|Gb(ij`WK4<(sec^-<5T3H0ePOU72;-FSu zgyN)DUVt)y{5+LC(kxolyDEP97R%x6Je57pj9S^m9%DwWyaQzrwek&=RCSf}VKRl? z+`0~ZEG;^;)Abjy>69##U!i1BE9anOQY+s=8BDEw2PKPI`5ua!y3=(=r}M}<-49^1 zsgwH=$`EShJd~l-%1=;krEaJ$Xs8@nL;VbP7xcw)`G zn*7|F>dCp&%4g)xojS2>a&7gDesial_sB|5OB_f{W_2P-;*niIhM>*%4*3x5`gx4SQ_;Ae1gBw>GrdFy@D%CytNR;Vnr5nl->Ylta$_%x#0A;3nkJX{f zQY*)!%vPV33sI`n$~u%IV@j4sN=q|~<>gHByGpp7tMo*vb``n(yTeI(h21#l*yj$^-U-p3f8d-S9*ugi zw`%KrcRGniqY?KzipHM28Eu}TX9cXYJNP!_8%b(f=DroISmg>t!Qk3|xD ztR>17Y9$NhO0|-SvP7-SK)FhN^lMR;s+GAYSF4p{P_9uc^H8o;-%4GEa-BMtdr_`e zEBBz>pjKL-+^8P;Tcg~hKKGABxmi8G%tX0GeO!)1xmB&aj&hr~tBY)Fb+I(U(y1@X z?beOb-URNj0(}VFX$AHqa92FAD&37tCUQ5MTI$&jS-_??59Uk@2Lt7q8SPt*9}_Jt z8$N16X~}4BUVPh{*;8uEX3VLrnpjyK?C)k%X0oXun|uD<*%T(TnMyYI{=2g&N@i0@ zHp~9q+3+S-8E5!PF2R<2dxl?VUq4i!tU$R>t-OG8zgl@7dR!rA$#w`b=C*4eq<+z)vfkFnZY&-uANj%3e1ApS>*K&E_zZO&QrdxwT|-IN3b)@6M)xY^J!$%p#emy-mhk z8Ier1m`fwG(yPyFGhJmtvtoxyu#bT`F#6X zCNl**iLz3yJb|)Gt<(nh1YWZOod~>c1@-V&E0XE zS#AV3L+wHCA$JRLd&S-9ECg`(#67@c*F3oHIK|1n)gQaJ zWp~H!BeRR0h(BQ$VE47`U9kJ<@-B9gc0P8lWp~5&%j}4?&jZ+bmfaOQptGY9Ct>Gd z=Uev9*abq{N#^ax?r+&UVHe8mXeih}Vdr8O#qFsq^09;16Fj!LgVxZ=cLW}g-f-2p zErEenpbde&tUzl5gRDR+0()D5mIMY{fh+<;tUyNsL#@CL1VUCIn?TqKbRghZf$a$t zTY>fjB358K0#Pf_j=(T0(3Ze(E3g-V5mvwYtcn$7wkJn}e4IfmBpRem+Cd!#tVJ69$ z-C-t67PRJbm+rBd>oWVnl*5#J%p^Yan`eFS*PrO@2f$Y7I(x98_k9R8MSi?KfSD?1 z*27GbGw;Jx%9)R0rpuX+V2+S8Z^6uvGjGDolrwL@%#t&&!_1a5@4-~bnRj81lr!(Z zRLhySVQS>ejWD$yuNfAhnX*D?t?j2&fE`kocv(b!5nWLtOp1zkf(POOr4y$8D^oJxdr9~Iddz_ zB6*)U4(3FwPuxb}Bzbzb!<;N&5n>(1I*cAZHnla$W( zIHe`&;*^&B<2lv=!C+neRFhH$DV?KBsVL9iG$|F568BUdq=TO;>v!R8oq0G^`y0Rq ziLr-LSt>NLPb16N!gaIn5|QN^Tb5zQuIx*eo9u&O#6`$b*=6tEp~k3?b)slwry(Yc z-|_UjzgzC_J$QJY&Lhtc>f{hqH;4>tQ;6B*Nbl#!yl3CysFd++7 z#zf#=xQoQ#9Bb0uC?W)NIE8^Qf+B}uJm&~V=;+H_2dA10xy~*-S-0@`7ER(F7+r!L z2ca{tR0gsuoT5UIM!Cuns!^^y1aA~s7Bl&Ya1Em}my+7hUx0!Ll4al-$I(q#YLYWL z6-(tN+ruf01Q?tGC!pXILBd@W*%q_=iJ%DO?8~in63T?xG4CUi+`Xt;_u7(K$FT{s zL44F+plU~g^udJ{g*U5jhF27ZR-X*>qlEdtG&fxEIJRiPuuvZ+9H4S;rO=R6b7rMb zzr9=R&8mlIj2yFS##wjcHaCl=$@sv*QlIT-R5tWtpmcCMpSf5dY{kkt(S_SO_iSG= zsd9Mb=3AeD#lUL!Cdk%toK_oOHM@_|OzE%&|3tMB$;Wo09ou>f=+i@EOiD>r?~XT# z)XB)NvnG6N7+z_d-Lf|!t=_O-`+ayNyo8ir!J|UAEiL(En%diB!4UC!wE)=p>s8Y5 z3hfV4ScPKn3I4r~hHidp@0Km?m-vmZdmotIa^`ob(o=x%lA))`B5(>90gozUC5V05 z)fw!)BQZ#ZV+Q94&!D(hxo(af59F#GJ2K@YojTIxBpo}l}>4nJEQW z8wN@{)`p!DgAHLM>%b{6f(go1nlOke6C(4$DN2M|6!|h{dX7*6?R=G6?nHYaXVUEj zbUl0GZiSKKm)wZ4)K{}ixf3@zVbwv_vx8CN2sMp>%TWX7d}MCS6DNlNRJ_VKi1yi=cb+ffO3^F-3#g8jxcZDw!AaRuw>;0kbys_^;1pv% z1Q)Hn5V>OHA&0B<*SJDEDJw8zQYYvbL;*{l8rx#o>rV|3@Q|paT3%#LD%OnP5z=@W z=`UO&q<;O(gSmsxYDAa(x4tkL_bg205_8Uw==jD$GO-L)U~;R2@ix;Vdzgf~OGAk` zQmmX%0@R0?n4E<_t=jKzbRwI1VAK!8umeR_!GxQ~Uk);9)vWP(6g1_h&b!stxxZ)3 zwv~XI~@ct=EeUs+~O)_=6&s35m+CoC$fZ zA=$XooREwWjaybe5q?v{+)TB6Q}(Sfe9Tma)u2l=HE4z>0SzsE`Ee?`0coS!qdM+?mdac9(cynwTTNp)uuYvt*mOoQKXs#q%P zDH&fvKp^0Vsp_XOEs>~)NgM!S24hmF1L3-|=vbT#Tu(RN&lwQjh>6gup$lUX8 z>Ivf}#1z=b7d2O*dZa4xV=QfKcxHPiR^bzo7B~qpwYEO(dtgRm`zm6Q;XrJ??R2Rp zP9tUi_3-D>XR8g(yMmD}ES#uo0#f-s6mEk!VT*c)HQv19{m}Bxim}=t7Q>eC_6+3W z=2AhV_;4+XB^_zMbtUUt9I~G=W2wO0qS}FGujKIfE6=iKS!ibpdz<8A-%A2dtG4BK z)?6yLcQbKMN^opfvUa!j{UXIlwE>?~ner$0pVF+kq%Y}a3pqn7HY=)%!dc{Q5%18W zV@+;(ZC$bGyxIX1^^LEnM=}~K%5TilIk-+lfFyLg6-*5nj`4y(AZCzvOQJb20ns0J zfSdxFj;WrB1H#1{>EL1N9)dRU^_TH?_F@42OobnKzV)U9fm#5R>n95BAMl$@pm&-r zG8)Q@pVr&qnD;ZlJer(Z0cREE`W;_Smtw6jEw%9mOXr90Ht@;?ggu_LaR}WTI{R_ijdMznmYTUDI@A2#AJaAyhW0}DA=v;f<$Jqz9ZeoF2wyfZhc|}joyjhjy_uc*9Ec1R3U}uu^W%C zE9RP|9E+z1Cu-^qO7N6zGM{P|%|vRhR~kN9DAzBNsyf+_dhC4Ji8uP%`G}D}a@8=u zPp7l3?Od<-FFI!;c-qij5VP|OQ*j?>derFY!lZ$AsPV_FBx!nCa6r1Z=E|lRdV1#k z=6=OxzO)dX#e!c+f7Dd}{*W(hRQ9HUwV7V<+SJvitHqzhTP?JW{G&6Ue+|AE@$2nj zxt$``-2TCxm9(%jL~p9(e!sWEUe1!s0sC+p?i%5wOn)C&53h<4Ir=lJc&v?Uc$WA6 z5!dJ!j>#hc*WegHAfEr@8h36~@J)e^{4sJ3PB*^=Dk}9m2j$F!LW4PwR80o5MIq&Hk^)6hHim7OoEr^oH zIRW=KFi@GDZZrMeW6Tatpv>;@7Xt7%qNemq95C9Sq6r*!oDp*+pG(cARkBoQXrm4W z^|O*)1|dGLTV|lzGJ(skLw95F@8bAXo5~Aw1+|rKV(TYAw0O?WbgsHxyFJ*4dzftG z6ZzU@rNL9^oix*W1=zS(hPGb~QEwF4r<0E&D?6;&HaaveUOcssq@VuMoa+)I7Zg#o z;w)8Kq$hrI8it+o`*xqF!we3dhbNA&n^N!_%Ea}X-tRO9PG(A{BJd@au32i4CF=Dc zasA>Hu#LIZ){PvbsR11j8~?rL|stmG7pITP!Evr(4WBYzfhHNLAV}8FJgKm4ud-$XdH(eAm7m;H@Y8C4fQSh4fZ)|^icW71o$`H z7&TbV@Xw3-DIFeN<7hfOT;u!8W_9y& diff --git a/proj/GPIO.runs/synth_1/GPIO_demo.tcl b/proj/GPIO.runs/synth_1/GPIO_demo.tcl deleted file mode 100644 index ec58495..0000000 --- a/proj/GPIO.runs/synth_1/GPIO_demo.tcl +++ /dev/null @@ -1,43 +0,0 @@ -# -# Synthesis run script generated by Vivado -# - -set_msg_config -id {HDL 9-1061} -limit 100000 -set_msg_config -id {HDL 9-1654} -limit 100000 -create_project -in_memory -part xc7a35tcpg236-1 - -set_param project.singleFileAddWarning.threshold 0 -set_param project.compositeFile.enableAutoGeneration 0 -set_param synth.vivado.isSynthRun true -set_property webtalk.parent_dir C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.cache/wt [current_project] -set_property parent.project_path C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.xpr [current_project] -set_property default_lib xil_defaultlib [current_project] -set_property target_language VHDL [current_project] -set_property board_part digilentinc.com:basys3:part0:1.1 [current_project] -set_property ip_repo_paths c:/Users/Hp/Documents/Compteur8BitsBasys3/repo [current_project] -set_property ip_output_repo c:/Users/Hp/Documents/Compteur8BitsBasys3/repo/cache [current_project] -set_property ip_cache_permissions {read write} [current_project] -read_vhdl -library xil_defaultlib { - C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/Ps2Interface.vhd - C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/clk_wiz_0_clk_wiz.vhd - C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseDisplay.vhd - C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseCtl.vhd - C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/clk_wiz_0.vhd - C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/vga_ctrl.vhd - C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/UART_TX_CTRL.vhd - C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/debouncer.vhd - C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/GPIO_Demo.vhd -} -foreach dcp [get_files -quiet -all *.dcp] { - set_property used_in_implementation false $dcp -} -read_xdc C:/Users/Hp/Documents/Compteur8BitsBasys3/src/constraints/Basys3_Master.xdc -set_property used_in_implementation false [get_files C:/Users/Hp/Documents/Compteur8BitsBasys3/src/constraints/Basys3_Master.xdc] - - -synth_design -top GPIO_demo -part xc7a35tcpg236-1 -flatten_hierarchy none -directive RuntimeOptimized -fsm_extraction off - - -write_checkpoint -force -noxdef GPIO_demo.dcp - -catch { report_utilization -file GPIO_demo_utilization_synth.rpt -pb GPIO_demo_utilization_synth.pb } diff --git a/proj/GPIO.runs/synth_1/GPIO_demo.vds b/proj/GPIO.runs/synth_1/GPIO_demo.vds deleted file mode 100644 index 78ad6d4..0000000 --- a/proj/GPIO.runs/synth_1/GPIO_demo.vds +++ /dev/null @@ -1,803 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2016.4 (64-bit) -# SW Build 1756540 on Mon Jan 23 19:11:23 MST 2017 -# IP Build 1755317 on Mon Jan 23 20:30:07 MST 2017 -# Start of session at: Fri Apr 09 23:10:44 2021 -# Process ID: 9840 -# Current directory: C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/synth_1 -# Command line: vivado.exe -log GPIO_demo.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source GPIO_demo.tcl -# Log file: C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/synth_1/GPIO_demo.vds -# Journal file: C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/synth_1\vivado.jou -#----------------------------------------------------------- -source GPIO_demo.tcl -notrace -Command: synth_design -top GPIO_demo -part xc7a35tcpg236-1 -flatten_hierarchy none -directive RuntimeOptimized -fsm_extraction off -Starting synth_design -Attempting to get a license for feature 'Synthesis' and/or device 'xc7a35t' -INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a35t' -INFO: Launching helper process for spawning children vivado processes -INFO: Helper process launched with PID 3172 ---------------------------------------------------------------------------------- -Starting RTL Elaboration : Time (s): cpu = 00:00:08 ; elapsed = 00:00:11 . Memory (MB): peak = 350.121 ; gain = 140.289 ---------------------------------------------------------------------------------- -INFO: [Synth 8-638] synthesizing module 'GPIO_demo' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/GPIO_Demo.vhd:70] - Parameter DEBNC_CLOCKS bound to: 65536 - type: integer - Parameter PORT_WIDTH bound to: 5 - type: integer -INFO: [Synth 8-3491] module 'debouncer' declared at 'C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/debouncer.vhd:42' bound to instance 'Inst_btn_debounce' of component 'debouncer' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/GPIO_Demo.vhd:320] -INFO: [Synth 8-638] synthesizing module 'debouncer' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/debouncer.vhd:50] - Parameter DEBNC_CLOCKS bound to: 65536 - type: integer - Parameter PORT_WIDTH bound to: 5 - type: integer -INFO: [Synth 8-256] done synthesizing module 'debouncer' (1#1) [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/debouncer.vhd:50] -INFO: [Synth 8-3491] module 'UART_TX_CTRL' declared at 'C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/UART_TX_CTRL.vhd:42' bound to instance 'Inst_UART_TX_CTRL' of component 'UART_TX_CTRL' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/GPIO_Demo.vhd:450] -INFO: [Synth 8-638] synthesizing module 'UART_TX_CTRL' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/UART_TX_CTRL.vhd:50] -INFO: [Synth 8-256] done synthesizing module 'UART_TX_CTRL' (2#1) [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/UART_TX_CTRL.vhd:50] -INFO: [Synth 8-3491] module 'vga_ctrl' declared at 'C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/vga_ctrl.vhd:38' bound to instance 'Inst_vga_ctrl' of component 'vga_ctrl' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/GPIO_Demo.vhd:465] -INFO: [Synth 8-638] synthesizing module 'vga_ctrl' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/vga_ctrl.vhd:50] -INFO: [Synth 8-3491] module 'clk_wiz_0' declared at 'C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/clk_wiz_0.vhd:74' bound to instance 'clk_wiz_0_inst' of component 'clk_wiz_0' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/vga_ctrl.vhd:197] -INFO: [Synth 8-638] synthesizing module 'clk_wiz_0' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/clk_wiz_0.vhd:83] -INFO: [Synth 8-3491] module 'clk_wiz_0_clk_wiz' declared at 'C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/clk_wiz_0_clk_wiz.vhd:74' bound to instance 'U0' of component 'clk_wiz_0_clk_wiz' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/clk_wiz_0.vhd:98] -INFO: [Synth 8-638] synthesizing module 'clk_wiz_0_clk_wiz' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/clk_wiz_0_clk_wiz.vhd:83] - Parameter BANDWIDTH bound to: OPTIMIZED - type: string - Parameter CLKFBOUT_MULT_F bound to: 10.125000 - type: float - Parameter CLKFBOUT_PHASE bound to: 0.000000 - type: float - Parameter CLKFBOUT_USE_FINE_PS bound to: 0 - type: bool - Parameter CLKIN1_PERIOD bound to: 10.000000 - type: float - Parameter CLKIN2_PERIOD bound to: 0.000000 - type: float - Parameter CLKOUT0_DIVIDE_F bound to: 9.375000 - type: float - Parameter CLKOUT0_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT0_PHASE bound to: 0.000000 - type: float - Parameter CLKOUT0_USE_FINE_PS bound to: 0 - type: bool - Parameter CLKOUT1_DIVIDE bound to: 1 - type: integer - Parameter CLKOUT1_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT1_PHASE bound to: 0.000000 - type: float - Parameter CLKOUT1_USE_FINE_PS bound to: 0 - type: bool - Parameter CLKOUT2_DIVIDE bound to: 1 - type: integer - Parameter CLKOUT2_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT2_PHASE bound to: 0.000000 - type: float - Parameter CLKOUT2_USE_FINE_PS bound to: 0 - type: bool - Parameter CLKOUT3_DIVIDE bound to: 1 - type: integer - Parameter CLKOUT3_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT3_PHASE bound to: 0.000000 - type: float - Parameter CLKOUT3_USE_FINE_PS bound to: 0 - type: bool - Parameter CLKOUT4_CASCADE bound to: 0 - type: bool - Parameter CLKOUT4_DIVIDE bound to: 1 - type: integer - Parameter CLKOUT4_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT4_PHASE bound to: 0.000000 - type: float - Parameter CLKOUT4_USE_FINE_PS bound to: 0 - type: bool - Parameter CLKOUT5_DIVIDE bound to: 1 - type: integer - Parameter CLKOUT5_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT5_PHASE bound to: 0.000000 - type: float - Parameter CLKOUT5_USE_FINE_PS bound to: 0 - type: bool - Parameter CLKOUT6_DIVIDE bound to: 1 - type: integer - Parameter CLKOUT6_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT6_PHASE bound to: 0.000000 - type: float - Parameter CLKOUT6_USE_FINE_PS bound to: 0 - type: bool - Parameter COMPENSATION bound to: ZHOLD - type: string - Parameter DIVCLK_DIVIDE bound to: 1 - type: integer - Parameter IS_CLKINSEL_INVERTED bound to: 1'b0 - Parameter IS_PSEN_INVERTED bound to: 1'b0 - Parameter IS_PSINCDEC_INVERTED bound to: 1'b0 - Parameter IS_PWRDWN_INVERTED bound to: 1'b0 - Parameter IS_RST_INVERTED bound to: 1'b0 - Parameter REF_JITTER1 bound to: 0.010000 - type: float - Parameter REF_JITTER2 bound to: 0.000000 - type: float - Parameter SS_EN bound to: FALSE - type: string - Parameter SS_MODE bound to: CENTER_HIGH - type: string - Parameter SS_MOD_PERIOD bound to: 10000 - type: integer - Parameter STARTUP_WAIT bound to: 0 - type: bool -INFO: [Synth 8-113] binding component instance 'mmcm_adv_inst' to cell 'MMCME2_ADV' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/clk_wiz_0_clk_wiz.vhd:125] -INFO: [Synth 8-113] binding component instance 'clkf_buf' to cell 'BUFG' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/clk_wiz_0_clk_wiz.vhd:187] -INFO: [Synth 8-113] binding component instance 'clkout1_buf' to cell 'BUFG' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/clk_wiz_0_clk_wiz.vhd:194] -INFO: [Synth 8-256] done synthesizing module 'clk_wiz_0_clk_wiz' (3#1) [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/clk_wiz_0_clk_wiz.vhd:83] -INFO: [Synth 8-256] done synthesizing module 'clk_wiz_0' (4#1) [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/clk_wiz_0.vhd:83] - Parameter SYSCLK_FREQUENCY_HZ bound to: 108000000 - type: integer - Parameter CHECK_PERIOD_MS bound to: 500 - type: integer - Parameter TIMEOUT_PERIOD_MS bound to: 100 - type: integer -INFO: [Synth 8-3491] module 'MouseCtl' declared at 'C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseCtl.vhd:179' bound to instance 'Inst_MouseCtl' of component 'MouseCtl' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/vga_ctrl.vhd:207] -INFO: [Synth 8-638] synthesizing module 'MouseCtl' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseCtl.vhd:208] - Parameter SYSCLK_FREQUENCY_HZ bound to: 108000000 - type: integer - Parameter CHECK_PERIOD_MS bound to: 500 - type: integer - Parameter TIMEOUT_PERIOD_MS bound to: 100 - type: integer -INFO: [Synth 8-3491] module 'Ps2Interface' declared at 'C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/Ps2Interface.vhd:152' bound to instance 'Inst_Ps2Interface' of component 'Ps2Interface' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseCtl.vhd:370] -INFO: [Synth 8-638] synthesizing module 'Ps2Interface' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/Ps2Interface.vhd:180] -INFO: [Synth 8-256] done synthesizing module 'Ps2Interface' (5#1) [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/Ps2Interface.vhd:180] -INFO: [Synth 8-256] done synthesizing module 'MouseCtl' (6#1) [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseCtl.vhd:208] -INFO: [Synth 8-3491] module 'MouseDisplay' declared at 'C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseDisplay.vhd:99' bound to instance 'Inst_MouseDisplay' of component 'MouseDisplay' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/vga_ctrl.vhd:334] -INFO: [Synth 8-638] synthesizing module 'MouseDisplay' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseDisplay.vhd:129] -WARNING: [Synth 8-614] signal 'ypos' is read in the process but is not in the sensitivity list [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseDisplay.vhd:197] -INFO: [Synth 8-256] done synthesizing module 'MouseDisplay' (7#1) [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseDisplay.vhd:129] -INFO: [Synth 8-256] done synthesizing module 'vga_ctrl' (8#1) [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/vga_ctrl.vhd:50] -INFO: [Synth 8-256] done synthesizing module 'GPIO_demo' (9#1) [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/GPIO_Demo.vhd:70] ---------------------------------------------------------------------------------- -Finished RTL Elaboration : Time (s): cpu = 00:00:10 ; elapsed = 00:00:12 . Memory (MB): peak = 391.957 ; gain = 182.125 ---------------------------------------------------------------------------------- - -Report Check Netlist: -+------+------------------+-------+---------+-------+------------------+ -| |Item |Errors |Warnings |Status |Description | -+------+------------------+-------+---------+-------+------------------+ -|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | -+------+------------------+-------+---------+-------+------------------+ ---------------------------------------------------------------------------------- -Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:10 ; elapsed = 00:00:13 . Memory (MB): peak = 391.957 ; gain = 182.125 ---------------------------------------------------------------------------------- -INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Device 21-403] Loading part xc7a35tcpg236-1 -INFO: [Project 1-570] Preparing netlist for logic optimization - -Processing XDC Constraints -Initializing timing engine -Parsing XDC File [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/constraints/Basys3_Master.xdc] -Finished Parsing XDC File [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/constraints/Basys3_Master.xdc] -INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/constraints/Basys3_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/GPIO_demo_propImpl.xdc]. -Resolution: To avoid this warning, move constraints listed in [.Xil/GPIO_demo_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. -INFO: [Timing 38-2] Deriving generated clocks -Completed Processing XDC Constraints - -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 692.656 ; gain = 0.000 ---------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:20 ; elapsed = 00:00:23 . Memory (MB): peak = 692.656 ; gain = 482.824 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Loading Part and Timing Information ---------------------------------------------------------------------------------- -Loading part: xc7a35tcpg236-1 ---------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:20 ; elapsed = 00:00:23 . Memory (MB): peak = 692.656 ; gain = 482.824 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Applying 'set_property' XDC Constraints ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:20 ; elapsed = 00:00:23 . Memory (MB): peak = 692.656 ; gain = 482.824 ---------------------------------------------------------------------------------- -INFO: [Synth 8-5544] ROM "READY" won't be mapped to Block RAM because address size (2) smaller than threshold (5) -INFO: [Synth 8-5545] ROM "txState" won't be mapped to RAM because address size (31) is larger than maximum supported(25) -INFO: [Synth 8-5544] ROM "bitIndex" won't be mapped to Block RAM because address size (2) smaller than threshold (5) -INFO: [Synth 8-5546] ROM "busy" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "delay_100us_counter_enable" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "delay_20us_counter_enable" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "delay_63clk_counter_enable" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "reset_bit_count" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5545] ROM "periodic_check_tick" won't be mapped to RAM because address size (26) is larger than maximum supported(25) -INFO: [Synth 8-5546] ROM "timeout" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-4471] merging register 'green_out_reg[3:0]' into 'red_out_reg[3:0]' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseDisplay.vhd:238] -INFO: [Synth 8-4471] merging register 'blue_out_reg[3:0]' into 'red_out_reg[3:0]' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseDisplay.vhd:239] -INFO: [Synth 8-41] '_-' operator could not be merged with '+' operator due to loss of accuracy [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseDisplay.vhd:213] -INFO: [Synth 8-41] '_-' operator could not be merged with '+' operator due to loss of accuracy [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseDisplay.vhd:214] -INFO: [Synth 8-41] '_-' operator could not be merged with '+' operator due to loss of accuracy [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseDisplay.vhd:213] -INFO: [Synth 8-41] '_-' operator could not be merged with '+' operator due to loss of accuracy [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseDisplay.vhd:214] -INFO: [Synth 8-41] '_-' operator could not be merged with '+' operator due to loss of accuracy [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseDisplay.vhd:213] -INFO: [Synth 8-41] '_-' operator could not be merged with '+' operator due to loss of accuracy [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseDisplay.vhd:214] -INFO: [Synth 8-4471] merging register 'sendStr_reg[15][7:0]' into 'sendStr_reg[3][7:0]' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/GPIO_Demo.vhd:414] -INFO: [Synth 8-4471] merging register 'sendStr_reg[25][7:0]' into 'sendStr_reg[24][7:0]' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/GPIO_Demo.vhd:414] -INFO: [Synth 8-5544] ROM "sendStr[0]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[1]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[2]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[3]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[4]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[5]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[6]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[7]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[8]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[9]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[10]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[11]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[12]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[13]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[14]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[18]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[19]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[21]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[22]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[23]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[24]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "strEnd" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "strIndex" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ---------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:21 ; elapsed = 00:00:25 . Memory (MB): peak = 692.656 ; gain = 482.824 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start RTL Component Statistics ---------------------------------------------------------------------------------- -Detailed RTL Component Info : -+---Adders : - 2 Input 26 Bit Adders := 1 - 2 Input 24 Bit Adders := 1 - 3 Input 13 Bit Adders := 2 - 2 Input 12 Bit Adders := 7 - 2 Input 11 Bit Adders := 1 - 2 Input 8 Bit Adders := 1 - 4 Input 8 Bit Adders := 1 - 3 Input 8 Bit Adders := 2 - 2 Input 7 Bit Adders := 1 - 2 Input 4 Bit Adders := 4 - 3 Input 4 Bit Adders := 2 -+---XORs : - 2 Input 1 Bit XORs := 5 -+---Registers : - 31 Bit Registers := 1 - 26 Bit Registers := 1 - 24 Bit Registers := 1 - 12 Bit Registers := 10 - 11 Bit Registers := 2 - 10 Bit Registers := 1 - 8 Bit Registers := 30 - 7 Bit Registers := 1 - 6 Bit Registers := 1 - 5 Bit Registers := 2 - 4 Bit Registers := 16 - 3 Bit Registers := 1 - 2 Bit Registers := 2 - 1 Bit Registers := 42 -+---Muxes : - 3 Input 31 Bit Muxes := 1 - 2 Input 26 Bit Muxes := 1 - 2 Input 24 Bit Muxes := 1 - 2 Input 16 Bit Muxes := 1 - 2 Input 12 Bit Muxes := 10 - 2 Input 11 Bit Muxes := 1 - 2 Input 9 Bit Muxes := 2 - 38 Input 8 Bit Muxes := 1 - 3 Input 8 Bit Muxes := 20 - 2 Input 7 Bit Muxes := 10 - 3 Input 6 Bit Muxes := 2 - 2 Input 6 Bit Muxes := 13 - 4 Input 6 Bit Muxes := 2 - 5 Input 6 Bit Muxes := 1 - 3 Input 5 Bit Muxes := 2 - 2 Input 5 Bit Muxes := 4 - 4 Input 5 Bit Muxes := 6 - 5 Input 5 Bit Muxes := 1 - 2 Input 4 Bit Muxes := 9 - 3 Input 4 Bit Muxes := 3 - 4 Input 4 Bit Muxes := 3 - 3 Input 3 Bit Muxes := 3 - 4 Input 3 Bit Muxes := 1 - 2 Input 3 Bit Muxes := 1 - 9 Input 3 Bit Muxes := 1 - 4 Input 2 Bit Muxes := 1 - 2 Input 2 Bit Muxes := 4 - 18 Input 2 Bit Muxes := 1 - 3 Input 2 Bit Muxes := 2 - 38 Input 2 Bit Muxes := 6 - 2 Input 1 Bit Muxes := 24 - 4 Input 1 Bit Muxes := 2 - 18 Input 1 Bit Muxes := 5 - 38 Input 1 Bit Muxes := 5 - 3 Input 1 Bit Muxes := 6 - 8 Input 1 Bit Muxes := 1 ---------------------------------------------------------------------------------- -Finished RTL Component Statistics ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start RTL Hierarchical Component Statistics ---------------------------------------------------------------------------------- -Hierarchical RTL Component report -Module GPIO_demo -Detailed RTL Component Info : -+---Adders : - 2 Input 4 Bit Adders := 1 -+---Registers : - 31 Bit Registers := 1 - 8 Bit Registers := 26 - 4 Bit Registers := 2 - 3 Bit Registers := 1 - 1 Bit Registers := 1 -+---Muxes : - 3 Input 31 Bit Muxes := 1 - 2 Input 16 Bit Muxes := 1 - 3 Input 8 Bit Muxes := 20 - 2 Input 7 Bit Muxes := 10 - 2 Input 6 Bit Muxes := 10 - 2 Input 4 Bit Muxes := 1 - 9 Input 3 Bit Muxes := 1 - 2 Input 2 Bit Muxes := 1 - 2 Input 1 Bit Muxes := 3 - 8 Input 1 Bit Muxes := 1 -Module debouncer -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 5 -+---Registers : - 5 Bit Registers := 1 -+---Muxes : - 2 Input 1 Bit Muxes := 5 -Module UART_TX_CTRL -Detailed RTL Component Info : -+---Registers : - 10 Bit Registers := 1 - 2 Bit Registers := 1 - 1 Bit Registers := 1 -+---Muxes : - 4 Input 2 Bit Muxes := 1 - 2 Input 1 Bit Muxes := 4 - 4 Input 1 Bit Muxes := 1 -Module Ps2Interface -Detailed RTL Component Info : -+---Adders : - 2 Input 11 Bit Adders := 1 - 2 Input 7 Bit Adders := 1 - 2 Input 4 Bit Adders := 3 -+---Registers : - 11 Bit Registers := 2 - 8 Bit Registers := 1 - 7 Bit Registers := 1 - 5 Bit Registers := 1 - 4 Bit Registers := 3 - 1 Bit Registers := 17 -+---Muxes : - 2 Input 11 Bit Muxes := 1 - 3 Input 5 Bit Muxes := 1 - 2 Input 5 Bit Muxes := 1 - 2 Input 4 Bit Muxes := 4 - 3 Input 4 Bit Muxes := 2 - 3 Input 3 Bit Muxes := 2 - 2 Input 2 Bit Muxes := 2 - 18 Input 2 Bit Muxes := 1 - 18 Input 1 Bit Muxes := 5 - 2 Input 1 Bit Muxes := 7 - 4 Input 1 Bit Muxes := 1 -Module MouseCtl -Detailed RTL Component Info : -+---Adders : - 2 Input 26 Bit Adders := 1 - 2 Input 24 Bit Adders := 1 - 2 Input 12 Bit Adders := 4 - 2 Input 8 Bit Adders := 1 -+---Registers : - 26 Bit Registers := 1 - 24 Bit Registers := 1 - 12 Bit Registers := 6 - 8 Bit Registers := 3 - 6 Bit Registers := 1 - 4 Bit Registers := 1 - 1 Bit Registers := 17 -+---Muxes : - 2 Input 26 Bit Muxes := 1 - 2 Input 24 Bit Muxes := 1 - 2 Input 12 Bit Muxes := 10 - 2 Input 9 Bit Muxes := 2 - 38 Input 8 Bit Muxes := 1 - 3 Input 6 Bit Muxes := 2 - 2 Input 6 Bit Muxes := 3 - 4 Input 6 Bit Muxes := 2 - 5 Input 6 Bit Muxes := 1 - 3 Input 5 Bit Muxes := 1 - 4 Input 5 Bit Muxes := 6 - 5 Input 5 Bit Muxes := 1 - 2 Input 5 Bit Muxes := 3 - 3 Input 4 Bit Muxes := 1 - 4 Input 4 Bit Muxes := 3 - 2 Input 4 Bit Muxes := 1 - 3 Input 3 Bit Muxes := 1 - 4 Input 3 Bit Muxes := 1 - 2 Input 3 Bit Muxes := 1 - 3 Input 2 Bit Muxes := 2 - 2 Input 2 Bit Muxes := 1 - 38 Input 2 Bit Muxes := 6 - 38 Input 1 Bit Muxes := 5 - 2 Input 1 Bit Muxes := 5 - 3 Input 1 Bit Muxes := 6 -Module MouseDisplay -Detailed RTL Component Info : -+---Adders : - 3 Input 13 Bit Adders := 2 - 2 Input 12 Bit Adders := 3 - 3 Input 4 Bit Adders := 2 -+---Registers : - 4 Bit Registers := 1 - 2 Bit Registers := 1 - 1 Bit Registers := 1 -Module vga_ctrl -Detailed RTL Component Info : -+---Adders : - 4 Input 8 Bit Adders := 1 - 3 Input 8 Bit Adders := 2 -+---Registers : - 12 Bit Registers := 4 - 4 Bit Registers := 9 - 1 Bit Registers := 5 -+---Muxes : - 2 Input 4 Bit Muxes := 3 ---------------------------------------------------------------------------------- -Finished RTL Hierarchical Component Statistics ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Part Resource Summary ---------------------------------------------------------------------------------- -Part Resources: -DSPs: 90 (col length:60) -BRAMs: 100 (col length: RAMB18 60 RAMB36 30) ---------------------------------------------------------------------------------- -Finished Part Resource Summary ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Cross Boundary and Area Optimization ---------------------------------------------------------------------------------- -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/x_max_reg[0]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/x_max_reg[1]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/x_max_reg[2]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/x_max_reg[3]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/x_max_reg[4]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/x_max_reg[5]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/x_max_reg[6]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/x_max_reg[7]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/x_max_reg[8]' (FDRE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[11]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/x_max_reg[9]' (FDRE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[11]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/x_max_reg[10]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/x_max_reg[11]' (FDRE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[11]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[0]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[1]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[2]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[3]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[4]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[5]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[6]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[7]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[8]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[10]' (FDRE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[11]' -INFO: [Synth 8-3333] propagating constant 0 across sequential element (Inst_vga_ctrl/Inst_MouseCtl/\y_max_reg[11] ) -INFO: [Synth 8-3886] merging instance 'strEnd_reg[0]' (FDSE) to 'strEnd_reg[1]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[2]' (FDRE) to 'strEnd_reg[5]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[3]' (FDSE) to 'strEnd_reg[4]' -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\strEnd_reg[4] ) -INFO: [Synth 8-3886] merging instance 'strEnd_reg[5]' (FDRE) to 'strEnd_reg[6]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[6]' (FDRE) to 'strEnd_reg[7]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[7]' (FDRE) to 'strEnd_reg[8]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[8]' (FDRE) to 'strEnd_reg[9]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[9]' (FDRE) to 'strEnd_reg[10]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[10]' (FDRE) to 'strEnd_reg[11]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[11]' (FDRE) to 'strEnd_reg[12]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[12]' (FDRE) to 'strEnd_reg[13]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[13]' (FDRE) to 'strEnd_reg[14]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[14]' (FDRE) to 'strEnd_reg[15]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[15]' (FDRE) to 'strEnd_reg[16]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[16]' (FDRE) to 'strEnd_reg[17]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[17]' (FDRE) to 'strEnd_reg[18]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[18]' (FDRE) to 'strEnd_reg[19]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[19]' (FDRE) to 'strEnd_reg[20]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[20]' (FDRE) to 'strEnd_reg[21]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[21]' (FDRE) to 'strEnd_reg[22]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[22]' (FDRE) to 'strEnd_reg[23]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[23]' (FDRE) to 'strEnd_reg[24]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[24]' (FDRE) to 'strEnd_reg[25]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[25]' (FDRE) to 'strEnd_reg[26]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[26]' (FDRE) to 'strEnd_reg[27]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[27]' (FDRE) to 'strEnd_reg[28]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[28]' (FDRE) to 'strEnd_reg[29]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[29]' (FDRE) to 'strEnd_reg[30]' -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\strEnd_reg[30] ) -INFO: [Synth 8-3886] merging instance 'sendStr_reg[26][7]' (FDRE) to 'sendStr_reg[26][1]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[24][7]' (FDRE) to 'sendStr_reg[26][1]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[23][7]' (FDRE) to 'sendStr_reg[23][6]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[22][7]' (FDRE) to 'sendStr_reg[22][5]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[21][7]' (FDRE) to 'sendStr_reg[21][4]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[20][7]' (FDRE) to 'sendStr_reg[19][7]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[19][7]' (FDRE) to 'sendStr_reg[19][4]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[18][7]' (FDRE) to 'sendStr_reg[18][3]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[17][7]' (FDRE) to 'sendStr_reg[5][7]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[16][7]' (FDRE) to 'sendStr_reg[5][7]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[14][7]' (FDRE) to 'sendStr_reg[14][3]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[13][7]' (FDRE) to 'sendStr_reg[13][4]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[12][7]' (FDRE) to 'sendStr_reg[12][4]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[11][7]' (FDRE) to 'sendStr_reg[11][2]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[10][7]' (FDRE) to 'sendStr_reg[10][3]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[9][7]' (FDRE) to 'sendStr_reg[9][4]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[8][7]' (FDRE) to 'sendStr_reg[8][3]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[7][7]' (FDRE) to 'sendStr_reg[7][3]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[6][7]' (FDRE) to 'sendStr_reg[6][3]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[5][7]' (FDRE) to 'sendStr_reg[17][3]' -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[4][7] ) -INFO: [Synth 8-3886] merging instance 'sendStr_reg[3][7]' (FDRE) to 'sendStr_reg[3][3]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[2][7]' (FDRE) to 'sendStr_reg[2][3]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[1][7]' (FDRE) to 'sendStr_reg[1][1]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[0][7]' (FDRE) to 'sendStr_reg[0][5]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[26][6]' (FDRE) to 'sendStr_reg[26][1]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[24][6]' (FDRE) to 'sendStr_reg[26][1]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[23][6]' (FDRE) to 'sendStr_reg[23][4]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[22][6]' (FDSE) to 'sendStr_reg[22][2]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[21][6]' (FDSE) to 'sendStr_reg[21][3]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[20][6]' (FDSE) to 'sendStr_reg[19][6]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[19][6]' (FDSE) to 'sendStr_reg[19][2]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[18][6]' (FDRE) to 'sendStr_reg[18][4]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[17][6]' (FDSE) to 'sendStr_reg[5][6]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[16][6]' (FDSE) to 'sendStr_reg[5][6]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[14][6]' (FDSE) to 'sendStr_reg[14][2]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[12][6]' (FDSE) to 'sendStr_reg[12][3]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[11][6]' (FDSE) to 'sendStr_reg[11][0]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[10][6]' (FDSE) to 'sendStr_reg[10][4]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[9][6]' (FDSE) to 'sendStr_reg[9][2]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[8][6]' (FDRE) to 'sendStr_reg[8][4]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[6][6]' (FDSE) to 'sendStr_reg[6][4]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[5][6]' (FDSE) to 'sendStr_reg[5][3]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[4][6]' (FDSE) to 'sendStr_reg[4][1]' -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[3][6] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[2][6] ) -INFO: [Synth 8-3886] merging instance 'sendStr_reg[1][6]' (FDRE) to 'sendStr_reg[1][5]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[26][5]' (FDRE) to 'sendStr_reg[26][1]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[24][5]' (FDRE) to 'sendStr_reg[26][1]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[22][5]' (FDRE) to 'sendStr_reg[22][4]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[20][5]' (FDRE) to 'sendStr_reg[19][5]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[19][5]' (FDRE) to 'sendStr_reg[19][0]' -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[18][5] ) -INFO: [Synth 8-3886] merging instance 'sendStr_reg[17][5]' (FDRE) to 'sendStr_reg[5][5]' -INFO: [Common 17-14] Message 'Synth 8-3886' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[8][5] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[22][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[13][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[12][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[10][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[7][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[16][3] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[9][3] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[5][3] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[19][2] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[13][2] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[11][2] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[10][2] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[7][2] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[6][2] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[23][1] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[22][1] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[21][1] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[19][1] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[14][1] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[3][1] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[1][1] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[0][1] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[26][0] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[24][0] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[23][0] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[21][0] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[18][0] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[14][0] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[11][0] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[9][0] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[8][0] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[4][0] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[2][0] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[1][0] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[0][0] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (Inst_UART_TX_CTRL/\txData_reg[9] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (Inst_UART_TX_CTRL/\txData_reg[0] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\uartData_reg[7] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (Inst_UART_TX_CTRL/\txData_reg[8] ) -WARNING: [Synth 8-3332] Sequential element (txData_reg[9]) is unused and will be removed from module UART_TX_CTRL. -WARNING: [Synth 8-3332] Sequential element (txData_reg[8]) is unused and will be removed from module UART_TX_CTRL. -WARNING: [Synth 8-3332] Sequential element (zpos_reg[3]) is unused and will be removed from module MouseCtl. -WARNING: [Synth 8-3332] Sequential element (zpos_reg[2]) is unused and will be removed from module MouseCtl. -WARNING: [Synth 8-3332] Sequential element (zpos_reg[1]) is unused and will be removed from module MouseCtl. -WARNING: [Synth 8-3332] Sequential element (zpos_reg[0]) is unused and will be removed from module MouseCtl. -WARNING: [Synth 8-3332] Sequential element (left_down_reg) is unused and will be removed from module MouseCtl. -WARNING: [Synth 8-3332] Sequential element (left_reg) is unused and will be removed from module MouseCtl. -WARNING: [Synth 8-3332] Sequential element (middle_down_reg) is unused and will be removed from module MouseCtl. -WARNING: [Synth 8-3332] Sequential element (middle_reg) is unused and will be removed from module MouseCtl. -WARNING: [Synth 8-3332] Sequential element (right_down_reg) is unused and will be removed from module MouseCtl. -WARNING: [Synth 8-3332] Sequential element (right_reg) is unused and will be removed from module MouseCtl. -WARNING: [Synth 8-3332] Sequential element (new_event_reg) is unused and will be removed from module MouseCtl. -WARNING: [Synth 8-3332] Sequential element (y_max_reg[11]) is unused and will be removed from module MouseCtl. -WARNING: [Synth 8-3332] Sequential element (v_cntr_reg_dly_reg[9]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (v_cntr_reg_dly_reg[8]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (v_cntr_reg_dly_reg[7]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (v_cntr_reg_dly_reg[6]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (v_cntr_reg_dly_reg[5]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (v_cntr_reg_dly_reg[4]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (v_cntr_reg_dly_reg[3]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (v_cntr_reg_dly_reg[2]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (v_cntr_reg_dly_reg[1]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (v_cntr_reg_dly_reg[0]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (h_cntr_reg_dly_reg[7]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (h_cntr_reg_dly_reg[6]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (h_cntr_reg_dly_reg[5]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (h_cntr_reg_dly_reg[4]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (h_cntr_reg_dly_reg[3]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (h_cntr_reg_dly_reg[2]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (h_cntr_reg_dly_reg[1]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (h_cntr_reg_dly_reg[0]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (strEnd_reg[30]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (strEnd_reg[4]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[0][1]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[0][0]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[1][1]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[1][0]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[2][6]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[2][0]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[3][6]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[3][1]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[4][7]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[4][0]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[6][2]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[7][4]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[7][2]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[8][5]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[8][0]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[9][3]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[9][0]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[10][4]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[10][2]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[11][2]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[11][0]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[12][4]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[13][4]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[13][2]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[14][1]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[14][0]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[18][5]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[18][0]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[21][1]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[21][0]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[22][4]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[22][1]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[23][1]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[23][0]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (uartData_reg[7]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[19][2]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[5][3]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[26][0]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[16][3]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[24][0]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[19][1]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (y_max_reg[9]) is unused and will be removed from module MouseCtl. ---------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:24 ; elapsed = 00:00:28 . Memory (MB): peak = 692.656 ; gain = 482.824 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start ROM, RAM, DSP and Shift Register Reporting ---------------------------------------------------------------------------------- - -ROM: -+-------------+-------------+---------------+----------------+ -|Module Name | RTL Object | Depth x Width | Implemented As | -+-------------+-------------+---------------+----------------+ -|MouseCtl | write_data | 64x1 | LUT | -|MouseDisplay | mouserom[0] | 256x2 | LUT | -+-------------+-------------+---------------+----------------+ - ---------------------------------------------------------------------------------- -Finished ROM, RAM, DSP and Shift Register Reporting ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start Applying XDC Timing Constraints ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:34 ; elapsed = 00:00:38 . Memory (MB): peak = 692.656 ; gain = 482.824 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start Technology Mapping ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:35 ; elapsed = 00:00:39 . Memory (MB): peak = 692.656 ; gain = 482.824 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Final Netlist Cleanup ---------------------------------------------------------------------------------- -INFO: [Synth 8-5365] Flop ps2_data_h_reg is being inverted and renamed to ps2_data_h_reg_inv. ---------------------------------------------------------------------------------- -Finished Final Netlist Cleanup ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:00:36 ; elapsed = 00:00:39 . Memory (MB): peak = 692.656 ; gain = 482.824 ---------------------------------------------------------------------------------- - -Report Check Netlist: -+------+------------------+-------+---------+-------+------------------+ -| |Item |Errors |Warnings |Status |Description | -+------+------------------+-------+---------+-------+------------------+ -|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | -+------+------------------+-------+---------+-------+------------------+ ---------------------------------------------------------------------------------- -Start Renaming Generated Instances ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:00:36 ; elapsed = 00:00:39 . Memory (MB): peak = 692.656 ; gain = 482.824 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start Handling Custom Attributes ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:36 ; elapsed = 00:00:39 . Memory (MB): peak = 692.656 ; gain = 482.824 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Writing Synthesis Report ---------------------------------------------------------------------------------- - -Report BlackBoxes: -+-+--------------+----------+ -| |BlackBox name |Instances | -+-+--------------+----------+ -+-+--------------+----------+ - -Report Cell Usage: -+------+-----------+------+ -| |Cell |Count | -+------+-----------+------+ -|1 |BUFG | 3| -|2 |CARRY4 | 132| -|3 |LUT1 | 368| -|4 |LUT2 | 207| -|5 |LUT3 | 91| -|6 |LUT4 | 138| -|7 |LUT5 | 73| -|8 |LUT6 | 157| -|9 |MMCME2_ADV | 1| -|10 |MUXF7 | 3| -|11 |FDRE | 579| -|12 |FDSE | 2| -|13 |IBUF | 22| -|14 |IOBUF | 2| -|15 |OBUF | 43| -+------+-----------+------+ - -Report Instance Areas: -+------+------------------------+------------------+------+ -| |Instance |Module |Cells | -+------+------------------------+------------------+------+ -|1 |top | | 1821| -|2 | Inst_btn_debounce |debouncer | 215| -|3 | Inst_UART_TX_CTRL |UART_TX_CTRL | 133| -|4 | Inst_vga_ctrl |vga_ctrl | 1100| -|5 | clk_wiz_0_inst |clk_wiz_0 | 3| -|6 | U0 |clk_wiz_0_clk_wiz | 3| -|7 | Inst_MouseCtl |MouseCtl | 680| -|8 | Inst_Ps2Interface |Ps2Interface | 211| -|9 | Inst_MouseDisplay |MouseDisplay | 124| -+------+------------------------+------------------+------+ ---------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:00:36 ; elapsed = 00:00:39 . Memory (MB): peak = 692.656 ; gain = 482.824 ---------------------------------------------------------------------------------- -Synthesis finished with 0 errors, 0 critical warnings and 76 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:20 ; elapsed = 00:00:27 . Memory (MB): peak = 692.656 ; gain = 116.863 -Synthesis Optimization Complete : Time (s): cpu = 00:00:36 ; elapsed = 00:00:40 . Memory (MB): peak = 692.656 ; gain = 482.824 -INFO: [Project 1-571] Translating synthesized netlist -INFO: [Netlist 29-17] Analyzing 157 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-570] Preparing netlist for logic optimization -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Project 1-111] Unisim Transformation Summary: - A total of 2 instances were transformed. - IOBUF => IOBUF (IBUF, OBUFT): 2 instances - -INFO: [Common 17-83] Releasing license: Synthesis -245 Infos, 77 Warnings, 0 Critical Warnings and 0 Errors encountered. -synth_design completed successfully -synth_design: Time (s): cpu = 00:00:33 ; elapsed = 00:00:35 . Memory (MB): peak = 692.656 ; gain = 424.176 -INFO: [Common 17-1381] The checkpoint 'C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/synth_1/GPIO_demo.dcp' has been generated. -report_utilization: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.024 . Memory (MB): peak = 692.656 ; gain = 0.000 -INFO: [Common 17-206] Exiting Vivado at Fri Apr 09 23:11:26 2021... diff --git a/proj/GPIO.runs/synth_1/GPIO_demo_utilization_synth.pb b/proj/GPIO.runs/synth_1/GPIO_demo_utilization_synth.pb deleted file mode 100644 index dcc22bffe241c9364514a0585a2cfe2847a56da2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 276 zcmd;LGcqtV(=(aGtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z=kBcSmf3!;`gS@p;wXt2<`$&F}I~sAof~$ z28K4Lzd-Ve(@}+vD>Y7MRYBr&)fgB&oSp(n28LcE5P26!ZgE;_3}UafU|?`?_zNT% zoQ_%oO>>-N#o-j{=I$I4> " + ISELogFile + " 2>&1"; - ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); - ISELogFileStr = ISEOpenFile( ISELogFile ); - - } else { // WSH 5.6 - - // LAUNCH! - ISEShell.CurrentDirectory = ISERunDir; - - // Redirect STDERR to STDOUT - ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; - var ISEProcess = ISEShell.Exec( ISECmdLine ); - - // BEGIN file creation - var ISENetwork = WScript.CreateObject( "WScript.Network" ); - var ISEHost = ISENetwork.ComputerName; - var ISEUser = ISENetwork.UserName; - var ISEPid = ISEProcess.ProcessID; - var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.WriteLine( " " ); - ISEBeginFile.WriteLine( " " ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.Close(); - - var ISEOutStr = ISEProcess.StdOut; - var ISEErrStr = ISEProcess.StdErr; - - // WAIT for ISEStep to finish - while ( ISEProcess.Status == 0 ) { - - // dump stdout then stderr - feels a little arbitrary - while ( !ISEOutStr.AtEndOfStream ) { - ISEStdOut( ISEOutStr.ReadLine() ); - } - - WScript.Sleep( 100 ); - } - - ISEExitCode = ISEProcess.ExitCode; - } - - ISELogFileStr.Close(); - - // END/ERROR file creation - if ( ISEExitCode != 0 ) { - ISETouchFile( ISEStep, "error" ); - - } else { - ISETouchFile( ISEStep, "end" ); - } - - return ISEExitCode; -} - - -// -// UTILITIES -// -function ISEStdOut( ISELine ) { - - ISELogFileStr.WriteLine( ISELine ); - - if ( ISELogEcho ) { - WScript.StdOut.WriteLine( ISELine ); - } -} - -function ISEStdErr( ISELine ) { - - ISELogFileStr.WriteLine( ISELine ); - - if ( ISELogEcho ) { - WScript.StdErr.WriteLine( ISELine ); - } -} - -function ISETouchFile( ISERoot, ISEStatus ) { - - var ISETFile = - ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); - ISETFile.Close(); -} - -function ISEOpenFile( ISEFilename ) { - - // This function has been updated to deal with a problem seen in CR #870871. - // In that case the user runs a script that runs impl_1, and then turns around - // and runs impl_1 -to_step write_bitstream. That second run takes place in - // the same directory, which means we may hit some of the same files, and in - // particular, we will open the runme.log file. Even though this script closes - // the file (now), we see cases where a subsequent attempt to open the file - // fails. Perhaps the OS is slow to release the lock, or the disk comes into - // play? In any case, we try to work around this by first waiting if the file - // is already there for an arbitrary 5 seconds. Then we use a try-catch block - // and try to open the file 10 times with a one second delay after each attempt. - // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. - // If there is an unrecognized exception when trying to open the file, we output - // an error message and write details to an exception.log file. - var ISEFullPath = ISERunDir + "/" + ISEFilename; - if (ISEFileSys.FileExists(ISEFullPath)) { - // File is already there. This could be a problem. Wait in case it is still in use. - WScript.Sleep(5000); - } - var i; - for (i = 0; i < 10; ++i) { - try { - return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); - } catch (exception) { - var error_code = exception.number & 0xFFFF; // The other bits are a facility code. - if (error_code == 52) { // 52 is bad file name or number. - // Wait a second and try again. - WScript.Sleep(1000); - continue; - } else { - WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); - var exceptionFilePath = ISERunDir + "/exception.log"; - if (!ISEFileSys.FileExists(exceptionFilePath)) { - WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); - var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); - exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); - exceptionFile.WriteLine("\tException name: " + exception.name); - exceptionFile.WriteLine("\tException error code: " + error_code); - exceptionFile.WriteLine("\tException message: " + exception.message); - exceptionFile.Close(); - } - throw exception; - } - } - } - // If we reached this point, we failed to open the file after 10 attempts. - // We need to error out. - WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); - WScript.Quit(1); -} diff --git a/proj/GPIO.runs/synth_1/ISEWrap.sh b/proj/GPIO.runs/synth_1/ISEWrap.sh deleted file mode 100644 index e1a8f5d..0000000 --- a/proj/GPIO.runs/synth_1/ISEWrap.sh +++ /dev/null @@ -1,63 +0,0 @@ -#!/bin/sh - -# -# Vivado(TM) -# ISEWrap.sh: Vivado Runs Script for UNIX -# Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. -# - -HD_LOG=$1 -shift - -# CHECK for a STOP FILE -if [ -f .stop.rst ] -then -echo "" >> $HD_LOG -echo "*** Halting run - EA reset detected ***" >> $HD_LOG -echo "" >> $HD_LOG -exit 1 -fi - -ISE_STEP=$1 -shift - -# WRITE STEP HEADER to LOG -echo "" >> $HD_LOG -echo "*** Running $ISE_STEP" >> $HD_LOG -echo " with args $@" >> $HD_LOG -echo "" >> $HD_LOG - -# LAUNCH! -$ISE_STEP "$@" >> $HD_LOG 2>&1 & - -# BEGIN file creation -ISE_PID=$! -if [ X != X$HOSTNAME ] -then -ISE_HOST=$HOSTNAME #bash -else -ISE_HOST=$HOST #csh -fi -ISE_USER=$USER -ISE_BEGINFILE=.$ISE_STEP.begin.rst -/bin/touch $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE -echo " " >> $ISE_BEGINFILE -echo " " >> $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE - -# WAIT for ISEStep to finish -wait $ISE_PID - -# END/ERROR file creation -RETVAL=$? -if [ $RETVAL -eq 0 ] -then - /bin/touch .$ISE_STEP.end.rst -else - /bin/touch .$ISE_STEP.error.rst -fi - -exit $RETVAL - diff --git a/proj/GPIO.runs/synth_1/gen_run.xml b/proj/GPIO.runs/synth_1/gen_run.xml deleted file mode 100644 index 09360a3..0000000 --- a/proj/GPIO.runs/synth_1/gen_run.xml +++ /dev/null @@ -1,95 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - Vivado Synthesis Defaults - - - - - - - - diff --git a/proj/GPIO.runs/synth_1/htr.txt b/proj/GPIO.runs/synth_1/htr.txt deleted file mode 100644 index 0d258e1..0000000 --- a/proj/GPIO.runs/synth_1/htr.txt +++ /dev/null @@ -1,9 +0,0 @@ -REM -REM Vivado(TM) -REM htr.txt: a Vivado-generated description of how-to-repeat the -REM the basic steps of a run. Note that runme.bat/sh needs -REM to be invoked for Vivado to track run status. -REM Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -REM - -vivado -log GPIO_demo.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source GPIO_demo.tcl diff --git a/proj/GPIO.runs/synth_1/project.wdf b/proj/GPIO.runs/synth_1/project.wdf deleted file mode 100644 index 68b2b16..0000000 --- a/proj/GPIO.runs/synth_1/project.wdf +++ /dev/null @@ -1,31 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:39:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:5648444c:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 -5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3031333866366637386234623465663361303338333762383461653364333333:506172656e742050412070726f6a656374204944:00 -eof:1785114370 diff --git a/proj/GPIO.runs/synth_1/rundef.js b/proj/GPIO.runs/synth_1/rundef.js deleted file mode 100644 index faeaa00..0000000 --- a/proj/GPIO.runs/synth_1/rundef.js +++ /dev/null @@ -1,36 +0,0 @@ -// -// Vivado(TM) -// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 -// Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -// - -var WshShell = new ActiveXObject( "WScript.Shell" ); -var ProcEnv = WshShell.Environment( "Process" ); -var PathVal = ProcEnv("PATH"); -if ( PathVal.length == 0 ) { - PathVal = "C:/Xilinx/Vivado/2016.4/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2016.4/ids_lite/ISE/lib/nt64;C:/Xilinx/Vivado/2016.4/bin;"; -} else { - PathVal = "C:/Xilinx/Vivado/2016.4/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2016.4/ids_lite/ISE/lib/nt64;C:/Xilinx/Vivado/2016.4/bin;" + PathVal; -} - -ProcEnv("PATH") = PathVal; - -var RDScrFP = WScript.ScriptFullName; -var RDScrN = WScript.ScriptName; -var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); -var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; -eval( EAInclude(ISEJScriptLib) ); - - -ISEStep( "vivado", - "-log GPIO_demo.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source GPIO_demo.tcl" ); - - - -function EAInclude( EAInclFilename ) { - var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); - var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); - var EAIFContents = EAInclFile.ReadAll(); - EAInclFile.Close(); - return EAIFContents; -} diff --git a/proj/GPIO.runs/synth_1/runme.bat b/proj/GPIO.runs/synth_1/runme.bat deleted file mode 100644 index 660c945..0000000 --- a/proj/GPIO.runs/synth_1/runme.bat +++ /dev/null @@ -1,10 +0,0 @@ -@echo off - -rem Vivado (TM) -rem runme.bat: a Vivado-generated Script -rem Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. - - -set HD_SDIR=%~dp0 -cd /d "%HD_SDIR%" -cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/proj/GPIO.runs/synth_1/runme.log b/proj/GPIO.runs/synth_1/runme.log deleted file mode 100644 index f80a173..0000000 --- a/proj/GPIO.runs/synth_1/runme.log +++ /dev/null @@ -1,802 +0,0 @@ - -*** Running vivado - with args -log GPIO_demo.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source GPIO_demo.tcl - - -****** Vivado v2016.4 (64-bit) - **** SW Build 1756540 on Mon Jan 23 19:11:23 MST 2017 - **** IP Build 1755317 on Mon Jan 23 20:30:07 MST 2017 - ** Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. - -source GPIO_demo.tcl -notrace -Command: synth_design -top GPIO_demo -part xc7a35tcpg236-1 -flatten_hierarchy none -directive RuntimeOptimized -fsm_extraction off -Starting synth_design -Attempting to get a license for feature 'Synthesis' and/or device 'xc7a35t' -INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a35t' -INFO: Launching helper process for spawning children vivado processes -INFO: Helper process launched with PID 3172 ---------------------------------------------------------------------------------- -Starting RTL Elaboration : Time (s): cpu = 00:00:08 ; elapsed = 00:00:11 . Memory (MB): peak = 350.121 ; gain = 140.289 ---------------------------------------------------------------------------------- -INFO: [Synth 8-638] synthesizing module 'GPIO_demo' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/GPIO_Demo.vhd:70] - Parameter DEBNC_CLOCKS bound to: 65536 - type: integer - Parameter PORT_WIDTH bound to: 5 - type: integer -INFO: [Synth 8-3491] module 'debouncer' declared at 'C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/debouncer.vhd:42' bound to instance 'Inst_btn_debounce' of component 'debouncer' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/GPIO_Demo.vhd:320] -INFO: [Synth 8-638] synthesizing module 'debouncer' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/debouncer.vhd:50] - Parameter DEBNC_CLOCKS bound to: 65536 - type: integer - Parameter PORT_WIDTH bound to: 5 - type: integer -INFO: [Synth 8-256] done synthesizing module 'debouncer' (1#1) [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/debouncer.vhd:50] -INFO: [Synth 8-3491] module 'UART_TX_CTRL' declared at 'C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/UART_TX_CTRL.vhd:42' bound to instance 'Inst_UART_TX_CTRL' of component 'UART_TX_CTRL' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/GPIO_Demo.vhd:450] -INFO: [Synth 8-638] synthesizing module 'UART_TX_CTRL' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/UART_TX_CTRL.vhd:50] -INFO: [Synth 8-256] done synthesizing module 'UART_TX_CTRL' (2#1) [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/UART_TX_CTRL.vhd:50] -INFO: [Synth 8-3491] module 'vga_ctrl' declared at 'C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/vga_ctrl.vhd:38' bound to instance 'Inst_vga_ctrl' of component 'vga_ctrl' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/GPIO_Demo.vhd:465] -INFO: [Synth 8-638] synthesizing module 'vga_ctrl' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/vga_ctrl.vhd:50] -INFO: [Synth 8-3491] module 'clk_wiz_0' declared at 'C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/clk_wiz_0.vhd:74' bound to instance 'clk_wiz_0_inst' of component 'clk_wiz_0' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/vga_ctrl.vhd:197] -INFO: [Synth 8-638] synthesizing module 'clk_wiz_0' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/clk_wiz_0.vhd:83] -INFO: [Synth 8-3491] module 'clk_wiz_0_clk_wiz' declared at 'C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/clk_wiz_0_clk_wiz.vhd:74' bound to instance 'U0' of component 'clk_wiz_0_clk_wiz' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/clk_wiz_0.vhd:98] -INFO: [Synth 8-638] synthesizing module 'clk_wiz_0_clk_wiz' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/clk_wiz_0_clk_wiz.vhd:83] - Parameter BANDWIDTH bound to: OPTIMIZED - type: string - Parameter CLKFBOUT_MULT_F bound to: 10.125000 - type: float - Parameter CLKFBOUT_PHASE bound to: 0.000000 - type: float - Parameter CLKFBOUT_USE_FINE_PS bound to: 0 - type: bool - Parameter CLKIN1_PERIOD bound to: 10.000000 - type: float - Parameter CLKIN2_PERIOD bound to: 0.000000 - type: float - Parameter CLKOUT0_DIVIDE_F bound to: 9.375000 - type: float - Parameter CLKOUT0_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT0_PHASE bound to: 0.000000 - type: float - Parameter CLKOUT0_USE_FINE_PS bound to: 0 - type: bool - Parameter CLKOUT1_DIVIDE bound to: 1 - type: integer - Parameter CLKOUT1_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT1_PHASE bound to: 0.000000 - type: float - Parameter CLKOUT1_USE_FINE_PS bound to: 0 - type: bool - Parameter CLKOUT2_DIVIDE bound to: 1 - type: integer - Parameter CLKOUT2_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT2_PHASE bound to: 0.000000 - type: float - Parameter CLKOUT2_USE_FINE_PS bound to: 0 - type: bool - Parameter CLKOUT3_DIVIDE bound to: 1 - type: integer - Parameter CLKOUT3_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT3_PHASE bound to: 0.000000 - type: float - Parameter CLKOUT3_USE_FINE_PS bound to: 0 - type: bool - Parameter CLKOUT4_CASCADE bound to: 0 - type: bool - Parameter CLKOUT4_DIVIDE bound to: 1 - type: integer - Parameter CLKOUT4_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT4_PHASE bound to: 0.000000 - type: float - Parameter CLKOUT4_USE_FINE_PS bound to: 0 - type: bool - Parameter CLKOUT5_DIVIDE bound to: 1 - type: integer - Parameter CLKOUT5_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT5_PHASE bound to: 0.000000 - type: float - Parameter CLKOUT5_USE_FINE_PS bound to: 0 - type: bool - Parameter CLKOUT6_DIVIDE bound to: 1 - type: integer - Parameter CLKOUT6_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT6_PHASE bound to: 0.000000 - type: float - Parameter CLKOUT6_USE_FINE_PS bound to: 0 - type: bool - Parameter COMPENSATION bound to: ZHOLD - type: string - Parameter DIVCLK_DIVIDE bound to: 1 - type: integer - Parameter IS_CLKINSEL_INVERTED bound to: 1'b0 - Parameter IS_PSEN_INVERTED bound to: 1'b0 - Parameter IS_PSINCDEC_INVERTED bound to: 1'b0 - Parameter IS_PWRDWN_INVERTED bound to: 1'b0 - Parameter IS_RST_INVERTED bound to: 1'b0 - Parameter REF_JITTER1 bound to: 0.010000 - type: float - Parameter REF_JITTER2 bound to: 0.000000 - type: float - Parameter SS_EN bound to: FALSE - type: string - Parameter SS_MODE bound to: CENTER_HIGH - type: string - Parameter SS_MOD_PERIOD bound to: 10000 - type: integer - Parameter STARTUP_WAIT bound to: 0 - type: bool -INFO: [Synth 8-113] binding component instance 'mmcm_adv_inst' to cell 'MMCME2_ADV' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/clk_wiz_0_clk_wiz.vhd:125] -INFO: [Synth 8-113] binding component instance 'clkf_buf' to cell 'BUFG' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/clk_wiz_0_clk_wiz.vhd:187] -INFO: [Synth 8-113] binding component instance 'clkout1_buf' to cell 'BUFG' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/clk_wiz_0_clk_wiz.vhd:194] -INFO: [Synth 8-256] done synthesizing module 'clk_wiz_0_clk_wiz' (3#1) [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/clk_wiz_0_clk_wiz.vhd:83] -INFO: [Synth 8-256] done synthesizing module 'clk_wiz_0' (4#1) [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/clk_wiz_0.vhd:83] - Parameter SYSCLK_FREQUENCY_HZ bound to: 108000000 - type: integer - Parameter CHECK_PERIOD_MS bound to: 500 - type: integer - Parameter TIMEOUT_PERIOD_MS bound to: 100 - type: integer -INFO: [Synth 8-3491] module 'MouseCtl' declared at 'C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseCtl.vhd:179' bound to instance 'Inst_MouseCtl' of component 'MouseCtl' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/vga_ctrl.vhd:207] -INFO: [Synth 8-638] synthesizing module 'MouseCtl' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseCtl.vhd:208] - Parameter SYSCLK_FREQUENCY_HZ bound to: 108000000 - type: integer - Parameter CHECK_PERIOD_MS bound to: 500 - type: integer - Parameter TIMEOUT_PERIOD_MS bound to: 100 - type: integer -INFO: [Synth 8-3491] module 'Ps2Interface' declared at 'C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/Ps2Interface.vhd:152' bound to instance 'Inst_Ps2Interface' of component 'Ps2Interface' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseCtl.vhd:370] -INFO: [Synth 8-638] synthesizing module 'Ps2Interface' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/Ps2Interface.vhd:180] -INFO: [Synth 8-256] done synthesizing module 'Ps2Interface' (5#1) [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/Ps2Interface.vhd:180] -INFO: [Synth 8-256] done synthesizing module 'MouseCtl' (6#1) [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseCtl.vhd:208] -INFO: [Synth 8-3491] module 'MouseDisplay' declared at 'C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseDisplay.vhd:99' bound to instance 'Inst_MouseDisplay' of component 'MouseDisplay' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/vga_ctrl.vhd:334] -INFO: [Synth 8-638] synthesizing module 'MouseDisplay' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseDisplay.vhd:129] -WARNING: [Synth 8-614] signal 'ypos' is read in the process but is not in the sensitivity list [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseDisplay.vhd:197] -INFO: [Synth 8-256] done synthesizing module 'MouseDisplay' (7#1) [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseDisplay.vhd:129] -INFO: [Synth 8-256] done synthesizing module 'vga_ctrl' (8#1) [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/vga_ctrl.vhd:50] -INFO: [Synth 8-256] done synthesizing module 'GPIO_demo' (9#1) [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/GPIO_Demo.vhd:70] ---------------------------------------------------------------------------------- -Finished RTL Elaboration : Time (s): cpu = 00:00:10 ; elapsed = 00:00:12 . Memory (MB): peak = 391.957 ; gain = 182.125 ---------------------------------------------------------------------------------- - -Report Check Netlist: -+------+------------------+-------+---------+-------+------------------+ -| |Item |Errors |Warnings |Status |Description | -+------+------------------+-------+---------+-------+------------------+ -|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | -+------+------------------+-------+---------+-------+------------------+ ---------------------------------------------------------------------------------- -Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:10 ; elapsed = 00:00:13 . Memory (MB): peak = 391.957 ; gain = 182.125 ---------------------------------------------------------------------------------- -INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Device 21-403] Loading part xc7a35tcpg236-1 -INFO: [Project 1-570] Preparing netlist for logic optimization - -Processing XDC Constraints -Initializing timing engine -Parsing XDC File [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/constraints/Basys3_Master.xdc] -Finished Parsing XDC File [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/constraints/Basys3_Master.xdc] -INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/constraints/Basys3_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/GPIO_demo_propImpl.xdc]. -Resolution: To avoid this warning, move constraints listed in [.Xil/GPIO_demo_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. -INFO: [Timing 38-2] Deriving generated clocks -Completed Processing XDC Constraints - -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 692.656 ; gain = 0.000 ---------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:20 ; elapsed = 00:00:23 . Memory (MB): peak = 692.656 ; gain = 482.824 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Loading Part and Timing Information ---------------------------------------------------------------------------------- -Loading part: xc7a35tcpg236-1 ---------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:20 ; elapsed = 00:00:23 . Memory (MB): peak = 692.656 ; gain = 482.824 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Applying 'set_property' XDC Constraints ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:20 ; elapsed = 00:00:23 . Memory (MB): peak = 692.656 ; gain = 482.824 ---------------------------------------------------------------------------------- -INFO: [Synth 8-5544] ROM "READY" won't be mapped to Block RAM because address size (2) smaller than threshold (5) -INFO: [Synth 8-5545] ROM "txState" won't be mapped to RAM because address size (31) is larger than maximum supported(25) -INFO: [Synth 8-5544] ROM "bitIndex" won't be mapped to Block RAM because address size (2) smaller than threshold (5) -INFO: [Synth 8-5546] ROM "busy" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "delay_100us_counter_enable" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "delay_20us_counter_enable" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "delay_63clk_counter_enable" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "reset_bit_count" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5545] ROM "periodic_check_tick" won't be mapped to RAM because address size (26) is larger than maximum supported(25) -INFO: [Synth 8-5546] ROM "timeout" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-4471] merging register 'green_out_reg[3:0]' into 'red_out_reg[3:0]' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseDisplay.vhd:238] -INFO: [Synth 8-4471] merging register 'blue_out_reg[3:0]' into 'red_out_reg[3:0]' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseDisplay.vhd:239] -INFO: [Synth 8-41] '_-' operator could not be merged with '+' operator due to loss of accuracy [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseDisplay.vhd:213] -INFO: [Synth 8-41] '_-' operator could not be merged with '+' operator due to loss of accuracy [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseDisplay.vhd:214] -INFO: [Synth 8-41] '_-' operator could not be merged with '+' operator due to loss of accuracy [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseDisplay.vhd:213] -INFO: [Synth 8-41] '_-' operator could not be merged with '+' operator due to loss of accuracy [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseDisplay.vhd:214] -INFO: [Synth 8-41] '_-' operator could not be merged with '+' operator due to loss of accuracy [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseDisplay.vhd:213] -INFO: [Synth 8-41] '_-' operator could not be merged with '+' operator due to loss of accuracy [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/MouseDisplay.vhd:214] -INFO: [Synth 8-4471] merging register 'sendStr_reg[15][7:0]' into 'sendStr_reg[3][7:0]' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/GPIO_Demo.vhd:414] -INFO: [Synth 8-4471] merging register 'sendStr_reg[25][7:0]' into 'sendStr_reg[24][7:0]' [C:/Users/Hp/Documents/Compteur8BitsBasys3/src/hdl/GPIO_Demo.vhd:414] -INFO: [Synth 8-5544] ROM "sendStr[0]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[1]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[2]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[3]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[4]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[5]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[6]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[7]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[8]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[9]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[10]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[11]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[12]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[13]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[14]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[18]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[19]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[21]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[22]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[23]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "sendStr[24]" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "strEnd" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5544] ROM "strIndex" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ---------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:21 ; elapsed = 00:00:25 . Memory (MB): peak = 692.656 ; gain = 482.824 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start RTL Component Statistics ---------------------------------------------------------------------------------- -Detailed RTL Component Info : -+---Adders : - 2 Input 26 Bit Adders := 1 - 2 Input 24 Bit Adders := 1 - 3 Input 13 Bit Adders := 2 - 2 Input 12 Bit Adders := 7 - 2 Input 11 Bit Adders := 1 - 2 Input 8 Bit Adders := 1 - 4 Input 8 Bit Adders := 1 - 3 Input 8 Bit Adders := 2 - 2 Input 7 Bit Adders := 1 - 2 Input 4 Bit Adders := 4 - 3 Input 4 Bit Adders := 2 -+---XORs : - 2 Input 1 Bit XORs := 5 -+---Registers : - 31 Bit Registers := 1 - 26 Bit Registers := 1 - 24 Bit Registers := 1 - 12 Bit Registers := 10 - 11 Bit Registers := 2 - 10 Bit Registers := 1 - 8 Bit Registers := 30 - 7 Bit Registers := 1 - 6 Bit Registers := 1 - 5 Bit Registers := 2 - 4 Bit Registers := 16 - 3 Bit Registers := 1 - 2 Bit Registers := 2 - 1 Bit Registers := 42 -+---Muxes : - 3 Input 31 Bit Muxes := 1 - 2 Input 26 Bit Muxes := 1 - 2 Input 24 Bit Muxes := 1 - 2 Input 16 Bit Muxes := 1 - 2 Input 12 Bit Muxes := 10 - 2 Input 11 Bit Muxes := 1 - 2 Input 9 Bit Muxes := 2 - 38 Input 8 Bit Muxes := 1 - 3 Input 8 Bit Muxes := 20 - 2 Input 7 Bit Muxes := 10 - 3 Input 6 Bit Muxes := 2 - 2 Input 6 Bit Muxes := 13 - 4 Input 6 Bit Muxes := 2 - 5 Input 6 Bit Muxes := 1 - 3 Input 5 Bit Muxes := 2 - 2 Input 5 Bit Muxes := 4 - 4 Input 5 Bit Muxes := 6 - 5 Input 5 Bit Muxes := 1 - 2 Input 4 Bit Muxes := 9 - 3 Input 4 Bit Muxes := 3 - 4 Input 4 Bit Muxes := 3 - 3 Input 3 Bit Muxes := 3 - 4 Input 3 Bit Muxes := 1 - 2 Input 3 Bit Muxes := 1 - 9 Input 3 Bit Muxes := 1 - 4 Input 2 Bit Muxes := 1 - 2 Input 2 Bit Muxes := 4 - 18 Input 2 Bit Muxes := 1 - 3 Input 2 Bit Muxes := 2 - 38 Input 2 Bit Muxes := 6 - 2 Input 1 Bit Muxes := 24 - 4 Input 1 Bit Muxes := 2 - 18 Input 1 Bit Muxes := 5 - 38 Input 1 Bit Muxes := 5 - 3 Input 1 Bit Muxes := 6 - 8 Input 1 Bit Muxes := 1 ---------------------------------------------------------------------------------- -Finished RTL Component Statistics ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start RTL Hierarchical Component Statistics ---------------------------------------------------------------------------------- -Hierarchical RTL Component report -Module GPIO_demo -Detailed RTL Component Info : -+---Adders : - 2 Input 4 Bit Adders := 1 -+---Registers : - 31 Bit Registers := 1 - 8 Bit Registers := 26 - 4 Bit Registers := 2 - 3 Bit Registers := 1 - 1 Bit Registers := 1 -+---Muxes : - 3 Input 31 Bit Muxes := 1 - 2 Input 16 Bit Muxes := 1 - 3 Input 8 Bit Muxes := 20 - 2 Input 7 Bit Muxes := 10 - 2 Input 6 Bit Muxes := 10 - 2 Input 4 Bit Muxes := 1 - 9 Input 3 Bit Muxes := 1 - 2 Input 2 Bit Muxes := 1 - 2 Input 1 Bit Muxes := 3 - 8 Input 1 Bit Muxes := 1 -Module debouncer -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 5 -+---Registers : - 5 Bit Registers := 1 -+---Muxes : - 2 Input 1 Bit Muxes := 5 -Module UART_TX_CTRL -Detailed RTL Component Info : -+---Registers : - 10 Bit Registers := 1 - 2 Bit Registers := 1 - 1 Bit Registers := 1 -+---Muxes : - 4 Input 2 Bit Muxes := 1 - 2 Input 1 Bit Muxes := 4 - 4 Input 1 Bit Muxes := 1 -Module Ps2Interface -Detailed RTL Component Info : -+---Adders : - 2 Input 11 Bit Adders := 1 - 2 Input 7 Bit Adders := 1 - 2 Input 4 Bit Adders := 3 -+---Registers : - 11 Bit Registers := 2 - 8 Bit Registers := 1 - 7 Bit Registers := 1 - 5 Bit Registers := 1 - 4 Bit Registers := 3 - 1 Bit Registers := 17 -+---Muxes : - 2 Input 11 Bit Muxes := 1 - 3 Input 5 Bit Muxes := 1 - 2 Input 5 Bit Muxes := 1 - 2 Input 4 Bit Muxes := 4 - 3 Input 4 Bit Muxes := 2 - 3 Input 3 Bit Muxes := 2 - 2 Input 2 Bit Muxes := 2 - 18 Input 2 Bit Muxes := 1 - 18 Input 1 Bit Muxes := 5 - 2 Input 1 Bit Muxes := 7 - 4 Input 1 Bit Muxes := 1 -Module MouseCtl -Detailed RTL Component Info : -+---Adders : - 2 Input 26 Bit Adders := 1 - 2 Input 24 Bit Adders := 1 - 2 Input 12 Bit Adders := 4 - 2 Input 8 Bit Adders := 1 -+---Registers : - 26 Bit Registers := 1 - 24 Bit Registers := 1 - 12 Bit Registers := 6 - 8 Bit Registers := 3 - 6 Bit Registers := 1 - 4 Bit Registers := 1 - 1 Bit Registers := 17 -+---Muxes : - 2 Input 26 Bit Muxes := 1 - 2 Input 24 Bit Muxes := 1 - 2 Input 12 Bit Muxes := 10 - 2 Input 9 Bit Muxes := 2 - 38 Input 8 Bit Muxes := 1 - 3 Input 6 Bit Muxes := 2 - 2 Input 6 Bit Muxes := 3 - 4 Input 6 Bit Muxes := 2 - 5 Input 6 Bit Muxes := 1 - 3 Input 5 Bit Muxes := 1 - 4 Input 5 Bit Muxes := 6 - 5 Input 5 Bit Muxes := 1 - 2 Input 5 Bit Muxes := 3 - 3 Input 4 Bit Muxes := 1 - 4 Input 4 Bit Muxes := 3 - 2 Input 4 Bit Muxes := 1 - 3 Input 3 Bit Muxes := 1 - 4 Input 3 Bit Muxes := 1 - 2 Input 3 Bit Muxes := 1 - 3 Input 2 Bit Muxes := 2 - 2 Input 2 Bit Muxes := 1 - 38 Input 2 Bit Muxes := 6 - 38 Input 1 Bit Muxes := 5 - 2 Input 1 Bit Muxes := 5 - 3 Input 1 Bit Muxes := 6 -Module MouseDisplay -Detailed RTL Component Info : -+---Adders : - 3 Input 13 Bit Adders := 2 - 2 Input 12 Bit Adders := 3 - 3 Input 4 Bit Adders := 2 -+---Registers : - 4 Bit Registers := 1 - 2 Bit Registers := 1 - 1 Bit Registers := 1 -Module vga_ctrl -Detailed RTL Component Info : -+---Adders : - 4 Input 8 Bit Adders := 1 - 3 Input 8 Bit Adders := 2 -+---Registers : - 12 Bit Registers := 4 - 4 Bit Registers := 9 - 1 Bit Registers := 5 -+---Muxes : - 2 Input 4 Bit Muxes := 3 ---------------------------------------------------------------------------------- -Finished RTL Hierarchical Component Statistics ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Part Resource Summary ---------------------------------------------------------------------------------- -Part Resources: -DSPs: 90 (col length:60) -BRAMs: 100 (col length: RAMB18 60 RAMB36 30) ---------------------------------------------------------------------------------- -Finished Part Resource Summary ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Cross Boundary and Area Optimization ---------------------------------------------------------------------------------- -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/x_max_reg[0]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/x_max_reg[1]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/x_max_reg[2]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/x_max_reg[3]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/x_max_reg[4]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/x_max_reg[5]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/x_max_reg[6]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/x_max_reg[7]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/x_max_reg[8]' (FDRE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[11]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/x_max_reg[9]' (FDRE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[11]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/x_max_reg[10]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/x_max_reg[11]' (FDRE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[11]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[0]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[1]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[2]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[3]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[4]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[5]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[6]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[7]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[8]' (FDSE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[9]' -INFO: [Synth 8-3886] merging instance 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[10]' (FDRE) to 'Inst_vga_ctrl/Inst_MouseCtl/y_max_reg[11]' -INFO: [Synth 8-3333] propagating constant 0 across sequential element (Inst_vga_ctrl/Inst_MouseCtl/\y_max_reg[11] ) -INFO: [Synth 8-3886] merging instance 'strEnd_reg[0]' (FDSE) to 'strEnd_reg[1]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[2]' (FDRE) to 'strEnd_reg[5]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[3]' (FDSE) to 'strEnd_reg[4]' -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\strEnd_reg[4] ) -INFO: [Synth 8-3886] merging instance 'strEnd_reg[5]' (FDRE) to 'strEnd_reg[6]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[6]' (FDRE) to 'strEnd_reg[7]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[7]' (FDRE) to 'strEnd_reg[8]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[8]' (FDRE) to 'strEnd_reg[9]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[9]' (FDRE) to 'strEnd_reg[10]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[10]' (FDRE) to 'strEnd_reg[11]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[11]' (FDRE) to 'strEnd_reg[12]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[12]' (FDRE) to 'strEnd_reg[13]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[13]' (FDRE) to 'strEnd_reg[14]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[14]' (FDRE) to 'strEnd_reg[15]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[15]' (FDRE) to 'strEnd_reg[16]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[16]' (FDRE) to 'strEnd_reg[17]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[17]' (FDRE) to 'strEnd_reg[18]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[18]' (FDRE) to 'strEnd_reg[19]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[19]' (FDRE) to 'strEnd_reg[20]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[20]' (FDRE) to 'strEnd_reg[21]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[21]' (FDRE) to 'strEnd_reg[22]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[22]' (FDRE) to 'strEnd_reg[23]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[23]' (FDRE) to 'strEnd_reg[24]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[24]' (FDRE) to 'strEnd_reg[25]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[25]' (FDRE) to 'strEnd_reg[26]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[26]' (FDRE) to 'strEnd_reg[27]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[27]' (FDRE) to 'strEnd_reg[28]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[28]' (FDRE) to 'strEnd_reg[29]' -INFO: [Synth 8-3886] merging instance 'strEnd_reg[29]' (FDRE) to 'strEnd_reg[30]' -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\strEnd_reg[30] ) -INFO: [Synth 8-3886] merging instance 'sendStr_reg[26][7]' (FDRE) to 'sendStr_reg[26][1]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[24][7]' (FDRE) to 'sendStr_reg[26][1]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[23][7]' (FDRE) to 'sendStr_reg[23][6]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[22][7]' (FDRE) to 'sendStr_reg[22][5]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[21][7]' (FDRE) to 'sendStr_reg[21][4]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[20][7]' (FDRE) to 'sendStr_reg[19][7]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[19][7]' (FDRE) to 'sendStr_reg[19][4]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[18][7]' (FDRE) to 'sendStr_reg[18][3]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[17][7]' (FDRE) to 'sendStr_reg[5][7]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[16][7]' (FDRE) to 'sendStr_reg[5][7]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[14][7]' (FDRE) to 'sendStr_reg[14][3]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[13][7]' (FDRE) to 'sendStr_reg[13][4]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[12][7]' (FDRE) to 'sendStr_reg[12][4]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[11][7]' (FDRE) to 'sendStr_reg[11][2]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[10][7]' (FDRE) to 'sendStr_reg[10][3]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[9][7]' (FDRE) to 'sendStr_reg[9][4]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[8][7]' (FDRE) to 'sendStr_reg[8][3]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[7][7]' (FDRE) to 'sendStr_reg[7][3]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[6][7]' (FDRE) to 'sendStr_reg[6][3]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[5][7]' (FDRE) to 'sendStr_reg[17][3]' -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[4][7] ) -INFO: [Synth 8-3886] merging instance 'sendStr_reg[3][7]' (FDRE) to 'sendStr_reg[3][3]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[2][7]' (FDRE) to 'sendStr_reg[2][3]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[1][7]' (FDRE) to 'sendStr_reg[1][1]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[0][7]' (FDRE) to 'sendStr_reg[0][5]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[26][6]' (FDRE) to 'sendStr_reg[26][1]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[24][6]' (FDRE) to 'sendStr_reg[26][1]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[23][6]' (FDRE) to 'sendStr_reg[23][4]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[22][6]' (FDSE) to 'sendStr_reg[22][2]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[21][6]' (FDSE) to 'sendStr_reg[21][3]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[20][6]' (FDSE) to 'sendStr_reg[19][6]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[19][6]' (FDSE) to 'sendStr_reg[19][2]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[18][6]' (FDRE) to 'sendStr_reg[18][4]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[17][6]' (FDSE) to 'sendStr_reg[5][6]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[16][6]' (FDSE) to 'sendStr_reg[5][6]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[14][6]' (FDSE) to 'sendStr_reg[14][2]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[12][6]' (FDSE) to 'sendStr_reg[12][3]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[11][6]' (FDSE) to 'sendStr_reg[11][0]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[10][6]' (FDSE) to 'sendStr_reg[10][4]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[9][6]' (FDSE) to 'sendStr_reg[9][2]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[8][6]' (FDRE) to 'sendStr_reg[8][4]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[6][6]' (FDSE) to 'sendStr_reg[6][4]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[5][6]' (FDSE) to 'sendStr_reg[5][3]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[4][6]' (FDSE) to 'sendStr_reg[4][1]' -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[3][6] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[2][6] ) -INFO: [Synth 8-3886] merging instance 'sendStr_reg[1][6]' (FDRE) to 'sendStr_reg[1][5]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[26][5]' (FDRE) to 'sendStr_reg[26][1]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[24][5]' (FDRE) to 'sendStr_reg[26][1]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[22][5]' (FDRE) to 'sendStr_reg[22][4]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[20][5]' (FDRE) to 'sendStr_reg[19][5]' -INFO: [Synth 8-3886] merging instance 'sendStr_reg[19][5]' (FDRE) to 'sendStr_reg[19][0]' -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[18][5] ) -INFO: [Synth 8-3886] merging instance 'sendStr_reg[17][5]' (FDRE) to 'sendStr_reg[5][5]' -INFO: [Common 17-14] Message 'Synth 8-3886' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[8][5] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[22][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[13][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[12][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[10][4] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[7][4] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[16][3] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[9][3] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[5][3] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[19][2] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[13][2] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[11][2] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[10][2] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[7][2] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[6][2] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[23][1] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[22][1] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[21][1] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[19][1] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[14][1] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[3][1] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[1][1] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[0][1] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[26][0] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[24][0] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[23][0] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[21][0] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[18][0] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[14][0] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[11][0] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[9][0] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[8][0] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[4][0] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[2][0] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sendStr_reg[1][0] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sendStr_reg[0][0] ) -INFO: [Synth 8-3333] propagating constant 1 across sequential element (Inst_UART_TX_CTRL/\txData_reg[9] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (Inst_UART_TX_CTRL/\txData_reg[0] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\uartData_reg[7] ) -INFO: [Synth 8-3333] propagating constant 0 across sequential element (Inst_UART_TX_CTRL/\txData_reg[8] ) -WARNING: [Synth 8-3332] Sequential element (txData_reg[9]) is unused and will be removed from module UART_TX_CTRL. -WARNING: [Synth 8-3332] Sequential element (txData_reg[8]) is unused and will be removed from module UART_TX_CTRL. -WARNING: [Synth 8-3332] Sequential element (zpos_reg[3]) is unused and will be removed from module MouseCtl. -WARNING: [Synth 8-3332] Sequential element (zpos_reg[2]) is unused and will be removed from module MouseCtl. -WARNING: [Synth 8-3332] Sequential element (zpos_reg[1]) is unused and will be removed from module MouseCtl. -WARNING: [Synth 8-3332] Sequential element (zpos_reg[0]) is unused and will be removed from module MouseCtl. -WARNING: [Synth 8-3332] Sequential element (left_down_reg) is unused and will be removed from module MouseCtl. -WARNING: [Synth 8-3332] Sequential element (left_reg) is unused and will be removed from module MouseCtl. -WARNING: [Synth 8-3332] Sequential element (middle_down_reg) is unused and will be removed from module MouseCtl. -WARNING: [Synth 8-3332] Sequential element (middle_reg) is unused and will be removed from module MouseCtl. -WARNING: [Synth 8-3332] Sequential element (right_down_reg) is unused and will be removed from module MouseCtl. -WARNING: [Synth 8-3332] Sequential element (right_reg) is unused and will be removed from module MouseCtl. -WARNING: [Synth 8-3332] Sequential element (new_event_reg) is unused and will be removed from module MouseCtl. -WARNING: [Synth 8-3332] Sequential element (y_max_reg[11]) is unused and will be removed from module MouseCtl. -WARNING: [Synth 8-3332] Sequential element (v_cntr_reg_dly_reg[9]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (v_cntr_reg_dly_reg[8]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (v_cntr_reg_dly_reg[7]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (v_cntr_reg_dly_reg[6]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (v_cntr_reg_dly_reg[5]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (v_cntr_reg_dly_reg[4]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (v_cntr_reg_dly_reg[3]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (v_cntr_reg_dly_reg[2]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (v_cntr_reg_dly_reg[1]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (v_cntr_reg_dly_reg[0]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (h_cntr_reg_dly_reg[7]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (h_cntr_reg_dly_reg[6]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (h_cntr_reg_dly_reg[5]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (h_cntr_reg_dly_reg[4]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (h_cntr_reg_dly_reg[3]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (h_cntr_reg_dly_reg[2]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (h_cntr_reg_dly_reg[1]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (h_cntr_reg_dly_reg[0]) is unused and will be removed from module vga_ctrl. -WARNING: [Synth 8-3332] Sequential element (strEnd_reg[30]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (strEnd_reg[4]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[0][1]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[0][0]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[1][1]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[1][0]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[2][6]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[2][0]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[3][6]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[3][1]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[4][7]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[4][0]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[6][2]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[7][4]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[7][2]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[8][5]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[8][0]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[9][3]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[9][0]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[10][4]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[10][2]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[11][2]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[11][0]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[12][4]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[13][4]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[13][2]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[14][1]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[14][0]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[18][5]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[18][0]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[21][1]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[21][0]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[22][4]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[22][1]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[23][1]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[23][0]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (uartData_reg[7]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[19][2]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[5][3]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[26][0]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[16][3]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[24][0]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (sendStr_reg[19][1]) is unused and will be removed from module GPIO_demo. -WARNING: [Synth 8-3332] Sequential element (y_max_reg[9]) is unused and will be removed from module MouseCtl. ---------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:24 ; elapsed = 00:00:28 . Memory (MB): peak = 692.656 ; gain = 482.824 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start ROM, RAM, DSP and Shift Register Reporting ---------------------------------------------------------------------------------- - -ROM: -+-------------+-------------+---------------+----------------+ -|Module Name | RTL Object | Depth x Width | Implemented As | -+-------------+-------------+---------------+----------------+ -|MouseCtl | write_data | 64x1 | LUT | -|MouseDisplay | mouserom[0] | 256x2 | LUT | -+-------------+-------------+---------------+----------------+ - ---------------------------------------------------------------------------------- -Finished ROM, RAM, DSP and Shift Register Reporting ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start Applying XDC Timing Constraints ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:34 ; elapsed = 00:00:38 . Memory (MB): peak = 692.656 ; gain = 482.824 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start Technology Mapping ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:35 ; elapsed = 00:00:39 . Memory (MB): peak = 692.656 ; gain = 482.824 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Final Netlist Cleanup ---------------------------------------------------------------------------------- -INFO: [Synth 8-5365] Flop ps2_data_h_reg is being inverted and renamed to ps2_data_h_reg_inv. ---------------------------------------------------------------------------------- -Finished Final Netlist Cleanup ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:00:36 ; elapsed = 00:00:39 . Memory (MB): peak = 692.656 ; gain = 482.824 ---------------------------------------------------------------------------------- - -Report Check Netlist: -+------+------------------+-------+---------+-------+------------------+ -| |Item |Errors |Warnings |Status |Description | -+------+------------------+-------+---------+-------+------------------+ -|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | -+------+------------------+-------+---------+-------+------------------+ ---------------------------------------------------------------------------------- -Start Renaming Generated Instances ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:00:36 ; elapsed = 00:00:39 . Memory (MB): peak = 692.656 ; gain = 482.824 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start Handling Custom Attributes ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:36 ; elapsed = 00:00:39 . Memory (MB): peak = 692.656 ; gain = 482.824 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Writing Synthesis Report ---------------------------------------------------------------------------------- - -Report BlackBoxes: -+-+--------------+----------+ -| |BlackBox name |Instances | -+-+--------------+----------+ -+-+--------------+----------+ - -Report Cell Usage: -+------+-----------+------+ -| |Cell |Count | -+------+-----------+------+ -|1 |BUFG | 3| -|2 |CARRY4 | 132| -|3 |LUT1 | 368| -|4 |LUT2 | 207| -|5 |LUT3 | 91| -|6 |LUT4 | 138| -|7 |LUT5 | 73| -|8 |LUT6 | 157| -|9 |MMCME2_ADV | 1| -|10 |MUXF7 | 3| -|11 |FDRE | 579| -|12 |FDSE | 2| -|13 |IBUF | 22| -|14 |IOBUF | 2| -|15 |OBUF | 43| -+------+-----------+------+ - -Report Instance Areas: -+------+------------------------+------------------+------+ -| |Instance |Module |Cells | -+------+------------------------+------------------+------+ -|1 |top | | 1821| -|2 | Inst_btn_debounce |debouncer | 215| -|3 | Inst_UART_TX_CTRL |UART_TX_CTRL | 133| -|4 | Inst_vga_ctrl |vga_ctrl | 1100| -|5 | clk_wiz_0_inst |clk_wiz_0 | 3| -|6 | U0 |clk_wiz_0_clk_wiz | 3| -|7 | Inst_MouseCtl |MouseCtl | 680| -|8 | Inst_Ps2Interface |Ps2Interface | 211| -|9 | Inst_MouseDisplay |MouseDisplay | 124| -+------+------------------------+------------------+------+ ---------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:00:36 ; elapsed = 00:00:39 . Memory (MB): peak = 692.656 ; gain = 482.824 ---------------------------------------------------------------------------------- -Synthesis finished with 0 errors, 0 critical warnings and 76 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:20 ; elapsed = 00:00:27 . Memory (MB): peak = 692.656 ; gain = 116.863 -Synthesis Optimization Complete : Time (s): cpu = 00:00:36 ; elapsed = 00:00:40 . Memory (MB): peak = 692.656 ; gain = 482.824 -INFO: [Project 1-571] Translating synthesized netlist -INFO: [Netlist 29-17] Analyzing 157 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-570] Preparing netlist for logic optimization -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Project 1-111] Unisim Transformation Summary: - A total of 2 instances were transformed. - IOBUF => IOBUF (IBUF, OBUFT): 2 instances - -INFO: [Common 17-83] Releasing license: Synthesis -245 Infos, 77 Warnings, 0 Critical Warnings and 0 Errors encountered. -synth_design completed successfully -synth_design: Time (s): cpu = 00:00:33 ; elapsed = 00:00:35 . Memory (MB): peak = 692.656 ; gain = 424.176 -INFO: [Common 17-1381] The checkpoint 'C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/synth_1/GPIO_demo.dcp' has been generated. -report_utilization: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.024 . Memory (MB): peak = 692.656 ; gain = 0.000 -INFO: [Common 17-206] Exiting Vivado at Fri Apr 09 23:11:26 2021... diff --git a/proj/GPIO.runs/synth_1/runme.sh b/proj/GPIO.runs/synth_1/runme.sh deleted file mode 100644 index 9889f7b..0000000 --- a/proj/GPIO.runs/synth_1/runme.sh +++ /dev/null @@ -1,43 +0,0 @@ -#!/bin/sh - -# -# Vivado(TM) -# runme.sh: a Vivado-generated Runs Script for UNIX -# Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -# - -echo "This script was generated under a different operating system." -echo "Please update the PATH and LD_LIBRARY_PATH variables below, before executing this script" -exit - -if [ -z "$PATH" ]; then - PATH=C:/Xilinx/Vivado/2016.4/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2016.4/ids_lite/ISE/lib/nt64:C:/Xilinx/Vivado/2016.4/bin -else - PATH=C:/Xilinx/Vivado/2016.4/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2016.4/ids_lite/ISE/lib/nt64:C:/Xilinx/Vivado/2016.4/bin:$PATH -fi -export PATH - -if [ -z "$LD_LIBRARY_PATH" ]; then - LD_LIBRARY_PATH= -else - LD_LIBRARY_PATH=:$LD_LIBRARY_PATH -fi -export LD_LIBRARY_PATH - -HD_PWD='C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/synth_1' -cd "$HD_PWD" - -HD_LOG=runme.log -/bin/touch $HD_LOG - -ISEStep="./ISEWrap.sh" -EAStep() -{ - $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 - if [ $? -ne 0 ] - then - exit - fi -} - -EAStep vivado -log GPIO_demo.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source GPIO_demo.tcl diff --git a/proj/GPIO.runs/synth_1/vivado.jou b/proj/GPIO.runs/synth_1/vivado.jou deleted file mode 100644 index d6f7c6b..0000000 --- a/proj/GPIO.runs/synth_1/vivado.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2016.4 (64-bit) -# SW Build 1756540 on Mon Jan 23 19:11:23 MST 2017 -# IP Build 1755317 on Mon Jan 23 20:30:07 MST 2017 -# Start of session at: Fri Apr 09 23:10:44 2021 -# Process ID: 9840 -# Current directory: C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/synth_1 -# Command line: vivado.exe -log GPIO_demo.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source GPIO_demo.tcl -# Log file: C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/synth_1/GPIO_demo.vds -# Journal file: C:/Users/Hp/Documents/Compteur8BitsBasys3/proj/GPIO.runs/synth_1\vivado.jou -#----------------------------------------------------------- -source GPIO_demo.tcl -notrace diff --git a/proj/GPIO.runs/synth_1/vivado.pb b/proj/GPIO.runs/synth_1/vivado.pb deleted file mode 100644 index 7758359b7b743c618102142c5fb7369362864fb5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 108888 zcmeHw>vJ1fcHe>$CC^=DSBZCLXSL&vUjR8msYIaBIQMtXJ@=e*&%O6F`J)uMQf?j}D~;L`y{?n17q89aGIOsy*Pbi2 zrctfSKT45P{j^~mRcflP9X9B^(QMH>TkD%};kZfXTT0uYC)I+I$r;twp`6LjOLYFA zt{8^es2pi(TWMF1PHCgrQ0aV4YpYd5d#=*$PQ%cS)y)?CuYID{;5YhlMLjXv3f$0a z(B{E`e7U9`D4n{oWW9MZo1K@W%+cps7f&w!B=xzgGh~Upx?=zct#L@*NEtI1q-@E> z4f}OQmfXMo`ziANGC(~B96-Qm(nHmt3ax8ZwV|u@pxLGes$z87D!p-Czd=D%i*T)` zK8HK_CEidbU7WjA1yME|@-L8Ac9_UOVEP~n^4G}ap1cOXd~!)D%xAI%H^d*ONcv9G z7!T6ywF?<39U-I=K$<<7HD&%EXUNUvhEhNMgbD58-PQZ_K&z|t&FlJ`RBM0~>)Jka z{3$5a)!AzorF34JyZl^jYX@3Y-XZUmmlpSQwXHASZ7r@gtDR%DVd#sXiY-I!w2Ld6 zp|2?Vsh(NX+ttORT78kL+$yNt!t;$rnzvIw&Pq7-$~3XD@WaS5pYtuaJ~< zb!PC3V(R_WlS`#}#=Tp5{~?t0w#j$Km)=IRqpM}39zuA#VcU&Fc7GH~b`Qx)K-sNo zdaJIShLGRmDB3=>hST^@gFg50t8!v<&;(KC3d^Ti)KS>^`oPcen2+EyMn{Baz_* zyhyeFtnxzpq>>IHz(8I^XgCt-{YfZG_>|lk-xAtPRC@11Nb#e{07N3q3Fu-?-EVdp zRka;Lih*=dXgCt-O(3FqeyCKcMmzC{#@%itvisc>nSm(z%G@lUy~w|wA}98QW_$NO zU8^hm&9=fPMN4!SCQbCF{`L~BwmS4(nocjVe?|H&s@9d34l^n1q9oA;x&a|G#NjtL zR^TTsRe1(qXL9KUNtWOzhl^_b<{(+8J8S6 zA*SRiVncUG#?{c)CiwM7>#MtWUCrdiZQ|ctAa`B;0Ta|}U1@_hCP_2bAiWuAK4%m3uzs(SnXHU7cAfnBbwFR) zHySY6Gc71`8z`XlZ5#PICI$Q|xsZ{)HSkgq=Jq9FE;!zpiku~zAnOIMF_rV?=zNc< zzcxdz*I3@l%j(ga*Y&rq>u)pbC+G4$$IF)?Ux4VO$K^n(8bQATKWH~FP2Aly16mn32?W+?;DLH?w5J0@lN~ZF5kvDo{X6f`Tm{NyxMdlcx%pARAv&5z z7>=0#@ff8Olt|w|{aK6y^>y-dR{V>MhCrD~i=OuY%@mQgzCr#R4+E^9Bex6(8{@#t z=R9LjWMKYR{{wS4M}ZQwmi!_ckiQqB`aw`xyS}mh zWNp>X3g||gExnG&JA5qW9l$}m@($(u_inFj?(J4K_U`XiZrj;`B`UG>P%fQL+sz%+ zn~+Bs(b|#N+7|gX*4ozH<()NGW9bEGXzaEQ#I_!gC9JKzowdsC^#^N}Eq4mXVQatH ztcT@l{ee{3TH9XVTy-%85)8uCp~%%H`IgAl`U82etzb=!QVmdybY*q@;rc3w(8XD4 zAyXJ%HR>W`yX1Y5F|)P3-480|56bsN^MA*@uF53S zRnSbLU6o0$t1^*vl_DCzb&?w}fZ0lUd8fR*DtHjv0{U_HKCEmu8GV3e64i%na{7>s zL?3)~RW6yXf@Tu!s$6nil}oCt^2u}+G?Qpo<&*2Gd?Z~}6RqrhQgmC{&5fzfZ;LFSXYoA<|^o9>J4e3#^1?ZCtXLgxrH;zO~ORg!kKvc3cB`}fu#?5y3dtUq|T zw!I58Y-^DmrolJ%)1zjGk45_LlXpb=TRUqHg3=y^eQRg^L3wqp9F+bO5&H^R=)?YK zd-YLZ^p8a9?~z*~_3fSApumU5@!M;+E8kt;-Cf(3Tzv_XWNG|qq|b(Av7rF-)sIAB ztr5v3teu_8ntQa}UcL_*6l+@NFB3GB6KG&#Q;3<$YY#wxmAmVA?vB~e(8P?{&|nHf z>>8h&YQ87R>^70zGTVjSvU^*VN6YKG?%uJv@e>Qe;^I5|TBF7?ZO#rEaq|qEb*QTK zy1fYsPN!Jg0AP*k__%spQEJb+e1j_psWYLG;065kBV8GBVBIw-O&>`;fiFgfovVNP5U5zD1qkOCEi) zXo<%9FkoEVw0C=Z?Jw@FJt%)rx%?LQTQTqNuuh60iWg{qhegk0dHpNkfeIz0F>8Z| z^?T$N@FqjPjcs*ZPJ6owWHs}@`CrY9fgwvko@?t60?29a<=Mf-^_L?#s*xP?mP+@z zH)Qk#+EVGJIb=lVu$^<@^7)o6Hw^?A?93Z+N1&1IXCP#ToE|_%_W$xfvPUx&Xak{J zP{T&JR2*ju_m2a{bfcMs7$t{vxkuE!a9$!om>CXt_xsutoz9)O#a$XM*?6WW48Vp^erEwgZh& zH_f3&OD+wYi1wZ3`hO|1#tM`uW-5kiI&G^;4URfdsKh~A?PgWgb-Ld%*jEjxr_uXH zhdLh`lqAuNQ>a>@8&@yENj52KC2=4raoTEPbv)u_e#jP17L!R?IGl0mmd1fDU2=^=_hX^7G1U_cf_Vzxn9V25pQYYk05f|HVd58FyoFw?YyP29dQ}gC&<@i%kxemy-OI*>s9l`qV_nn^qtrYowN*K|-3S;K^ z`K==bih4=Z>l{5kv@_8T?a50`CG$@CuIoD80}H4fLjeJm7vkbo&)O;!JgV{w1kSh4 zNQJq}ppDGv$heVzGAl!|D^|PAUN8EX$UWM-ZKa_@HML{2BiM3U9nRVF01Xh7xAv&6 zR-26)RH1{`_Yy!WNBCkTR1Kn!>Hml$E^t%3$SvRjxn)|$2 z@vmcObzN4-z47YBKv!D)ESjaiSNnFEm(n-d8Eid77-w1FKYMol`gGIo2Ie`i&1WO@OsP0VdR1gzuat zbqX~j_%z7;37b0c%6=RLS8X|yvGq!>M5-2pV^LltUt4&r^~(RTijx&k=@t_()1$#3 zu)zj3DBXjtNUp6y<-zAnxQA*33Q}^O>&@yjZfjEV+$D4PJh_;G=-K_-yX2d!D4}Vo zhKyJ~Xyk0pmJG*{7YbE=O1^>H!krFO;B22Rk)FMhpjKjU;L0U({XuiUK6B*(YhhYc zwYGqGNrpg@gklrFJww*7>-`mE!1}st$G}ItY7D=xS1^Xv3cUg~5Asgc%Peu(F!~S- zQ_WQWUZqd)xr5y$!*r`x!3Ht$GNe_kZ{a)*wh|1*H1j2SA)mt%X%)hDH?K?h73QT)mIvp!J%bBa9O-rO(?V!voMZWt_7 zKL+VRTn$@BS6K8(x0g5Ia#eu>e0$Wa z*Z6lZ#k`m)mF$935a?{LEw6qssNHO;jxEN+Wn?Ax2`m`pa#^;vU{8JjM~ZxJ7%Rh> zfVCDVL&r+waW;;X6YaQjO!ZESGn9g7&5DgXK2Nvow*wO=Bm%Ta4 zxjFiiWIG2!+_5^QNZG^S1{f>S*h_a^_ z!nEqwQqeWMK(5uGdgW;a_QQ4bN)@KXkSVCB4Q0QMC;%=zeC6&9YT5*j4gju1>_Q&*13d>nY>i8 z4D2__UpQ>5uoMA}RNyyHGfU}@23wPVkz9q$(x|&)UG$YR-g^_lS!J^YA;SS{o#P4v zc6jX9JL)Jdr$QFq8zK-{{B(xg=X%hDVW1e09t6_?0R-Foz=9Fb83;yRXvUGLF7|D; zj>=+`dK1DCs12)Bs~vbK%PDw^nXF{#5DbpWJfbt;&u$@?<5d#LyE@cGP!d5!K1*Wp z-Wl|n7RyU*>3gh_%yxlGFSP{~%S&xR#WIGr{Mz_l`6l@iY|N|e7;PS)NV$)m7Vsbi z!aVn#8P6MI+JXc_Cp%>5AddO3hZcwIEsj3H$=T_b2b_>eGFbv=ZhH#z6peZzg27gr z{AWxi$B%?X@n@JH`JC~SIcNN2&lx|tbH-2pobgjQXZ#e;89$|1_<{P=NyZ0IoxzF^ zq<8{^2{IHY z&UtJGKeIcA*Tg-ou+_slSILflvb~>hss^@Nk33j8Sl{y2eBYr#;iK!rsH2mEq`R~$ zz=Mx)JWH#ZJM6oxt_pjeC!8xBv43)qbd0DYBR%=Sc3)H*IP75VJ7vAXPKcNfPi33d zU;~C$)khu6ojgbPM2<4#jaAi9;3SfH5ZYmi?N|q^F+#DHL~`(WET-_wwVDd&dPn|x za9i&ya6ndu-SRCc*uY+Lp02?DHS=YWg(nyD3nQ@kpq`DIdd7ua%AnNcXs}B%O1%&f zbqS>&k=$u9YU){++@q)N?o|)!5%nr9L@gQjXrj2jXM^jEAQ_yxFUb@|I|3)bm>qe% zxgCiW0ej(C5lT(6@6wzfzTXv*!M-tuY`eGRE-*aabnm~64bMe3l#z2&cT8w3)a_1> zgSunnMCxhAU?B7FmW+hU-Iv1R&PAY}wvxCqF_mCDF)26L)V3{C$WJ4KPw^wxxY%J7m0~-f*$sUTaP`4FMq#oQzx#jMdZ;?9t6l^HE zsne2WMg;1?2TP{t6{AL?k#2o=oP&rx8o4{pL7)yrbl^2&pyO^+z>aHVaJdWfNyqhw z*fW8#3p0<%vFF@6gHsRTlm)rlZc~suE2pBzjh1-awYL&#mQGq`;( zv0ey5#)CIXmPF=um2Sb8JMui=x9#yYKPQB!|1d&r0dey^g2iHhJ-*M`} zErBHXRh)W=UKKPFrykr!iZKCBJ%kk#QvAfoO*~}74#ja?n~b%zZkJ8PWuYvExYc~*@MQV;A3`48>??9fl3?#tk#uFxjT-`MVCeClU9hZlVwOo##8 z>zz)v2g--RYlLaSJ57k}+-rso^$__Ofx1W5AtLPdU}2(&A~PHBJV}rY9AZG5d`wZuE4PHI+R@xeA3yM(A|J&hj(8cnqu9y|wMBFxfs-*w?geS&YcuCSUGF zWP9+0-^%XeN_ltte!_Z|K;Q#LJ8*B}o`P8q`(<0K@pZ)qb=zW%Pd$dit=dk<37*&&JbZw% zfo{E=j*El3({Tdz5G#=uZvNNqIDvXpF^Zjq6sSiecV{&u7V5Uy6{$}_eC4P!>%yob zAV;0!pzf%%NIjy&^jY5iX%7}bGQlGt!Mk{Dp$sw5g(xC&?7~()oO%c|BE+?k&nE=Q z;M7ByZ$UCR^$?N~ygE)jgw67X-SV2q5%mz3(~aE;+Cfj9d8n@uoWM-cfhR`&Y*3BTqR}%&h{)nxC$xvr_}B+U z+e_sd&1~>KM?qQtzv?#eV2NM(}L@tOA59>cWHWH|ZI2vOS z=6`V|_vMLVUiNTo2LozP%Y7Nn$!|Y?DlYJr$)d2UTiC4Y)SGdN=!{r$nmmJ(M{rjZ zahkkt?(pUhG2K@6W~W_MY3~WsQ!me7HlIC7=4Sbm%;k^CLxB-}iLCByL2a&5n%=B7 z>$DCJyf==P^69t93OtAqzJVvm316{?EUv)PN_s~l3oV{(#@4ZXj9_CLSzb9cH2XlNe^N0CgdHVSqA!jFU!Jpre zpnm>YApP{uAErl%c3>=#nKMw%W#H+s=iPm0IIecsJC}i{!``_JJRSDVW#H+scP;}@ zhrM$dcslG&I`E9y?|BEF$vB2s;ufd(hQwtF1=jy6Me?n7v!xtDg0FE%tMJGNc!YvM zuj}x@d!7>1)xXqH8-@nuQPjG63~wn*rd^%Ab}<95x!I& zbO}THhz=6qvjJ!Z^3t;>vp-3F?&=KrM}eYAsG({;)FntNPy8_!uVB-bD1dqg52%WW zKr!PU7tk@o01+tWWHF$>8Ku5TgX$)~MZWlLk`%LuN6e1Tja4NiYhX#M<%= z6mwZ|0ma(#4HR=eaRJ5J@(mPAUtmCgHv+qfwKW7N9AP>HmF!*{-I*-BQ~g5WB#FH4kR|HSYy7S%2?JlE~sc@{-I(HBsQp6WB#FH4kR|H zSY!U7Vh$uWs90nEp<)gsHmF!*{-I(HBsQp6WB#FH4kR|HSY!U7Vh$uWs90nEp<)gs zHmF!*{-I(HBsQp6W4@tg{Ccau8D$CuOZojGx#~`#05G3x0_Fy4tAi7;T=| zlk*=v9aB9K3Yd$-`aK%R*|P^Z6B;s5z${*TQkFwQ1`3!zi4U?A8ZuD8ymfq#(_tY? zC7eQRki8llVtV^52BVOul%*mrqcI=@1uWvkr2H?Vu**_mY{>9vQMYB!;RNDCJiaFh zjyMuWB87G&jDlaahz4?KM~i&A`-ykdyziCV>ppW0EhM=Kp;_-2<<|EfM3AD+;m@$dN|o3 zI@})%jUnQ{9rsl92Ko69-5~_`k3MIkktpo=-mR}cfPmlrj|A}ezO4^15J(j8_@1o~ zFc9!N+mA%T4`*597`^Qlrm88y8srAVuZKKK*6uQh$QeF-zfK)i!p3+B!I^^$$fywu*i`B4sG6m zK%y$);Q)qMw>}920l$_a5($rQj{5)u0lzbmNV5~zS%d!U1fK!;%uXZ@j(ZiK;3Dbj zp*{gU`_k9dKp^pXGS;B~5ON~(nhF8jI8;f$Y_w ze_du2{6=KI9c3OEv$QwKmxMepo2%vgZcB=0>I0KCtOth(w9lM@tHlUlD)4_(a0)Z2vGg5Z$Qnh(}+-%5{T-iBo z7)R8sYlfV?`wF=LWeW$tcrq&$=B2Fr2x#K&Zybe*RGkrd$o=Zf(rCUecSWYiGW{am79Z&Z8^c0EPlW_(`;^4;7x=6ucBep+00XH!j zrNhAWyI7XP^L^qeQe)@KoWPKn3_4%3gE2A1=wMJx2A!XtWht%6p!3tSY#n`y(T&ry ziJLo~NS#(MHjdUMF1c}(E>dvVfbI8U5O(?=5s`w!#%n*gi46!pk^}$mTXImHC%OWM znB;Qg8@#~b-tzWtW%qHVyt{pW@k8TeRWTHPAi3l>8xSc}OpYU=`j+Z%MJZJbDy)5c z*iqVsEycpwkz&y=R9Gfo+rg`AQdn&1G&;Ik}Y zbrlwJ7Or06wK!#~7AI5&6cli9NrkP(xHWe04!*9G$;hzka_QufS$H!cz-Y;aK!9OL z#UtT2$mc(4HFZ9~u?;Zt>*VLG2MGC-Z6Z*yjfhhU72BmVrBJbD?(w1i)3|gMtU+Bp zFe_3bPEQ}itHX@w=JT9D2C-zvY)#_@bVucEw@z|@c6VihlkzoH> zTu7maXIncwidJ2r=xsc5{RQ%hi8dm!#%Tl^>Whl{9O`#RWdz~`E7S##!eT+C^LSL? zZSv*km1@H*KUAsJPkT{64%&Lg;NZ7dz?Cp)H1Gn8Jk_AlVo%c!8a?eW?Vz#kXDoD> zYW2W&dQLrPuPMb;OWJF4G1Z{GrWsQW+H1lwJ)n;UJ>vA+X$182+i3*!^xJ6!^z_?l z1oZUVX$182+i3*!^xJ6!bToE4wj74|ybQE}tPB&}J6r3Ul^SH8{mofo%M6ImyFu;6 z_-Ns9M?BNQzSA3g&&r?x1IRZ)#HjvGuZ;Por86aCzRY^25W}i98WT;gR`7N9GbLl# z1$D+`%=a>$DH+4o5OhK^y?V?yvz#dz^G)ez3Nb&>bk-1~@%;4aF+WLprew_bxSc7) z{B+q_LmW}G3FqJAiRk;wZ$U^O(Ig~E$PrnK#2`b6d$bm zh&s{K+xYQCViqI1KshnU(ZxhbLOx?g&OAyPNmP`Slo{l+Cgro|O3V|$XG<}n%eW+_ z%K$kdUH(~IAwKNt>^pUErnJH=jS>q*fU`3P=W67fDQy`2pWZgq##)2_U0O)zcfr zu?qeXS&PJ^%!?sJq8R@qE&~UrXq=t3=<`NlKi_xJT> z{+;%TVrb0?D?bu}Bx_1}umJxtkj+ z@DnJm_YA(ym*j=qMXI?UpcvA;-9<(|8<`P;k@ZzO-0>{^JK?> zBYkvxbK@Ply$t`Y?rib?*g4V;;JSLK=>}9C+*Vu7wxKl+eaX%4_T)6r?m1ahyldnV z0q&MY;C?3lYKOdcOOW-jPxJHQxA4PTBbuv=&Fzx+yBp@w!3PTHJnho$-TQQN|6{dk zuurRM3#v4p&_`Mg{&wm5ajUK#!?^(%0?RsF7}4G|um>k6%SN5DSC_tMYX+Rtfdjeh zQ$Bkl@h^Jc-rw7GKC&A|u!o8h+FRB1R$Vy-0>@4G2q!ezDdjGebNLh5ZlO!VwsJ4`7rNc`!NVF1A>E~2W&mt>@KJ5mwW{eEyXEj{%Pc-&Z_A)QMjN6FLm$x_ljm#l2>yMaE&F>Z@$7V|BZ5}(QdoKepVQMKxk)guIb7ZpK69X_P zhGm+p^-T)VuL@y%v+*+8nx7vbgS(;_)8utij9{>oI(?uTb;$3~a$Qv#oz@6ilQ+G8 zCq>@5U2nG7lQN(h)4s~WZ>{kh)BzG9?5P=TwE+o~8hZ`~E5bAjMVLzIyj6q=PS><_ znWr5pM;;{?U`v^JPX!tnOm?!;0J%&)=Y9glGgJ<>tYW10AY(^$pIwGBq$a>Ewx?H2>K&?yG zUnjZdT(R!;O3K>7?O?-QGe_86H`HT0UC7^b*V^qSJiMp-NNG2~3c))ttVRd^uBv*q zt-(qLtYP$iKf29!_sd+OsZ=P0;CvQ(SMfEO_w@i<`%{&+LXLr0LY3fY==>@I}ib!NxP z9o>MEsLO`Y*7iGw`Z75Vq8%YrbkX0AHoZmrS+`-6_dkO(FLO9Nf`x828u)V-RUKxn z=JMkRMVLH8%i{Qkl6qD+zfxDK&sLfz>gYw&?}|iZ^7UaUs!{8tgVbQ1)Ivkq#Gj6_ zG&)EvT5MscnZmbI%r4=VRVX{X$DShUHIcG+!kD=qn+W87dQ$}VOu@Q@>*Ekw*4&5# z>dkY?u%pZHT9Y0^%K~Bh9(x|=p%O!Ab$c_ImA%_{toaxJoAFpHA4bdEB+@M}Z*PB) z`je>$V*ByV%?3s z$G1&(d$fY^C~>vw-d^2a;|NV2bA?iXew4Uc0j(W3Ezqzaw76Pz*I_`qa8WrBEv{DG z^-Y@?*VSOSxLS1`Ql{*)0c0QCetA#6tjRxRJ7Y(C+9T1^Zjo;zpj`IVwy^GN)=zL} zV5f}++cJB>@xHx|w*OeW8|@3AoXl}RT50UIERb&C?)Sa#kxL%VWEfvPXJWvb1NQ3i z?fwcbNk!Sq7z}Hx=WHm?`&9Og22}Xk2aj5X4WaPrTA$i>bF6t;%8f6>ZqFI@+Hya3 zf)no!T;YR5%6JWqVSL$+lc{vYDv0SYF>r;oCBb9I1Mt;zW{h~X{;cvs`=pYF1squ8 z;;*iK(fkbmn_U=$ub#6rDeZAfZc}B1?Y1htcOly9IYUODOVK(H&}$IJmuq`1zsUH? z7lX*K=PXUqytOS|UWdKL?E?jLu`7JC+mfXqI^T1qjEcjTwQUz<$oXE)A&{ zogIRL)XOKZqyno`Y{vtEodFjzx%AxSR=dd#Sv;AQ=5qzfy_$bW=9giU#OWuD25dOk zgTqVOF)ZZrgBLnIXtrUy0h}+W@(YaQj8vGr+-pMq3b`QV3dqiZS-CVX72HjIOynMQ zjvFW+n>)R$J$cq(mjlC4-rA$OT5UFJI&V)dI_-U#q>#sUJz=fMMR#lW$lF^0Txm1L zdT2Q}un|zJ(x&SWmMN!fsZUO6H@Oaw>+oY;Y*&W48KVuSw#+>kwBA%|6t)(^l?9Hu zRGhm2ZOAxYkIPRkWu$qSN4gt^Coy~j7u!zf_!thBEfL7Ncp5qBG&cj(!z3Gc7^aa?unsbC;mYkDCp4*w!9nm<~yW`C`UR_`jsc9q54d z#z9kmhv|y7GR;4fEu&>!<-29zuHHJf+NiSJ4e$?4U%hy31~luH=h}0n)-+i`tHiL3v#|_ zcix-i3vN81CYNjKfdb3qOV%414t1L68R3C$)S3@Md7nHeFD>rDK0ST$ZfkM1S?#dXYWgB`5Qf@m7gsbxUs3c^J+sJ6@FJ^dywL76 z^hHy062HQ0952+Wt-&^)Tn1LaA_$}Fhbf|iX@jGRm5!m+dq+vVyk{Eop6T>L8jhIa z{XTG-6mCwZK_e~6xK-@0q{x@nPR#T_2v;#c#0v|iFlE@3W({>XqWF-Hv_U3i*hO=@ xt - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - Vivado Synthesis Defaults - - - - - - - - - - - - - - Vivado Implementation Defaults - - - - - - - - - - - - - - - - - - - - - -

  2. G;2r zl%#Zs!r<$V=u)jv$Y)jfwAbH=I)X0nH8AP}3rmRpv2qxw=imrzNv~Z%b6xbf&LkBC z!XEZ4&m^+3u%iOqU<4CsTXx!M6XC^Sssk!?L# zp;Aqt`?Nz2m=>?zkQRf!L{la#2cj@iiBgKu^E!M8(o{sUbN@Ay@U+#?7lzAi>tba%WbrQ@6 z4v+xWUPuoz5AjVG@R5sb{u*SKC?gX2b|Y>27-=Cmkj% ztkV1AsE21p)#GymE0IeSREufg1S*U+OO=)L<`ZVf)$i#~o)7plszTj2DLP*Ue~f#u zytWjH*TYtZB2Ms9De1ReUzUsLyt4PFBwy$I!D|6fH~B-8D4&%f@6{*xD0ScZkg>3r znrZSrU{mM0U@vVINvAHGv+Hi}Q|pDFOWYIE!xiCvH1+<#Ld{QttUXqpXZcsMXU9HS zS}y&dBG>t0-+ragxg7m70mJ9`n&bu+HLTq0?cx>AkM&de$#oZUJB$2jjcDUMtUo1O zjVjMY9@P#-ZrJh;R_OL|644BvRlj$){yuQtTY{ZOt6)`A&|67bNY-i37muhh>;2p* z3MVDC&$Bxe^AU1Q5UTF;lbuXey^F-Ax+9g4tvmE_iV<^K%k)%sUw*DPCZ?HSM| z{WQJ8jR5W4m$TqT&(i)N2f8ObCJOn8lnZY3eo=gJYFSP{4EbVJ{mLTym{@G7G!6y= zBiPz(gmZ``jGm(%X|>L|=LJ&=BLv1t z_aD;+Tf!KABG6JQWg~|;^+x;Sd` zb|Ucfkm_pz4s-$Jd%r)1Cr{u}nyoUd84Y(ELw*_zpPFI~WeIQI+%^A5IPQ+gnRpU9 zIjC)y6iv+*Y@VfzwRabvkm_BCOrUsYoHC6KPBJcvmV~#?Fzw-JijCl~bML`ozk)8N(*(=_F8DoJ~og5+4jSH8W?#r^s;HGyy|HsOKb zu_5nk%EO-SQ^|DQjPxcG!j9wc2Fs>9Ts#ZDZ`vJHp{4RqKHn=C_>;*}{usUM~B{q@!ffk4e8K0V4q-DD+U zfy2*R=6O!hx$!p_bIjc|#2q7T;TAe3{Dw@Mvt)UpSkx>n!bOe8{>56g*l|sW-oXZm zoK@nD*Pnlr3`84UWQw7UG(@Z?MxP_}QV_k+Uw`~wVJ7`I$EC)p`Gs)=K|t&cgUD9O z!-pem+G`}bP-MB!?~|HRE||TP5Gg;7l5u#r+W9EjW{_KlNs)i%bB}X5ikIktREf>* zNqsWnmYyBGsf*c69LU37GOixEq7pQ+l|{sTwv#CTq&3?7^SOu{S?Z9=H=LgD<|u_P z_XM9Xp{#%YBI4#h?YR~`D9`vlf;6p#lXM(E^o7?m{_K~xKbNA#Z-#lafO}R`^+ijfJKK+Jac)^5y0E z4Gynun?Oixz~D6F@29W$TM(oFTwo+SDIn8&zA`z=46@e9S^RXmqb*h{x?<0Sno1US znVW}Kx>OR2wNRAa4Lv0Ly^;x#UB0^!bkqF@G8BJF@v%$?dTC$ z*|w`i{S7z5Q&*j-t}$ESy|Z@5W!b{FHqy|82*!gV?INk`$lvEDkE7~yNl3L@ZJuN+ zhq)&FVtO+&iS#DU)^8el?rP@x088|_rXu@S5)yI#4F+ySZKomgFk#N~VTcsH*G*@@ z(H7A>{ZSV2cuuvhi|=V29~_I!XqiVKy=&%DazAN$Udz~& z7!o}o>XMBTrC=o9j*o#xFLBSWc;z(_C7jO7WG}dv?Tct@=j*{?&dcV^#GjhiVSK+QUm44|7 zMwoB?%onnZTFrOg%rBYI3THk}fOiJ3kaEe0uOxGTiPGPm!#K2JH2osm<}hwX0nZ;TogCX*p4Dq-o#5CK7yfF0Bz`$x0&15_F1H#2&BK zENYI8AB0k+Y&)WjWE$!IX~ABTZ!KL&{`B&t>{U=!IH`oZPI^I~HdCSb0vqc7Bx2V< z^sGp`wNzypsz5PoKlZCm` z@GBG?((%XP^|;(Qq>I>n-AZKXUhO8eKeE+vynlg3k9VrVRfB zHgobX*Ws+tL(iG#7KWo@`}LdF#Jd}o+gVj-+nGJjW+qmL6>Ez&sv4HF8d+BST!o@| zXa!+E~@&!~vat0vpzD{GY*#tocdm4$}SY(mYd|ap? z5{Hm4;eCd7I*4PX&~TryS?@S;5LsUDjN%7>UA${oOSI&|)H`Q{;MN2aqWJY3w?r&Sh}wF)Wxlsur)ZKs(C zBbB_dT!lROH26Vhew%Hlxydb40p0Eti!=#8yu6Ks;Kc${?v5~lb}WH*ikgn7wM8t) zTDtz-2v#0}_MgKv;qOxnb14m7Hj}zjN?19OY~N{08$5uOkfXOZZ-v6D{39@cEfSIQBN^+bN=~GX2*tjx23PhftVw{*l9%x zp*(mT@l;ERLbJ-C_Dut$v6(NLi!-P5>`bp71*m*>m&&NtCu}~Q$2sL-yyT>yEZoK_ zrM}5!d)so`(&+Zzo~6-${#Qfs<$G=E<@V7*F7DBLAu8e1AD6<7(bWQ&BBR`%LGDcR zJ}HsLXRP~Um%pS|(S$Q5TJ@aF0#o>x1UA6sEyB>Pj1Tns4jR1s9s`fMnjZ>W*qk*>6A1I6FA(a4|G&HBjxv5B8&+)yzS{L}p= z?}0SnfkgTHPW?3Dri)@`gOK0p#6k1vm!jS1N;BzvT`zwMB?0mIpBR!6S>`yuC)9R2{o+t;AEQRG zNg$Xy83RbHs3)SK zmJn6}Jy6g{EuiYEg1f>iR)Z<=c^RBr-b6iHmqTOE)LXM|UOs!*0je6x%d{2$SAKlT~*N-bxu`i!%L;lNqnZAEJRUPy{wSLD9IhwGLZZ*_5AhV%{Q} z6Mv#Y$)MXq0S`tDvHwQ;WwEJ;Agnl#SzdrHJT{Nnn%C}u2(3CrqCc9$Zkx;}_qW#L zxidRpgI?1#j|XXKpt0e3K4%>S9e;iCGn4#{iqTeOfDQWaO{ehVchI{C7oz08EwY;^ zcpK+pX6x45;Rx3U?7R1E-)%l>pB-yLXhd$t7xffGM9TKEuPSkunN4?s)3Z?lK=UD}2vYggodrJJ7V9)6>U1lLI=rdC@74c<%W^_iG*rNt|rKX6z zQYI=XC~)|*Y#i3l3t47951GDKf8IZ1vdY>JI{0Zm> zZezev(jm^E*`$}}D#wAa@Ilfs*t-zm&Di>VzCQQ3&w&_+s#OBipdmq{6VVhGghC$<&LY9NZg~1r^5YI zlIN^G_trYdQC1>9c_xZ{IQ$W=F1cvoauBW_-^{tSL|($+wKdwtqa}Yanj2tyTz{Ms z8JWlOjvriUG(ncq^SRHly)CiG-kFYX3vqi^jHAy{Uuci6U#^f}w~$|ukRP|O*sL#4 zvn~1W&3MZ);&0>14VQjSH)n%rxO&AFgk4>8zegwEhtB^j2-DrQn?loXfgwuDD30r=OueD!*!i92MILh5KN_S)5rDY`0V^KEzEsyvB!q(!W zBfOaK;5tqQTjyT>i%cWpwa|NHPHf~E`Yc0#vdJ@d8whq=tQ;SXAS(yoJNAn96mGuu z^m^;!*M=;Gn@DCLe|~flkar)&?dtrb=Tqc9F4Yi&(Q*zqDPk2VA;J)8s<9S%EA-7* zLX9+{$}KgvH2p;}a{{UlN%7HwLPzA!I)hq7`#2$DTO+X`Z*y9Wr2`K+R45m{sGX=q zXiQyzKMJwa%j7EYkwfT=nxCt0Zjj3m1X*K0ZlZG#I{bT~w8wOum7eS(Gn0b_Dae!MOdgVH!@N~hR z&T-MaBox6E*Ic^_!A5XtQY|TR?rZOeq$%+MleH6gBsTMbt9yHm-)g>eEXDMLnA)1) zVE;#^nh-6CFKuRT8e@ymP%TqTki&(?YcK~poJSDN+9%lu8VzUZGATvTmo&d0uJGae z@+EQ{85R@grQky+G0Zo7BL$I0NFuUB5eedtVob+o9Mlw2XlX-@je8xix%sKZZIPI~ zZ6#gR??Coj3}*}n1h3zzthPxsys;yjORF5)|FcdpJ*akhGH3p%|$6?@8;Zj zmhw-B<0^N!(3q~P|6Ga^DRjz2Qli9p9RgSgd4hwYKEa z`BioX!)ntyIh6$7TTt^ZZPan0F3r&>W~L$45t)$`L;8!ng{d93Q;VYu2qIjUce#eo<=w7_Y&*-C zu?A>gsMOdVAD>AzyP@kYE<_5ex?*CDzb~)hL3ZcU$(*T?UvHv#7M0L}a`>e}RFC_9 z{$Mx;e~WTt-ghby#g`m*tyyRf-Y&(pzM97T`r4mkEjPmZl~yf5c2z{iD=i)*ux)*% zl_#XBRZMnQx0WxvYLFrO7nSfuPA%m$jHt&6 z%Es^HO{H}nafg3Z2p~Ji8t%T&rl?qKC((|GvE!MC9^U!!OT=@{a8pmqHD~Z+Elm7l zGtr@ng1zKFhXy2YxUc&gsZkle^|JWP6ZC_$F0`K3)xiKIwxk zRSZgg&v7X{GDim1%CXwQZDd|7gok4Af67G0;?K-PBXJTJdxW!HoflcOwc#1_jV#ou z6(h8y!hmi2!|~=fW#XKk`cueSZ||5<8fwj9eU0^6tz>NKNshzVy}r9e*97e|G=b$f zoN8wd1=p&wRwD7EGm1ImOxi-yt!i>~&$XDu?6sRDboZ zzdPY|LR@vY&0@Y*<!Egj0*liHKicv%fmt~Fqx&E3=8rID-d9C*9xd^$zo#y$ z8+O+~nOz|jA(0o6)gH-U`A%yLps-n*QaCHW5j!>*70p#gB#%R>!zH(m| z>%J7!avqpy66$}PxS8X;M?G#krdaVr%h;7Vo+0>UdcuTd%Fa`(s$`RJ^~&NA%a2@I z17D;tH7Ctk`z)vR@+rM<8gCjKN3XiA@o|=FXlf_wcE_!!^EER~gOceiYdlhnR1DMB zU-yLPdGCxczw_R{A3ysgyj-Sku{9ivOKAM567P7n(wNm@j7k3Vr**FevTaQAZOF~6 zsZ#Kbx?XU!x?Ubd$v}yUzxv+0;DfvgzPPD^mrP|HZYhe2I7jXeZG$cRh=&hZG9~pz zZNFxoiQ;Cx4~zC&5RFY_>j=yZGCQ6k9H@`G$5JSvNYe~69ZNlJ0xg^ zb5wC0OIbx+9*1i{WPDZWXrD8uX#kIlZ@l<7Plupc9>@IZz(Ajj@NybOBVQ{^WP%U6 z*)JA-7F?0uA%XrY6R903b@o$Qa`xXW9a)OMo?mf$c|u!py*xJw@$e(%srJFSnm z{e)Zbp&D^U^3-I5tVbE5^Y)ICa$|%ErI?J&UWi{k)O)#iELwotwV!$5B6E&ygY#v8 zgW1&Z>=6wz^<5dFG^|FzdRHtv_ITX)l<(i$7W*Y4KUs`O>dtl^OG-0B3 zZ9#kSDoqzhrDsSFm^6=24UdX%d^2_+~Mn~U?%el<2jXsHu@=DdK zY>$_RLlrlv+e39X_YM}u-JS#;JCgqH8)~?yTsJH(3%{p%BO|k#5oZ@sE5$uEwN5sz zW0Yux+oTrW~$JG)$ob=dK-ny_rp0;HrVfHH4|Cz40n(YmEj5gy~ z{YJ)qEn}HWmgi3+^T>nmWm#8+?-kY(LcTORsCd8M##7U%W>@XPRbzVYWu31@TBsgW z7@uWnlF#_S((?Jhl-Id)?bXGJiJRw*l=+1uihN6cCRNLV@pR8oqDk3R=)#K@bomxW z*~0V3Y(9c2PoJ*nQ*iu|Wg9EIr+>-R)z}>Eo%4Ez)ADAUB_d~)`g-}yVS4YVY)Iq7 zMtq;9kNw-6pIgK|NA-fEf`Sj`uEhR;FGt+{CxXC!Q0xWO?UY z#q;o@J@Jujd0N!MTOAog_D6Pq(xJ*iF0@AYS#b%jcZ$!^>{aOEy8adSPW2>CLQ~*n zb>PdE!mtImXS&*ADA%q^2B8eIF>3#N8tPHY!jYOy(-XcfG7Ciz&m7qcJ0U zA!+^a`csWV#`97Om55in3g3Zf2_Wt zJzh(Y-uuI|MJ(B~5uKpVqi??W^@ikQ+Yb4V>!^<`!#m36eto^>F)yn=k-L8mF;jBr z{!k-o))ULV^O4)Z<;VCgvVPKIe{ElR^EGGQ;^spT#aPA=uG6cF*Yq7xlE`TKB3{iu z*rLYPsp1I!WXk=DBnTP>pSk~JR-N})$Bwo!c%NOJxZ|%CALiEek{HQ%OKB!vQi#ez zG*L;H1{)pF^nPGpbG4SZuW`4~PFPm**GAUvu3!trM96+Pv^S^fIkmT>;>)^lgMk0R zGYJ-rmup*FM^i^@?&s&bCvo0iNZ)!3RmiyO`8?F*jy<2Uk~}B=d_MJwrXo9w#<}ZN z9z>BpJz7@uLZHb#tHXxNGGTOYaFDUg5z@QE3t5y$FVe*k~xN{f;F)8s$OL z{W*)alKWAgyayQ7hl^2S?@;@YEqm#nWNssipik`T&)f_2r5% zp9)J^<(Rvg>g_q-QQyx)pH}!O^6Te{W~3TSV#t&9zRvD6Hx=VR)w0RfTC#t5Emt;5 zH?^|S6Gg@MD5DJ7>$%XXca*Ahzidv_5 z{DlLbM61}HM&g$JR~E6)@;}7%th7IfB-fLS;6(dOMJPY?+M(stZXr(nqcBFb&Fhk9ObAKP z`{+`z?iqgZRET~i2W0y)~n)6_ve>hi~X?ocw8J6+|8p=&?DI|Wm! zcM`jcdiYr0?NsWbAMt8YJ+bW@Q_|-ze4!pG;Z+k|xOQ9-^k8DP=j!xAuwVnf(>toI z#K%BZa;ZQfelXNYxm0V~QEF#J{;tIK+T9;M*)eNWl9%zPrDuz54`VV^IeiLSe8-Y3 zqbpT{y5dIG^l|7dg#{d6%jpF!^W)*5aH|aMeE3vc7MI~`!ljc`Jay8jw=(6bGWB|7 zni?^Ng1$s3S2j^QQg0oB4snP1e^b@3CpY;~unoPBh=o-6vrOt^GfOP%9ezFgPm z;&PqW_p!f`{kqLD?0siY&3#4x_ucV$w-ek=E_X(4&GnDq^Mmntp~DBN8Ggrfs#T2# zEFSj)r)V3IQYm+dGTE+tvK2G;??gQaXmBnSwUiSn@E>KFaguM@Q?Wm5n!_OwwW^|c z{$chv-tgz)RJQpFA&IB@heV>U(6v9C z6Tu^IK#%~?zdHXapz^5dIE$A10~XCbV8 zl#b+iNj_IQc}XK!e+U-w3td+P+KIyU(JKX;={OG)%go$;+#f9BpU%@AP}2Bc+Qgnk zl)v~>bzb{S#Z#Ju!KsfQPA_o%|l3k@ma>c)S;~O?-#$M zN=#m7l%Bq?McdrE{t+j7?q3~wkl3yKs`i>#H#rh8|5)m|h9`L0z&ICrGc2_bAX z(G8$LvaQI?-%*!DyMpzw?`?Q2bD8;)iY&2J1okJ7z~a$X)1&N+z6hn4S_ zr&WmK#aKrYIrypOeifBPeRFT%1$%8&T=CbqW}UC0ui0FK?^V#+R@pss+39I)bib4XM1{XM4u?{1t-MY3nj1Ra2HCTbb-ag~`#D8$>t0)y z4bGSQiPv zbzEaElgCy>j2jn(qiXG6ezGnZyNsC2OD4l+XNApjZBrp-!LOYIUghhga`v@2CZ@u@ zn%jzGyDPG&Bwn0sI{WAG0>qCLY?G#i2K#qRXB+$@H+FjZ&UGK9vyXQ_ zKOdpx|5+m0)m&S@%=xO}HIZ21(DUE!nw3hkM3Li8YerlLR-F`nG4@`iu`z1Mc?pYC z>U{C0?l<)zeaGV8rmL!>tK6B7h)!rPiE}*d2);WIDt=6GvpgP;94yPVEj{G!K>J?) z>g&V+4fq=3VHGy>oPm$elzBmg# zXOK35J~k}wj9W1d${E*@Q7a&=J?xnvs9LT@#<18D6<06r;179hjg_ktP!Qf0XT`ck zCRaCUliyeU{YX~Rc%U;;(3QOxyg^+nykv|(h;!6o_8lq}nOA;)>Qhc)^0cgKePiTm zm(Ln1?3R3A3h!g6$rUj?h<;KJL0O0)GJjBn_*vSp^{fJ`>7lHPY%YTJ!+4jNl)=&3 znW&tkWM27~!$Mr)GS=?$ia7^8HS!ZGXnO^tH+fPEoUuQS){ecsee^yW$zLmdT6802 zxm2OlH1V-Sqo*0u?H|`pH+j|cO>9dEO*r0*thp+G5Y2)7t>J9p2i>OuQT8%3fm0*p zq&c{jaW+A&i0lj-cbK}Ld!#%}7vspv#xHp7cWS0F`lf@(3l9)cgD(LR3w?tT2Y$GeD9ZE-FjT|`NinNE%g$0gm(->AJa{8N(f{nzZqwI5HZZrpdYgMrEOC|Z-r^Eq8R23a9quO>9X(g$a z$jv2H&di7}{F2UnnEC2Y_9av8%sM!;OYtY)`kyd!h(yXk{C7W+$`0K$>q4$zM)0e zMC?cGT)Jlt6=pqJz?%4fjJ*YP9KE)n8#A+GW@fjU6Eib2Gcz+YGcz+|V!I77Gcz;W zacsxQwEuI?y|ZTKzV+6U^hv6&s;<)BTU$la`BW>%`1tcjqUz;69PRf0V2)N^o_Zcj zW@d{YdjDy;WqC=fjYzIMI_0 zbfm;D2e*H^wsfe9ab{wV1?;Su&ilZ5-w4?Y&knj;Q1#$H(}WA$^a?+AXpk2Nfv`+?B1{}jai8Qyp1C!3j_QL6GF^@PFoA@vuwTf6qOAJg^f z6uo3Mu$M=RS3_-x^J*m`_yO!!P(0Uk7pvMe`fbU|pKDT-yeQhZYUJ15(yyTBvVZg( zY&g?LL?Au|JMlZM%&pYkF&C=RkGk ziRQc!HBW{aHs~AABp&h?SG#Vkl4@@j$!u^GO22Wdwp@^9ESSb%u_f+07bULL2EOH- zzf>7b8K2CWt}}t=mx_DnRbfmQbsaw{TsHDPtIPNUN`NQBvUvK*6%q^@kFV+t%un8` z4F*r%8Vw0tSY~5c6!}Z9UtSc?U7Sxm>1CAm%(s^7*)}*T=R3zuoO#q%y*t+}t_J4k zoW9NfHkP(~J-B}~>&|uSQMV&aQk>{;4!UM5jyi2 zEmnjXoam@`MMz^uclF@lONK=p5WaNY`RUKQO8u%{Ac~WU|NGPZ&K@KKZ`PZ^m;Gw` zJj`pNMLiQcWCy%8BMve%UAd@AV3E3}xx(>}s?RaYdc$YzHA&5+f)b{2h_2+ENZoZ_ z6+SVy3HfGETLkBYk**4-3;E7&3KFt>`QU$ROPssdSBd#?Huy4YqPGogNo~;DMy;&u zE?CJdP6`CXsyjx%j~JA>sG?BUq93t2$Inh^E@!_7CEp zCabME-Ccm}?tYeU{pXIlTf7%;WZL%@;7e#4k&BAjmub@6Qaf*a5Pf;b0VpV!Q&r81 z3HNm62O0$%-L%(lYRng>iZeb9#Q}d^YpfYp!57QIn?9lA`JSQ_nAzboD$9P^%f?*b z>(U-+9GPhlGXtmbKyke+Q*f29$HKVF$Tge#1L5p>m#zK9`0rP))mm$aS!2H8zsv<@ zVoLQVNz$!E8RF^-`((K|5c>mTxD$ z+DzL$;uHXkgizCD9VCLW@;3 zc!yF4jK)SE=$=w}`|wqj!WPbxlto|Bk1otJXWcTNwsAG53XIZyEeHGamO^hf)dIq! z@?3{msSE!5iGVEo36f3ZnBS_c(h6_w;3(?9xVGUJllJw#p054H?f{pQ{u|={e!GoV zJMev~-e60vhEHdIlYSMUg%u6?s%|W1bd#`WYHPInAw&M*R-w-z@~Ae+SmE5BBAN5~ z{S=<+;`Qd{woLOcjKi50sin*I7lWwBgzJYonXS!GOEwde7@3!^TU+1%Lgn~*4do3eZF=5-QqQ;OQFIwl{zsi zARhqv7V!7@OTN7D)wg?nqa3@YkP6{#nyEtpipH4=efEgcD&;x-UE+<|31qjnv_gY( zyBD}5XTj!4ZW4_uXTfu%KHFRM#9F8uC96H+%@-Rt?FLOfS z^GiV!;VM<`Yjt$1J=FEa{=(M6TEyFP$DdrrZ$x_kYI*`| zhA!I%o?V@$m`K)IS5Mf`+uV-r_A2`#=2z8)ESLfAPqt@j2|7pBqf0EtJN4Ui+C634 zw@oWqzbD>&%EA81_;_4nEf0h)o19t;9^z;-%m;X2G^lMZcd!vMy8bl#TfPxc?PbLV z`2EfanWCJn*QXl3y=-v#@c#DZJGho!?+j)IoDyhd5%=1wifmbNo6qrZ)B!vn^ZT~! zh?VK%Rg9T45}$RY=M`j^t|G+1H(g)b*$*yBL%fCWCv~Ukls6*Vc&=_P z&vY-KEx6b=PTGae-U%c4tncqSrhHl8yu6rv1*_xV6U;s4e1qJ zB80C#o_*_x74LiY#xX#PZ={s}S;MQz%*@ZkhlAn)d$4_GVxNm}d~7zb$?ACX+?e&q zDs-8@cqUZOxyJdDS&(8<;W`SMl08rR>+6dygCmN|f)0SIfV@MumNnmMeDuU{`4Z_V-}&Odv=UV?PR)TdkB)F<=5lKT@n+Uw_S zCQ_qMIN9qv+5c$RJ3U!mp>II&om_c|+rh$~bO64jt3=)j5FxuCWEkx}U@{$dbZppv z@ws_1tEi%_p7OB%{41}CEitYSERp(|3rgoWAEjc!44l<{u)g`?Vf1P5@o@zIKytJ7 zg0B3hrE&hz;?Kts*TkP{e^Te8^JaUcYUZt8g^|2NhJUZsMh9syb5zKOn&3lOS*)*;pp zFN9`VGzZxqi4_thR~SwRHcAUz1v_8XCH`Pq`ThR765;T5TmGcsi>zj}mf5!%Y>T%cf z`&o+NHcc=d{rz5s(_>)yRlN&@Cg#F~GiNq(lCfp2Bsxcy-btUhYob7+SX`Qs)PjuG zV9#A#sK)_*lu9N3y1E1W)BEoyx*j9AjY-#*)Qt^AY_E)ygp-=8^cId*3meOs_Ah6> z^;m?4$CICi@%u@3ky43@T*K$#q$Oww3o)nM=Zj$Upc~FmxWj!2Ma-*{icd6Hiz@kc z$4fAP+NQ|?-k#t8^xkUWr`0S91)|I9e?3(U#k*lv_}lN4O+dkN(@<}W*Eh7_!`8!# zaus{KISo4lg737INalK%Ew6 zuBblR;m$<%zhcI^ zKRk3Lvs+BMtk}qTXzC_IbF4aKI&imZG+4JoH13=>SodaosF*SAdbG37P%!LTKv$bP zlQ>~&CrfjXYclV(ahGGF9Ey?D>DgX#EJf5NejNrSX}t$^=L+h{A8K~6rd&(ni%D}X z)ED|^T*(u9Exa(~d}e4_6OsC~z?bxWWBjtGwZhDdli4>Ah*_5LR z?ai*1BR^yp@`e1S3iU0vPbynTlSa#ecmv%ir`Uy_+gS+3OUDJ`s+1$Hs5&*l%t z`}j-SINxK;I0Hz&!KGnqp%{8gq*^F$qn$w^S-f1Fsr~%r{vL4IXVuEErbg6xT|+0k zBdk_M2M3e#4rm#mAwRj`aWMb(oIIYBm4^G==Pg&`fKbJ-z^Jj1Y{+5rbPlyT0v&SK zA1fG`B^!bpXEn($6KkH2gkvk7(rXBHuGntdbPa>NUNiZ1mnyLU{^swKtOa)Fnw%}< z89|9-x}Gu8qvJ=mf09Z~aCMp3O4x{HPPmukuY_OMt!*+=y`(uM=uP&xq&V%qP)|N4 ze;s>c?bIbgKJhzi%9*OFFMWzb&W5vTysmhgbdjSw!L@a|;B2{T8I#K@Ew*J<`{Fgh z(88A_Ylr0bJ;Y+tTWgJ?NtaBMiIgpcBts7UKop=B@TQd&T7QxXYwkix6s)K&-!0eU zvhyT3XOUOOCS62&Y#KRX;vKS@@2E)2Ih961-&@2?Su2a#M2@|;9?!X_&a=*gzL!2G zmK*JO6&QCrM3L)_sSb;6_l+Q$)&p5Z($?q~0XgdaBo(UkygRoPostYgCO;LHFcAg< zX#(xE7u5z*1>3nVFk%rq?_S?>cW-io(w=u_oEF9RfIVq`& zB3;(dUkH-m&a~p1+SN7be^RWeRH8Oz#fPTMuVEus0p=7ud#N{gzr-DJ8A)iGwQ`Y* zzg)RulPVFzAf?Qw;l;CrnM_;s(9spc#gWW?4iF&g5_yoyxF{QdAe85iLk`(t_o9xa zaQ87GvXQAMnfz>-%q4*i-6>{F#ZgB_=tKh}Xv3DFQ#_y@dN>5}dAYbj##)jUii3x7 z(WN*{AEPNd%8@a!p0-F7d!^hn)J8>ku#J{tZ#^}|(JorLle3JH9~WkWpl5b4YZBes zu*?KS&9$`HJ8}+#rh<-FWvA3tBxT1(wWrVf=-kE6V*273Fu?$y*e57oktg{2YJ zX%+|L9O#D`!*Jj~)Yqq~5#X-Qv`x4Ff}c1M8HvMJpEUeScLD1_=8PxR0%3wl{vHV@ zuNrsE;2l!M8Eo;8`3h5AhDzlgDPKl7GyAgK)ZCJ~ZzRg`UYF5mSh7;4V8X(5&J|z_ z9c}peM7pXmNsH1PS80#8_C_YVVf(yVGt0J{PQ6-hJ~_7|C^LP$v{VtdN?i&8w@Sw< zhW^Y*9A3@4VINogTKW~S%mR0f`;0ABzqDM@$_s2ft1l@b@rwrE=*k1h{0ZHeE>i|= zl1xEOMS9t@b(OWZw9YFcrP%aQu&9bCM~IXRm9Gi)t5uW((Z2&W}+-X4VsywPSFWgo?eE9 zt*xe%dDm)a*Se*cu=Fq~8_)Qis`+Yh{#pD?`Zm3ts|sBb7++*nnL zSXqtei*wujSd;b<7tNG32P@@?CCcV;>l)`eB)NWVhHGhg{Nih;{jn>Gn-jT_Z!K_y zZJ3uj+xT>*^_UrSo_N1|nwyF?A)(=~^bcz@T-!o3UE+5o-|6y}YB-g&B+fnJ4<$2= z)ZtI>mswv)eGzD2zqD?_8pqDMsQ@$6M*Gv%uz`O*?8@9OTeQ_|c%om3hmbsR_Qu26POXTO-XsB-sbYHsT4$lRsH zK*Qm?X2T{n`J2hKosl3#IAQ~`#^HoWOiNXe9Fm>2sY>qeQWadJ3Qmres+L$DZo-jW zXY<2W!jJ<@(vX8if{=+-ii%@);1ug7?mM*hxSrjq6|_qBWWQ-R6&q_-)0nKRxiu?W z3eSR^Jjd0=;;dDLmRq6|-Z5f@(X`_~V5*p)0OINcbN4tVak0o-Oc~6>vD^t_SVa(e z;>G7mSZ6>vp9Hb2qOf;~7EFz`%NBWw6t><_9-vI-|BWq~Y_haz$y6fY$T<;M7H?Qm zaTL;_Q_@fz9WH@T)Rd~~ZPLv7yj0pg5kQ#-Z22SdKEQ#II%~l{9B4sE{%kParn|+n9gy9WfoPDe6zWns8*n*9P- z@}4o2zQL6>TLkC^(EvY3vi^h4@o;*8MJKq+zk!=_sLH}0!~?|0obU=p16cZ-JR0S{ zuBM(*9NUJ{ERg+^N`YP|Rw_@)e9(cf6URQXwD<+<5}p9~fOw}NQ$@@k#U!r$U@iz| zlg8X|DB_%E+;B?aDX3+9aLkfyP{K9ZPP}e_Y`c={ctG@c00kmh( zIt;Q}b3j^4rEVFJfPyy3k~yyzOglkYtEU_V3a)*Sc~*+MKx{cp+F6-&NT54W=o)&c!hE#kc`|%hFqb+Y>*?j zOAy#5IEI82?@p>F9^_op%btA${j2|=v~as7>OX%TbPOA!-3_QEZ}2Q1zz-Ug?*r?q z?rs5{4FnYs(!A1%zGOD*?i=fq;8(W7(1oWX(rC=N#h`v27yS}}waaDB(&+^A`3+exct&VBy>_(osm3Pm>?i!BcS`aE9fB`ROwLV1_ z>b^i0ioHf1T1}rN493|a{gBXqVX(lI25}1{zW;Sf5>RE&{8DHq$S2ppRnjFgTcFAr zKx&A9Dd3v0+iA=S@q}15X_~`Qu@OwWg(Z8|ZYbRW1v+EXtn1Hgx>4>8MWjd$BGXNHz za4zOFPSu&YdsLIS_8@Bkf;p&r!Z?=YYMN)vWZeoqAz25q#!w!|mXnV=k`^OklYM~I z8pkP|1{l(591g=`;fdY|d4X4iQvsLQ`_b#q~f9@X4ByLhD4|y^mV`114vO0JV zwwKRbC7c}9j2m3(f5U2tR7SHs8-LJ+tta@0&6_?gnZ(@-2KBd>UT`kuIjaxoA4hRQ zi7A7~wFf9sp4vbd-yi+1V+?o&U;eHILVS2Y!;rFkgYtuVl-ODRPOplwQuhg4nUDX2 zFsOpG(JOBV5MD1PWwrldLO{;qx^ksrIPlu57GkPf|8MK)#3pMA;F}p&zEUTeY4>c2PbJfE=mk z=@EJc=^KhT1%#aqL8+!ftrUFxG>c@3dikebw@)>v~z=fY*-0h0J1muxMIqNcF@E{r0j z%8027C;tU71~tGh!H?ARPtGD*&*3*TU|=p=Oc2YEAmtsv?4JuVW%mETt>W&DqH|3}Ef10KZLj33BS z$8f*Q_rjYwi`!c3hqHn-CVQnwcG?mBnAMymH?$?!?mue+;P^~G^dgeA7o=(+h(s*_ z4-vWkQHBpR!nuU!fcokG4W1ln!wJNjh~fs)FGW*^k4GFV?a;6H**Jb)aWh9XnAceG_cH~g%V^fveCKAx0d-P@ z+TU3}GLu?S0W1;@)GPN?7NH2olkFbwvxm;zI3OV>*9Cl3A%3N3!FdnbS}BkHBbdrk zjcS$|3iBgQp|hi;6)eCS3B%7<=H6KBbC9>+pc zk6Stx$97REiT@_2D+!<57R9}iOP$-+wJ7P!Vq4GQ`EPu9z0q@#UW{YDh zcU6%7s{sJ8A1=nfJq-{v)&LO!cH%v8T!x5W4X1A*j${32a_4TcK8zH| z0J%eGAUXJNkZjuHenwIt8NY?BZ^31{)Pi&|?`*U{`!6e{{$CB_is8ac`5^XbbS-sH zSQM8@^aCJ`FoshSXCNKFB`HQk*{3^^H3M0xe;ccRTr7e}9$-)=+dudXgU|4x4eG)l z+E5GfvOwAZ_u*f)fUKv@uN6CXa%Wk#-+??pluR}z1E`)MBNO zVcZe&FIlP>Zv3u6STjvY+dI7imRV@%aT#?Ao3QZ1ddgTbMn~iV6jmNWk#L+d;`%uMSDeO_i^apEsZ~S{oexue- zwy(7b3Xe#=q4yEre31yNP6frDoZlXE_#^KX3`n^b`m3Pj*&SV2ok$Y7>K35nh75*K+me^2oeH`aK@5Y-1d zWR(qPD1U(DCn9u{1t9Wjiln_`K_6mn-w((oBNqUz^nZo93F!p`8K40^fy{Z%A3U5# z7 z&W<2}6Ziyuz3hGb0332@a=IS<==f_zSJwz*6FyA*jUr22P>#(TOOz`FsPYzL!qK2! zgjZvxmSfVRXBYp}>tMT!0a1YWE7rek?d#IZJLq$!aIDgaM!|^arLu`XP;&Y;Ifn!zUh2l0wA4*H)d7?j+0+_s$f|PDSX5pHQ;KC$f5L z>Gxus@SgU%LodK|QTDtcR}OwTMI8A7;~1ZbaDJfUWHMdb)_ZwkKU_(2(8lnC_ z;IwW-f((b@;%LPX;x~~N2k~Q-KpQ+nWMUOZdovg+0xM#Fc3+V|n;^Prut<|Q!dQka znh_)z>>;c3h{iGsdT&zXvPk5Oj>eD?#b^Z4;*K_LPee`Q%;ccZnni7?jT!`xUe8eqb{hykiR zuc{Y;fpa6q;RO{bkptMRA*;>8%cJ{eE75R_H6g9XQAA3_4ILLMv$f-({|VyOS^vrY zs{(p`L8eZ;Oua<8MyaX|?L`ybnj@OC2%LMFf_i=7-@!Tyl+70SR~XF}_z2o@Bmaa& zd+udxc6G`&yf{#3{XYUHo)yPONV|dueTPrA1MA|d8;`)s3w46%c;YB%gyg$9>~2=@ z6sB#pAqEsa{i@_ZI6S9fqw>J(Rc%0vpK+6yahu)AFVoP9p>`eBRP!3v_9gM!U%{6~ zZ99`U8hrX$q`s1SmW0^5??xI|SUpJnt-U2nheuAOKoLTs)5c1Zz>tKM+ZSIgyPvfY z#AgJZo1UtjS|;5?&#tJsu|kflBmHAI5Y#4!xsz^MQ2%6NSsYm_T7!*L4cQR2XIx0U z^y$K=6kl;oEWyhPSi=9$Y*7J=d;fa3KINeD=RsxgangD)u}T}kI$7Lad_{JZ2Uj!@ zA#b2D2YSH7ZtVp>iZw}y+enqml8h=fNx7<=h3e!yRknh4;?Bxzf!cA0rOjY%cwAc{L^wHTlKxr`((uqOcJjn&ZPrK^ZSB5+&S5mgI}V6)X-lzZNLlCS<2RvXJlMR!~6i&To`-wIlED z(P_boro1q)uH?F$DuLn*Iv0;5&`mCA;dwEDOWjbT6EZ|T zY@^jKSm5+gO@^V7r`Be7-?sYG1v8My=29UrkOGR;{}l;SF?`SvSr*4eG4@a!l5=5BGmU@wtM_DO=_Wmtf+R z&ys|VW1f%J#Tv^Tk^_uy2fmw$nVPdc(K5z>bH}&(t`?6S%aV7GG zPK@6b)2Ccg)a4eUOfuATQX(93fUsd?f8o|2TTBE#E}}D;q^m#E>_VVv#^n>0f)Ozw z7psz3l~Cn8U^vQsC;)<=t^~)WKG{jBr3P9i#L2YYp?!l!K6wD>spcF)UgAT1C9{hwzxg>INyvQqk_`8}NMjwaR7Xu2pNuP(`UynHnbK$yp;|l&3qN zcAN=+jzgo4S-ofC{Mt7pML^Bck+A5VSJ*F8qfD4!ruEJX&~PGiA*OdXvDD-o#82MQ z?WakYFg>UwGwdAkRJXOa-J3sScObcs|Ik^?LTOK^pj-PJku9Dz4(5_S4RNf68`9_O zVd7wTb7?pYn_?Q};^-=E#R&7(JIrzQ>c>>^aCl}5WCptgLqJ>ALYJ+&OXx`@}Sq+{xyx{dWn0`mRpx3|PKRP6Gv#j0~b(a0Y+QTC9ERi8{7 z3kQ%BO(To;{z<`5KJraCiT>g%x9O_mVI4KfOucDo&Sv2k9Tm>WF+C?vEj7NrMY`#) ze`A*Rr@dP$P5vAmYbL-J3H^BPyS)4I^jMjpECGi`krX=|{4FZ;M%}qEvh>_5FDFjy z-7Y`~x1xsnB!*fvz{FP!V$&RedBQUA4u0c?QXF z`3{O`Pz;xpZ8&zk)SB$)9sk51qAsh$zA6dW*qHgggoOT{(anJlcJ9yn_&?ubPI2%q zkdq<`8)I#X8dq&d_g0@|e|hezA=QbgOT!uEtqB`(tP2}WtqZqcMqh56GnlIp$t)G( zL?@D^sb`c&z=pXwBb2q3N|6Z!#5jZUT(Vr~de_PfX15EXv<@5}ueTpn6V06ctQLuU7Xem6h_OC7R z6mY0c&(bHJmesj7K6<7q-urHJ$PoR?-YyY1}kgytu{&WQo$=oETi8mqn|&YQ4IWQEHQ+~K8(B)7EwYG4F`FN z3_u_xW>^)(8qIxMtCp%AJzv2sKCPNLFU{^*3nV8bI=>Z+kRe1~P%7%sCmc^wGL&YY zGwe%GwJjd-W~AK{4SCX5o{xgQXe;F>L0YC)coD;^{hEBA&aT;jd?aGkXit~DW8Juo z$UdpY%Y@@Z8Oa`Kpn@D*XT^Nt3Bob9jpmPra7q-?4avj#o)ZZzn7IJiL^q zXrP;v_SLfSnkiMZq#qNEL(>C0d-kJTrZ1DNWYq{tD?lk>cAoV|Uf1R$HMViAJW{!s zI%?P7vp;+4xcz|>|A^&SSr!-~2T8y{Lu6%%{-5#?d5BS1E7(O_EWdicH)9pouX+ri z1sz_uR_wW2Y_Cwi_*9&*YYpBuY8(~#vJFw3D)@38UR*FJj~mzck;;ifGPJYTJWS|3 z^pO57J|i3j?l<-lCyP)JEz^W9#GixSOntX4Xxgg##nM{jdaJV|5PHh7TqQfBL56U_aM;7pN)Q}w72kcXHF zs2m;K2N)WmNom?G9vO8wEcKLL^_Zl7!tChTCI{})dk05{4{(VCckxS%ZsX>nEVn6n z?0w}hjGY96FGzJ!cD1`_lFfVey(}CBAiNe)8ic-{_wD07mS~dH3w@1dxZ{8#DMDF? zd4lrFZjQF`B$87e<~WsEP8L{x9+$@C%RV)}@nQ(Rlva^YCpZV`v2I$1p^RVD$Y(z6NX zWFNctitB`SD6$Fz?SMx1W7E@ry&b?JZ=s{rMB9M;k zrwM48Bml8bHro;9Jiv&=8_7?f%f68Zl_IL95=eqq(sU(4&XyjEq6^B6#Zd%iCzDHk z!t;kmlDQytLdutHppLY0B=DXS(lvRRB~WpkqOTJ{87xpNiia?H!^>k_;;s z_X%#vCh@TzGz%PtX(|a2Qi{D403%6^2A>`n>QH_S@-^JuG`I8!;~%Dm?YpFJ6k01# zktK`$vMdd#))ySXBTo@RLnUv509c-%N>p7ZSMnnkT5{TyL!dGcjA=_Zxn+x#;Nw&* zlZ6*Bv&&|)luNz^7yaqLN^MPv6nogf!lzx;9AH0?|7=@sD9usaG8dQz8ess+h!*31 z&yD$G1OTQVpPTi_u6Zyw_3dC{UcL!bI-mOcSDwE`^6OvIlE=!2{MO!x(!IW*|EiT&6B0M}OB#C<9fvUNuV~lc^W7KP8qz zx9)tBR7Sqi`jos((!_feE7+%!HFVzk7hJNK?P~8+@~P~bU?2tWf<8J))O71#XxQ_~ z(OLAOpO6$?^i{OPnc%@3N93BGyvwO5ZeRo1_b+^)-&x6}a2~a|3!>+xtO6r0KTObWkCF5IC3kjfOjcP{atDx5I%@ zIWP>`Fk#*{D9CXbR&|E&?M~JyTa-RxwA+lT<%$Liro}-6)o3_3YU~?=z4L4J*Kz9< zW055*j9xi3+ZRz<{tN1By3t&Tw#tl47Z?p}NfTSx+vk#9M(y!k)bVY60v;YE+WieA zTsfQ~%~T%G?W5<7*#?~sw!|J8Ft4Rbl83cD=XZbR+J0t8MB>#HaV8 z;W@+c-Iij_oaZ}@#MNCq^{11GXzcRi-a1U80|kZ6^|8o&LsA=)YqxYh_N!z_MJ=RmY2 zChWw!fJT5XEOXF{)}h2P&K&dk@x+B6qr%^nX%I`SZFqasZ3LA|uwNIB`nB->0xP#a z#yJ(U6k)9v<0xQ0iN(V3)@k%@<7w+5mMEl995AJXQJ9O_F+aJK6>aJ*E!e=@@aBA% zVDj2sTmX_{R&}X??9B3lzr*t(+UWK?UI;1$V81_|2-ZpedKZMTjtkwPaQ)c*bP+@w zp4g7OtCFv?$2YB*8)DGF@>B<@qay>G8!V@p3@@^b4d*ek{}32>9svnKBxkA(nipVs zd}n+7hO6uq#V9nVl{^>v!1L7TPOIWw%*|`{+J0+&ZX?SWGe1;Gb6NCn^((2bngGW< zVw@4?-Xy=eQmzWOYh`igwIdDkZ{*=FK#7I*mg?UDT-Ffo40A<}DVm+bcYeL&Goc=Z z#$AQav&Mqm#P8>#hyKa~O&2QjaUe;iAxkVKA(Ri%)WLU}a zHXDlM8VXgVim>}(mYZ9 z(uE#Hq30}cMQ_XjLl3ZvJ&5%v1knOb?>taYNG@sb!+Os~F@O`j&;eHh!t%R~N9>Dg z9$A^P5G^tQ4geg+4tv9a>DhistUyPL@b?YA*g4}$Tgq%UY|(6_(%8T*8jkwK)0Bk& znL+9ai5Oj{sH*nrIXBbs#*uE=mQGD zCPOs5d<|2=hY4aLhY3o0oWDR5K9AY#kPS^NfF8w)ED{r~S7$z4iJ`vcD+yh7cD!R; z|69Cp$?IRxK!Yz7Le0y+yqAO=@e&#+Vu|gTRjJ#K)Ys|gHh&GQaOobyV%R2t9klpO@=FdO<4Mi@Zq^#wZS4z$#zj=APLAa0;!!|Euf;dK9@GACw|iwdbNf+tN9-a z!)G-h&nG47>N9n_jX4;HnZFOz%hl)O4vfGp@&7X=)cvT*7LWKwBq%pgcy{0TyaW5( zR53~zEWs@GfLEy_UoSQG>c8doq^B7+nj8~Q=_Cw0`!GZ(g-QiGLS4z3a>Uo z>?PVI5NN6da;-};v`sYq$w>RH7<0H7!~#>nQ1yQvGQW>y2+;ekrF_zY?Y-10WA;H0 ztzM*W`wybSWz^YHhoaWe@@M(|>;$<5$ko1*)}i(Nq%9gimru0zu) z_9#2(F+Yp#%=l8NeK zuD@-S%H)u2tu0kbIOp55#nwK9(SNorG!%ha$Y&V|LlmQF8ri?aPnrnB1Rb4D`-_W_($cW$x zuxh^ZB`b!57D#C*iCpY$t#^~p^c&B(r1N~~49-ZP|Nn#c_TUUede5=O;EaZU;oqhI z8T%QCpuqw44omGWArM~QzhU=jA6}oi{(nNW0W;Q0VS(?|1@)cm0t&(`GSLsjn7JbP8Q#lv1 z$J_j2#C;jN35!8kp0xS$Zr!#n{xyKnNk;#-p+YEvhr9QqisYoUFH)*>7+CwTI4!$; z5rEX5bXZXOPMhs{AYP>Tpfc}|1c_H|a5`NzmQQ&Q8&aC}@^gaH=0FK?3eJcYM2CCCFx?-!44SgWBIch- zKhbdT$iau7XE!RkQM{rRLL~5_W2DSb zo&!?|jHEaa^rDqixGN z{uIrS;-fB5HI~RT5$l|ed&Iytu0`ctFqxDQ;kZgT95uw&c@aTw&TQ@Wo;3HQx3>C3 z7;Tax5hEv~tVrTLA+7?~Y8)1&P0cz8^Ey!x z-;usKIy7neB9x44#?v(`MJ@Vkb`Ch@9&87VcsNNwIQ3hgA`O#drwXxu2`S2A`jzqR z<@)yV)A-~(G$KlL|7{`cA`u0f3_jqOCM2{&>?2}%3`Iy9nbhY+YYMjWkDCLNl@6er z0jwq4A*?g2A!X|3RcTT&MVO1V?6b9^7atjll+ql$DwO$J(U@XP(0UIO_=-M>lS%f1 zm!M}3+E}R|Spd&6eAQCV=9Ut(aG-0(-FQKeZGC4_IS2)&vMv}&S0 z{|)j=iM(aut(zj+DJLmE%Z;V-8t!<|jKD?g+mx5xWn1C)*(5@D?*1gQC69zQ>AeF? z56b*aPS&JCbe@1DYcmrLIgky1%fUMy_tY_`48Z?p%Z6la@3lGqgD!~elci$vr*xr^ zB*^ePeMYOs$_O_dT3=y-*!4l<1vu_Ie2e}l;&%x7-;Jb>`_Fhm6K7H3Wou24v+=D& zN&TonXy7K0RIsvWus4qt(k1ciqsgD>NIC<0D1TVd!^jHsrntCjlax>X z^sjc56-v+*A8*(d@=hWkhdk2O^d1dS($-tiJ0_iqWumaLt4E?Tzx?#b$o=eDMBD6) zUU+!iaFKGTj-4@2k=i$IxoAg%AC~g;pVntmK5e7-1@40(BF%B#3j~(1+xba-lCWBD zNI{1S%$^UggH%*muT1-7olPvP7?>dY044MsHf}lg%Lve3Q+454w2xUlhuk zQW*H4PS$RgN4L_iGP*7pM&~K0faZ0g3z>*HZRm2QtPImP`|mNP$e1Z(hYE?@l%V?r zflyI*sx+Y(LPGnZiizB4m=yAmVZvGnW@EWw$!2*ZE?Cm(ZpIU|7NpwCQEpDM;j0&& z@7J15z#NZ9Hr;Ef&OTDrNypAUG?$OKR=@pqVl(OumLn0z+6 zQ*gYgBuY9$#qGhOc2H64@T@hAKk0;w8_+3!R%a5Jq(t$#9I{&!SxpNs|UDdr^)6+XGGhJN*y`J7YX5YXQG15^P=O&4JG|4rM7noz$s8ZWt^EgWd zY3EH9bY>IUfxCsNdhKs3o|oJM`k=TFd91|_m>?@xZ^cxm(&SqR6@(;S5f1Z^$hQU9H6v7;%_{%L4 zx<+75lQg#!X|7yY&$cWYyT~0uMa!;GsDqeGX?u?$o)v>;LnZphgdHc~Z~{AV!_f=zwEAT4p-JjKp|HN6-8{x+lj<~2 zwNtFnE0gUXrl2@37p7KKfMHBc4vn8mrehUpo{N{_VJW?w`G05J-H^!7V-UXQ#-W{< zhf7nFi}T`<&5yw+D@saNCN^{1!L!)=54%XHFL#8L)6)q{(~)D5LTB@<1e4j{3{Byw zE)G#CZRQlJdq}|R@q=7#L5pQ9^(L>>(0iOCo}%Uej8NTMZgj4#MIHV~s!PFe%nCL< zdxYOVA>Q6YBjOi=6|j&(xlX>i#E?eILDOm|7Z)Hxn_%IF(J{kn7$a5oYpcRI@`a-x zq-c`D>xPih1On+XIbPz8Dq6JUL6&mx?(3CB* z>zM_`nlgMCX^Z16Y(q*s)_!*45)C)3_$(BAjAE{uf0C+A&9uYKu?U*JQ`l|c;ukg* z3pN!`yP^ZLza%ZH?m;J~qV2E-7b{72RuMLasCf&5>uxR)a{{#Q z8>9xq;0^)O*!7AeduXARL`S>QXZSHmV`l$~nZ$61P*%H!5HkLuQ9{I45}KMn>P8PSx>u#Q=g4O3y*f072t<#dQo3%d4OSjg#^#!g5fEo z<9k1wbt(nTTuGOoaR4^MBFMO@#1IW&b(G4aLu}@>aJQB-iXs?-8Xqas+wl2bLY4HF zG%`v&@05_-^e8yypGa6TR<7EnmtyDYy|8!C!lFVpXwIH3@hGx-#Ll`J4=0AZad)5A zv=EX}sJ;=Mj1@Lv3|E|Wr2g|Jx$&prg6JP=qWkL- zY=Nig?Hgjz{@7$UI^o4LSrqWke6^tnB0*gC3B)Jz3240CY}}%;J?X%?U9O5jC+AhF zE6G$6^whoiG&FV|Mg@e=MrAZeP$DN;pUIqqLi^tkzC2RHXNR&l2aWW<;XyrGA#LWf zXgjm~l)pC!qtIqu@=^%ZP@{+2qZC04hYuwcM}sB{O#`NC_Rl337GtH%C2J_?4nR(7 zel~{^K%vtkh}!rl;7BvqdoLgWZNY}YXxf{PP~j={`P%v?h^OT#+BpRj=hWC%8ZRKE zzh2GaG%-&V9e4|q$ci(#+yW4(HNrG1ZVClq#k!%$p_A0)jDn#Pp7+tjePj*gnzdX7 zX74Y#$h2tXl1a@^^*Rg`znV)1`+rWAI)~aE$h`0(WmwtE3HM875uHOrkrX?4SpV3z z!I_;c!~2bS7k{@H;gqI|6an&6A>x#sxwT^R&OcW}qE($IN`GrCWVN$oImVqTlnNCg zcX269&f*QxdJ>cNrwT{0rJx-a{PeI}Do^I@5c+3zyPPtj{jNC1doi|ZivokyaLg&v_1v+4hjAz#5rQ($p;{QwVfJp)dB)5NOxgv)<>J+%u4l34x-Rk3>)jj&{C~uZqhrN6Ch9 z7L&p=Oo^3+(;T28&FBP|i=ziiBd-6s`XEn}2dAW);n!t@Q`HGfiPKRB=JPR(pLDgf zCX3`HN*a+UCk7}yd63pKSa4_X7RI4)Oe3&xWQRC)nF%SQo4Ix}a9I&rrtk#QIHb`C z!6_%0T&0l_d~Xj3_zh!eFjr9(nVgjKiql!@`rcIRs;RNrX+OQw&yHfKX8YqJ5?^cD zu-^2D9H(%qsubcA9Z@W~K5uV9cuk%eOCVb@67lgH3%H$`+ZMQkCmlGUi+^2r1cy)S zzkC8W2fS9>&V2);-t1k*OJqSvn(Uo*nadMoxn9SyS!)%GZ&x zbxE2jf0?IQyz9QF=vv(%Uf_X((PNXrXcq7Umy%1H3udq846xnawzZ%Gu zooVMtE;<&Td4G<-&J|@>JBF0K%ukl+9rKP9k7>5edc?`3_CW}6Q>ls|1Qiz$0v4Nu z6$W>rN1|)q8O+}>{t1T`1q!$5i=}*dQ$N&GOM60)6!b87p6TTlMx+xC(>oNRX0&bH zd+pcN{?0u~EhLB?&g~B7LiS{6bq%{ee&G}J7F0Cso@GN4n~+3RK7KZr$F}yJ%^i|L ze$3RI&rzAu@ZoWS)lpA&U=EGc(V2n}FtzYxh1u1;*J=)x=is<|=~%1T)qUG`5R#Ir z`!98;@qnaYm0L>F(^mAm#IQ)wZN88I+sjU| zue78fH=uThvH%w?t5mLFVMS`>X>lM|NntX3i~X@AZtRAC#Qatl zq(5Y8!)sFTu%<(T|h;|$n$iqNA7n%@5Y6n26=mXF*f z{chhbabq>ekfOwcg?cpLAyuZ}lLyy}bd|uv_JyC>(%W{>{<8tcKet(=nWF-{ycrQT z{`@dYFe8+6&crqQ(In#R9UYVNGMVr~SNEsU^_>45QQ>($=N%tFd;k22aqOy@6=Mlw z?URI6a3En=Fp<*SJ@(aIC*`M>PY9#j{0$@1tOX7JN8=aAyiAPaN*H81pO9MJS?6Ck z$EP~%xK=RM^fO=GpP=HMbw1<&y8UAC(_OASNBE(6>f(zhV1EV`vIotXj4@Z*(548o zJdzzdM5>qO^a`PDd_q-(OlW({&?fsbaZa&N%0?Y*`s67(69mI#3(Cf_ifQ5%v<}G^ zPd}M=_9aLSYLKe_|Ag1d-+T=|sgq^OpvWunPLHu84DMvR<9UZI|HyER6UP((R#?Ek zn_!M~o7v&55(J&xoUkeuZ@51#5>d}PbegoCz;2aJl(F+9J1!WQMHiY>%1kSWThq6V zU1!T7j(z0>ObVuIxxwPSQCZ2(5B;GURN^Tyzx(;X`4?OqH?=0 zK1h<0?BlOZ>L3>V%9A~*6OEnB3EAQ^7(1|96`D+oHs5iMi9pbK8-!Z{%kvc(j42D8 zYh`no06IFZD!5wFdizb@nj|RPsw62KrIyXy(!RKdrX2ZHQ4QW|Dn%ZVb7vpr(qv!$ zT`f~f<%cZ2RzAIZ@$kG1W4AxLBOQun01#mvkQ-P8x90LTtqM;msiPIySVf)`K zH||l?iH^^2q5q>=AGF})tl9N8h4*G&B~({aSND)T;2NOKP`TvlR(-fsxxArt_sj4~ zE2>O#!iR$^X8t=YHcNAKvP2w%sp&VeKaxZ5(*c$H2P$1>m;OzL+l1gDiZzeAV-NOJ z{8jZeMUmgs440FrXL}gu-#z8(0jum?(F#p~)w{o%9d&A#ZWvS?_A|g^pV49euK%Qx zs~ldsu8;#8^p=mAS>;;rCKF!(dj~Fk>x?*2ORfeCm(|!x(VW#K4>hwU_r*1G-XtlP zdJuhzd+m(UXZ&>6{2P{v`BEgPY1bLnHvo+q@&glqbI@>`i;vCCeb~%?oAcN=ykM`>WfF02M)b2d_tQ zIdrwb7XS}T+qqjO((pnL(hMY$U{5ET{>V~t{!(={jez6pD?XLz!Czaknpb7v(NRYf zK>6A&36Vptxxbhg?AE>7KUPk?=XVO^_bexVfa0H7C>T8G)vZl;#xSICBC`mGC&9EP zJ2B#5H)2HHou#GG;+r<@b9t7KijE9+mo&2HK4-ne_eA(xUI`!WMj<8)Ko&KwjPk+1 zi~J2YYMRjb9p-FcJY<(89)C?1_n?Ad!19HmnhRSBG`Dm=mL)_sE+XC4Wl^J%^=)2D(e66E_p_T%>W zR&e8&X4*`gW8Wd=X-E92N!atnay#!B^kAfrYg%-4=P*YwrcA&4(J_558%-o?eYjP` zOdd47zb>WmE(X(QNb{^N3NRi;Nkr5K6XX9Xk!X*&Qz|dn&C#Y~=0yo`@^8BJ!kJOi%0)1BuGQS~^XI>3s<;n>EdA z=a>VsZu~~#00WvhA-$m+23b;m{InRc=^UH=6Tq@njKmPl8aJ@B-a@N}ynuTdwI)BV zKIo3@XZ8y!vM_SPI@-&qaDGOv@~9a6%QQauNF0s{6VI|z!pV>;|wbawSX4N zWWn8n4_r5g^jl!)f4vt-SI+vAeDwu3uxY^99eE#`%q9{I7k!*q*II4$_nj3HDq!%Y zmpJ^DF+$>GH#xBfVz`G?%{PsBwTZLzPI)q|A`|+4 zh%QC}+{)ucX?XmqYYRVC)W^>ar>gm`uN*7}J%|#aD9(ItT;OJxjtg;P82vZp&57Yl zC}q)fEf?u`xreWa!u95czdwai+VPfojiqeiBYo3p{4mfEE}nJ_p#0|FncYGPAxRqN-_C5b{`j8^@-qk8F+IUQx>BFpD8PIIlXwGFPfv3~R zr2p_FC^ zTij4Co*kWMUAjLdBXa(l+*9-nLUZB*+ljWC zD^T2{*gaXJeYsMUzaM0y7mCy2wFbP#k&z&NlPykZ)Ym8Q!2l!9XhjV=O3`j_Y&~f9 z%&sp65o^9|73w-Ug^g|Ue*1Rv>7;n=!&sJ0#GAogl+I@b?Nfx=rvs2_U^T$SX=4|2QcmJZ3XBlV zE=k^cTN3Q%_iXA>C`9S{{$vth=he<7G|LxA&Vv;*T)8#u+wed7Gp~ZqW%gUBOj@>fHjL}eUZ!&6WW;3-4KzsZiLlc!NINc}oR zVK6oRRw82k_-ck|t=i{p0lZa=QzziQ5e?t*>i$FAoCRBXRngQL*DVmxPK{ znoIh+r9>FI^Le*$Ro_}D&xVvv51BFNxR;+Ib- z6x1ONfu#*E8MUG`gqO0;he{HbIjQCoRqp=SQVTEeCJ%Ze?rFn*lP|nrf?huXF zpXfhm)mc1-bv!Y9>YG=f*2PoTQIPt>@Wgtd$IlhcDK#^M5a&fK{O8c3s(t6Sl;0j8 z%Q**?Zr=k(*A324-i2uhmsQk-N+%qGrcqRJG*Z=)}}6@(nwg z^M_UeAjP-P1Y{II*E_?jgYTd`%f5WY?Ee$0G2M*0pi1w>`46t0x~P(J=D=JwF#D=X z81RnnPnKV9`2NAiV>+CuS;UhTMZLMMXhGW$l^0y{sUgrAIXNjm(fNl(%2O^cUdT`; zmGU!G0V~4gJh5*D`aA$=^3)3o#zNW9;?owJ`sm+yP(5XqvrfVMIfF0DaL{sz8@&!f zFo;-=g~mUz>0G*sc_-UOpF#zlL{j^mQ_i2d0Jbh(E-X8V3M0K%)~sWA<`ZdD3!}LO z(vPR_f@=t&l?uGxU7-eER0P%isJ!4>$>b*NvAq=u?)K$Ek6v$4o~fFS!zx-m4*Hj? zQhU%K=l-nk5pg9%zkbPD7DOBH>yxo`<`wn9QSrB&!8Z=+fBv~Pab7|SRV1PhK3Q$8MwGsXARWx~8T6*~@*S~LH zG}OF|rPn%noa@5S15Ra;o>mzP#ra6qAd^qFSq{!K-!o6R(EEWjc7IPDG1kk6{}bhU z83MYXix8wbjEjX=z~O{@`(8f-=^lQ-!s#-^RK8Z2+1&uYOuBdsTydG=`->9-^z+ND ztc})q6Nc(L1xXdO#nbIN&1UBu=bPzJLMaRar+R%zC~aVYy-I`2OmXD9KM`4ZR8W)3 z71M0v`#wqGK3Pmdn(|T8$WrWIf#BdDehzVZ+pwLb)N$85Bg>=*Q)5$uzFvwX3;DC> zag4Q$o-KTrC|WPj6>u}}3ST_aqO~}+G<-Gmn)j>T{7?RZ8=1yWcKG)I_fH2ktk2m; z_3jP&JDmrV-zvTmxBh*OeOMtk_8LBdRt*h77BctKm_c9!|HMUWQ^t$eyenA&Uj;e{ zy=Nnh1=Ul#NHCpL)oWGN*dZdoR9Mh0iTYE`mk-4HL>_YC2YOU9&h+>~bW@QO2Mvno zYSIYbhHP7mh`vj(w=0ng3@oy0y?<(26n6AI($E^cf8ZQ5ZfZgKmn*^E>5P1rozQ9@ zi(ZrJkX8e6+Kvd)DiqIOMo#g_ExZJB39O1`LMvXo0FaJJi*AoK+1rbm}Bp$1S_upVl7_dLXPowI80e`v25bTM8_(Jxj4@2(Hi#UJs`v zkQ3opOQz#fweVO-&{&J1qjV4cv{NC0)~k2ciTv+Hz^va~Y9ZG@4f3u0_&GOT{$AMcGhZ$(VjmLEq zBV~pV*x;YMFENr&MtvXRxNIwRIe3Wdea-ENPt^J25a5#S?XM$5+>)>I$^GrJeUF4G zeZ?lAOf~euZP>#XELdrEdC4OPrzv=|5c>v!~*~*W$oPC}bG8iyz#AWbEzg ze1fw5pHds*^W7zZl@8A?KQ6O4(N+rM!CAT*`j4sTZ~QEx-U!F*YgMvQu34PjHl$}y z6q}o#|@Z!*vPO0AEN^3o&iw4sRjcJOobgEaz+0;25z=^jme%gRnz=pOh0YXG+xTCpvMrl=(jj~dRaMV1G?SRz=5!&bMmr>!D|=EpK4Ims8HS0 zrTV7J^kg|k-`hI(sV1n)$?ltoL|3Eh&%Q;&YAGFH2lmZl8OrsTB*viuITUgfB z6#A||SDr}Tc@|XT`6zCxbBH37PYsc?VjUIrPfL7u!TW-1Ao)3tX``@>A*GElH`u;- zS*iPMF+fhX)iEnA)BDSVIv3U0GnGL4Nd6*MVcE}3wLS1vj()q0Pm7K!9b?J+@P!y^ z&(s9CT__@%<5J00IF|X`RHo}mhpI)7pXPqBAMSMFIzssusyE5HvwehZTQb?7cB-3! zDQgyRIuTpD zQ0sVrd@?rm-#0ZhepAFyDS46WXxcpU5zHurlRA?eO82I$ozCC63Cn!C;a0=p*Om9n zwU=!U;Rwon9*D|kexGf_B=vmx2%DwopvGl zhp2Hq_m-k&+}P@V_m2%u6ekx+Efy()sH}({SP*&p>_570+9i30Wlo_=9{s*fF z(GHd2%@XGJ4J@pc6e}f62!O~DryS){x-bwB-r+U6ko!2oyD->}y8EA?N-qm0fF7O> z0)skuI*}ufRpx9YC?AszU2BM z7T2##?!66EWZa`E%_{g=W zMOKnrB>*d4=kBGv(O1le+BX-~CNCmSFtkxp<*&sFm<}IxEe@pCF;?`$#?&2`WSq91 zQ))Er;r#Lus)q~N0AxZ#{JEavTl4f^c6Qzafbv_koY3TWPV;Zm)4{kswR^Ctz?(Xy zjuyY3@(kV*2BD@9&nkSGW3>w(g`^a4%gXX_PW!g|)kMp`K3zTOJZwX2im|>Ye%kTm zat?(C_Cj8v<8jStYeSzzYgeiDYzKRebLQJF&Jp}KIn_oO**_wo8!NEp1x_YjSMIlt zw3wPyT=VY%he-%C=-20Dg5kf}m_PbUtLwvNqi3fh6T9N&wP)$h)I9{M=>2?i^b@kP^ph&p9hjmA^g|-On zCB*x=LemJWiHrnH^|qE}pTDT~wLZLX55ZTisaWe*4`_{Vm)eVOpQk7{|CkpZ*HPqF zv_D02S}JgFBvG&u8S&KUY*=C!@Rr%YoT4H>mj*g&{%S57Kl!Y3o7B_LX2FSqisvlW z2pTegTOufcy->{U1VIa;wN@0P&L$XitAk$p8~+{#4_B)Dz2~WB2As5tvbUiVu;S~V z)~ozgj?$}3appr~A-rNa@%9V<#62nxLo%Xfoj1ds+N9mo7Ovcdj9suffb^Z#yX5O%X zBU=WL_lf79;WKH##Ddd9sYe+s;bMGqIf=gsWZ8?vX+xaVkNtLzfMOlE#xm*an)5O&UdE!1E!kIH%Nkf9td7S~bFWh_GC;0&@w0i?y&(Q=^~W z_1aWlr1tzM9m$_reDWDy& zudf>HsjsnPacm`aj+AP59%;5RR5|)5=l_%Z)jr}tz*oqr@UqZkwL~Pykip^5n5l2f zg~#O=zJ!D~zE7i8ZZITa!KuQ$UMubS$vag-PQb%O#WtFwutw}@Q63%n@b8FU0&)h$ z9GG68MA>X|6>|YyX@Wg@k#_l+_FUFgG|MZD;s++B2^8&q#R-ggD~CjYf7Bu;)RMuV z`ye^ft(`@rL_f!TMgV)%;`dg@%`lr}#3YZlgBCo1wv5V~Lx;dy14gq3nBVp*s}zJg^4FEM`+#vCV7B4#v!8 za}ljsXiK&RpK&R@m(5DGB2!q};uR#b=d^aP|Kqlgmiv1nkD?%=jm?0eb)b_wIW?qB z-y}GP$FhKjim5Yk+@Y_z(NpYFXrCd1Z?LyZbr=dXp*c)w{PDtc-0neqF|k8950{)9 z>#Dt9wOr}$(NokPh}a850%KbET#?_|^Z`o@L#6cWbiAk}q^G8L&2YHLlv5g!T0?WC z!ShwFL0XYd4Mpq=~Q1`!rBlz|E%uQ(5t>m4{ zzc?c_c1GvO-=T2kA*W8~)EDRXU|a$@y(#Jb4;lmSFQ;`01OwOV|Ap<$v{`8%z z{^jO%ychPb@3~gqr(+~JD4lF92kKXA`MV}hMUd}nF^GE$q*kOU_vZ%J_ea7R(DgUx z=W(kD)r(_jR0?Wiyr9V9oZEqT8FT@TN>6O?Im+E@BrhT5KZOqRKKM{%>5&xVzpxR= z()_cxpX?HLb4oV-~>;O@8PaPCwU_|kk6A`kma%KuXM5D{V<=l*bz+Oh88g1$;XX9U4=sAWAYACN8>O`^MKWH~x#-kuE2SJOd zGq^jy72i_Y{wcpF?k_6of4%+pBlKE@#hVDlF>tW&D~5m!FH7XY>lm?ZJW2+!<+$C6 zviDzPsu3o-9-HYeXVXiLXFKN$(L}X%j#^4ftLIcufUnrcJMMfh?~!v;T}M&W+OhBL zx&ji%`-fY4QBT9;TlMRVb(htL6HA4?mwiimLA$6yOILzdA#Lw5QrlN|{%@02h5`3v zOhIEyaz=0CTHVogjPEO`flCVjt(U5|D%dkC!?(L1A0u*x&vyX9V~wz?j9y?+#h^g0 ziNEnwpY_Vi-&CNK5qRhX$b-|eegD2K12SXU%wgQQZZv#I(dvUnd^t`9mgVJ|YOmHl zs!$Ir^UNW3|^eGe;lUX~Vs zSH1s;l#FJbwd(wz55)DCM0;j}iorKgzGY4d`=Apm!)3p%!Ql+S{gTAGz_F_Qzz;zz z4Of+qhh5+TiC@_Q4y^DKxs9lV2eb@pD{;@b}XdU^G{Jqmbq z4a)CnfAk#g>YF;zOZI2DvjTX5a0YQ6w>!XYGj&V7ql5T1H_{;TM4`ZEPKTG2StF)i z1@`M-NJsQ9$f!6*citDMM@!3CD%od2biGF*&j=phTFmR{-+d=n5WB0vj6l2Nx3fP+ zhH3A=1D`eK9=(iawfi*cH#kZFCO`dqpl-vAPcc{vl_Q>grddju^n8wsZS=*h?t*5y^5lFUYe9oIa{9VJjB2FgUEm zkI7+au&sw9bPvww3E0s3sL^7~^SS@g!F{O$RlCfUk4bX>1wee?yH^a>P9=WqeJch> zQ3;#`Y{-CIs01zo&SXG|hSyd~AMWxQwG*A&fvWxuQ;EKP&Z_>IQ;B{bmyj_M?o_jL z^)@d93fg!re>|feURir>f3$<(jo0GGD(Ydb)z{|79}xUqDEjx7Qy%7yCO`)^J4(@uw9bl%fIr+RO;cQth@F{ z3<%DIQ0zf)HiW{AdKjns--Tkn(Sn!JO{_QgWm_ti$%meKu>Tcsgk=;Q2hhvE?FMos zx$JEn3D$uX%&8{*w{K&2L@mcBm4sCNC-?d%e?83~Vf7_G=CIs8&HQ+O*b9s<3toB| zOa-23~4@GTkW^fh5G!Ec)>7rPG2g7qlx@k$9WBhT~LedSvWu1<5CdFfaH z<_i$RJor}oW&=lwM~~+v`(&<;lw#}pw{gHWS4WDmb?iO$J1@zpz@}qQ78HrCt0G6t z9q_NQM8BX9Nzna&Pq&9)cm@gqlV-FBfjmle=g^8OD{U`~Aw{Qj}D zcWcI-9s_qB|^d3jF_Lo17amAAOo%@sp#abn`z`X0a zEbA}b=i;!beYji=I$;{6`#iTl%0P(5;N^Yuy^FwwBikN(-Oaeq0NvCwKuCVyGRD0L zXa&E;7UQD?$i$&_ZxB=h225#OXaG#{TXY!w>%7r7su|zLL^7UeqH7_UW$Ud`uie#iWa+M?-YihagG1ae38zqmE+s)crdYaKR{^mk8DBGW*DOE^HI(>JOTRzFKusXi zg4Wy4RqoN+wIi&nz4uy%cWcmgvgQ5!Z<=1ctFHU_{1<5u6Uohm?#|0^Q{pOZu9YsF z56x=1Q@B1W&_V-U%j^YERK1Atq#OLRJ=ZonA6sZ{o73u})95^R*`p=j=+$S($_|(t z>lJ@lvt|eq3%u9n0_QVslN*LDwtxwS&q$&RpPxR=K%JH%TG{`q!4oc&r-%5MLNp3S zT6;{`I~IP!<&WREV(YNQKDE^XT!s8}{c9U^%iwh%-aQ2R7BWQc!}{KKfmJQ=r;!;m z{Iu_sFzl6bTTmmzM)x=^45h4WZ>g962 zPrJ7Qt4g$G_{V)Q_!s+7TZr-I3pH2ll|raox8n01!SIYF^hnRjCQTp}IrB-tdOWa< z^~=I`*)~n!cdY1Azz&K_?N@ zh@QJOq!?d7Lkg9);D6dD~Los*-@uc~!GZkou zIbVbQalH$CBndiNXtR?!3fIpSl=EMg0XZ=F*DZMc)LUZ;I9!@Q4TubvDbM@5QnXkn zr2M*lyK{SIY1l}7)q5KP%;)5iocWj3Tqn>jpqkEA$@3APuo^vdc^_Oxu zz{kz2FA+TOW8*SRQi}vEe}xKJG#{)l8dCy!Ks`HMDz7(1R{_tADKFVtOb*MbbHF#V z?NV^PVOjNUS7JamaIniFmn~olYM6xo`S-$Oy}6EHY>LsNFzESgLdnnQMHtkn_(UTf za0@m3XkY|+vyH&TUima9bkKZMq6Qq6P;9&m9AS}ww71CULjtd&^0Z!Gi)5nU(F@P~ zccF%l9?=g3hsq%@*JM;op)5_<{UY!i3nUp3@Z@qu!ms?gcz;0MlNeY49{cZxB=^D~ zj0zVa3fxB=$k4d4Hr*thy$aYNAFeAMK}H{F@AEGLhZsf`1OE287J&yCWpMI>UL-*z zoq3nfD^AM`VT>{>U4dtkAb>%Nqx5sUujCx%32fL-kIJjFR{ZAQ>-;kgw~XSmwLZ5Z z@Z9!GPHz?MNwGo6`$9Z$6l*tQq4S@`IriN25p_Ox(67jOz}A6_hsTF)AD^l#ar{>j zkTU2)aGq8>@oUgaA@C8>uP(b+{OYeqknYsDX+Xv5+hBkck~}Jd_!3_`7QB)|y_{z1 zrnxezs)!g+1k1s#QLf)TG=z%5hf&)HcSLH*8&OM@hMWtgzz>>UawfHm+aTM?U?ltdJRG2IMtX7Ge=Tfy#gf8SqxW?HXvd1)LTm&w1}!D_Zk+I)pev9E z-b2a-bZ1&HDmn+heXPR)R~Bol0B;jk zwSi$TxzKX)N32bT8gU8Vf`6ViXfWP>SV}u@v2PO|BE8}Rw0y%}Tv!-8w5RZ=yE!~B zZZLacA?i^$h$B5}r_+kxWa`U88AG_|&q@m1NE0UK^+d{q30pKtV2Gex7Ec~DX35Z` z0vv^4E}&e}Vo}v+8PTK=9;{*N7DTvhcRwSp!=9>qO;nvNH6P^RE{hnY^VZ;}T7**t z#Hn4gzWF`Wt-#!Dehkj&wmuJqtVl|sV$H-xi5Y2XT-RqHj$q?73npED$PWAhX01#t zNINSLLVa;5ZsSUL58=M44{*8rI;0Z+I6B9LCPnN?njrY!uVF5CC_~9nL)yoy~*iK|= za@1_8-qIFi`0ObCYjhhaIc8OABcX5}*5RuN%4Fi+l>3*`^zZtx(hhkCaet9J;WY|9 z8YvrVG)6U=Kbv^;FO%H!)xKL5;1h{~go~Nn`qs81ee*hsDPMmBw~Ty5CbpeAxR$8o4*h zso{1?zoC~#nvv(_#jD}PRzz+y%fOZtZRh27WNs^{bKvY%@VqCf1v?L-exh=9>M<%>YzuogXjmKp9-iI+oFJ=#XJN3>a9 z)0!GMf*^Q?cw?01PlfQ-`yT_dO84c0Lt1VO?u$o`(FtyB7)CenpSGINFyOP!vgE*L z7ypEw(x+Z-!5PEh1}?NKz%UA;Cd~2*j#n-g;kT8UrUXQko&{mJaV=s+iuzMIi7F40 z-Z4)*1A&RwAML9A3Xdjlxw8&1Vmnw+M{5i)gR-G-8}_JJaoEsDs~9jCFatgh+5^S& z#zv@X62tT&%pCYrY%l{n%QC}+kPMT;=nlhDu%On4oLV-pM2BQ~1w^cNt&fy#Qbt?G zXo&3_<^f%`wRzJX%6A1zUSY&9=Em`v;vmclYZF6QRnl8=AOMmL$HC;MnXUvRY1kKq z$_)rt&QQT*goUJGU#dCpZT$u_X8qH^d7g+s?8JT~aT;9sTWc)m@&V%HkH1Ca1nk)? zYSqgSD)9t|B8PbAV=!sWa@F_tavRhbN3{q3tWm8OK)Z5#zejwC-gC3B2{jl#YxKZ* z^_iGfcJ9=Eau5)>mqtv${;^_^dth@*E*lGgYDH-bwK|g8Tg4CGzi{PGa1fC;tV?yV zM-{!9JJBZO3r+GzcoHW(BFLh6O7edK(^9s67S3DY2kcS&K;8!cXZESxJ!wpTJua(YfV%#>A3h1wMjhVTYlnIz(qcXlj4EHh<)Is-eq7Tw$FkJ3| z8y|O882!|ieRCV1fh|eT!l#B_mF+}G#g`s!v3di-_zaFiE^N5cVZ&40j?hpx)$J0g zzl@C&s9x!6VW6_-xl4eq%t<4$W^}c%P}%AY7<9G8fG-t-vpVAK1;CU~DeH$Ru>hy8 zr)&ijt6jZ8fH5iU^ujeiu5?Y0@L-cMX=Zn7q||^gs}BkCF2xonXCAHWNX^*qbj%~h)VhOkiK89aBw}E!}{!2eLp2s)4`Jr@~C5^P;C>C zmE$`KHn5b09zDW7es{{Y79^t@%mJ66Sd zeEh0(gn~$Q{e8ABq?)w^$f-k`{&j%0Ehk7^Xk|_QH>@P6mmy8&?hE1}q!pWsyc@6K zM}^}|Tpmy@wt-Y}A+!pthh!dXe5zd~o0lz2v1VGexDd2o+lza8Z>GO}7r;!*`>j5? z+MG9`GRY(z$N%&pb?dFg`HV|(0Osq|wLoZ9K#YjA?VSj! z6j9HKVA?%!;I<71L?n3gvHg84B0fzr_N#3hUc;`HSD);%X;G<=U;P}o#DUO7QILNB z<}q7DpZxjN&f1J&mzIWeca_KCsn61&_9}^W-k2Aeuujvs`}pEuV1;#?v&9%yyl*y=lOUt1CNyj(xupJ8Y{fJ^;`d{4j4F}9!9 zOQID8Q>JjqfSjWQ<~zg-+%vuy?z-32{yiadlSNfsms`FsnzdCGkjoZ+nf`fC=KuQC zXGo!qK)g6Q)VN-2Jp;;*qsP=Nq!m6^ZG-wF40>T*7GTvkzJI#o&zrbb$h-3 zgJzEj_>x9YJ>La59mNJ@2K*LiEzZ`os5-@LCPKYWLV6%ga4$4!`@}PnNtgVo!8RQl zOe4dz=-d9M_8aQQ5UA79e*wX;1%c(t-^w!O%ul=p+44gzcZpjHbmsK%v07}78oWxo zwk|&T9r!--8}6{TbN64&xIEOljyJ^%-pO2qmzglUHfayjCs#%J1kCJ1)Q=qxyVqGW z5-olS?$wYoZpGIg@%1zD6Q690}^Y@pvOs*RR zfkA48%q3g9&5Omm6h5E9?-Ydx$}Z+h0z9EZF)nna3)vJ@l5;r%1)5k}UUx1{4|))2-5KLU^dIMTAc5iYf(PPKWBXIZDSyQp zc@Wf-1K08*lNJx2n+^)pTDL{ei8Z9yYz29f-o{+?`jBif)K)9C zW0V((&1u^jE1Qt8O{fr|ksW^bNnA*_^PYcplF1<4Jsa+iB=ngp{gHA_q?Ml}6IJfg z*;(T_6f^anP)nJKw`LU+v22(!sRUMpUQU}te6?)`DyL5(cG)*Wx28$bQ$3JEw+1je z?k>7DT@vxw{SWX$uHVG7EAMtX?-3^=|8Sv{gF{c}kD7gDqZQ7oB5O|^f*a7$^vm3H zIEyE)%XZuAM@xkHVQOi-w#3eabCg(=N(%cD?||{*%+5DIOI8;seI{EZuB13gKLkow zq(05)M_H>s`HnERwMR04IQMCir<&{QOKJa;Moy&=!iJ^`6KEv0*z^Q{g-5bG@PpV# z`cs>*;VH((kQMZv(b_4hlfIYEA0JHaH8nL;_KE^?b{0^v5pmAW@9~JtlHETmtFHgr za>i!vVY#qQ4b{Ygtj8=hQ!UBOOgC<|4vO!xj56q&=gNVY zYpIdpaOl?sIYjavmfE8re`RPg@AXRO9?PhJ*H@zm^D2{r{zWBhD^cZI>yXg;oMK+C zWWH6U837^_*w4TOZ)%_Av}7%1?QA3d8-4c9julj;;54y*XVD<hFi%`{3$Om7Yl?87!1i^Lkgu1 zo8m8_uuS&PPe9%R2Luu%l(umU>^<-U=V_G{NjFCO#qp`{apfKDx+aRZ9-%mUODzfq zGkUJ}(gl*Q0e~x$xSka)lnTR0--?hYSf3u zHw5Es-4T~gPw1Q``TZ;gda|;J8&y;2+N|iAJtKPwQewR5J zxX$yFmp%upNPx&riwP_p>07x=U#~j~GQnX(=nxjDW|jn7l>*#M=bGf`fr2bCq&gf% z3EsX?q(Q{DaV`=pM#-8I7lDh~+y;Do$A)9s+kp3VM5!2eSv#3rEa$7W9W)Wt=aG{V zl#n#fovvk^*c}aYeNw!!oF~Y>r3X^-0&JXfYh=o40Rp z=o>81`5huvq8y94$%4cM&p-RV*dyYry%r*tm)|&^Vsn(rNV%%j;G$!`-Mw@KsPzvr z*kwQ${}w^Y<8zcovbd^6XZlY(>4?7OHUfq@S9!(NuKIr;&w2}ZsLnl^|A0k^pWG+Lqs#;#9Rf}B-A z3XFbBECmdT5q@=RN$KsJbB`z9G+SU>;c%XEcyyT{Hy48&hb_mh8c3{ zJG|)=3RX>n(rcdB4z+M=22L{RyF`xNrDU_ml8mGz%bmx*oyFu21vEm4j8#)qVexiQ z+xAG){;Wm$?Sd5@P8gaEge|`V`C-RCzN21anFmj1WL}Tnnjtj*PwkBk5j~m39jJY{ z-_he+tIkTa#!|Ai_n0lAMmDCdv2p1c;zK0`?m^a>i%p%gYTLEHSj&7@7UEfp=%K zXnJu}=wERj{QIef)aO?&JW?9^wN7j;3StwEp|}xvwtjpX7~|zMGbLQ!Ah+qc0G%yb zSfKXc@E0?U+g3C@0RoI3FXV(|8d;h0Spc4HR+)Dk%R{DrW?XMYRZ&*6Nyc@?_uiqZ z6BVf_vn1m>(1AY;N?K%LB%C@tCm3OWwg;mL`7*t!|vS zu1Bb%u6onu0jci`f4LV(GqR(hgFt1oXNU8&vDhZ5$3idS(>42W{Y!Jp_fd3NsMdlR z?`rbzNPeE6DB$_T%;uhwl`0lgsrEtv7mqz#dr9j3l4ot!%&vaqh_E~C#v`EHXA6)B zyaSr)8uqQF>`318VAg(e#bS54+xYdzYkXEH zv`m>IJq}4mFN#bAw>hq}j|OX-nH!sjRHEC%!Vtx8*m1pKn#)$SPZTc#uTy1o~{w zS8X%2a4}`4^0*+%oMMl;scx02VD}X&rJ9*R^b)IL zD-9PcU{-*QWA~3v%=xu(3*YEzRQd)cqOz?LSwWLh}GuT}OMX0ay7g zH=ByF&+>Tdx%Dk_JN9}UU5Y&#R(iioN#(DQ>(tL>z&5MR<)21DX%?FvdVd$B$ZuGN zKcRgS#|YzajZR$QW~Ie*)She%I64)_Akfljpj2XNX7=v^W5$`3s;k5GS3IU zmQf=MVE3jY;GCvhvj|9-Mma>TvR5~cizW-*IRD0^N02_f%~_jPWwxB zZvJv!z&(^Ak2Hi1wTBQ0qtc^UHdQd8<_f1V!gNC_E=vy{X8WO|*bv(TkPWdh%5)3{osfEFhQbmWWyCg?!Rj zP~GMvO6*B4Y4f7REN~~=FRqC}9x>L-7lvjp{-4=JMnH9csN7NN%t3Q%gA+UzW;>~5} z9bCfH?mB5U??!hOtbsr5LRp}RwgGBZ>R3?LTNk+;9|{6rX;U{;lJ4Q#^ZqFrNDL|U zdU+!E4_IrgJE25wZrB)P(*Y-Rn6803G+3E}P2JiJ>n2ayq-)0V%`agDWSGkoICV{_uXhps_hE7Htw%?oAYs&0`cuX zs>*KE{}}OD;t*y!#C(2Z=hyXc$v6vy%LI)P*1}jz}}VHyZWJ&vO92gEB7*` z(D>Z+hZ|Lxe`2VA@=oq_DYV<{Asz4j&GU&MzxKl$p@Gx(&X>{)?3`zkm)oo(w@1el zu{zevJC&#P3~E#3J^jnp3m$8beweRYrblGXbdnMK{nNlHx0@duM1<0-s(zPtHl<+Z9O>kev^+^~;H^>lOw?fH%Cutl`*ab@DT3PqdHlhuz)V znA5kp-VvntzS#)A0iQFD7^P0-QP7dV;L}K+g^p3DN!87Q0ZOUZ~iA(@`b_EddcXMakAkh ze}d;15L&ozkt0SF)M4sW?OcSFf$@IT1DO^6N1{V@Ke(@|X3uTchm*CO`95O_Y7Qz3 z_oeP2!s^i}>L}4=E(NZF;=(h`CX5D-9nRjAp7(+q0LZ5p0`&sm>vCEX8Iot-LU)zH^D#f^^5aUnZq}BysjiQ%?jw?4_AIv zPUWE+B2QUEU@K#Htrs)4zI(92{7VX`ni0-*_12lK=Nh~;_p-Qe4l~z$G28tj4-!0l zIZB_7$kCiChTI6?iVfth?-!cE_LMI9SFd28%JhYj3;un>upBGQOpqThJW0ZRSAL7t zxh&d^_(TK5e6U60*GkfJk-I+B?FMsKyW~%~H2_5egMBX)wIKrx_Bu7IGcz5=?2QFT zk>tf(pZaZ1fPx})&WlnA=WOh2g^vb@)jz8*)KKZ~lk(JGX4ArkQ`&8HmbMdWz2BU#5QWiU5BotX4K ze^giLo|F)mtr0|O85)ubTaRaF8!7ZWGCIx;SvR%xXmdMF+MbWaO?vMO7+NbgWqIQr zk21r`{wNLCw$lA5sJT9UL;F;xeDyZcQq%E0HLEPVuW@pM(m_C-r}M+0BRDuV`P1-k zO5OBc@z0W5-Gc9_SY_h7POE7`heRX708GIu@ei|vmWW0ScMD!qv&#R&pF;Ob)@%I) zzi$X}yJ+_e&5$lHeyTgP&f$GbV0CM`Zjdf8LlX6>ajOq|IdlMQ)Q?e?gN`-cxQS{4Q(8MGs^+GH@ zQW9RoC4cTJqlv$e#>y-3P#e#aiHO-z=2fI%)yVHD+48oQ!MdQ(R%>jQ8I@6A>cH;Z zM8n19rM?p^hB?t0zx)6f#b!ZC^Pw6#Vd4curBL6Nzt?G4`P}29e8u1xx;Bx<#7*nR zr`*MkCjRmY9ltB7$Q8qoU&&WFGHDt(EOyjQX(1<+bNq0`KKf8}6~A-;i}N)Mp@-1u zHF~&UfZNU`_e>^--AtH}=UB(3-jm=Q6&x4c@VVNlnPjZHKDYAL_wV!pMyj92maoFn z4c-nTook!!j02wkPfd6^@bq|#*d<}!?pG(%=lYsueQmKAR`e>_%py(w2R}Cm^^F;*)LuU&|Jl19liW#QOZ* z!m&_&!pQyq4C0aEKO#9wZpis*@y6&#qRbs z9Ukg`9<3NE)zYs<(|oeS`VfrP4xZE*Fkf9rl9p^%|CiyB7Oiqd0oLo%wGRwK>*_21 zJ8m~A4tX`IYabHk1XK_3QA=lhhP(T)47@M$c;xrjI+d}(#R#Zwt9V=1gdOomUQnd3 z)`-DwUxrQtc|MZ+QXIDo&}IyjX+5229#^)Z>*_Ptc$H|~pJ*N#{s@1Wd@ANuJZ&a% zrE9uV2<>4ED$nmip$+}3vGfB9EiHDoQ%kpzW}YhYL)f4*6Ax#Q!bmY7}(A7{WYwu?o+T? ztdTn|LBL2E`PN)XG01KT^<gr2n|!w%t}p6RK+q4xHLLsUR(^R-icbFvQ?0F6 zx@Wj9Vs%JZQ5gZ;1=C&&KAx(A48l0_c*LK~al+3Qer7}U;~g)iV8QSLWi|K8dexpy z74|0XCuw7$oPu_fxF|ss%F*R)QNMF|KPK)kP;K+g zRAfeP)lmhEX;hCmq~mn+wGI+0m`_0r_nQmCdJ6Es2Sop&wulcDicjHGF!k|&H~}q- zO)prYbeH%YB=+Me^E7u=ad3rqXV^S)aD{f$UIrIcE8{5qV5Nw>(oh!F#eep2sl_AZ zqZH2SMN@qT`LmX@l>$zd^-28Y#mZ8@<1w7@tt)C>SvN|Vg`z27O}bXD?-MJFwsCaW zYnV|@zx$h~-cFN96x90={~^LSoJ%Z3Rh+2VNih&adr=KNqqlQgdW*xkHc(}&-mCft!N5Hop>qiYy+9++thcd?d!w^%QO zt6Py5j_W&Fe2G`jQ6Bc4>1$h%D0*35@!w~!8aNZCT1^{6D+fao;eWJkHziu+?e9+P zixHa(VI4D(#Z%+O3yggZQP#x^KkSL|##{?WB1p0B+EVL-2<3!&zqvVf7n6sOK2b%E4HB-Mi**a!S6S^AXNTtM z4d#(bmzA?*4^{P>gnnD2jM-{cYn)_cW8w7`nw+vX$#05rgMCYHysDQtUldf5=?OpHUVgr+#> zKx59rD8Sr%U*Nz`X44Wu^{2)?)6Y7!3pw``N1lryP+UF4tv7wvCL>$V^WbNa*@6vu|cc9ek=HKH`w z_V9~`PAn(n=zAxW0QJqEOpfbeB^suiLI)+dXwKcIpeL2X(V0gKRbW_iuKg0b6=>h{ zc3$8#$T_6n6-HL55Ig$g(^@BPe#dZ@HOj*Ywq6zTpJgN7Ek1d|NlaU>d)c!||L=9; zE{P^5F_xk@{VHo|I2>iOHe1#${h$=uh7(Mdmj-vhoKR^AHX^E~rn8kAlsMNj&4;`0 z=gRN3L~d8$C|#6KPjz95seXXuj4}>0MD6PBa#%+wO@ItNvx;+S-GS4L+Wl!dSLr*< zHzsE2*wbWX-%Q3-9n!~R^&Ayf5Bk(q!YxFUdryyU)u~Aauwdq;+HNFLNKANj7|Fy4Eb zQ)hb}EM(#Ys*2zIsy1Z6E=)liI{j6x0#>|lbt%W|=P!%ln?v2A<-0l1VvZ~<%_j5=6gNF3z9qD0J?uhvZeonOhfM1|=1F$eF{>B8JW>YL)}>xM)2n>waAfOzh)C^ zg!&JOyf@eSj7()!K3xR?K?L_a(dBwOXM8^9Uy6VruI(}&(comV<9fI@%*Zmnhj0h@ zNS2|>CT2XASEQ)?tzHj^{*y}k-&C&#xUPuuA6QBKu4NOD8>K(9as&P$amlj`ekiJC zHYVA_`I({q5(Ql|sA^_XkV6l%TZJEE%3k@M+*q4*k13#ZWJXUyct{i_$C$JKENXC? zk+zx-6${i`;#X=7`l<-b;UkMYxI7@=4}3*W0~VCUE(p30An(RO=s*7_8PI)xZ6wj( z?w6X%q(`!JRs5EBj<|n#N0-pXfX`_inH{^K`b&neMUur9&OL>MzDfp8YMjJHe;`vU zrscMELk|X;K^4?+pa?^)oD##80w9g2xP=y7n?v&;C;D4e$~Aj6mB3i}Imy1iAzoE$ zbwD1UiotJQY0LvwcS)V(UqJI^4gmHHua`To2oM zUr9CmZfjF9{Klz~PFkJsnr3+Yf4FL)d{p>}wMV2A5KZ|LdkYN+jH%sW1+T3&$aXy)VRp3u*(uNJO1`ITe$H&8KFB#*`!#jc7^2S>2K!j=%|o1w&ZNo z(+-dor50`#P=xDQwnXj5GB_5e@MX%@3})CCyE6=tnYEN`<^an5#IOfInZHbTlH6hX zGXJX_kF&#QKm`oL7j$2IRns6Q7~5^c3fCl?V+_3(*-a9zeBREo(s|CmqwX-mR~LA9 z@VM4#Me^`HYP^A`LaC{Q&y@;s%b!_iX06N%ORS<(*&KOTu#oPVO5_Tv-bSf@wbXra94Z-_pnpQ#8H| zs3B0g-A+P44wkLubXKI}QE|QB^jU*`eDj7cd+V!c@qg)mVhyOK49hjzspWU~_b9#! zuag&{(KJvMd+-K>N|4$XNh~J%~A`DPG*ITBn)f#E0Jb63faU zsrRQ{Lev^?&x{Yf%Vo?bhg01jd6h_^Uh6U>Hx%UqKTqqT9)MQ4l{KiQ)JM15nX}8K zb8BaNZE>U>;o=`Zd1q(kNWv8-g0wE8QMdXpFk`PwqIQ8UvEYpwc)H zDU|5Z8+94z`0YeNl~_RUw+=B>uYh}zBsDWE2dSQIqeN`lXQT=-LUvQFHXR=qYmjW} zBZEPu5FghY7tWyL@g)Qy8JJ7e8UPgUBZN&<7H7N@;HjfbFM0 zYFxu1af+2VbuE*#bbm@ghx|^!w7>KxjdPr9F(|Z`_)AQVu86^*_d#4!tvZ-+93_{& zY=Im-327>YZq8tUK!js4|67!5z@8rNVeyvuhx;)vO>T2iI(;?_KT3s4!yr_WVqz7Y(3u?|1N+?t2qq@gJpU=78CuSV>ryYsz$%8 zOB3GFH7tEOl_r!gT_8jhB)8zGfLWnCbCWmmH7~Fn?C0V1cx1Z&^#2jVQB16Bt`waw z@}qtB%YZcD_Q5i!?tif7%kn?yh2F+N#=)3k9O$2--1e=U^;hR54VFp76mp?t%qLw4 z3kz=;lEjlZB6gm%(xf6Ai%=L+-y;s9F#rcAI9QfIJW_)lMp_KLo>YIAXdFH^<21Ka z1y0dM>JR-T6Y$_o5v6YJ)s$Zgxt*ZG3#aImLnuBjJX~jyk zVYl)FpqX69(qQ(aE!8osS;M zlOrlL#@j4kVS(qf$mVe!kN-3l#hSufYsd#Dr*)6uZ(LNe-`)91YFK5;op#=$Hl;-? z{La;~x5p!W$5nI&f&bAK48mt)m^h-1h%3QweCX$(3Qw7AeAUY0zXfAFigmwBq_UR` z=ndy)_x}d0NqBNtJhUR4whk-(v_KCx3y{VE1Gky-8=**{!KZ~BnPD;BiVtIQztaK# zrb{_ILp%acb2`T@F5^F)x$0y{GNWMG74>jMNzVZKRE|Q~6+r%nvxN3$CN0!CkFH^$ zpD`z8fhh6fgo22hLK|1zx-!x#wj4O^AL+-H(I^Z%Zgh}|{nO_AtN%@C5mH7$jn1jQ zopCbb*q`U7uKsr(Ol~8QT$001&2F|etg@LS8P2tlQ|EdoWxCc8F}vqKOv@sxud|js zM1D-SyS&$Gn`EWJOwEGYppT;ay)alA8%etRM*W>yc4v$yYK;#z31Y>y2{_QMzQlDcFKzHo}&8~`%yzw zPtIwkX`?d;Lw=$1ul76s(`Se6E4ysylO0WP-$tyr@=2sysDLY>D?x z_S?X6Z(^j+H{FREx-u*E1D52Rj^bi$w%*F^60$Mq zy!#qCF_3&Z5SHyPu`#%v@eMSJVanWchD%Z;>agFg>5~4guOPeW$CefiQ(rI1L93Vp zEyc+J4^EgIri0>ue>VO*oVCnNFBMZ=!sP&sgxl$aHRB^DD|M`66M(Y7`Af1jdsp|7 zLCAy9G*9e9*;=hV4r3!H1f32lh{wC4kB&{Ly={5J8IfeddwMj_q7f@`awXeiE`!Ib zK?Ll<+S@9}&Y)TZgW|KG2hqqL+M@;pgXj%1tBYC*+9Mp(zqrNUXpgXr-Cc|@;*vjJ zqDC>VwFPX2g|&)cULqTKCFv5nA_P3IE{?*cMcr@T6uB*1f z#v}dp4F^LEg=5~$G^yseMHFYOxbMIQUm=y>^7HI3@!gWIbDTjIuAPXJ#5&7b z7_mIx%`Lf^Bxr@CH=SwTPA8iUvs)Y;XLhE4fE+}%P_ob?#kJ%!p^U!k%C{}CLYNk1 zDX}8_-Wd<5Gw-QcXp!P-stYV4P`dKRZDa_sMOkQl^wRrzRG-iI%wns^B9)=V+Y;Zf zz?g7ZTMjmVC;+8;u)$bx*{C{(ND%}U8C34|R~cHE29&Ivy@ z6>#5HCE#%tzl=S?XsffLgx9X-6)0>+AwgzgNaQ2$ zb=}E&2CK#JJ`S;*A7uxh|DvJIIH!?HY^W+2@4kdBp25o~CmM%x-~?ch zN(|L{;dzEAd8_sn;UR~sbtJ|@D;Yp>EpmxJ>sZ9YVvU&@K(V>oi%w{WNHpFe8JZm# zky8k>en4yET>Ubulp}nLU~-9}>L@Nr8RhfxZ;_Z}IKEW96~1mnaT2A5azj-@T!sJY zc`hfD0rd>HsQ%R}ibNrcUuxtwS^PxgluyIUHQCQVE1QX4#VVHVbj3MBi&kk0CU9L7 zR)v@%_3_f`3;_J1SrD(NLEBbk_EZ!IJ zb9J}tfl)vMEz7WMf@Zs#DYKG%tiDQ@I13uiaD+c#wkV4Bw)c#;p|e}W!8>!T(O#O- z8~wz_-H)kpq+1Y0H1oL5UbsrU9n&}{XlwHpq-(WN$0IZ{r^Q1aHBmi_Hy*ZiVVw}< zfjBxskPT9&N9_yT=_7tyMTwr-FN2Eu-MIb)v@qW4K}<`Tz`m9X1Sf3I+u=(>z-gXn3~@m@Kp>d9|Jyh>i)(m^$Q)A=~L zaf>sK_TNt#Z`8CmZSkWZ8v$hS!a$fB>aGd0oWcAv9v26(c6(=0muGVjB|<1s zf+x4j6T&_?!96-C7(sgXk_CMdL0VQlO4ps~KW8CyvyB3*^b#Jl@kWMA92rZRz9Tc^ z!dG>%pxO2QBRD^O)_%skxmGe!h?7AA6bjs^8^Jr!eg1`b)-y8**Pn;&P6h1{!NKIO zDc)RZ(ERXE^j(uFB8GBInQ8jNe4j1(%TSamx`V2Q0Oj_p5D&~%>W_WPaFBuZg^LX&^FGKXp3t(CV$^mOD zHaot7TA02fMQ6cxa zINfbYV5o*QbAD#&9G|M9H-Kd<^qooC3$?cje9T|$efoGc{)PAtnkwf}ND?I3{ZGyK zd@6OHU(YjhpR^lJ+4H!Y-(NAyT|urw4hAi|H(lD^Swwa$XvN{qb5~h?gym?YDQGT> zFx4+@rbsV*hI$Sk8&{a^rz0*_j?>}jd#$vQ)w(ue1)uchd|M;(Hd_Ni{YzeC)X={ z)K|6TkwGO0owB<*3)%(#-fwz6@_H{~k#Fwh%ythnlH;_Gu1lj9%qih50JRs_2~(q_kY9b~aUg7YJP!pe9m6CaWkvNZD{I z_v`?K#aRmUG(uN?;V4@H!=85cZ*uOwpA=aa1<>gO)Ju}lIF8VPKdTiSM<~cDonv!V z{8bKZN`ysFi|iO_ry6)i#B*@S5yB{LFf>A@ZY!37HRD3b2O@Syd=&s2BKAx zJ(jQaWl^}y_bC6%_jeA0XCg?WW+f1MzKfqli}*vRfwHG!UOs1@iXA~gs$V{(N9WFW zR8IeKnnmPGyqw?m(twS@n&uKkQ3M z-+K!%IYNQZX%&15;hJolF0wEYLGth8@BiReWTu~_cygif@8SiZ(eM~DC9_4no_Clu zE}{<{ApDY4{GC?La%^QPx0On0@_!yBAURHFybmsObd6SEIt0p>r5tF;yZA?|B6t_j z{bU&Xh54VSEy^Dp(tTaiS!5|1Am zYOvg+MkqiwD9fpQ2dFdPLI{FCcB9ZZ%W?j){?aeZQEjv5**<1p^J6H_;`|y6ykOzP zGTYW-M(<#>4_{(m9CtG;3|Yy&_U7;^-E>2;Rc`%q*cNVHI>>10CbjSew}T$2#t3TA z7~qJ}ov~f6TBY+<{Eb&Xu$wV&t%%<&ar~O80n&H+7F*!do;W`X-Z6s2>3P5c?e4!rCzWQQVH>c#WjPe&qv^G`5m4#Ll3NMBPh=$&0z!;Q zxpl-Mfym!`$sWbt<(Sb~&7Spe>_HtLj>m62{-vwBJue@ql`7s^-AXykpT~wg6SmX( z;@8Z4LVrI6f1dq2v3V@LCK>!t>jmc8^q%;`m+|U*h_yB+&+6aL>uwMTjT zO~X&ho$KmTh1U?jS3MbqRZnz$14w8Z$uVkG`gHBodp{Edwxi4T=nwwB4_diN4)bNh zas2H>cOMQHC~9&QD%gAgTpbWDgZ&4%dNolD23!>us(^hLcj7x#rE8I9N$+FE)u#lH z52qe@*;6EMFf5nsF>ROxxrpHU(fbksImRR~LIZe5vgkZzS<>s(aeo3~=y%lWmx;yR zP*bfwKl~2#pl1|ZA91vu@rOk^+;0+S8d|i)Msn(pUnaxZ1unTu!1M>VfPe0JVGe9K z=UW+c{o!ySz`wQ9%>gWK$A)cn$ah+zyBAknY*U);k!3YVthqwXqAac$5SCwqO9#*m zicdz=L2*V(v-4$Oz&(S#N{utIv*qv2b0y%<$}Ff)^>hFkf#!0)7);rfJ-MC>s}uk8 zb8+kzuQ@R-<$Kx45j+6C(y`-d+bekbP*@eANdIBEMk*LbqCfn~l!{C0J1xf_OKj};zidGv zy0$RYz+X54-o6L} z#@B+QVt}Te_zu81$lf(g(3G#^hEWk0`xUDPjmN?X)Aj~_dh@{#hsl^S15TU1d`ptQ z&f47ni;9YI7T`X~+bTWhzHX#&u}tlFk#Ld7o6zyFJW10gsy#zCppTJL??OJ<`%vH- zR0YmA_BJ623pe2Fmzc}x1eY6In~<30=?;K_yWs}xraZ6-x3CwAeE(6tFdO%YxIL$@ z4FUz&tL5)9pN`K5Ibn+OdP>9UZ6GhBO})kK)T$vm*Le9KB^Sk0cWi^WkG?Hop~L?p z73kH+*~+W1ibt-s3FH=sT&RySeQUKBq~6zL{YUI7l~Ws4_ceM^Pq|$Apq&qMU$mB9 z?S$c84FBOY>9(MwN|1|U;8E|}b{tYREhp&!>^eGjv zT6GA$W{{J*bz<25pV$%2>J+-K@p3;<_+XIeV27j*MKLP5Wa{=YwwTi~22ijjU1+0_>wyS%1xWhTa;Ba}l& z2}gp4fzFDWA=5=NM6VI5Bx7t)8(o@SCL@P^HOQl#JABdRw=2O+8`L4opULzu)K0Rt zxATcDe(Z2MiLgl%ne%3(DsmBq*gBlOBY4cR)M@+Y@S1e6E^^uI|$o4BzwGE$Ak9t~f44`AHA}%i zrhbAF7$XA6A=Wfv`>0zQ!q|r+lv0TEB#4K@lv3pL_SjY<|G0xb^8dJlk@`K5awdQ) zXn+AKN97m%<5=%=&xknPqo8;wtzOlNtPr20Hhycr-1+$``nWt@O@1WNHltscCqK|c zvr2)=0B+1rnPsw3Ovt8qBU!tT$2DvhpF?kr$SRS7C-bab<6ano$Jc)p>5LHI!1mTmZ$G98eQrjWn zqNDyL9yDFD5w$9Mss_n;2js#~y!Rtn)ApuqcH*rGsj;0`-wS95c?wbzI}Pr}&Hz4& zTI-O~Me2=iQ(sDQXX+jwZh38#*?BO*!9i1xAA;BRz)8UP#0uXk%Oq_WTs6u8g&3`J z!`DA)OxCFN9{)OP$m*{cs6LW|%D>+9L#dIEOI;|#wwjG7!UOp`W&ZkGvqte~2(fA| z+LYR_-apc!YK&45f~*dD&rQ-5AMEa{QI5X5WhHXr{HYi0n?d?9gmG@ik?sgl_#NVH z>b;s7XS2f2Dj7;4+T6{EotGD?)L=i|TWn4i&%DCP%9bi!IP76@90Fi=J$6=s)OV)n zy9}UkDp8LfZ8R~l+oj#vtoHR9?L%fgKN2gb#=EQ=3pF0QjpIriB3&?8Rfe1?NhdMqL3!B=K;HF)R?G&RHIw^Xe+#JG zh9Kk2RPUm6L9xj$I&mmP3{(>&00`40$Y{7pIB~13k&hONo%(Zte@P4l-GV$~2Dyw{ z&3h^ZIA_s~_zW*%Fu9D$q6&62nauVA7It)xtb3Wnsyqb6O5v2@OahIYJRvvMh24Rp zJn*JX9RoXw4ET5^6;*JZ#OGrVYnb$)3Qlb12r{x%jQ!dZ)JO>jW%pHGsQ+_P!PSyB#I zxHa({YF3sv+WG&#YHU>}Hr*)yENef^w$$yc8vpy!!;Aqk*N1`&&=?)CkW!-;n@Zmt zA$aRd*DO+#az0eu_hij_-#YCQ9Ec)tk@@T2XD#r`xI(X<10va>dj>TQ8Us-*&UPkk z1zTUhE%TAP3FEoptb-tERrj~B@s>Nd zrLoCRe?UTi%}lUzu_!O^v)@HGe<<@34^i$B?%Jx|0S__!zbs=hhR(HwW%mn`@apAE(bgAuS&o{~uXb6<0?O z>~VKExVyW%Q?$6dI~?5QP^5TqcXxMp*A|E3uEnM0p6@=~m;17l%_fsgezTKoCjXg) z3m<&Ym1pCL(-y+ulOH%_SbVstKgp#X(fi?g__qK}sIQuKHV2*ji}f2FfHalP4_^|# z;U$KI{`v#i1+b$HkM?}R>Y|trh+!IALf3?`l?Ffo!vKJ2b!e$s>#{Ds+UyJr>69B! zZ8*N4tBccEhcMDvpXH|!_ingl@~iifX>|}7Iv9PWAKVhH zLUExoCRTV5G_fR9FZmP5Mx8BKi_Lo-VX2YtxiyxXnC8A5j>Ib~bCQ@=ta6hPw|NeR zEGmE_Znjj}8EuC|B)h~GPH+t&Yc{kYD*YNpYVqI&IVo`==T|T@EOf1p^UV4GK(Y!p zJ;=cX>Fou~dG?Tk^d@Q7d`jfGa{WYGIH+u4quCbxmbdLvRBXdn&k!*P1%4L?RVuO* zHewuIT96H;-@;+#eL_DMImxI$W)qbcB~J-kAR^Xk09tEX)!#p&}^vW9)V{O zctc2aEQ#~N@}6j5NP&>wqL{Yc@WE_xJf}Kb<0o3BLGXQA8WaC6$PE-F57601Q(o5~ zY96`XWjPQowF70iyGl|$CAxw4i!Dz4uM$QK)3_MdNRhoQuhk}<*E87M6HvNsED}+u zrfJW0S%xPB@~d|k7l{Fv-{VQKz9~Fq!1;5a-#vxhY;-V4On@y{#tIm2j!AK;Se_Hj ztGr_h7+DSQDf#j^l?>UA2ch_b2Isyqul*U&Zs2xD##|c}e3~!PxrbBeHE8@LjLKjr zh9mH8+0;sy{Y#2#VJOCp7l^@{!V}SVMKtsb%iDIy7`$^XVLNramO(CiyK=Na)Io2p z?K1Dz6F-RMU152Jl|d^p(SRtZEsxRUNc@_lE7b9gINQpiWsRigaoh`z^*byK9k)3Z zsw3_Ni$F1lzoSJ4N->C}xj{2RZ8Ab{4Qvl+u;H~<%%}p1low#Q)8AZ*8$j1nBM|Uz z4X}Ktw9+GjuBW+9w6O3*N$rrz6KMh}g2#1@0=b3oNs4%bhM8TlrXRGI4Fv&@*G$#RXv(tg$Oe92stvSyGpmvM^cYPPi zQQ1@7`V%KKoB{wEc~6V0Li9=Lr;(Fm^1kn@nhlYEiO-Iykovd)LmuCUM+;CZ`s5MP zz%wUt-`Bu6*U|9DzHheCJd0u4zVD{trbkZ7zAuqsG5yzHG+Q$cPZWq-$(=@fGXqS> z<)uD5e|azX8AtE8YO>?5)P?6~yVlm2=BrJIJs#3dtaRat{vP>qw-?1L=E>bFkX#)4 z`aaD_lKC2AKmC*x^EK9Jlb16gcz($G+ZTSq$zA{JM(>nH>i#Va9yf1tp{LHu7V6o` z)_A^2W*n0sYqb&O%Wgc%?1hf8ZU?p0eOGEI;#O(_K=y4>@XdDLd1%~!zqN5u9t>5G z{7OVp>O05O;N-FJZ=o*bu+wP770`>i?yo+@AuH#9)}(&CxGgILhB1%}*|M_DPHQ!` zrSK?jj&0tN78WJ6IOWV+>-*GB{mIg7j1x4LzXwC(DFltHlCG7nd6`3ZnrJ=Sn)7vi z3Kg9OBdbms48)>$2N6BeS?FPJ;YhYE?Qp`vuud5j zi!lNm3u9`)z}F2kM!(6da8P?NRBr2#+|M1^gRexm)>_j}N*u5Z#$$quV@O7c`&KN9 zPBR##J_OU8L|ifq#%)b&V{U5E>6JAN99k`J#%W8mp$tXdcbq9SylIH)TV*Bjz}7eo zkn)*&4&V1peg>(+AoJ8XY)+hWD%>@GR;j{&W~Ff!oH$6g;k@zSBfuR()UNsw`#t9i zV?W5J*z|cFj5Marjg)54Hw-^}y7Xeuks;fD4UHA>P^jDvIb?xP&mphm?82^kU|)?O z7~AIZEo#T^!mbElJArR?9~F07bxV5-He`K6@CT7AF}W+?Y6V{r05vX9_0-p@ld_w- z%+mDVE+t@#zeQ^ztP#-4Mq|?((q-XOs1%r9)tPsI_k$kgiHAoJaVioH@EKJK*aLCK zF@R98XJPzs4*fQtes+>EStcDpjq{S;Mi@qeUIzFK5nkrO{o$DsVWZ#$*oV9FbUydz zH@p*a<<&4he6rC_#b7`)$Uoz&n4Q+CnF{_X8F6mwHzcpEfw2NE<{VXBsa&Z%#^DOf zdVpi9nWZifAlnLGZ$)Jkmq0e&Qxzzcxc4|#kFv!LMYJ$(I|5hT!Rnrj(p_K%HnL66 z*>y02jgI$(b>Drz>)aR9!bM^B08gX7hnmB<5DPk*C+gDh7h+yinYW`9b6k{}r%M-d zUWS4^vA!|;SD5yK)gmdw8o9(o^EeWkJXpidF+PD6Z4)cw6PQu#ZsPYra~L+N3@7s_ z{K07i>r8w`*;`IfCT+y`S2(cl3)H+!H&Zn(HqZZ$ZzV|UKfYzAtzf=+OuAHHzIaG0 z|7q?#MzsI<8Z)AS`IfHn(niE!_Qzhn1Vdd#UYU+ z-g#??eK1;kG#g;dkip>0;_}2LKB@_H;HfmfQjW#0R|FqXm>bc(`VWeuZ2Rl7{Da3k zP-}*_-#)mMx`+Jh-8*xHOz2Uirza(f8i!Pl_#lVmpoemc^tXPJaY-}b(WP2`T!aIL zl)N!^6a26L0>vVHhkV>_{5fU-W69p=n(T7;U+1<>1|7|WeYeQZ9m4MpU5Dw>K!h*I z-mnAHA?>V|P?;b&n_EvVmi2E1LecaI0s1q!m zC4SsG3G6rxQmPpp4s#N@<+?m<3=M*Sm;N9Y*!-KT)u0Jl__nR-ssLI@*;aQ|kKL^` zL}}#E|6kh1p#Vy>cm^=zP~xa32l2MSvUq~!nk&DMcLjz;;-{IW(D1YsEb<8QanI|} z$jYu`fw=3Arm1-hf2evvC@(L(a>8GjcG7Xc400CfDwS3f5w{Lzy)cadw4)f zW4b@mF(D)qhRH1efzIP5L$@Mk@Qn7d>btK5GOh`Zg0vdH$zb7t;5#TWx44Ji_TPJi z>L@P*KYeWZ?PkSp2zy?UOC9L%kPp)Lb)+Z60|rWMrZuM6@(}(q(**XseX~^1Hdv~c zxRAUM4<_ZlGOSesdP^U|^0k8(KAN-66S9KUvYGQ@iUW&As#*KiYkrl_{{Gyr=3&|S zEbvu+9G`RfMRrGKq!Vbpo20kzL?Hncwq8)hSTJ@^J%i- zIWDh86Wl$%y?(ZCgalw&o+Ow<&b#*b+9P!1jNLKbgxpOX?CtN*SnU>h3hC$fBgb79 zRvLpEL18YbSiokKSX^TC+n&y2m;dqO1HTAo@U3rsRi9S9aj&k6H9VQh+&BnwI)I;t z4B4#XccjLjMvO=TQR3u;aG05gy;EW4Q!CdR+3}SLz z5(W3oRh_hXBWk!;G$#Yx5c8kCZ^F#qrcE2s$4@LMP_P5|ImwVQGfsywUv;B~sL9ag z!L`a>Ty;rtDXkhJ>NI_4D!w4Byt)R9;(Dy@4)?z5vYRHOd;eFtI?#t4Tv_*GIPky9 zeq`7GHOc$tszZufq?U0t3b^PwCx;s*z%;B>Y$##S} zp^GE?=4wlt8#QX0f*yHjL4j5r$j?uPjEH|R>=L6QoCx$;iex)9BZo|Qy&V;nrNoSo z5DgF@L*{r<7}fc25DyspvcP={sNHvXpNc@Nc2^xxD{U5v zE;h}@Y?g5}Oy%2!g*J#lMmoJ3jTRR8V%MjQf`2oNe&31}>7pf^Q=bYGP`qC#97zu) z6!-$ntCQY$)g5KK_n<*ac)Pt2Hu|1AC{7lXRG#WX5Ky@fFQX@l(q5bKIX;&|X_$Sb zVF}-rnP2S%bK6Z;R5;u#^D>9N$Zl$e+4oP1d#IrR0sS9F9Kt2T5MNM~EY1_&p1+th z6UNl$^5{X5Bo11XK&Tm58lxv)LT&YEgHJA&ftuEKrEr*2@)= z?Jg5(stD0;`A%X=6bOyY_t@21+Wr?1+Z}y7NLFDNNVBIX<}8A#O!9=gmrYk>H_%5J za3Re->{@{E`_n9|N9Q&Y-#y0l9nm%-Yu7={nQ7_|-F%z%@3g#GzMY&8vt+i9jsy6L z?<=vi{MX~TGU=tkYeSzV4`P0Qn=`cu@7ON%$8sF9>-%2yU!c*R&NkTG1~ix`3YQ=w zUxv=|tGcwDJNB}Ovg*%$V@$;7(S?CO+rFMk_5jyQkvYItUNu^-^M z;tG8|C~^sS@gS#L#>t09>PsQgbpa)41qjHA*%rXR+*WQWVZUHc@wh=pW}Bvi*pA`i zxd&BXO(sx@oj^d*08u{I8pN@e+s>_lJ6is#{pQY4Y~|bB=y|Z$wyB48U;?_>OP?AL zeG*xI?i5^}8R$i64P30J1sA$zaaXeW~j>5oq<9N<7W2f}^OFJv+dvL*_Bh@BBlC z)?NgWT5`^|6h@WQV(I$rw+@@f6^p=KCDyH~tl^qQ5F_>$0`(wl*YHNl2E8vc= zmG0jWhX7x;5;WYv9p{4bd)8+Qvk1z`fM$n*|NEkeCQg`1Nt_J55K=3{&*{_XW$Z;B zXNsw^Bn&iL)B1+p3&dPg`*zR^R9jQ*&gNr^7;vEuRNlqgfF+FOxil%|-HY}rP9++-fwZvF^@4N|uVc0sB z?Xupx;8*7qin{Jo)Cyn((;h|50KtoZg2l|r{rH-QmvY!u^`?~8uqU?XI!&OJm&4CP z!l~t^Fk8tQ?YquP@_&#lfc$y1A|TiVioBlN{x8QYIl8*Z;J`(t>c!j(Lph3tu`h*0 z`k*IM{claNlv;*_&Q1+J(vU}&n9Zmv%JG7g@I_U-q-$jKbn`|L!b}+oIa34_yW(iC z9>>p5A+4=+fYfq8U-WzPo73N`0VBqp{9m}W5x$J13x>hEX?LwV8~$cgvuw{40V1C& zrY2@9Sx@zEcV6w;XZ&ENz zH;C=$t#MsAcGM*}!F~6kCGwhbi=Dt&1>I(Ec7;(XJtx}Yf?-v_jT_r7H?y2+QgnVN z*Q^KmV^C3azQ3P*#}7u*r;$ZY(!%}7JbwMDVXcn|Y`JOQo@hDYVhfhDMaH>V-V>O# zv`2nGf?u1mqJIrIZpQx0nab!_VIa4ES;b4GjY*A_sKjWu$h%~-V^j1vC#pyP=1hNr zGVARXWB~2yMg!dvoa2t_Ca~jEE}5i_)Nfk19Cw!mesPF6xW+Acb)S zJF(6!6`LkZpV}=pn~#}PgcM;bPRJ@F}|y!`=c-foA5XM_sV{FsBr2_IxcyUmyb@|W$l zlcr(ac7mXr^^1ZVghIY{C+pEgbt6b=8YbFBsz4LohJ)_iAtKBQqMr{TVh7b=PweWx zhR+`S%gTO#>i)rpCI{CZe;so@{G4`3YeDvQALCl)&!sRavct_5fw9c8mO+b~W_9FxYr7{5n)&xT)NQ ziGPCVi*aA+?ye({GgSGu31s5;6k&o4_?W^TcL_%#eI8ld`-lLU_{)w9=9p;R8qfDF z?0s~Be*3g3N8U^5Z|3oX^EGaTz7mtn-7xYG{FU4r`rg-5xl8%KCDEK%GT{U}J+6j* zeKGRgZzQl14M@mF8n0Pa5E;X^k?MeC-71<0PmCQK$fd)>Nbyhhiy?9V;v?|L9w5a( zNXqQW!^-&-7TqR-B70Ohe541z_NoGXlm_Q}R1tkZ+VSwwXeU=KXuYZwYel<^32V!H z9|a($UR5|pE!S{7MwCq);%iZOk_rUj>Ro(vRh+yszzt;dAQuwp69kPZdhLA;`5Qbo z=%wa;%@foSbDINtwlcD#T?qM$#5xIyA5o5Dm<7#_2KFvn(WPsgGV^yY-apYTNwhF+q3(~D8 zdIibPXQW&C1m|_Nzi?W7ch<4OMP*`L}vJgd{!?SodOC${CK z_oJ~}fDySEHHTarS|Ty@lk~jmRv_ZHjcf92x2kmxyO{eN`!w;2E5v=3@+^`jvV(0E z9!2t>WpJ*M8nf}Iul%c(V`5(|tCf*=SIi@Ss$(w^KyF=g+oO-e`lK&c&|ugvLwC$p zTJs>{?GwwrTt(%?KMM@8!CSgukTImCUi##ODv~I;@$awh4-7HMx&mDiPkXS~&8G%#<60Oss%wLVtz6Pa28!d5y^Q7vTm-uNP3rO%u``GrywZ z;8^4Q+tAns>N53@;+E=$_OKFXVE}p5*RGVSbs$5XH^Vg7VIjHbo_d?jq*cdUDf4`a zNKn95spEVar{RTqn$3)}m}r`29kch-E2Uwwrb;s6(IjNgW~t-zD_zG%Df6?hI>ZT> zo2W@_wc(UoJ{{aGYkJf6N&dbsp%<$o!+uhIaW=FBhSS zFLpPfmkG!DU%Y0%4lbhgk<4iTQ^H*2&cO$c89Ocb45F5;+P166$|oJW7b$ZXo3D7G z`DLs(mF@ZUtT)Zj_o*|T;9KzNrX2m383qwKH+QrZfWl<^M$<%H+}>wq*DPfvNPJ5X z%Vh@de~zO!>VCz`!1NE1I2V${m>hVuFT_u{xMmyJjvu*gDcEeDF+2WNHOg&E{)_u; znYdB=56#;qabxx$skc?)#ueDdGpS>m@gn_b0n~Hq(uh@1Snu7&j`W>G`pgqegn=RF zW-`CC*QBEuR>$O1arUJg%{7ZtajM~V|5vH={kH}(bhuo@u2Rv%@ztdc4;qo(ah>H3 zkI$`}aUGD2eeHYOg9GL#gOQ04^7`R8%czZAc?Y%CZ4X)gJU!B28@Z@K&R`y-!N-dS ztvm`YBfnTOHQMIi7bRMGDBkxwf%1{~Ni0~rw1uk)8oT2jt5A@MkP%<8Y%~)fpny6o zin2>`5RfU2vx16L$Nc)ktIL48s6%$}hOC1N=bHDxj|*{SElq2THWg1ETtk_ zY)5TZCt95v7Z@i^S8>`|U2I2nSEKJjSwHVbk#@2rhD?gpUDes=|o8>TB&g}=xaq~`@(fd3)ZlWn4#7BB{rHhm!#eV~Wo00FT$2uJ4d*xHw27~CCF2F`AF%$o#ni^#r}~fb z;_hRgQ7+46T#rZ6eAegD2>mzQ5XYKoz$0B4W0O^e9T z7jW03J9q;9+P3VUgKRMP?sx_#6NWx-^UM)hXA-%>03XyoX4gVlsgE+$#ikp8L;?Q~b)D=8`M2El^3#HG^peCsP6`=sX%Fc=1LB zHnN+zxqpi)NE1eDnYaIg0hh2WfNykJAA{7>LGSf99kX){zHi|6bG#q3zY@??i#`#zpEzdyF zx@E%4m*mtQJg94{jfySFytL1T;*5xY*gey?`y_hPev;odiGXoc2WE47;r#pN{l(BM z%=`h5Sc>R`L8WdD>0i{ikH!z09&E#eWrd}6Zjt)oGgjWX**N|%w0Mo>wV~PmJv`QT zZvH^mvT2OCSyZ3y80Ed2IPZ=%oWK8k%B%Gpj(&eL>B=evo<%krM%e1U?K|RSQ+|5q zXe8k(MoVzTE5qOW^`qV>c#j!h@g3-#&E4wv15Ub7)Yv~my}Q?N8Vy|YVw5r8(dWE* z9F&iP_klXiI5WWqBCJwivIlyYOX3P11D+ZFDMcJFcb`nf%}ErUWd2$=G`~bgBd~-` zS7tyVRyQbrW=Pmk4RjMsAG@mOr|Fe(;4q5GFK_<>y@6bwl!~?Q)y?>Na&G~8u>(ds zO@MUT$H(^;$sdJpKY<%Anwn2IK#-oyV?u# zAwfg)i{ui8T}kwSNu(NNPTHLEzyieF7od!*EmQ*X{&5 zqn$nq+OAyB#dd(!?|)h!WXcAZq&MTEJyJrig0c4piq3tI7JoZNX3>Z7#dc11V;Yyt zx+7dVU*RqnZUKB^DCjVEPJCieRADc5VJ>lR4dkpI_nsZ?7&o5xsJfa_WSy^O_=F>T zTOSC_%p)?+K{&FncT#*}aL<7KceFm9gEsq)tyw_OLEzUI{^UVB7W_zPi*GiV%_>Yly|M1#Fk@ zO|u%b3`M}-B~IhkHf|FJ?KW9mNeS^79yiGl-9vMm7`E9$lU|KK8wPBE7$( z{>IT6CDSZ2GRD&PbknE)s^FO3uJo5X<-Uwk80}Tu_dC?`0sXHrw#JDCo?YZHs2%ZQ zoAP+43sDYSh$yEZ2TsJH1%QqXmUIDC-4u0{BuU*2b(Dli-2`=%6rJG!-*0Y6CzPN7 z#xQb}_m3B-IMpt4q-~%DTo8)iZUo8)zv1@_F7CvT-k^X*iiVS8PJM&;!3MgMz@UIl zmI8u~p9wB%_N%l;14e9NbNo$*j#n)xlFaZz(2H;D zlaZ@5#CprVex~)U8ha{FTk$@Nm{*pi4OGfY$NCMGFtO` zyp{qT66RA^?5^(Htp&YdMNRzn`;{vq&8pGKrVmz8JxSVLZ_R?WL~1fI2y#02S5%x= zoY(p)cvezyTz|doCZ(AFZ=v*yP^a)x9Z8O&Qk}=;1~ecjXI-U$~u`&FA8X; zdgd@Ml$t6;j)oYM`_$w=Y&^9MTR&?pfPt&5hBp%%Mnu)syE-LCgR?nO=5-A(eAIOq zvxWmai!-k%ht^dDwmi#UW;GN4(EL;iuQZr8ug*lPS#CA*w;i>X_D3|=a`U&rj%SK} zC;84K<1cocWn0gZS;OpN+rfz*S3Yws>F?D_p#?Kebv*(p*Q5!URVX>|{p>0xl9 z3CC#kPn2}C@QA4UYW12;^#v-8enC^#`^e9mWX<*|1#u)rn#Vi5E%@e`VAJeY68m$) zY6pk&E(r%*j%`%NwJJbkmU(T|1*P^8F^XDD^DC3XxqVkleKlw~8Hdk{)>mf~QzYin zHT>!$O|x2Bdj23Q>NEj=V!ML+x$4W_$)Qy0_kO6B{i-alEBh>mbLkjJUTcA0dCCaR zvD_P@Bjg`Snle4X3V*zSbw&YIE75qqTFMr_GESac{stLi#Sr2gp3PR%=_auEpI0+s`8+va0NFf25b86Si*j3e%qFN{g_b zKYlwkp54ebu*+&C@Hqbk-=~ViU5bK)%tHf{Kp&*cf<7pycHUr|0_TWfh0hz!36-5f zxdBg^yH{W;ZPrZhu*;?P6e35UDiNZS3Q?<-wRtNL!)U*&AyX`~*|>zDwmEYSInUXZ zw7|wh!~J!ZMjh@e{b7|%INsDWo~ngOQNODj^l47TwUVvQljwk{+! z+Ahp?TDEwdmq!)ONJ+U)M|`z}9A-NSqzdct70KiD2hJ(L)kexpu~n%eDmp5q$`G-efuI&haLLI;vF4=hv1Xx-vc@#D4xNX2lzK}n)4Y&% zWB|zG*Se*(sJ4YM(e$}X*U{oh`QH0QQ_3~k=+~ZRw|&$rYn7R;g$|W#mr>jhfw@B= zpS`xnOST?ILAxH}Fe;c`;I}q3o$pH_)iMMT4$=gnc}203G4vL$we$zB zx%AksB1HBTU0BYRvd{J}0`T?l!n4C`tZ?;FoM`op-R2G@xdm|1NIa>OL?tYW8^#h= zUL-KKH$cbyp647xja5Umggf0WRyf9h(P=%5Cl{7re%@1UOOvG+1h zl`OV!>AF?aFXfdO`gK{@c{fzra+0BiRkQcHMX+;hZO0TbZL3*HJ*odx(TLIp9@R~m z%3(A$!Ul~L)LYye9-}H>BDSz5OA#1~KjT&DgZ1jt2tm1&9xuw#?7M$(Fe84KMIn5! z%1G}$Gf=`EF;Job7$_lA+&6GFqm2)@>3xN@{>tf%?!WbizN))lqC+_q$LX6v?X!Gge6qO zpPAM#ByZ)G-0H*B^l`sjGk=$Y4zdW>6#}W-xT(M;NF#xe{!# zN(@tUNYQ$BXn>2;fQz$$i&G`5btr(Cy!wky$^<{g^D{QHq4GiBNb6ZdVGH1n`N z_e%*RvK1%F^OPkF?xZCS?zAOJ+Jq%>+LR^Kb+TdXP%VRA3rd>&f-OSYbP3w?bO|K- zmk4uLgXpMXF%4<30#tHHbM}`WK-vlK=KdKX+a7c6!4?=9iAHtGL9ERPCx9)So&F51Kja zAqgsRV;fltT~E1=Izp0=+g(mno-BXO1aeaqIz92w7H6Xsb6K?w2|eofIUS9wC-wq8 zEKu|_eYm{+I~27D*mmFsD)qu;M9VnYRe|Sp8;5 zXuYC}S*9qKj@gBv8lyeOrjI{JVh-nNi`C6Y3fUoLF3nivVL_fh5*D&v=npe>y?}X* zA%=zEP~0K4q8Mt*ypNVS4+A0{a@HsV@_B!;OfreK796&uIFrbP^{l|^GxxHJT7qWv z?80-m%it@SYVh1U>MtqAt(Aja9D=yU;Hr?)SktE@IW5BQ<+zk`sD_F_K{std9Q;C$~*Ggfj7d?Y?|u_4uxyGyRIXxQKxaz5?$YE z|0Q@Whd$LJQE5;`5;R}nb^PVZm635Hli_vvhVWarVri>OW5toTFH^%LMy=lWpLRzI z)StqTa#N(2i^6Lwn^C6BdlV%lH@cw<${+X;zotUoV5@k{3SF{KNdHL?wKdl}DfF zV3Sn#;V_-=*Vp(l(K7ak#LvcnAhB5zf;CP?2P>G9kE6QpBcX0O5H1f%6OTs&YxW}F zL>d~C{7BzFoWo4o(YHq=Dn>QfZl2{GQAwF4LLa%iu*oJ*`4FI?!l`l4IIM&Z-$eOi zAF@z^&;14L9odU@I7QWspm38ruK)3kx`;D2os`S=O!+{DCa>7%ERY&J;NIo@(d7*8 zXDm4M{%0hqSeii&O<0gC?IXb$CjQwKz;ERtw;(2K$%p<3e1j_;MrMyrCSroq! zY9k%PZ}{&js{;WP<QH?7gR&$9aZ4-ShHS-%re&SP*e}GH=r`Z)(`#TuHpV+T1vu(uU>eOOJ}W zYt3!bgjlguFK^6AFH9%H{YQ2BlqIV&;~rBa^#dH;9%HCmF3VJ>W1J1WU>4m0AX}{Jbso;jI=?Mq(=-xt8H-^`ykI~ zgh3wgtdR$vHPXOyjDv~2LU3{LF* zmk|TV1QK&dG@@B;##4%>*Evd0-Mo|-y8bU~J~aSE zDEWX>A)bcwa3YdHF8$tYYVjx_!_7m0tc=)b?*C;4Rvub>TiQ!u-=7JgnnU6LkK{lq zT4p2}e2*Pa$c!Cg%#0nh&x{==%v2iCpB$&JN&c2nTAvPfiIpf%!aG$0nN6+8vBsWA^l=(Jx34+lOE4j-04WwLK8B0MJOY z3iu!3#TJb<4btcwaeqj>pj(BJQp=nP9L5dgaXVDN$oW#WH*$`2>UHm17C`0S9`)5H zq^cq`3=RYY1Ofzf*LU@%5(wIRYe+ES9ufitoGYg)|JB6O%E^V*$2q6VN|$)LO^kSp zVi1vVi%0wxgSn#{Hj+FHHWCpF;TmI$f**#+5Z?P466D`7ctW%Op3{BP`TS3;=l;Xq z^I5;TtGcS%UOpi{Hxd`U|EEw@d44G(-N_AA`*)iDar2V;K4gL5oTG(HJZq_y!0Cw6 z)Si^wg^7VYP@oPhtn}z)`uN{YyH_t(kR?j2zhGK@sUzH6=!rM%B<8=r* z(*DN18+b5)>bO`t|E)-EulLsy=w00{$hesbuDdg{g2L@NyW1r~qXUG+oMRg5hfRkJTM|u=!N1+a)8U?XpyGLog;0UITwTlnJvpi`@^IbQhoB>c6{dP=KUMcAicWKNpt=67jgS+qU=K9$v)V12^KYIZ$ z;)gQ7*)Y>p3tzi%AZ-F!ue=pg(lg#;bUy+>_I#ux*oe=t{wZc{gBLjArL}W^@^sZD zxy&1~_5dOS79)i}C8+6WianO9mI=2cc%2kPx+W9!WO^SO&R<7dV0~hJ5y)4|Pxpig z@%^ey7WIRKEL!4{$|WIP-quH7!|gLnVoaE~f2I0%C9+a&GqWxx05oh83%OkFnF zVa2s2YM*ka1??ZMv_otDd2#jA40O!1uAw_z39PjzO~rMM#{I6e-OFCW>TtURc`G{) zAwWyvd)xj~3pKyM-`*ZA98pw{l+=a2y|4Pe&jQfL-&q5kEB2@_O;I-b4vp$vAi6i4 z4l{}uJkSOI?c{j7nf_v?MjC{&|I*Vfy*cWj{*TsvNm!5aVJ^_Gw5!R}5p4Vk?RoGa zb-$?8puO0s+eUGIRC_cdDvw_fSyq3~!)N)G-q|{?q4;Kigf}UB4ze!!)QE)vW9*fs)_-;0HgRIv~J77v+jQqTyE~lttM( z+;NM^XZFc{J@^u*5qKlmpLZ_3wKmkDq`_qfb;e$>-EeY|-Q!}2xXlOhDa{|QlE!MG zf-ah_-*A5+K%SvA?$GrCJ>=?Ml8(W@R9Qj@{Yb7?eO&kPRWjLT6WTifo=#N3p=#B> zctEDf>z6=bsf0;IQLUbs&z6E$ZRr^3O{?Dkii8j`nOZ?<|^i$ zM9u#13Fk+>w#HQ#yalI4E+snGexAYID=iKQn&WOm8adpN^p!%YF_FW9n-Z$7i=Wg0 zsqU1I?IXpVcOwG~kF`=kRcQ)XM{w+wgd$IQ$ybnX$(lZ5Pgq8@cWz#n$W<}*-XHar z3h@Ea!J|ak^QXYa*%M`$KIXUVRXYm~(LpNhrXr_9wQN=|Ctx-+vM3Dn#xK6j7K}X! zTY&70u+@76T448?0CKwSuecZ2qoKfaT1(FZ)mO0&F;b8mgvhfz6~t}e&k6Z?5u>a% zEi!ms)jCQw>PQZB0EI1O6q7BR@;9|?#CQg|UM8|epDuAuSRIXPbDO)p#=e(|=s@8l zda|GK)z24?l}sfiF6I%Pl0Hn0R1MH{u0t;rKH3>ILqR(1y6_*x2jlORr{|J|KUPBL zntz}!XAi9eW{MT_whLqRwH_Is+*Q_2ZtTQgDsYcuHBabkHS(*(6_{o_M0}paG{(gTQZL3l|XJ-tY!^pSmjg6pqP;T$8Ll>6gn2{%*n`tQLQOKXRdfm5D+l56rKkL}k>g>{9P+Am=KvGD_6e?U(;pA;w4@Hd;Y zaH%34jj^%k4xVL4L^dBjI{jN+cuEY4zi+SgGOTI783F0fuwGh$CeCqiP(R#rp8pwl z@JeLdP(terTc_IP0pr|(ZoM=Rm~^gzd`3b7vF}URC4c;VeXi+jJGj86B6Rq`#){lJL zG1x&awyS)gu75uf`%jwQ*Y1xqhvHoCiOX~d-CT}X5w?bo29736PY4W9g;HZwEQ8yL z-3aZfF@n(AvUN!q6yWQAZ9!kFDCP9TqJ&&AGW{|Q`jWPkNHq5*4c3p-EQ66TMZJ%Gr~Ev<0G<+Zr^(@5;%O~( zb&TDwUD$Ri@ZEsKQ;qP{M#0aQtSUj%%DXV?MU^a8Wu7HB--L=jWMkxH@)viNn-_S8 zx|k))RnI36nN{MaM~sGDSMCTRB8+gSALL!1rHk1sK@wEH3qqK-G;X)wnoV~ zFm>=di-j&60Ul3j)OrEa8U=w|P72ySwe4RTkqgk1kNWDOec^YY{xwGFceq$;A;jhC zLQQR3D$__Io~B^sS(F&OA2bRaQ!@PWn!xg+|9D9hud!zYM9Zy0e=UBNz@i%3_=F|0 zVO1}R7u{y2=!m5X7cXlkcfkeZ!LsB&1r~X2W#(l4xFnCMIeE}4f!>ts<3x2`tku(S zn)0)Z?CTd29s$%w+*)a1U^ZUD3x4{HnA^b7K6msZTC_CvzQF5$N=wsheGGny8(B+g zcrajJZJ++3Dmle)SXK`us+00BH^B0I3(6lVc6# zH;mfSZi?n8C=@;S=r8rJH1De1-ll*c{`Pu%ykgaMv>=W>EjkaiPv>8$5BVV764e*h zL`PBFB$W#1o_l0en;Q2gC|Zj> zW)bGM-`_?PAV-urFMG|p#R|lyO=9*C3BKEvDjFrb$4+9S8n?}f1GMC7E|CGOo^)t_ z9U<=%-rO;FE@}J|+Hp+;Z=1`U%20cc@MZ>y{zh0|tXBPj)>~3*x4^bDpY6XcNSD+Z z19X4ie1X4ieqzvhh5a=_3v`qsQT2nqJ*I95DhM(DEnjT#eB3afjrCyY5~~GzzNXOo zRz95)0Ro{o9-xvp`eQ7!1`#BB#0|_;C#wEjb)oz%tl8VNGB|e%&NNa{6I#~)&0P*_ zw8gh%@;dvWsTXAUJo1fXB?xh2oXDnQyr4m6Y}NTMRGyt5e*?rSAg&WTe)IRx3b0o(mIqzeYSU@`K;a+@#Q51d~dwb$a6T4XX+xm#$ z(Z-EJlYs5ww@Ig{oS}GfX*_%mN9Q@Y1IeOntZMnDM3s1As{5d*EZUD}xLXkk#veS+ zr8qBpeenv)!0kMFQytbrEZfX)C(g^`9*fo?qyeXYgYmcH=UFN5!Yxxzb%+$>CU z!t36coY36walGgmUVeC;UfLU*4TjR0>qjGHWl{t5P)E`k*>sYv7I*k`tdMMsznH>J z{0)FcTv{zg$BFS)y9mzbMaT|oRf^^zuxue{+t%v+ur+J8=Hk42fo^i7ExrM6S&%qN z#yzy7Cchv&GjhuITWg1z@F8M?I2wp*f@ZshqT1u&HOY-`LIMe~ORlkGy4U zzb?N$%qs5DAD;H~8rLE1(>*?V4C#2u7BZTQjl&{{$;h)bDGQEtg|D8U)T@%+&DKed z{OgG9ubnA2V?1b&!OPwsR+1;Qw6z?CN{)XuxOq1}vuv5b)dsn@onDI=7}E?+?wu?LcGUZ`zlwKYpI7LFo0PijTf33Wp>0t}$>t zuQr5(j)(D;>A-6x4$Y9FWRld~2J-iEka3ER1b=x??1^}Hf4mOI)o0&_G$-80GUwVS z(d_WP=q_G}OI|JtqqYXSe*Ez6r=v-IMYj1V9nj&ejJ53nA^)HgjGiy9YEN`JW@jYS zs-*+<%=<~*o0)WK`D9laTN}i&D~NkYkKCnBtpyC-uYxEo(VVFfaQL3RTemj6!am#x zLZmtV5@P|EmnUv(KzoGt_tX*xpX%4ALYV%+uZv{eJH1@;CT|Zhd;g@QV>+PcW5v;9b z3lSYI@{Fa5XLyXncgau5`Nwh7hB;@7ob00P?8=bzkRmC{Jfr3ZuH#zN8<9!Myrk%7 zrrBm6Oh1^dnX2?=hl!i*9lb>A{lD-ut?WXAqe@lB%l;?&vUQ`yYn1mz7kbA8Lz8*K z{vaxu7dz%R&|?f0(PuDFZ8VOaPk$tv{)qqo1lj*fhkANWAPDp_1e6*CVg#NJzR{au z)SIFG1IB;g-yEmfCZfE0AJvfSE${Ej4b^2)X1e6iQAV|YIX%RGc}wFuk6(rDu)J~K zy!6mJuU)qpnu;nK+PDQCQXJlWofM_Ak8HlRtb?_Ih{Tw&NV_-s3Vuf*Xj4Z;r+}{E z`!vqz`M&R9v&R?AV=VqC{&VmE#8vbbylb^J7Jn#vm*`82n!s@^sx6`>xFCmTIix`$ zgre~^D_1QjE@9T#q?z#9^9&c-2QSo)aNZ%NjWEpH zJ*k|d@ufYf_ymk}w@v@J?mKiDuh)&&9d;!Y$^a``#g3F0{sYo3U-}liM+boY12g8x zyoqpy+`Gk?mdt?}gKLG{3F;&z#hB;DXCfjq%A7M(oVwi_%74MCUKZ2aw#HfH6+hk? z(}Tl1Bc=XQG*6zvhAtzctwn)>XZX_0qhFu>_6mDCdON_`5#j_0?W_=ga5K))5tN8a zD@a8)6kj6XQ-ETCeSl%W5TZ63#T}8QDva-~N&ZFz>RU`#Oa)9kOash8Vhyfu(SWNv z#fpbgn3Lcw_GglKH-#oH-Kc?&3Vd>HaaUk`W#?x5MR{d*6t8Bn1r3^T?t)s{eTERy z2P1sgu~Tg)eM@-|-+~G{V*!%|qXiRzB{_6sg#S-$Ssj$an;cY($`jozgSC=p4P{&u z33H3i{jXE%mAv;*#z~Pd*MFSiV6Nb`3p<~1`&QCfzBa)$VKpH$k?Yb367LNL@I;aN zZ7iqi6oy))>JYXffhJD!_k?;>fLznYn3qHn4`9hhXO2~TNn%A}y+PdG7lpJ6QpD4Z zZo3BZtTjE{|0X}I7fjCMT09ff!qomm7{yltuNOaR_{7@r$o+BjpJFw+`2K}Z6LTK&p8_wfWOzxJ=BI!6~?J7!Wq z1+JXxzux&4;}+1qbfteAO7yAub=O>H@R2QMjPUs5U8KW`Th{eC zB7aD^?Mi)tpNtGdbAtVi9EqH+oIw5nj1Xz{MTi_@iJ_`ySV>r+Kj}kZV_T3isWb(zva+z6>uQuEJ8&sRh-xo`q&Z*YE4CIO%chGROvsE{9oYpRf9KF zkAN!< zOvKV52w|r4zT3Y#q+yKK9*mn=B^R%U!)%Y>)ty+HRM{L{-8~*fiv+44avs{=ldITj zI5j_v4v+3_-POc5fiBMuyMF+CUc(b0eD%-TWgSyC%48z~_)3M(_Bm5$W7i#&~GvZe+Q#mlEKWSFfY1ip*2-q=2dmPZ%y z$KNh2vxO>M_;xPprknpVd(0A&bMspVqBpv<{3M(7fy?G>{JKNf*;}jQ&H(EL4>r_e8V$7^k`tFKw%i076NVDvLMBJRA86*Z z2elGX)P4{Y*h$gJnKPsh(Qqdpr43z;(B0iX-iXP?ot{Z(9fkq8P5TLv6WPjYzSIqb z+Z&}f2pKmFKtl%_7B`nHN?JTJd4(Y#^(`=(?be->2()V+KLt$v8VR^EED$a3%l~_G z4vuap-p;<~UtSE9dHx(RA7PThzFw=YLAN{FN;(0TF*~6!A+-2WGd|&LDYy5o{`AZ` z)3k#Y5**8L!t_{=neD6M6F8*E`@umv`Ozl@|EB@FhdeA}i?1!0LfA?SyqgIeA| zY+_Dcf3pG$Lf8Z$#exvqKj6#&d>iE--Xyg=qxl!GYCNax+Q#hv#tI+AAq~(l(y+88 z-yAyW>F((1&UoH5)N;&S**uI=$jvgMEix88Ck%l4}LT5Ts%RveQqmr!uhGc*23D}Dp3+@*P5J@iStblYA?p@#@JJnB-EothX^&c>_a>Ak zl-7zaJ6^B_+$)b07`KRsK;;{2On_q4zf&>0v7c*E^QE#moKaT%iK6?lJgvo=8l)RH zI1b~5PLqzIS9$zQ&st^Sex>0*Z~s3IM``#OXfIp6vL{MW1pPYS_HhvJULzrpw|~g~ zNrdToAK>p`%n6F#A;|%3ydqN(5|rX{+o>8oxlM5J*_~N{5CX*>#tKtOMLoSL!mzTe z(MvaKh!l@@f3EX4DSHt?jWR0;A8uW*RhRxsm1n|r?S3WkBPQet<9tgD^O@zxToUH~ zeLlkToGb9lw?FwO9;OKKsih8Rv37bE6ht;}fzIct?ogvO~oRU^=x4Wnliji9T9WN<9qCtUj7SbXpYZD~tp)6?qx zD|bU@=IXw$4)-3O4ecMc>H|CKEzc#3)|#?Z+t)ZmDzsW!&bSUacm^~%SryrU-cm}* zfX-qx60ly;z-44Cqbhu^WH>I3Tp6R2C)!tBHaSchSp&wzfAS?Z(c@k_zak`_jedyS z0!!(Cmw)@dHVf|Va%1!88twe^ZT2i0!9SuIR87YK6ysWaWV@XeYEq5&&Ij<~TVBigJ_tsPHgY7NRj zR8-nj!yfRwdlq9uIVj|w#lYuFc%{lOj(TVo3^ecUS9|9Q&CVF6c~^j#AEbo#S7P(E zz2CRdi!O&+R|le1mA5Vx$3%2Y#cy!mOu}Z3y)-dyr&#m`;fJKXPaLpa%5#b1YBpY^ zxP1A9#(hHl`qVZ52Qh;zxSUJG%o251^BO?wq=l|)lb9I%WssrKbCO|;GhX1(9GgES zkM)sD2#n6+rmia|t6Y2Zi8&-?*o46aG(eVHFq8jZnBah{$`_ep0cXoT zKl=*E-;;Q1QE{j*TO(5+O&_YJ5~@JXD4L>@wadHqA<+zyH4>D46(!uJgO7KpCfzXm z_Ib~`6q(^L{gr!aJKJb0e3L%WRL!0ge3Q?dshq)_Nt{ufbSBJQ!=A{wmf8GP#|#=m zM4=}a;URm=RLgexHU3r7ln|J^rSjFm1IMKL3`_2kX&`rz14kUp(Dov}=iOsF zv^}S%A89qAADB(?O{f&UKkuF?kd>7nmM50^=x%pJ$E5qB*SLr)-trgVLQH`~ntVL& zE%D?BSYu}hQ}>Kg6C9bz`@kIPI&Hh7eA2IbUP+b{OeSh5`+5N`3$@?mL%HcnYB$14 z=Z#fgZtNa`t6maI%yq9bQ3V`r^sIb6i;Aj}tAhQaj_59&|2EZ5RgNyv!8u@86^dL} zd@@KZh$)ZBVqhp$dd}foF6!s~((i-w`>t=(W|J2Kr-6r*?zu=M#PGo{B?5E6<0kWd zhdVz_?&A}UKM2dCB_@3ScVZ+NtpZn=^4E2mO31IVccx`{!i#thy;COl=e{^`*%YF^ zJw3nDJKq1vL^Fn0g8C^PhLHaD-`}zRmDCO;d@}*hp!$9pNHa@q9dlg1x$NN?Dh!9Ep8oPihazL~%I@Mgp@c%8LdAee=u!W1O1 zjc-;(j(9_$Z6Q?6l z*~0u*Gs84MpfO62u!}C|ibU5GFxV7;YbYLCku9mM634Jo7!YS5 zE?bdJtF0pNTljesJ;nv;xgngS_Ol5BssnVYCit%v&vJhYs2btlS3HYjc{@t*))^_r z0FGAs*#H66&XXV@$v}L^Ks>x6TS|L8mu0t%#d?CEb{AdG6^ZSSP`)CYPJ3KHdpwTC zdVrvI6J5_4DZ}NrFxH@R)1dQf#Ro}k&RmvnWh}%K1QEOF(ymA}e}wNVKG14&3TSi2 zu@DasL~NoGdFR*MLrN;SMPbsbcLm~HkF{T4^ zpt(7(#eX4@S52`oBh^`mcgyYL;L&u#Qn742VbL2SdnmRoz+Au(#xtrTYf=2|9m>P9yj{5_e@ z5#BbsZN={7c+8)!6CrF+c)isf`ES{B`o4$9zkC)k_z(S6{i7J!N6s*(%IOTwBH}2P z(tjq>`HRRnkDe8hchn-dR;M^){*}_uR4d7y7B1`+Is2xT058W|@$0Kfxmnd~eD^*@ zjic4?LL&u^MWQE^X|9f(=$%!ig1L*4cU)R%S4E<|wb&U6Txj)ZZn7Cs#Wf0p8faG> zoIS+h58jMWE_!AvHUu=K)Gdf;V>DMek4*{i1aTcV4U70ACKg58V?prOAE3|OoT^&u z`^D3}@h!K$-vOEB;kc7tOmb zoN42$$X!f&G!k$HuM04F!7*)zA^d-Ranz6kmws!AY%Oc1v_3$&b?B)(iTZ86;t+m+ z-W? z=y$$rk4RIPr0`X24qB-Wp^lczVHK*R?(hGy_(8u~`D%o_Q-RY>pR5|2Q^&vT+6(^S zc6B8#GS2iYpgMaCAxZgkZ5CR1HFZ!sj`dn{ zIs*07pP$!XcasFyVD1oCn1jOBwO@O!+%{wEO7Ds$HlL2h7Zvzfe*R6TFr~bTZdE3auNwwKc80)kKmT!Vxk=r#!XuE zR$(hAzeHL^Z6WYrI4$VVhNoPWzDqTxz|3OZBkJzL0bjiZKQkTo(|Kjol~xcWhxQ(= zUF@5F>fx$h!BBkMzZ{n*Q;7C%=TTfo5wlVun1Bu<AuT4XA;sCK#Y5XtZ(fW&s zz~k?3g&NUJ1A=ZNRe<+Vg1R_#6=oiDTrCI0tDg`PM>HN_2rlR1BRc=f-(h+AxcEF4 zBQrjwYg$0B7{2k;jA3He!6iN~Xbx7XdO`4lppy`x=PhmDamVrTvqJ|i)v9WhnBKQ` z;tCPTwuZK12OB%5H!Wk7=RU1EcdO6^t1O{8J*X;8QzWrauC1tu&tX2fGMSKR*Y^pO z3jymMReETdZbgaiv1ZM(kTHk(vTYBXAk-F@L-t_Vo(?~}Na2fM`ypM4bks;&d%Zfn0dR8bCrK5d;csJX;p?}iJ zzYiM}u}!-BX7JRc0izI@^+Bff3M}vPrn2_f#h!H%<}khDP}>RIZ(IkKES`#8{w|MW zVwb~yc!vD<$|6rilk~C3=&8W8^2UNsW~{oQ`!(y{0{{`==GRb$C=oK}Herx$o8LW3 zMDwEhwU}u`YR@rmsK`fL?^#-{8QQC-9vjBb7tvP(uuP$;qN@L+lpLbam@4X3{^|Ao zYb>d}RTl)oEcJzNvJ$Bl4=3dnBjf>Y6fQij;~_X@uy1bdT)+bccjE+Flop>7C-h3 zsRLOF|MD9R0Lbb4|EW;m^nJEBr>XG&X9@1jG5Umc^!BNAcs;$<*8r3f{&DPknVlkz zN($fIuid>06kk*g?`8NOqK|JW4_^AC-}mjJ44$iJCKSiQd z+)}#Z9kaKLw&m2chKOuHFO6GwkS|KGc3b(f&l{6)D7fu*Kfkz(nm6ko_bIsV``U_( zIsEuZ>|yTV5n5Rg5?Q~Kz~R2uVY>o+QqeXy_d4eEA*DI@d~CP5A>Q5M*8|$st^$8T zNT;WjcKAyk#k9*|@uSHW#+ITt5NJHdWr(WAY`yMLz$cjeuOg<$+O906Yjw>I7;mXB z1M6`B(qnBZdN^TKN0v%WW`O;f@V#RCHr@fOSA0dmLB(v&ufItpry zvCoH|{JVdI>;F^RHb%ZK>+HCYpbp z=L^`i@0VJGphuttHmd{Bj}?w1ZZAwynk4{o4#*VmD&QLme97l26(2Nqy9Y)(*=%Z3 zM1cDtfd?uO?B$SU_!cBj@6*g$2w4k_CyOJ?;vwQ{`mNq6{ib{;G~WFgwD1A_^!2`3 zXR`_bYc=zBXRWy(A(CH z^=Yfl``w9LE7k?zTK6hoHHc55)6lvGS=j$pXsu?zd+APEl%geQ3lo8VyRN&FG!_as zM}LA*L6wC`(d&GLkgN`&OlP1(b&wQAU5@eLd_x!gjGw9T+g&%DoC@JI&g>>wv{nA|;Qv zy=<8Vs(UDF#c7MT2Y-6=qJ`44zAmy>0Idb*QoW3vCJT8rIfDL8Ynl)8HU3%3n#{n8 z6C`t$=xvsyD8@apr@%G#*}_`iz|Lo71|V`<{QVE#_Dz>gq zHSYmM)lt>WgCmi3s0Z4$=zg-lGMzED-{*T_5A+-K)4)wg$Ik)n07`0OB)@Myyw|VK z=)ZTr+QeUu^ue;Dcm*@H7rl`f#!($A85kalF-A5ndLzt5p7B+&-G z6$JoHrUGxv`S?yDKO&X;8}!qZAF+Lqj!vo`Q*Sf)qNpJjKS|EuPeW_7EZc?7TG!fd z^g)#O95-;M0=1r~2Jihw7X{C{*Qn2oXJ=z6k+S6dhBFavobE<$uhD&kZ)8tju0m~2 zJ*Ts(t>pb?Z>`TTZM_GiJRFV&ICS(C{U#P2&q~*fbslYJE4;+QZQajB$?w_hEjzch z&kojVtYOI4?)!kiIWI_M(TS zjC= zI|Bet^y?K{Cqxy1@tC>{caPLabM4~HaB8*bu*RG;g}1!2gS|E@>VSB1WTtnjB^rzf zkX^-Hp6i3HA^2dT8FhNwV?D;Tuw7Fx+M%>Lk}I?M`Y+E7+At`Is-RA9^7Qqwq9R+4^%_fDBE#HUNO#u&%S z4{DCSi_s{+cdKv)(Je$CTsKD3H7o}L7%e=W#CF>gmhPtqn@Cj)(R@=KA_MM$&GA-& zC~-xB-Z3X|?lc_rjVwdyD1HH#l$d_;w{K7N?<2a8YSbYMcHhOma^L+Jt^gAsjBgw7 zj-90#BUj{(uwN;7R0ehqSpzBUkjJ;JCxB#^_ugU1Y;4-kSFnS+A>KN^aZR1Q|9%JOwwO(oiC|1-EdC z%3GbSk5^JhBN{6jnfj7?K84q3FV;x=S;|M~+WiGxO~|z*AYaG!Ssj_|SA!H;7GJ+3 zSIT`PyhxgcAOpmWtRF<|SN+>O#UqeH)UptKdG=5Ih2kM7?$p3%$L}AXFZ+IDq_J<gbMiTkla{O4YEzZzS zXE#~wR^o*TTfX{`d5GiwJfYZh8sCZMsIJELg!f=?WLWjbon1FNxa-I3q@3KQNc*Xp zWp0mjIWU_QR}@e*zU_4|R}3^cAi9s*4unz{fld$?$#Cv==8b*O(;gmH5KiPB;O}N@ zjS+n*_Zij}(f8f`$1KT}5R=uj?8j1kaNj74E-bQ~nI?F04zc#Ox|s>cXH#xIpkBW{ zvjElmw`-5%Wc#6C2u>>_X~*$Pq(Eb>#M{3JWBG`ld`f+=EC$+~;NG}8+B*vj+Pu5l z0-d~SIU%WHDjcyI4^fAa2}j%)lqbfss3>71xzJaz{j8H3M^P0+@d|AZ0l6VouHf=1 zpWO)(@zq&R;oSb52K=~rHY6~%=om#}8E{hgfbZS+y`ihFK~)TLYr3B%0SmuHx;j>E zA72EXNv$RD5d|K^aWvc@+%TWYuPOy_Sgusm|9X8X2-4QypPOIAyF4C;$(m+t@SkDv zB`KO3?n$4y>eGA>;17V(K}>DgHx*Say%+hPMKeG?o=5tW$v&j_EKcpy&SokpnI7%g zp4o%0@Qu8Mt|NTPG7R65`iSgpZwhr-ZJU*s2!_^`qv{h(l zsP?-kjpkPw>y<5|dwACd*>-NZf;**k_MMN;8wng|PzRzKDEf`AYI6JG?pf9XiG6%T zXLDw0tFfJ*-MpBurG}ZFFduOl%Id2D;gG8M;f>OIUiK7kYUhYa`>ouHJDW}4 z*uf}rjAMINrRQj8yGAdn!;WlXA@#hvlp*skjd5*_5c-$$?ycf$d%6%fgQ~ zPNIFK{Z<9mM7{&eeHBG#x!DUI;q2TLO|vXjaBV*Z#_On)#`dHxK0lM0#g5qt2)Uc@ z-JX1Ges=OSOp8^tvk<7PX_m8C*3f(t!;QMFzE7sLJplK>HssbF@xjxtLd@Pz$>`$| zf&F9sLa!rn1EI$5Tjy;P!sS^RAEDf?yk zw)NT8VO;dX=+EN0fHtB_+|zNN!!)CWo|lkN*|J|5O6*^JKZ^TZJ? z;l4$i;%glJ&Hh;oILKpEI0*a~pBPSEMEg)+va1Nf;aR>Mr)irx_4P4jCkSyYsFX3e z0jF?ID?V=rF}hHC?1xeEe^B|nO~ntw`D$z{N=1OQj-Xch#98jWEzf^Cw%luWk>}qR z_4w7z+p$?3(|?lB$0i5&`n)~+t!nUGl#1@@WaFy6zjc^0-$DHPv&YKQU8Al)gH|;_ zHNs;n1(_q8Mw+GoQL2hGoUg#7ZPS_*gQsU1jUDwAemT7|ZErl)GOdZ9wl6;|IJ_n;Revi`S!xtQU(f8LM)?ai1 z6+&VEw+)FCHj7>-)m|(ogZ6 zkKRo5VJJV(WE9q|@Rh$7UANs5&^Q3dt;TESW-(sXNQue1KHY@n_f3?E?szt9vY5Qw zZREA(1*}3xoY!l)n!D6hs_s6F19!u)qBF~;!H#0toD zm~Va7mA??7bKn}Wwn&2BW)38)g;e%z+pYtG+6nGf&&Yi__`ta^s@t)%GQZ@p>xLFH zSeS3lbdBU9;W^wd!Ocx0i!RS~=XG?ZqWV*5Q+1}F>rJ_Hcn(x^sZS%+9^1kb`FlRU zBzRf_$u-6EuVZb~Lfca28)B>`l|5Y6**1;XqyQ|-;ybK@A-Ko%mwQYGbXg6_^G^fZ z4)X>3$*-4hEU+Io~_BS|q{2fcR%S&wwMrd!cwPd*zUrYTxu(Ix|^j zd&S<@Vk?0WM_sinB=VIuK<@gqDKB%ot)k|*YP-1Ox4reBn%GDj`1Yu{lhh}-%|S-_ zmjgPFiY)!}(hA}I^XST?G1XF;h324)eEl1W7amsJ211h}1CD93OR?1o2@XoVS{{or z5p0XKlqC8cHUuR4JbP~Dtcm%gvFoC-eAH(0{6|u~YRY=sqT*{;=ByS)kpqrIvf%^s zkMHrg4TvV$%|Z701?H?p`Mv`>4sz$_b}NM|56#GccfH)&O(G-}9D8rMleVTT%~|mZ z5c+k{<@xE%ngv$kFx|sliMW&cC!ZRpRAAd`jCdkVzT~p^q{vmU6jQB`Tu)`zEWDBt zVL#$3!(ER%$+j$px*Uh8o#JZCoisP;%$-y}$!BioStJx&9g$T}ZRRaPt`NK=E0}