Browse Source

Processeur securisé avec ecran et clavier terminé

Faure Paul 2 years ago
parent
commit
4ca8c3ee9a

+ 1
- 1
Processeur.srcs/sources_1/new/ALU.vhd View File

67
          (others => '0');
67
          (others => '0');
68
          
68
          
69
          
69
          
70
-    intern_N <= SUB (Nb_bits);
70
+    intern_N <= SUB (Nb_bits-1);
71
     intern_Z <= '1' when (SUB = ZERO_N1) else 
71
     intern_Z <= '1' when (SUB = ZERO_N1) else 
72
          '0';
72
          '0';
73
          
73
          

+ 11
- 25
Processeur.srcs/sources_1/new/Ecran.vhd View File

76
     process
76
     process
77
     begin
77
     begin
78
         wait until CLK'event and CLK='1';
78
         wait until CLK'event and CLK='1';
79
-        if (RST = '0') then
79
+        if (RST = '0' or (Data_Av = '1' and Data_IN = Flush)) then
80
             Ecran <= (others => '0');
80
             Ecran <= (others => '0');
81
             L <= "0000000";
81
             L <= "0000000";
82
             C <= "0000000";
82
             C <= "0000000";
83
             InitialL <= "0000000";
83
             InitialL <= "0000000";
84
             Full <= '0';
84
             Full <= '0';
85
         elsif (Data_Av = '1') then
85
         elsif (Data_Av = '1') then
86
-            if (Data_IN = Flush) then
87
-                Ecran <= (others => '0');
88
-                L <= "0000000";
89
-                C <= "0000000";
90
-                InitialL <= "0000000";
91
-                Full <= '0';
92
-            elsif (Data_IN = RetourChariot) then
86
+            if (Data_IN = Delete) then
87
+                if (C > 0) then
88
+                    C <= C - 1;
89
+                    Ecran(7 * (C_Blocks * to_integer(unsigned(L)) + to_integer(unsigned(C)) - 1) to 7 * (C_Blocks * to_integer(unsigned(L)) + to_integer(unsigned(C))) - 1) <= "0000000";
90
+                end if; 
91
+            elsif (Data_In /= RetourChariot) then
92
+                Ecran(point_dereferencement_ecriture to point_dereferencement_ecriture + 6) <= Data_IN;
93
+                C <= C + 1; 
94
+            end if;
95
+            if (Data_IN = RetourChariot or (C + 1 = C_Blocks and Data_IN /= Delete)) then
93
                 C <= "0000000";
96
                 C <= "0000000";
94
                 L <= L_inc;
97
                 L <= L_inc;
95
                 if (L_inc = "0000000" or Full = '1') then
98
                 if (L_inc = "0000000" or Full = '1') then
97
                     InitialL <= InitialL_inc;
100
                     InitialL <= InitialL_inc;
98
                     Ecran(7 * C_Blocks * to_integer(unsigned(L_inc)) to 7 * C_Blocks * (to_integer(unsigned(L_inc)) + 1) - 1) <= Zero_Line;
101
                     Ecran(7 * C_Blocks * to_integer(unsigned(L_inc)) to 7 * C_Blocks * (to_integer(unsigned(L_inc)) + 1) - 1) <= Zero_Line;
99
                 end if; 
102
                 end if; 
100
-            elsif (Data_IN = Delete) then
101
-                if (C > 0) then
102
-                    C <= C - 1;
103
-                    Ecran(7 * (C_Blocks * to_integer(unsigned(L)) + to_integer(unsigned(C)) - 1) to 7 * (C_Blocks * to_integer(unsigned(L)) + to_integer(unsigned(C))) - 1) <= "0000000";
104
-                end if; 
105
-            else
106
-                Ecran(point_dereferencement_ecriture to point_dereferencement_ecriture + 6) <= Data_IN;
107
-                C <= C + 1;
108
-                if (C + 1 = C_Blocks) then
109
-                    C <= "0000000";
110
-                    L <= L_inc;
111
-                    if (L_inc = 0 or Full = '1') then
112
-                        Full <= '1';
113
-                        InitialL <= InitialL_inc;
114
-                        Ecran(7 * C_Blocks * to_integer(unsigned(L_inc)) to 7 * C_Blocks * (to_integer(unsigned(L_inc)) + 1) - 1) <= Zero_Line;
115
-                    end if;   
116
-                end if;
117
             end if;
103
             end if;
118
         end if;            
104
         end if;            
119
     end process;
105
     end process;

+ 14
- 4
Processeur.srcs/sources_1/new/Etage2-5_Registres.vhd View File

101
     signal intern_OUT_2_B : STD_LOGIC_VECTOR (Nb_bits - 1 downto 0) := (others => '0');
101
     signal intern_OUT_2_B : STD_LOGIC_VECTOR (Nb_bits - 1 downto 0) := (others => '0');
102
     signal intern_OUT_2_C : STD_LOGIC_VECTOR (Nb_bits - 1 downto 0) := (others => '0');
102
     signal intern_OUT_2_C : STD_LOGIC_VECTOR (Nb_bits - 1 downto 0) := (others => '0');
103
     
103
     
104
+    signal Request_Stopped : BOOLEAN := false;
105
+    
104
     
106
     
105
 begin
107
 begin
106
     instance_LC : LC
108
     instance_LC : LC
162
                    '1' when IN_2_Instruction = Code_Instruction_PRI else
164
                    '1' when IN_2_Instruction = Code_Instruction_PRI else
163
                    '0';
165
                    '0';
164
                    
166
                    
165
-    STD_IN_Request <= '0' when RST = '0' else
166
-                      '1' when IN_5_Instruction = Code_Instruction_GET and STD_IN_Av = '0' else
167
-                      '0';
168
-    
167
+    process
168
+    begin
169
+        wait until CLK'event and CLK='1';
170
+        if (RST='0') then
171
+            Request_Stopped <= false;
172
+        elsif (STD_IN_Av = '1') then
173
+            Request_Stopped <= true;
174
+        else
175
+            Request_Stopped <= false;
176
+        end if;
177
+    end process;
169
     
178
     
179
+   STD_IN_Request <= '1' when not(Request_Stopped) and IN_5_Instruction = Code_Instruction_GET and not(RST='0') else '0';
170
     
180
     
171
     -- Un multiplexeur pourrait être utilisé ici, mais cela n'a pas été jugé pertinent
181
     -- Un multiplexeur pourrait être utilisé ici, mais cela n'a pas été jugé pertinent
172
     Entree_BancRegistre_DATA <= (others => '0') when RST = '0' else
182
     Entree_BancRegistre_DATA <= (others => '0') when RST = '0' else

+ 7
- 3
Processeur.srcs/sources_1/new/KeyboardDriver.vhd View File

66
         if STD_IN_Request = '1' then  
66
         if STD_IN_Request = '1' then  
67
             work_in_progress <= true;
67
             work_in_progress <= true;
68
             if Data_av = '1' then
68
             if Data_av = '1' then
69
-                STD_OUT <= Zeros & Data;
70
-                STD_OUT_Av <= '1';
71
                 if (Data = "1111111") then
69
                 if (Data = "1111111") then
72
                     intern_value <= intern_value / 10;
70
                     intern_value <= intern_value / 10;
73
-                elsif (Data = "0001101") then
71
+                    STD_OUT <= Zeros & Data;
72
+                    STD_OUT_Av <= '1';
73
+                elsif (Data = "0001010") then
74
                     STD_IN <= std_logic_vector(to_unsigned(intern_value, Nb_bits));
74
                     STD_IN <= std_logic_vector(to_unsigned(intern_value, Nb_bits));
75
                     STD_IN_Av <= '1';
75
                     STD_IN_Av <= '1';
76
                     work_in_progress <= false;
76
                     work_in_progress <= false;
77
+                    STD_OUT <= Zeros & Data;
78
+                    STD_OUT_Av <= '1';
77
                 elsif (Data >= "0110000" and Data <= "0111001") then
79
                 elsif (Data >= "0110000" and Data <= "0111001") then
78
                     intern_value <= intern_value * 10 + to_integer(unsigned(Data(3 to 6)));
80
                     intern_value <= intern_value * 10 + to_integer(unsigned(Data(3 to 6)));
81
+                    STD_OUT <= Zeros & Data;
82
+                    STD_OUT_Av <= '1';
79
                 end if;
83
                 end if;
80
             end if;
84
             end if;
81
         end if; 
85
         end if; 

+ 1
- 1
Processeur.srcs/sources_1/new/KeyboardToASCII.vhd View File

32
 begin
32
 begin
33
 
33
 
34
     CodeASCII <= "0000000" when (KeyCode = x"05") else     -- F1 -> flush
34
     CodeASCII <= "0000000" when (KeyCode = x"05") else     -- F1 -> flush
35
-                 "0001101" when (KeyCode = x"5a") else    -- Enter
35
+                 "0001010" when (KeyCode = x"5a") else    -- Enter
36
                  "1111111" when (KeyCode = x"66") else   -- Del
36
                  "1111111" when (KeyCode = x"66") else   -- Del
37
                  "1000001" when (KeyCode = x"15") else    -- A
37
                  "1000001" when (KeyCode = x"15") else    -- A
38
                  "1000010" when (KeyCode = x"32") else    -- B
38
                  "1000010" when (KeyCode = x"32") else    -- B

+ 1
- 1
Processeur.srcs/sources_1/new/MemoireInstructions.vhd
File diff suppressed because it is too large
View File


+ 10
- 46
Processeur.srcs/sources_1/new/ScreenDriver.vhd View File

73
             OutDataAv <= '1';
73
             OutDataAv <= '1';
74
         elsif x_to_send then
74
         elsif x_to_send then
75
             OutData <= "1111000";
75
             OutData <= "1111000";
76
-            OutDataAv <= '1';
77
             x_to_send <= false;
76
             x_to_send <= false;
78
             work_in_progess <= true;
77
             work_in_progess <= true;
79
-            first_detected <= false;
80
         elsif work_in_progess then
78
         elsif work_in_progess then
81
-            case current_hexa is
82
-            when "0000" =>
83
-                if first_detected or compteur = Nb_bits/4 - 1 then
84
-                    OutData <= "0110000";
79
+            if (current_hexa >= "0000" and current_hexa <= "1001") then
80
+                if (not(current_hexa = "0000") or first_detected or compteur = Nb_bits/4 - 1 ) then
81
+                    OutData <= "011" & current_hexa;
82
+                    OutDataAv <= '1';
83
+                    first_detected <= true;
84
+                else
85
+                    OutDataAv <= '0';
85
                 end if;
86
                 end if;
86
-            when "0001" =>
87
-                OutData <= "0110001";
88
-            when "0010" =>
89
-                OutData <= "0110010";
90
-            when "0011" =>
91
-                OutData <= "0110011";
92
-            when "0100" =>
93
-                OutData <= "0110100";
94
-            when "0101" =>
95
-                OutData <= "0110101";
96
-            when "0110" =>
97
-                OutData <= "0110110";
98
-            when "0111" =>
99
-                OutData <= "0110111";
100
-            when "1000" =>
101
-                OutData <= "0111000";
102
-            when "1001" =>
103
-                OutData <= "0111001";
104
-            when "1010" =>
105
-                OutData <= "1000001";
106
-            when "1011" =>
107
-                OutData <= "1000010";
108
-            when "1100" =>
109
-                OutData <= "1000011";
110
-            when "1101" =>
111
-                OutData <= "1000100";
112
-            when "1110" =>
113
-                OutData <= "1000101";
114
-            when "1111" =>
115
-                OutData <= "1000110";
116
-            when others => 
117
-                OutData <= "0000001";
118
-            end case;
119
-            
120
-            
121
-            if first_detected or not (current_hexa = "0000") or compteur = Nb_bits/4 - 1 then
87
+            else 
88
+                OutData <= ("000" & current_hexa) + "0110111";
122
                 OutDataAv <= '1';
89
                 OutDataAv <= '1';
123
                 first_detected <= true;
90
                 first_detected <= true;
124
-            else 
125
-                OutDataAv <= '0';
126
-                first_detected <= false;
127
             end if;
91
             end if;
128
-            
92
+        
129
             if (compteur = Nb_bits/4 - 1) then
93
             if (compteur = Nb_bits/4 - 1) then
130
                 compteur <= 0;
94
                 compteur <= 0;
131
                 work_in_progess <= false;    
95
                 work_in_progess <= false;    

+ 2
- 2
Processeur.srcs/sources_1/new/System.vhd View File

147
                          Nb_Instructions => 32,
147
                          Nb_Instructions => 32,
148
                          Nb_Registres => 16,
148
                          Nb_Registres => 16,
149
                          Addr_registres_size => 4,
149
                          Addr_registres_size => 4,
150
-                         Memoire_Size => 32,
151
-                         Adresse_mem_size => 5,
150
+                         Memoire_Size => 64,
151
+                         Adresse_mem_size => 6,
152
                          Memoire_Adresses_Retour_Size => 4,
152
                          Memoire_Adresses_Retour_Size => 4,
153
                          Adresse_Memoire_Adresses_Retour_Size => 2)
153
                          Adresse_Memoire_Adresses_Retour_Size => 2)
154
             port map (CLK => my_CLK,
154
             port map (CLK => my_CLK,

+ 1
- 1
Processeur.xpr View File

35
     <Option Name="DSAVendor" Val="xilinx"/>
35
     <Option Name="DSAVendor" Val="xilinx"/>
36
     <Option Name="DSABoardId" Val="basys3"/>
36
     <Option Name="DSABoardId" Val="basys3"/>
37
     <Option Name="DSANumComputeUnits" Val="16"/>
37
     <Option Name="DSANumComputeUnits" Val="16"/>
38
-    <Option Name="WTXSimLaunchSim" Val="543"/>
38
+    <Option Name="WTXSimLaunchSim" Val="573"/>
39
     <Option Name="WTModelSimLaunchSim" Val="0"/>
39
     <Option Name="WTModelSimLaunchSim" Val="0"/>
40
     <Option Name="WTQuestaLaunchSim" Val="0"/>
40
     <Option Name="WTQuestaLaunchSim" Val="0"/>
41
     <Option Name="WTIesLaunchSim" Val="0"/>
41
     <Option Name="WTIesLaunchSim" Val="0"/>

+ 92
- 25
SimulationsConfig/TestSystem_behav.wcfg View File

12
       </db_ref>
12
       </db_ref>
13
    </db_ref_list>
13
    </db_ref_list>
14
    <zoom_setting>
14
    <zoom_setting>
15
-      <ZoomStartTime time="2944585505728fs"></ZoomStartTime>
16
-      <ZoomEndTime time="3965757255329fs"></ZoomEndTime>
17
-      <Cursor1Time time="7176632820000fs"></Cursor1Time>
15
+      <ZoomStartTime time="5854068601925fs"></ZoomStartTime>
16
+      <ZoomEndTime time="6116717879615fs"></ZoomEndTime>
17
+      <Cursor1Time time="7222943000000fs"></Cursor1Time>
18
    </zoom_setting>
18
    </zoom_setting>
19
    <column_width_setting>
19
    <column_width_setting>
20
       <NameColumnWidth column_width="251"></NameColumnWidth>
20
       <NameColumnWidth column_width="251"></NameColumnWidth>
21
-      <ValueColumnWidth column_width="185"></ValueColumnWidth>
21
+      <ValueColumnWidth column_width="177"></ValueColumnWidth>
22
    </column_width_setting>
22
    </column_width_setting>
23
    <WVObjectSize size="7" />
23
    <WVObjectSize size="7" />
24
    <wvobject type="logic" fp_name="/TestSystem/CLK">
24
    <wvobject type="logic" fp_name="/TestSystem/CLK">
126
          <obj_property name="ObjectShortName">B_from_4[15:0]</obj_property>
126
          <obj_property name="ObjectShortName">B_from_4[15:0]</obj_property>
127
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
127
          <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
128
       </wvobject>
128
       </wvobject>
129
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/Instruction_to_5">
130
+         <obj_property name="ElementShortName">Instruction_to_5[4:0]</obj_property>
131
+         <obj_property name="ObjectShortName">Instruction_to_5[4:0]</obj_property>
132
+         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
133
+      </wvobject>
134
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/A_to_5">
135
+         <obj_property name="ElementShortName">A_to_5[15:0]</obj_property>
136
+         <obj_property name="ObjectShortName">A_to_5[15:0]</obj_property>
137
+         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
138
+      </wvobject>
139
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/B_to_5">
140
+         <obj_property name="ElementShortName">B_to_5[15:0]</obj_property>
141
+         <obj_property name="ObjectShortName">B_to_5[15:0]</obj_property>
142
+         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
143
+      </wvobject>
129
    </wvobject>
144
    </wvobject>
130
    <wvobject type="group" fp_name="group133">
145
    <wvobject type="group" fp_name="group133">
131
       <obj_property name="label">Gestion Instructions</obj_property>
146
       <obj_property name="label">Gestion Instructions</obj_property>
132
       <obj_property name="DisplayName">label</obj_property>
147
       <obj_property name="DisplayName">label</obj_property>
133
-      <obj_property name="isExpanded"></obj_property>
134
       <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/CLK">
148
       <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/CLK">
135
          <obj_property name="ElementShortName">CLK</obj_property>
149
          <obj_property name="ElementShortName">CLK</obj_property>
136
          <obj_property name="ObjectShortName">CLK</obj_property>
150
          <obj_property name="ObjectShortName">CLK</obj_property>
154
       <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/Instruction">
168
       <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/Instruction">
155
          <obj_property name="ElementShortName">Instruction[4:0]</obj_property>
169
          <obj_property name="ElementShortName">Instruction[4:0]</obj_property>
156
          <obj_property name="ObjectShortName">Instruction[4:0]</obj_property>
170
          <obj_property name="ObjectShortName">Instruction[4:0]</obj_property>
171
+         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
157
       </wvobject>
172
       </wvobject>
158
       <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/Pointeur_instruction">
173
       <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage1/Pointeur_instruction">
159
          <obj_property name="ElementShortName">Pointeur_instruction[8:0]</obj_property>
174
          <obj_property name="ElementShortName">Pointeur_instruction[8:0]</obj_property>
188
    <wvobject type="group" fp_name="group132">
203
    <wvobject type="group" fp_name="group132">
189
       <obj_property name="label">Registres</obj_property>
204
       <obj_property name="label">Registres</obj_property>
190
       <obj_property name="DisplayName">label</obj_property>
205
       <obj_property name="DisplayName">label</obj_property>
206
+      <obj_property name="isExpanded"></obj_property>
191
       <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/CLK">
207
       <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/CLK">
192
          <obj_property name="ElementShortName">CLK</obj_property>
208
          <obj_property name="ElementShortName">CLK</obj_property>
193
          <obj_property name="ObjectShortName">CLK</obj_property>
209
          <obj_property name="ObjectShortName">CLK</obj_property>
196
          <obj_property name="ElementShortName">W</obj_property>
212
          <obj_property name="ElementShortName">W</obj_property>
197
          <obj_property name="ObjectShortName">W</obj_property>
213
          <obj_property name="ObjectShortName">W</obj_property>
198
       </wvobject>
214
       </wvobject>
215
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_2_A">
216
+         <obj_property name="ElementShortName">IN_2_A[15:0]</obj_property>
217
+         <obj_property name="ObjectShortName">IN_2_A[15:0]</obj_property>
218
+         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
219
+      </wvobject>
220
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_2_B">
221
+         <obj_property name="ElementShortName">IN_2_B[15:0]</obj_property>
222
+         <obj_property name="ObjectShortName">IN_2_B[15:0]</obj_property>
223
+         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
224
+      </wvobject>
225
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_2_C">
226
+         <obj_property name="ElementShortName">IN_2_C[15:0]</obj_property>
227
+         <obj_property name="ObjectShortName">IN_2_C[15:0]</obj_property>
228
+         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
229
+      </wvobject>
230
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_2_Instruction">
231
+         <obj_property name="ElementShortName">IN_2_Instruction[4:0]</obj_property>
232
+         <obj_property name="ObjectShortName">IN_2_Instruction[4:0]</obj_property>
233
+         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
234
+      </wvobject>
235
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_5_A">
236
+         <obj_property name="ElementShortName">IN_5_A[15:0]</obj_property>
237
+         <obj_property name="ObjectShortName">IN_5_A[15:0]</obj_property>
238
+         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
239
+      </wvobject>
240
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_5_B">
241
+         <obj_property name="ElementShortName">IN_5_B[15:0]</obj_property>
242
+         <obj_property name="ObjectShortName">IN_5_B[15:0]</obj_property>
243
+         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
244
+      </wvobject>
245
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/IN_5_Instruction">
246
+         <obj_property name="ElementShortName">IN_5_Instruction[4:0]</obj_property>
247
+         <obj_property name="ObjectShortName">IN_5_Instruction[4:0]</obj_property>
248
+         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
249
+      </wvobject>
250
+      <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/STD_IN">
251
+         <obj_property name="ElementShortName">STD_IN[15:0]</obj_property>
252
+         <obj_property name="ObjectShortName">STD_IN[15:0]</obj_property>
253
+      </wvobject>
254
+      <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/STD_IN_Av">
255
+         <obj_property name="ElementShortName">STD_IN_Av</obj_property>
256
+         <obj_property name="ObjectShortName">STD_IN_Av</obj_property>
257
+      </wvobject>
199
       <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/AddrA">
258
       <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage2_5/instance_BancRegistres/AddrA">
200
          <obj_property name="ElementShortName">AddrA[3:0]</obj_property>
259
          <obj_property name="ElementShortName">AddrA[3:0]</obj_property>
201
          <obj_property name="ObjectShortName">AddrA[3:0]</obj_property>
260
          <obj_property name="ObjectShortName">AddrA[3:0]</obj_property>
237
       <obj_property name="label">Memoire</obj_property>
296
       <obj_property name="label">Memoire</obj_property>
238
       <obj_property name="DisplayName">label</obj_property>
297
       <obj_property name="DisplayName">label</obj_property>
239
       <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/EBP">
298
       <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/EBP">
240
-         <obj_property name="ElementShortName">EBP[4:0]</obj_property>
241
-         <obj_property name="ObjectShortName">EBP[4:0]</obj_property>
299
+         <obj_property name="ElementShortName">EBP[5:0]</obj_property>
300
+         <obj_property name="ObjectShortName">EBP[5:0]</obj_property>
242
       </wvobject>
301
       </wvobject>
243
       <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/New_EBP">
302
       <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/New_EBP">
244
-         <obj_property name="ElementShortName">New_EBP[4:0]</obj_property>
245
-         <obj_property name="ObjectShortName">New_EBP[4:0]</obj_property>
303
+         <obj_property name="ElementShortName">New_EBP[5:0]</obj_property>
304
+         <obj_property name="ObjectShortName">New_EBP[5:0]</obj_property>
246
       </wvobject>
305
       </wvobject>
247
       <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/Addr_MemoireDonnees">
306
       <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/Addr_MemoireDonnees">
248
-         <obj_property name="ElementShortName">Addr_MemoireDonnees[4:0]</obj_property>
249
-         <obj_property name="ObjectShortName">Addr_MemoireDonnees[4:0]</obj_property>
307
+         <obj_property name="ElementShortName">Addr_MemoireDonnees[5:0]</obj_property>
308
+         <obj_property name="ObjectShortName">Addr_MemoireDonnees[5:0]</obj_property>
250
       </wvobject>
309
       </wvobject>
251
       <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/IN_Addr_MemoireDonnees">
310
       <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/IN_Addr_MemoireDonnees">
252
-         <obj_property name="ElementShortName">IN_Addr_MemoireDonnees[4:0]</obj_property>
253
-         <obj_property name="ObjectShortName">IN_Addr_MemoireDonnees[4:0]</obj_property>
311
+         <obj_property name="ElementShortName">IN_Addr_MemoireDonnees[5:0]</obj_property>
312
+         <obj_property name="ObjectShortName">IN_Addr_MemoireDonnees[5:0]</obj_property>
254
       </wvobject>
313
       </wvobject>
255
       <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/Addr_MemoireDonnees_EBP">
314
       <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/Addr_MemoireDonnees_EBP">
256
-         <obj_property name="ElementShortName">Addr_MemoireDonnees_EBP[4:0]</obj_property>
257
-         <obj_property name="ObjectShortName">Addr_MemoireDonnees_EBP[4:0]</obj_property>
315
+         <obj_property name="ElementShortName">Addr_MemoireDonnees_EBP[5:0]</obj_property>
316
+         <obj_property name="ObjectShortName">Addr_MemoireDonnees_EBP[5:0]</obj_property>
258
       </wvobject>
317
       </wvobject>
259
       <wvobject type="group" fp_name="group197">
318
       <wvobject type="group" fp_name="group197">
260
          <obj_property name="label">MemoireDonnees</obj_property>
319
          <obj_property name="label">MemoireDonnees</obj_property>
261
          <obj_property name="DisplayName">label</obj_property>
320
          <obj_property name="DisplayName">label</obj_property>
262
          <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/MEMORY">
321
          <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/MEMORY">
263
-            <obj_property name="ElementShortName">MEMORY[511:0]</obj_property>
264
-            <obj_property name="ObjectShortName">MEMORY[511:0]</obj_property>
322
+            <obj_property name="ElementShortName">MEMORY[1023:0]</obj_property>
323
+            <obj_property name="ObjectShortName">MEMORY[1023:0]</obj_property>
265
          </wvobject>
324
          </wvobject>
266
          <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/D_OUT">
325
          <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/D_OUT">
267
             <obj_property name="ElementShortName">D_OUT[15:0]</obj_property>
326
             <obj_property name="ElementShortName">D_OUT[15:0]</obj_property>
268
             <obj_property name="ObjectShortName">D_OUT[15:0]</obj_property>
327
             <obj_property name="ObjectShortName">D_OUT[15:0]</obj_property>
269
          </wvobject>
328
          </wvobject>
270
          <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/Addr">
329
          <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/Addr">
271
-            <obj_property name="ElementShortName">Addr[4:0]</obj_property>
272
-            <obj_property name="ObjectShortName">Addr[4:0]</obj_property>
330
+            <obj_property name="ElementShortName">Addr[5:0]</obj_property>
331
+            <obj_property name="ObjectShortName">Addr[5:0]</obj_property>
273
          </wvobject>
332
          </wvobject>
274
          <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/RW">
333
          <wvobject type="logic" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireDonnees/RW">
275
             <obj_property name="ElementShortName">RW</obj_property>
334
             <obj_property name="ElementShortName">RW</obj_property>
316
             <obj_property name="ObjectShortName">W</obj_property>
375
             <obj_property name="ObjectShortName">W</obj_property>
317
          </wvobject>
376
          </wvobject>
318
          <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/D_IN">
377
          <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/D_IN">
319
-            <obj_property name="ElementShortName">D_IN[4:0]</obj_property>
320
-            <obj_property name="ObjectShortName">D_IN[4:0]</obj_property>
378
+            <obj_property name="ElementShortName">D_IN[5:0]</obj_property>
379
+            <obj_property name="ObjectShortName">D_IN[5:0]</obj_property>
321
          </wvobject>
380
          </wvobject>
322
          <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/D_OUT">
381
          <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/D_OUT">
323
-            <obj_property name="ElementShortName">D_OUT[4:0]</obj_property>
324
-            <obj_property name="ObjectShortName">D_OUT[4:0]</obj_property>
382
+            <obj_property name="ElementShortName">D_OUT[5:0]</obj_property>
383
+            <obj_property name="ObjectShortName">D_OUT[5:0]</obj_property>
325
          </wvobject>
384
          </wvobject>
326
          <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/MEMORY">
385
          <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/MEMORY">
327
-            <obj_property name="ElementShortName">MEMORY[19:0]</obj_property>
328
-            <obj_property name="ObjectShortName">MEMORY[19:0]</obj_property>
386
+            <obj_property name="ElementShortName">MEMORY[23:0]</obj_property>
387
+            <obj_property name="ObjectShortName">MEMORY[23:0]</obj_property>
329
          </wvobject>
388
          </wvobject>
330
          <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/Addr">
389
          <wvobject type="array" fp_name="/TestSystem/instance/instance/instance_securisee/instance_Etage4/instance_MemoireEBP/Addr">
331
             <obj_property name="ElementShortName">Addr[2:0]</obj_property>
390
             <obj_property name="ElementShortName">Addr[2:0]</obj_property>
336
    <wvobject type="group" fp_name="group136">
395
    <wvobject type="group" fp_name="group136">
337
       <obj_property name="label">PeripheriqueEcran</obj_property>
396
       <obj_property name="label">PeripheriqueEcran</obj_property>
338
       <obj_property name="DisplayName">label</obj_property>
397
       <obj_property name="DisplayName">label</obj_property>
398
+      <obj_property name="isExpanded"></obj_property>
339
       <wvobject type="group" fp_name="group216">
399
       <wvobject type="group" fp_name="group216">
340
          <obj_property name="label">VGAControleur</obj_property>
400
          <obj_property name="label">VGAControleur</obj_property>
341
          <obj_property name="DisplayName">label</obj_property>
401
          <obj_property name="DisplayName">label</obj_property>
383
       <wvobject type="group" fp_name="group217">
443
       <wvobject type="group" fp_name="group217">
384
          <obj_property name="label">Ecran</obj_property>
444
          <obj_property name="label">Ecran</obj_property>
385
          <obj_property name="DisplayName">label</obj_property>
445
          <obj_property name="DisplayName">label</obj_property>
446
+         <obj_property name="isExpanded"></obj_property>
386
          <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/CLK">
447
          <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/CLK">
387
             <obj_property name="ElementShortName">CLK</obj_property>
448
             <obj_property name="ElementShortName">CLK</obj_property>
388
             <obj_property name="ObjectShortName">CLK</obj_property>
449
             <obj_property name="ObjectShortName">CLK</obj_property>
394
          <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/Data_IN">
455
          <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/Data_IN">
395
             <obj_property name="ElementShortName">Data_IN[0:6]</obj_property>
456
             <obj_property name="ElementShortName">Data_IN[0:6]</obj_property>
396
             <obj_property name="ObjectShortName">Data_IN[0:6]</obj_property>
457
             <obj_property name="ObjectShortName">Data_IN[0:6]</obj_property>
458
+            <obj_property name="Radix">BINARYRADIX</obj_property>
397
          </wvobject>
459
          </wvobject>
398
          <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/X">
460
          <wvobject type="other" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/X">
399
             <obj_property name="ElementShortName">X</obj_property>
461
             <obj_property name="ElementShortName">X</obj_property>
410
          <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/Ecran">
472
          <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/Ecran">
411
             <obj_property name="ElementShortName">Ecran[0:2239]</obj_property>
473
             <obj_property name="ElementShortName">Ecran[0:2239]</obj_property>
412
             <obj_property name="ObjectShortName">Ecran[0:2239]</obj_property>
474
             <obj_property name="ObjectShortName">Ecran[0:2239]</obj_property>
475
+            <obj_property name="Radix">HEXRADIX</obj_property>
413
          </wvobject>
476
          </wvobject>
414
          <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/L">
477
          <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/L">
415
             <obj_property name="ElementShortName">L[0:6]</obj_property>
478
             <obj_property name="ElementShortName">L[0:6]</obj_property>
418
          <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/C">
481
          <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/C">
419
             <obj_property name="ElementShortName">C[0:6]</obj_property>
482
             <obj_property name="ElementShortName">C[0:6]</obj_property>
420
             <obj_property name="ObjectShortName">C[0:6]</obj_property>
483
             <obj_property name="ObjectShortName">C[0:6]</obj_property>
484
+            <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
421
          </wvobject>
485
          </wvobject>
422
          <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/InitialL">
486
          <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_ecran/instance_Ecran/InitialL">
423
             <obj_property name="ElementShortName">InitialL[0:6]</obj_property>
487
             <obj_property name="ElementShortName">InitialL[0:6]</obj_property>
500
    <wvobject type="group" fp_name="group159">
564
    <wvobject type="group" fp_name="group159">
501
       <obj_property name="label">Peripherique Clavier</obj_property>
565
       <obj_property name="label">Peripherique Clavier</obj_property>
502
       <obj_property name="DisplayName">label</obj_property>
566
       <obj_property name="DisplayName">label</obj_property>
567
+      <obj_property name="isExpanded"></obj_property>
503
       <wvobject type="group" fp_name="group160">
568
       <wvobject type="group" fp_name="group160">
504
          <obj_property name="label">Keyboard</obj_property>
569
          <obj_property name="label">Keyboard</obj_property>
505
          <obj_property name="DisplayName">label</obj_property>
570
          <obj_property name="DisplayName">label</obj_property>
535
       <wvobject type="group" fp_name="group161">
600
       <wvobject type="group" fp_name="group161">
536
          <obj_property name="label">KeyboardDriver</obj_property>
601
          <obj_property name="label">KeyboardDriver</obj_property>
537
          <obj_property name="DisplayName">label</obj_property>
602
          <obj_property name="DisplayName">label</obj_property>
603
+         <obj_property name="isExpanded"></obj_property>
538
          <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/CLK">
604
          <wvobject type="logic" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/CLK">
539
             <obj_property name="ElementShortName">CLK</obj_property>
605
             <obj_property name="ElementShortName">CLK</obj_property>
540
             <obj_property name="ObjectShortName">CLK</obj_property>
606
             <obj_property name="ObjectShortName">CLK</obj_property>
550
          <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/Data">
616
          <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/Data">
551
             <obj_property name="ElementShortName">Data[0:6]</obj_property>
617
             <obj_property name="ElementShortName">Data[0:6]</obj_property>
552
             <obj_property name="ObjectShortName">Data[0:6]</obj_property>
618
             <obj_property name="ObjectShortName">Data[0:6]</obj_property>
619
+            <obj_property name="Radix">BINARYRADIX</obj_property>
553
          </wvobject>
620
          </wvobject>
554
          <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/STD_IN">
621
          <wvobject type="array" fp_name="/TestSystem/instance/instance_perif_clavier/instance_KeyboardDriver/STD_IN">
555
             <obj_property name="ElementShortName">STD_IN[15:0]</obj_property>
622
             <obj_property name="ElementShortName">STD_IN[15:0]</obj_property>

Loading…
Cancel
Save