Browse Source

Hello world OK, TAF : Add marges, changer interligne, add keyboard

Faure Paul 2 years ago
parent
commit
0ad8e7fd55
2 changed files with 20 additions and 8 deletions
  1. 1
    1
      Processeur.srcs/sources_1/new/font.vhd
  2. 19
    7
      Processeur.xpr

+ 1
- 1
Processeur.srcs/sources_1/new/font.vhd View File

6
     constant font_width  : natural := 8;
6
     constant font_width  : natural := 8;
7
     constant font_height : natural := 8;
7
     constant font_height : natural := 8;
8
     
8
     
9
-    type font_T is array (0 to font_height - 1, 0 to font_width - 1) of STD_LOGIC;
9
+    type font_T is array (0 to font_height - 1, font_width - 1 downto 0) of STD_LOGIC;
10
     
10
     
11
 end package;
11
 end package;

+ 19
- 7
Processeur.xpr View File

1
 <?xml version="1.0" encoding="UTF-8"?>
1
 <?xml version="1.0" encoding="UTF-8"?>
2
-<!-- Product Version: Vivado v2016.4 (64-bit)              -->
2
+<!-- Product Version: Vivado v2018.2 (64-bit)              -->
3
 <!--                                                         -->
3
 <!--                                                         -->
4
-<!-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.   -->
4
+<!-- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.   -->
5
 
5
 
6
-<Project Version="7" Minor="17" Path="/home/paulfaure/Documents/4A/PSI/Processeur/Processeur.xpr">
6
+<Project Version="7" Minor="38" Path="/home/pfaure/Documents/PSI/Processeur/Processeur.xpr">
7
   <DefaultLaunch Dir="$PRUNDIR"/>
7
   <DefaultLaunch Dir="$PRUNDIR"/>
8
   <Configuration>
8
   <Configuration>
9
     <Option Name="Id" Val="c2fc77f80b2a4a04afc3ac9eb7900c74"/>
9
     <Option Name="Id" Val="c2fc77f80b2a4a04afc3ac9eb7900c74"/>
13
     <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/>
13
     <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/>
14
     <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/>
14
     <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/>
15
     <Option Name="CompiledLibDirIES" Val="$PCACHEDIR/compile_simlib/ies"/>
15
     <Option Name="CompiledLibDirIES" Val="$PCACHEDIR/compile_simlib/ies"/>
16
+    <Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/>
16
     <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/>
17
     <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/>
17
     <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/>
18
     <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/>
18
     <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/>
19
     <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/>
22
     <Option Name="SourceMgmtMode" Val="DisplayOnly"/>
23
     <Option Name="SourceMgmtMode" Val="DisplayOnly"/>
23
     <Option Name="ActiveSimSet" Val="sim_1"/>
24
     <Option Name="ActiveSimSet" Val="sim_1"/>
24
     <Option Name="DefaultLib" Val="xil_defaultlib"/>
25
     <Option Name="DefaultLib" Val="xil_defaultlib"/>
26
+    <Option Name="ProjectType" Val="Default"/>
25
     <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/>
27
     <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/>
26
     <Option Name="IPCachePermission" Val="read"/>
28
     <Option Name="IPCachePermission" Val="read"/>
27
     <Option Name="IPCachePermission" Val="write"/>
29
     <Option Name="IPCachePermission" Val="write"/>
28
     <Option Name="EnableCoreContainer" Val="FALSE"/>
30
     <Option Name="EnableCoreContainer" Val="FALSE"/>
29
     <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/>
31
     <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/>
30
-    <Option Name="IPUserFilesDir" Val="$PPRDIR/Processeur.ip_user_files"/>
31
-    <Option Name="IPStaticSourceDir" Val="$PPRDIR/Processeur.ip_user_files/ipstatic"/>
32
+    <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/>
33
+    <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>
32
     <Option Name="EnableBDX" Val="FALSE"/>
34
     <Option Name="EnableBDX" Val="FALSE"/>
35
+    <Option Name="DSAVendor" Val="xilinx"/>
33
     <Option Name="DSABoardId" Val="basys3"/>
36
     <Option Name="DSABoardId" Val="basys3"/>
34
     <Option Name="DSANumComputeUnits" Val="16"/>
37
     <Option Name="DSANumComputeUnits" Val="16"/>
35
     <Option Name="WTXSimLaunchSim" Val="420"/>
38
     <Option Name="WTXSimLaunchSim" Val="420"/>
51
     <Option Name="XSimTimeUnit" Val="ns"/>
54
     <Option Name="XSimTimeUnit" Val="ns"/>
52
     <Option Name="XSimArrayDisplayLimit" Val="64"/>
55
     <Option Name="XSimArrayDisplayLimit" Val="64"/>
53
     <Option Name="XSimTraceLimit" Val="65536"/>
56
     <Option Name="XSimTraceLimit" Val="65536"/>
57
+    <Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/>
54
   </Configuration>
58
   </Configuration>
55
   <FileSets Version="1" Minor="31">
59
   <FileSets Version="1" Minor="31">
56
     <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1">
60
     <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1">
356
     <Simulator Name="IES">
360
     <Simulator Name="IES">
357
       <Option Name="Description" Val="Incisive Enterprise Simulator (IES)"/>
361
       <Option Name="Description" Val="Incisive Enterprise Simulator (IES)"/>
358
     </Simulator>
362
     </Simulator>
363
+    <Simulator Name="Xcelium">
364
+      <Option Name="Description" Val="Xcelium Parallel Simulator"/>
365
+    </Simulator>
359
     <Simulator Name="VCS">
366
     <Simulator Name="VCS">
360
       <Option Name="Description" Val="Verilog Compiler Simulator (VCS)"/>
367
       <Option Name="Description" Val="Verilog Compiler Simulator (VCS)"/>
361
     </Simulator>
368
     </Simulator>
364
     </Simulator>
371
     </Simulator>
365
   </Simulators>
372
   </Simulators>
366
   <Runs Version="1" Minor="10">
373
   <Runs Version="1" Minor="10">
367
-    <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a35tcpg236-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" State="current" IncludeInArchive="true">
374
+    <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a35tcpg236-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true">
368
       <Strategy Version="1" Minor="2">
375
       <Strategy Version="1" Minor="2">
369
         <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2016"/>
376
         <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2016"/>
370
         <Step Id="synth_design"/>
377
         <Step Id="synth_design"/>
371
       </Strategy>
378
       </Strategy>
379
+      <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
380
+      <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2017"/>
372
       <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
381
       <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
373
     </Run>
382
     </Run>
374
-    <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a35tcpg236-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." State="current" SynthRun="synth_1" IncludeInArchive="true">
383
+    <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a35tcpg236-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" GenFullBitstream="false">
375
       <Strategy Version="1" Minor="2">
384
       <Strategy Version="1" Minor="2">
376
         <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2016"/>
385
         <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2016"/>
377
         <Step Id="init_design"/>
386
         <Step Id="init_design"/>
384
         <Step Id="post_route_phys_opt_design"/>
393
         <Step Id="post_route_phys_opt_design"/>
385
         <Step Id="write_bitstream"/>
394
         <Step Id="write_bitstream"/>
386
       </Strategy>
395
       </Strategy>
396
+      <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
397
+      <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2017"/>
387
       <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
398
       <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
388
     </Run>
399
     </Run>
389
   </Runs>
400
   </Runs>
401
+  <Board/>
390
 </Project>
402
 </Project>

Loading…
Cancel
Save