Browse Source

Fixing some warnings

Paul Faure 2 years ago
parent
commit
805b597ab6
1 changed files with 5 additions and 17 deletions
  1. 5
    17
      Processeur.xpr

+ 5
- 17
Processeur.xpr View File

3
 <!--                                                         -->
3
 <!--                                                         -->
4
 <!-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.   -->
4
 <!-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.   -->
5
 
5
 
6
-<Project Version="7" Minor="17" Path="C:/Users/Hp/Documents/Compteur8BitsBasys3/Processeur.xpr">
6
+<Project Version="7" Minor="17" Path="C:/Users/Hp/Documents/TestGITProcesseur/FPGA_PIR/Processeur.xpr">
7
   <DefaultLaunch Dir="$PRUNDIR"/>
7
   <DefaultLaunch Dir="$PRUNDIR"/>
8
   <Configuration>
8
   <Configuration>
9
     <Option Name="Id" Val="c2fc77f80b2a4a04afc3ac9eb7900c74"/>
9
     <Option Name="Id" Val="c2fc77f80b2a4a04afc3ac9eb7900c74"/>
32
     <Option Name="EnableBDX" Val="FALSE"/>
32
     <Option Name="EnableBDX" Val="FALSE"/>
33
     <Option Name="DSABoardId" Val="basys3"/>
33
     <Option Name="DSABoardId" Val="basys3"/>
34
     <Option Name="DSANumComputeUnits" Val="16"/>
34
     <Option Name="DSANumComputeUnits" Val="16"/>
35
-    <Option Name="WTXSimLaunchSim" Val="230"/>
35
+    <Option Name="WTXSimLaunchSim" Val="231"/>
36
     <Option Name="WTModelSimLaunchSim" Val="0"/>
36
     <Option Name="WTModelSimLaunchSim" Val="0"/>
37
     <Option Name="WTQuestaLaunchSim" Val="0"/>
37
     <Option Name="WTQuestaLaunchSim" Val="0"/>
38
     <Option Name="WTIesLaunchSim" Val="0"/>
38
     <Option Name="WTIesLaunchSim" Val="0"/>
149
       <Filter Type="Constrs"/>
149
       <Filter Type="Constrs"/>
150
       <File Path="$PSRCDIR/constrs_1/imports/digilent-xdc-master/Basys-3-Master.xdc">
150
       <File Path="$PSRCDIR/constrs_1/imports/digilent-xdc-master/Basys-3-Master.xdc">
151
         <FileInfo>
151
         <FileInfo>
152
-          <Attr Name="ImportPath" Val="$PPRDIR/../../../../Xilinx/digilent-xdc-master/Basys-3-Master.xdc"/>
152
+          <Attr Name="ImportPath" Val="$PPRDIR/../../../../../Xilinx/digilent-xdc-master/Basys-3-Master.xdc"/>
153
           <Attr Name="ImportTime" Val="1614979917"/>
153
           <Attr Name="ImportTime" Val="1614979917"/>
154
           <Attr Name="UsedIn" Val="synthesis"/>
154
           <Attr Name="UsedIn" Val="synthesis"/>
155
           <Attr Name="UsedIn" Val="implementation"/>
155
           <Attr Name="UsedIn" Val="implementation"/>
233
           <Attr Name="UsedIn" Val="simulation"/>
233
           <Attr Name="UsedIn" Val="simulation"/>
234
         </FileInfo>
234
         </FileInfo>
235
       </File>
235
       </File>
236
-      <File Path="$PSIMDIR/sim_1/behav/xsim.dir/Test_Pipeline_behav/webtalk/Test_Pipeline_behav.wcfg">
237
-        <FileInfo>
238
-          <Attr Name="UsedIn" Val="simulation"/>
239
-        </FileInfo>
240
-      </File>
241
-      <File Path="$PSIMDIR/sim_1/behav/Test_Pipeline_behav.wcfg">
242
-        <FileInfo>
243
-          <Attr Name="UsedIn" Val="simulation"/>
244
-        </FileInfo>
245
-      </File>
246
       <Config>
236
       <Config>
247
         <Option Name="DesignMode" Val="RTL"/>
237
         <Option Name="DesignMode" Val="RTL"/>
248
         <Option Name="TopModule" Val="Test_Pipeline"/>
238
         <Option Name="TopModule" Val="Test_Pipeline"/>
275
     </Simulator>
265
     </Simulator>
276
   </Simulators>
266
   </Simulators>
277
   <Runs Version="1" Minor="10">
267
   <Runs Version="1" Minor="10">
278
-    <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a35tcpg236-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true">
268
+    <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a35tcpg236-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" State="current" IncludeInArchive="true">
279
       <Strategy Version="1" Minor="2">
269
       <Strategy Version="1" Minor="2">
280
         <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2016"/>
270
         <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2016"/>
281
         <Step Id="synth_design"/>
271
         <Step Id="synth_design"/>
282
       </Strategy>
272
       </Strategy>
283
-      <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
284
       <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
273
       <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
285
     </Run>
274
     </Run>
286
-    <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a35tcpg236-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true">
275
+    <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a35tcpg236-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." State="current" SynthRun="synth_1" IncludeInArchive="true">
287
       <Strategy Version="1" Minor="2">
276
       <Strategy Version="1" Minor="2">
288
         <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2016"/>
277
         <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2016"/>
289
         <Step Id="init_design"/>
278
         <Step Id="init_design"/>
296
         <Step Id="post_route_phys_opt_design"/>
285
         <Step Id="post_route_phys_opt_design"/>
297
         <Step Id="write_bitstream"/>
286
         <Step Id="write_bitstream"/>
298
       </Strategy>
287
       </Strategy>
299
-      <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
300
       <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
288
       <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
301
     </Run>
289
     </Run>
302
   </Runs>
290
   </Runs>

Loading…
Cancel
Save