No Description
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

test_store.wcfg 9.1KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165
  1. <?xml version="1.0" encoding="UTF-8"?>
  2. <wave_config>
  3. <wave_state>
  4. </wave_state>
  5. <db_ref_list>
  6. <db_ref path="/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/process_test_isim_beh.wdb" id="1" type="auto">
  7. <top_modules>
  8. <top_module name="numeric_std" />
  9. <top_module name="process_test" />
  10. <top_module name="std_logic_1164" />
  11. <top_module name="std_logic_arith" />
  12. <top_module name="std_logic_unsigned" />
  13. </top_modules>
  14. </db_ref>
  15. </db_ref_list>
  16. <WVObjectSize size="37" />
  17. <wvobject fp_name="/process_test/clk" type="logic" db_ref_id="1">
  18. <obj_property name="ElementShortName">clk</obj_property>
  19. <obj_property name="ObjectShortName">clk</obj_property>
  20. </wvobject>
  21. <wvobject fp_name="/process_test/rst" type="logic" db_ref_id="1">
  22. <obj_property name="ElementShortName">rst</obj_property>
  23. <obj_property name="ObjectShortName">rst</obj_property>
  24. </wvobject>
  25. <wvobject fp_name="/process_test/clk_period" type="other" db_ref_id="1">
  26. <obj_property name="ElementShortName">clk_period</obj_property>
  27. <obj_property name="ObjectShortName">clk_period</obj_property>
  28. </wvobject>
  29. <wvobject fp_name="/process_test/uut/addr_instructions/out_data" type="array" db_ref_id="1">
  30. <obj_property name="ElementShortName">out_data[31:0]</obj_property>
  31. <obj_property name="ObjectShortName">out_data[31:0]</obj_property>
  32. </wvobject>
  33. <wvobject fp_name="/process_test/uut/LI_LD/op_in" type="array" db_ref_id="1">
  34. <obj_property name="ElementShortName">op_in[7:0]</obj_property>
  35. <obj_property name="ObjectShortName">op_in[7:0]</obj_property>
  36. </wvobject>
  37. <wvobject fp_name="/process_test/uut/LI_LD/a_in" type="array" db_ref_id="1">
  38. <obj_property name="ElementShortName">a_in[7:0]</obj_property>
  39. <obj_property name="ObjectShortName">a_in[7:0]</obj_property>
  40. </wvobject>
  41. <wvobject fp_name="/process_test/uut/LI_LD/b_in" type="array" db_ref_id="1">
  42. <obj_property name="ElementShortName">b_in[7:0]</obj_property>
  43. <obj_property name="ObjectShortName">b_in[7:0]</obj_property>
  44. </wvobject>
  45. <wvobject fp_name="/process_test/uut/LI_LD/op_out" type="array" db_ref_id="1">
  46. <obj_property name="ElementShortName">op_out[7:0]</obj_property>
  47. <obj_property name="ObjectShortName">op_out[7:0]</obj_property>
  48. </wvobject>
  49. <wvobject fp_name="/process_test/uut/LI_LD/a_out" type="array" db_ref_id="1">
  50. <obj_property name="ElementShortName">a_out[7:0]</obj_property>
  51. <obj_property name="ObjectShortName">a_out[7:0]</obj_property>
  52. </wvobject>
  53. <wvobject fp_name="/process_test/uut/LI_LD/b_out" type="array" db_ref_id="1">
  54. <obj_property name="ElementShortName">b_out[7:0]</obj_property>
  55. <obj_property name="ObjectShortName">b_out[7:0]</obj_property>
  56. </wvobject>
  57. <wvobject fp_name="/process_test/uut/DI_EX/op_in" type="array" db_ref_id="1">
  58. <obj_property name="ElementShortName">op_in[7:0]</obj_property>
  59. <obj_property name="ObjectShortName">op_in[7:0]</obj_property>
  60. </wvobject>
  61. <wvobject fp_name="/process_test/uut/DI_EX/a_in" type="array" db_ref_id="1">
  62. <obj_property name="ElementShortName">a_in[7:0]</obj_property>
  63. <obj_property name="ObjectShortName">a_in[7:0]</obj_property>
  64. </wvobject>
  65. <wvobject fp_name="/process_test/uut/DI_EX/b_in" type="array" db_ref_id="1">
  66. <obj_property name="ElementShortName">b_in[7:0]</obj_property>
  67. <obj_property name="ObjectShortName">b_in[7:0]</obj_property>
  68. </wvobject>
  69. <wvobject fp_name="/process_test/uut/DI_EX/op_out" type="array" db_ref_id="1">
  70. <obj_property name="ElementShortName">op_out[7:0]</obj_property>
  71. <obj_property name="ObjectShortName">op_out[7:0]</obj_property>
  72. </wvobject>
  73. <wvobject fp_name="/process_test/uut/DI_EX/a_out" type="array" db_ref_id="1">
  74. <obj_property name="ElementShortName">a_out[7:0]</obj_property>
  75. <obj_property name="ObjectShortName">a_out[7:0]</obj_property>
  76. </wvobject>
  77. <wvobject fp_name="/process_test/uut/DI_EX/b_out" type="array" db_ref_id="1">
  78. <obj_property name="ElementShortName">b_out[7:0]</obj_property>
  79. <obj_property name="ObjectShortName">b_out[7:0]</obj_property>
  80. </wvobject>
  81. <wvobject fp_name="/process_test/uut/EX_Mem/op_in" type="array" db_ref_id="1">
  82. <obj_property name="ElementShortName">op_in[7:0]</obj_property>
  83. <obj_property name="ObjectShortName">op_in[7:0]</obj_property>
  84. </wvobject>
  85. <wvobject fp_name="/process_test/uut/EX_Mem/a_in" type="array" db_ref_id="1">
  86. <obj_property name="ElementShortName">a_in[7:0]</obj_property>
  87. <obj_property name="ObjectShortName">a_in[7:0]</obj_property>
  88. </wvobject>
  89. <wvobject fp_name="/process_test/uut/EX_Mem/b_in" type="array" db_ref_id="1">
  90. <obj_property name="ElementShortName">b_in[7:0]</obj_property>
  91. <obj_property name="ObjectShortName">b_in[7:0]</obj_property>
  92. </wvobject>
  93. <wvobject fp_name="/process_test/uut/EX_Mem/op_out" type="array" db_ref_id="1">
  94. <obj_property name="ElementShortName">op_out[7:0]</obj_property>
  95. <obj_property name="ObjectShortName">op_out[7:0]</obj_property>
  96. </wvobject>
  97. <wvobject fp_name="/process_test/uut/EX_Mem/a_out" type="array" db_ref_id="1">
  98. <obj_property name="ElementShortName">a_out[7:0]</obj_property>
  99. <obj_property name="ObjectShortName">a_out[7:0]</obj_property>
  100. </wvobject>
  101. <wvobject fp_name="/process_test/uut/EX_Mem/b_out" type="array" db_ref_id="1">
  102. <obj_property name="ElementShortName">b_out[7:0]</obj_property>
  103. <obj_property name="ObjectShortName">b_out[7:0]</obj_property>
  104. </wvobject>
  105. <wvobject fp_name="/process_test/uut/data_memory/in_addr" type="array" db_ref_id="1">
  106. <obj_property name="ElementShortName">in_addr[7:0]</obj_property>
  107. <obj_property name="ObjectShortName">in_addr[7:0]</obj_property>
  108. </wvobject>
  109. <wvobject fp_name="/process_test/uut/data_memory/in_data" type="array" db_ref_id="1">
  110. <obj_property name="ElementShortName">in_data[7:0]</obj_property>
  111. <obj_property name="ObjectShortName">in_data[7:0]</obj_property>
  112. </wvobject>
  113. <wvobject fp_name="/process_test/uut/data_memory/rw" type="logic" db_ref_id="1">
  114. <obj_property name="ElementShortName">rw</obj_property>
  115. <obj_property name="ObjectShortName">rw</obj_property>
  116. </wvobject>
  117. <wvobject fp_name="/process_test/uut/data_memory/out_data" type="array" db_ref_id="1">
  118. <obj_property name="ElementShortName">out_data[7:0]</obj_property>
  119. <obj_property name="ObjectShortName">out_data[7:0]</obj_property>
  120. </wvobject>
  121. <wvobject fp_name="/process_test/uut/data_memory/data_memory" type="array" db_ref_id="1">
  122. <obj_property name="ElementShortName">data_memory[0:255]</obj_property>
  123. <obj_property name="ObjectShortName">data_memory[0:255]</obj_property>
  124. </wvobject>
  125. <wvobject fp_name="/process_test/uut/Mem_RE/a_in" type="array" db_ref_id="1">
  126. <obj_property name="ElementShortName">a_in[7:0]</obj_property>
  127. <obj_property name="ObjectShortName">a_in[7:0]</obj_property>
  128. </wvobject>
  129. <wvobject fp_name="/process_test/uut/Mem_RE/op_in" type="array" db_ref_id="1">
  130. <obj_property name="ElementShortName">op_in[7:0]</obj_property>
  131. <obj_property name="ObjectShortName">op_in[7:0]</obj_property>
  132. </wvobject>
  133. <wvobject fp_name="/process_test/uut/Mem_RE/b_in" type="array" db_ref_id="1">
  134. <obj_property name="ElementShortName">b_in[7:0]</obj_property>
  135. <obj_property name="ObjectShortName">b_in[7:0]</obj_property>
  136. </wvobject>
  137. <wvobject fp_name="/process_test/uut/Mem_RE/op_out" type="array" db_ref_id="1">
  138. <obj_property name="ElementShortName">op_out[7:0]</obj_property>
  139. <obj_property name="ObjectShortName">op_out[7:0]</obj_property>
  140. </wvobject>
  141. <wvobject fp_name="/process_test/uut/Mem_RE/a_out" type="array" db_ref_id="1">
  142. <obj_property name="ElementShortName">a_out[7:0]</obj_property>
  143. <obj_property name="ObjectShortName">a_out[7:0]</obj_property>
  144. </wvobject>
  145. <wvobject fp_name="/process_test/uut/Mem_RE/b_out" type="array" db_ref_id="1">
  146. <obj_property name="ElementShortName">b_out[7:0]</obj_property>
  147. <obj_property name="ObjectShortName">b_out[7:0]</obj_property>
  148. </wvobject>
  149. <wvobject fp_name="/process_test/uut/banc_registres/registres" type="array" db_ref_id="1">
  150. <obj_property name="ElementShortName">registres[0:15]</obj_property>
  151. <obj_property name="ObjectShortName">registres[0:15]</obj_property>
  152. </wvobject>
  153. <wvobject fp_name="/process_test/uut/banc_registres/w_addr" type="array" db_ref_id="1">
  154. <obj_property name="ElementShortName">w_addr[3:0]</obj_property>
  155. <obj_property name="ObjectShortName">w_addr[3:0]</obj_property>
  156. </wvobject>
  157. <wvobject fp_name="/process_test/uut/banc_registres/w" type="logic" db_ref_id="1">
  158. <obj_property name="ElementShortName">w</obj_property>
  159. <obj_property name="ObjectShortName">w</obj_property>
  160. </wvobject>
  161. <wvobject fp_name="/process_test/uut/banc_registres/data" type="array" db_ref_id="1">
  162. <obj_property name="ElementShortName">data[7:0]</obj_property>
  163. <obj_property name="ObjectShortName">data[7:0]</obj_property>
  164. </wvobject>
  165. </wave_config>