No Description
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

isim.log 2.0KB

123456789101112131415161718192021222324252627282930313233
  1. ISim log file
  2. Running: /home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/alu_test_isim_beh.exe -intstyle ise -gui -tclbatch isim.cmd -wdb /home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/alu_test_isim_beh.wdb
  3. ISim O.87xd (signature 0x8ddf5b5d)
  4. WARNING: A WEBPACK license was found.
  5. WARNING: Please use Xilinx License Configuration Manager to check out a full ISim license.
  6. WARNING: ISim will run in Lite mode. Please refer to the ISim documentation for more information on the differences between the Lite and the Full version.
  7. This is a Lite version of ISim.
  8. Time resolution is 1 ps
  9. # onerror resume
  10. # wave add /
  11. # run 1000 ns
  12. Simulator is doing circuit initialization process.
  13. at 0 ps, Instance /alu_test/uut/ : Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
  14. Finished circuit initialization process.
  15. ISim O.87xd (signature 0x8ddf5b5d)
  16. WARNING: A WEBPACK license was found.
  17. WARNING: Please use Xilinx License Configuration Manager to check out a full ISim license.
  18. WARNING: ISim will run in Lite mode. Please refer to the ISim documentation for more information on the differences between the Lite and the Full version.
  19. This is a Lite version of ISim.
  20. # run 1000 ns
  21. Simulator is doing circuit initialization process.
  22. at 0 ps, Instance /alu_test/uut/ : Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
  23. Finished circuit initialization process.
  24. ISim O.87xd (signature 0x8ddf5b5d)
  25. WARNING: A WEBPACK license was found.
  26. WARNING: Please use Xilinx License Configuration Manager to check out a full ISim license.
  27. WARNING: ISim will run in Lite mode. Please refer to the ISim documentation for more information on the differences between the Lite and the Full version.
  28. This is a Lite version of ISim.
  29. # run 1000 ns
  30. Simulator is doing circuit initialization process.
  31. at 0 ps, Instance /alu_test/uut/ : Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
  32. Finished circuit initialization process.
  33. # exit 0