/**********************************************************************/ /* ____ ____ */ /* / /\/ / */ /* /___/ \ / */ /* \ \ \/ */ /* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ /* / / All Right Reserved. */ /* /---/ /\ */ /* \ \ / \ */ /* \___\/\___\ */ /***********************************************************************/ /* This file is designed for use with ISim build 0x8ddf5b5d */ #define XSI_HIDE_SYMBOL_SPEC true #include "xsi.h" #include #ifdef __GNUC__ #include #else #include #define alloca _alloca #endif static const char *ng0 = "/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/bm.vhd"; extern char *IEEE_P_2592010699; extern char *IEEE_P_1242562249; int ieee_p_1242562249_sub_17802405650254020620_1035706684(char *, char *, char *); unsigned char ieee_p_2592010699_sub_2763492388968962707_503743352(char *, char *, unsigned int , unsigned int ); static void work_a_1466808984_3212880686_p_0(char *t0) { char *t1; char *t2; char *t3; unsigned char t4; char *t5; unsigned char t6; char *t7; int t8; int t9; unsigned int t10; unsigned int t11; unsigned int t12; char *t13; char *t14; char *t15; char *t16; char *t17; char *t18; unsigned char t19; LAB0: t1 = (t0 + 3144U); t2 = *((char **)t1); if (t2 == 0) goto LAB2; LAB3: goto *t2; LAB2: xsi_set_current_line(42, ng0); LAB6: t2 = (t0 + 3464); *((int *)t2) = 1; *((char **)t1) = &&LAB7; LAB1: return; LAB4: t5 = (t0 + 3464); *((int *)t5) = 0; xsi_set_current_line(43, ng0); t2 = (t0 + 1352U); t3 = *((char **)t2); t4 = *((unsigned char *)t3); t6 = (t4 == (unsigned char)3); if (t6 != 0) goto LAB8; LAB10: xsi_set_current_line(46, ng0); t2 = (t0 + 1192U); t3 = *((char **)t2); t2 = (t0 + 1032U); t5 = *((char **)t2); t2 = (t0 + 5968U); t8 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t5, t2); t9 = (t8 - 0); t10 = (t9 * 1); t11 = (8U * t10); t12 = (0U + t11); t7 = (t0 + 3608); t13 = (t7 + 56U); t14 = *((char **)t13); t15 = (t14 + 56U); t16 = *((char **)t15); memcpy(t16, t3, 8U); xsi_driver_first_trans_delta(t7, t12, 8U, 0LL); LAB9: xsi_set_current_line(48, ng0); t2 = (t0 + 1512U); t3 = *((char **)t2); t4 = *((unsigned char *)t3); t6 = (t4 == (unsigned char)2); if (t6 != 0) goto LAB11; LAB13: LAB12: goto LAB2; LAB5: t3 = (t0 + 1632U); t4 = ieee_p_2592010699_sub_2763492388968962707_503743352(IEEE_P_2592010699, t3, 0U, 0U); if (t4 == 1) goto LAB4; else goto LAB6; LAB7: goto LAB5; LAB8: xsi_set_current_line(44, ng0); t2 = (t0 + 1992U); t5 = *((char **)t2); t2 = (t0 + 1032U); t7 = *((char **)t2); t2 = (t0 + 5968U); t8 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t7, t2); t9 = (t8 - 0); t10 = (t9 * 1); xsi_vhdl_check_range_of_index(0, 255, 1, t8); t11 = (8U * t10); t12 = (0 + t11); t13 = (t5 + t12); t14 = (t0 + 3544); t15 = (t14 + 56U); t16 = *((char **)t15); t17 = (t16 + 56U); t18 = *((char **)t17); memcpy(t18, t13, 8U); xsi_driver_first_trans_fast_port(t14); goto LAB9; LAB11: xsi_set_current_line(49, ng0); t2 = xsi_get_transient_memory(2048U); memset(t2, 0, 2048U); t5 = t2; t7 = (t0 + 8123); t19 = (8U != 0); if (t19 == 1) goto LAB14; LAB15: t14 = (t0 + 3608); t15 = (t14 + 56U); t16 = *((char **)t15); t17 = (t16 + 56U); t18 = *((char **)t17); memcpy(t18, t2, 2048U); xsi_driver_first_trans_fast(t14); xsi_set_current_line(50, ng0); t2 = xsi_get_transient_memory(8U); memset(t2, 0, 8U); t3 = t2; memset(t3, (unsigned char)2, 8U); t5 = (t0 + 3544); t7 = (t5 + 56U); t13 = *((char **)t7); t14 = (t13 + 56U); t15 = *((char **)t14); memcpy(t15, t2, 8U); xsi_driver_first_trans_fast_port(t5); goto LAB12; LAB14: t10 = (2048U / 8U); xsi_mem_set_data(t5, t7, 8U, t10); goto LAB15; } extern void work_a_1466808984_3212880686_init() { static char *pe[] = {(void *)work_a_1466808984_3212880686_p_0}; xsi_register_didat("work_a_1466808984_3212880686", "isim/bm_data_test_isim_beh.exe.sim/work/a_1466808984_3212880686.didat"); xsi_register_executes(pe); }