diff --git a/compiler/Makefile b/compiler/Makefile index 0960ff6..9bdc446 100644 --- a/compiler/Makefile +++ b/compiler/Makefile @@ -6,7 +6,7 @@ compiler: analyse_lexicale.lex analyse_syntaxique.y table_symboles.c table_fonct gcc -w *.c -ly -o compiler run: compiler - ./compiler < code_c + ./compiler < code_c clean: rm -f lex.yy.c compiler analyse_syntaxique.output analyse_syntaxique.tab.c analyse_syntaxique.tab.h \ No newline at end of file diff --git a/compiler/analyse_syntaxique.y b/compiler/analyse_syntaxique.y index 09c1d7c..4e287b7 100644 --- a/compiler/analyse_syntaxique.y +++ b/compiler/analyse_syntaxique.y @@ -175,26 +175,26 @@ While : tWHILE tPO { $1 = array.index; } tAO {table.depth++;} Instructions tAF {remove_symboles(&table); table.depth--;} { + generate_instruction_1(&array, JMP, $2); int adr_jmp = array.index; update_jmf(&array, $1, adr_jmp); - generate_instruction_1(&array, JMP, $2); }; -Cond : E tEGAL E {generate_instruction_3(&array, EQ, $1, $1, $3); free_temp(&table); $$ = $3;}; -Cond : E tDIFF E {generate_instruction_3(&array, NEQ, $1, $1, $3); free_temp(&table); $$ = $3;} ; -Cond : E tLT E {generate_instruction_3(&array, LT, $1, $1, $3); free_temp(&table); $$ = $3;} ; -Cond : E tGT E {generate_instruction_3(&array, GT, $1, $1, $3); free_temp(&table); $$ = $3;} ; -Cond : E tLTE E {generate_instruction_3(&array, LTE, $1, $1, $3); free_temp(&table); $$ = $3;} ; -Cond : E tGTE E {generate_instruction_3(&array, GTE, $1, $1, $3); free_temp(&table); $$ = $3;} ; -Cond : E tAND E {generate_instruction_3(&array, AND, $1, $1, $3); free_temp(&table); $$ = $3;} ; -Cond : E tOR E {generate_instruction_3(&array, OR, $1, $1, $3); free_temp(&table); $$ = $3;} ; +Cond : E tEGAL E {generate_instruction_3(&array, EQ, $1, $1, $3); free_temp(&table); $$ = $1;}; +Cond : E tDIFF E {generate_instruction_3(&array, NEQ, $1, $1, $3); free_temp(&table); $$ = $1;} ; +Cond : E tLT E {generate_instruction_3(&array, LT, $1, $1, $3); free_temp(&table); $$ = $1;} ; +Cond : E tGT E {generate_instruction_3(&array, GT, $1, $1, $3); free_temp(&table); $$ = $1;} ; +Cond : E tLTE E {generate_instruction_3(&array, LTE, $1, $1, $3); free_temp(&table); $$ = $1;} ; +Cond : E tGTE E {generate_instruction_3(&array, GTE, $1, $1, $3); free_temp(&table); $$ = $1;} ; +Cond : E tAND E {generate_instruction_3(&array, AND, $1, $1, $3); free_temp(&table); $$ = $1;} ; +Cond : E tOR E {generate_instruction_3(&array, OR, $1, $1, $3); free_temp(&table); $$ = $1;} ; Cond : tNOT Cond {generate_instruction_2(&array, NOT, $2, $2); $$ = $2;} ; Cond : E {$$ = $1; }; Invocation : tVAR tPO {table.depth++; prepare_function_call(&table); return_value = (table.indexAvailableBottom);} Args tPF {int function_index = function_exists(&table_fonctions, $1); int jmp_addr = (table_fonctions.array[function_index]).start_addr; - generate_instruction_2(&array, CALL, jmp_addr, table.indexAvailableTop); + generate_instruction_2(&array, CALL, jmp_addr, table.indexAvailableTop-1); $$ = return_value; }; diff --git a/compiler/code_c b/compiler/code_c index e6b09ee..d8f7d78 100644 --- a/compiler/code_c +++ b/compiler/code_c @@ -9,8 +9,17 @@ int main(){ int a = 7; int * pointeur = &a; int c = fonction1(pointeur); + if(c==2){ + printf(c); + printf(*pointeur); + } + else{ + c=a+2; + } + while (c!=5){ + c = c+1; + } printf(c); - printf(*pointeur); return 0; } diff --git a/compiler/compiler b/compiler/compiler index b766d79..a246372 100755 Binary files a/compiler/compiler and b/compiler/compiler differ diff --git a/compiler/memory_oriented_assembly.txt b/compiler/memory_oriented_assembly.txt index 27e77b8..b000bfe 100644 --- a/compiler/memory_oriented_assembly.txt +++ b/compiler/memory_oriented_assembly.txt @@ -14,12 +14,32 @@ LEA 255 0 COP 1 255 COP 255 1 COP 5 255 -CALL 1 6 +CALL 1 5 COP 2 255 COP 255 2 +AFC 254 2 +EQ 255 255 254 +JPF 255 28 +COP 255 2 PRI 255 COP 255 1 COP_LD 255 [255] PRI 255 +JMP 32 +COP 255 0 +AFC 254 2 +ADD 255 255 254 +COP 2 255 +COP 255 2 +AFC 254 5 +NEQ 255 255 254 +JPF 255 41 +COP 255 2 +AFC 254 1 +ADD 255 255 254 +COP 2 255 +JMP 32 +COP 255 2 +PRI 255 AFC 255 0 RET 255 diff --git a/interpreter/interpreter b/interpreter/interpreter index 94f2b5c..055de65 100755 Binary files a/interpreter/interpreter and b/interpreter/interpreter differ diff --git a/interpreter/interpreter_input.txt b/interpreter/interpreter_input.txt index 27e77b8..b000bfe 100644 --- a/interpreter/interpreter_input.txt +++ b/interpreter/interpreter_input.txt @@ -14,12 +14,32 @@ LEA 255 0 COP 1 255 COP 255 1 COP 5 255 -CALL 1 6 +CALL 1 5 COP 2 255 COP 255 2 +AFC 254 2 +EQ 255 255 254 +JPF 255 28 +COP 255 2 PRI 255 COP 255 1 COP_LD 255 [255] PRI 255 +JMP 32 +COP 255 0 +AFC 254 2 +ADD 255 255 254 +COP 2 255 +COP 255 2 +AFC 254 5 +NEQ 255 255 254 +JPF 255 41 +COP 255 2 +AFC 254 1 +ADD 255 255 254 +COP 2 255 +JMP 32 +COP 255 2 +PRI 255 AFC 255 0 RET 255 diff --git a/interpreter/src/instructions.c b/interpreter/src/instructions.c index 8de5168..ddee90a 100755 --- a/interpreter/src/instructions.c +++ b/interpreter/src/instructions.c @@ -122,7 +122,7 @@ int exec(int ip) { next_ip = arg1; break; case JPF: printf("JPF cond@%d[%d] to %d\n", arg1, memory[arg1], arg2); - if (memory[arg1] != 0) { + if (memory[arg1] != 1) { next_ip = arg2; } break; diff --git a/xilinx/ALU/ALU.gise b/xilinx/ALU/ALU.gise index e76ce05..13173a8 100644 --- a/xilinx/ALU/ALU.gise +++ b/xilinx/ALU/ALU.gise @@ -73,7 +73,7 @@ - + @@ -104,7 +104,7 @@ - + @@ -124,7 +124,7 @@ - + @@ -139,7 +139,7 @@ - + diff --git a/xilinx/ALU/bm_instr.vhd b/xilinx/ALU/bm_instr.vhd index fb4057e..610779a 100644 --- a/xilinx/ALU/bm_instr.vhd +++ b/xilinx/ALU/bm_instr.vhd @@ -34,35 +34,43 @@ architecture Behavioral of bm_instr is type mem is array (0 to 255) of STD_LOGIC_VECTOR(31 downto 0); -- instruction "00000110 00000001 00000110 00000000" --test afc -signal instr_memory: mem := (1 => "00000110000000010000001000000000", others =>"00000000000000000000000000000000"); +--signal instr_memory: mem := (1 => "00000110000000010000001000000000", others =>"00000000000000000000000000000000"); --test afc cop --signal instr_memory: mem := (1 => "00000110000000010000011000000000", 6 =>"00000101000000100000000100000000", others =>"00000000000000000000000000000000"); + --test afc cop alea --signal instr_memory: mem := (1 => "00000110000000010000011000000000", 2 =>"00000101000000100000000100000000", others =>"00000000000000000000000000000000"); + --test add --signal instr_memory: mem := (1 => "00000110000000010000011000000000", 2 => "00000110000000100000000100000000", 10 =>"00000001000000110000000100000010", others =>"00000000000000000000000000000000"); + --test add alea --signal instr_memory: mem := (1 => "00000110000000010000011000000000", 2 => "00000110000000100000000100000000", 3 =>"00000001000000110000000100000010", others =>"00000000000000000000000000000000"); ---test sub +--test sub --signal instr_memory: mem := (1 => "00000110000000010000011000000000", 2 => "00000110000000100000000100000000", 10 =>"00000011000000110000000100000010", others =>"00000000000000000000000000000000"); --test mul - --signal instr_memory: mem := (1 => "00000110000000010000011000000000", 2 => "00000110000000100000000100000000", 10 =>"00000010000000110000000100000010", others =>"00000000000000000000000000000000"); --test store - --signal instr_memory: mem := (1 => "00000110000000010000011000000000", 10 => "00001000000000000000000100000000", others =>"00000000000000000000000000000000"); --test store alea --signal instr_memory: mem := (1 => "00000110000000010000011000000000", 2 => "00001000000000000000000100000000", others =>"00000000000000000000000000000000"); --test load - --signal instr_memory: mem := (1 => "00000110000000010000011000000000", 6 => "00001000000000000000000100000000", 15 => "00000111000000110000000000000000", others =>"00000000000000000000000000000000"); +-- test demo +-- AFC 0 6 0 +-- COP 1 0 0 +-- ADD 2 0 1 +-- STORE 0 2 0 +-- LOAD 3 0 0 +signal instr_memory: mem := (1 => "00000110000000000000011000000000", 2 =>"00000101000000010000000000000000", 3 => "00000001000000100000000000000001", + 4 => "00001000000000000000001000000000", 5 => "00000111000000110000000000000000", others =>"00000000000000000000000000000000"); begin diff --git a/xilinx/ALU/fuse.log b/xilinx/ALU/fuse.log index c467397..bb6b548 100644 --- a/xilinx/ALU/fuse.log +++ b/xilinx/ALU/fuse.log @@ -1,7 +1,7 @@ Running: /usr/local/insa/Xilinx.ISE/13.4/ISE_DS/ISE/bin/lin64/unwrapped/fuse -relaunch -intstyle "ise" -incremental -lib "secureip" -o "/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/process_test_isim_beh.exe" -prj "/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/process_test_beh.prj" "work.process_test" ISim O.87xd (signature 0x8ddf5b5d) -Number of CPUs detected in this system: 12 -Turning on mult-threading, number of parallel sub-compilation jobs: 24 +Number of CPUs detected in this system: 8 +Turning on mult-threading, number of parallel sub-compilation jobs: 16 Determining compilation order of HDL files Parsing VHDL file "/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/pipeline.vhd" into library work Parsing VHDL file "/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/br.vhd" into library work @@ -13,7 +13,7 @@ Parsing VHDL file "/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU Starting static elaboration Completed static elaboration Fuse Memory Usage: 98520 KB -Fuse CPU Usage: 840 ms +Fuse CPU Usage: 870 ms Compiling package standard Compiling package std_logic_1164 Compiling package std_logic_arith @@ -30,6 +30,6 @@ Time Resolution for simulation is 1ps. Waiting for 1 sub-compilation(s) to finish... Compiled 18 VHDL Units Built simulation executable /home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/process_test_isim_beh.exe -Fuse Memory Usage: 1723384 KB -Fuse CPU Usage: 980 ms -GCC CPU Usage: 110 ms +Fuse Memory Usage: 1198968 KB +Fuse CPU Usage: 1010 ms +GCC CPU Usage: 250 ms diff --git a/xilinx/ALU/iseconfig/ALU.projectmgr b/xilinx/ALU/iseconfig/ALU.projectmgr index 3ce4206..b9cac02 100644 --- a/xilinx/ALU/iseconfig/ALU.projectmgr +++ b/xilinx/ALU/iseconfig/ALU.projectmgr @@ -9,13 +9,13 @@ 2 - processeur - Behavioral (/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/processeur.vhd) + data_memory - bm_data - Behavioral (/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/bm.vhd) 0 0 - 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000001e4000000020000000000000000000000000200000064ffffffff000000810000000300000002000001e40000000100000003000000000000000100000003 + 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000001c5000000020000000000000000000000000200000064ffffffff000000810000000300000002000001c50000000100000003000000000000000100000003 true - processeur - Behavioral (/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/processeur.vhd) + data_memory - bm_data - Behavioral (/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/bm.vhd) @@ -50,7 +50,7 @@ 0 0 - 000000ff00000000000000010000000000000000010000000000000000000000000000000000000148000000010001000100000000000000000000000064ffffffff000000810000000000000001000001480000000100000000 + 000000ff00000000000000010000000000000000010000000000000000000000000000000000000132000000010001000100000000000000000000000064ffffffff000000810000000000000001000001320000000100000000 false work @@ -85,7 +85,7 @@ 0 0 - 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000001f8000000020000000000000000000000000200000064ffffffff000000810000000300000002000001f80000000100000003000000000000000100000003 + 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000001d9000000020000000000000000000000000200000064ffffffff000000810000000300000002000001d90000000100000003000000000000000100000003 true process_test - behavior (/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/process_test.vhd) @@ -94,13 +94,13 @@ 1 - + 0 0 000000ff00000000000000010000000100000000000000000000000000000000000000000000000176000000010000000100000000000000000000000064ffffffff000000810000000000000001000001760000000100000000 false - + diff --git a/xilinx/ALU/iseconfig/processeur.xreport b/xilinx/ALU/iseconfig/processeur.xreport index 0981d4a..df56cbd 100644 --- a/xilinx/ALU/iseconfig/processeur.xreport +++ b/xilinx/ALU/iseconfig/processeur.xreport @@ -1,7 +1,7 @@
- 2021-05-18T16:14:30 + 2021-05-25T10:06:35 processeur Unknown /home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/iseconfig/processeur.xreport diff --git a/xilinx/ALU/isim.log b/xilinx/ALU/isim.log index 69c11ff..005380c 100644 --- a/xilinx/ALU/isim.log +++ b/xilinx/ALU/isim.log @@ -115,4 +115,46 @@ at 0 ps, Instance /process_test/uut/banc_registres/ : Warning: NUMERIC_STD.TO_IN at 5 ns(1), Instance /process_test/uut/data_memory/ : Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 at 15 ns(1), Instance /process_test/uut/data_memory/ : Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 at 25 ns(1), Instance /process_test/uut/data_memory/ : Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 +ISim O.87xd (signature 0x8ddf5b5d) +WARNING: A WEBPACK license was found. +WARNING: Please use Xilinx License Configuration Manager to check out a full ISim license. +WARNING: ISim will run in Lite mode. Please refer to the ISim documentation for more information on the differences between the Lite and the Full version. +This is a Lite version of ISim. +# run 1000 ns +Simulator is doing circuit initialization process. +at 0 ps, Instance /process_test/uut/banc_registres/ : Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +Finished circuit initialization process. +at 0 ps, Instance /process_test/uut/banc_registres/ : Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 +at 0 ps, Instance /process_test/uut/banc_registres/ : Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 +at 5 ns(1), Instance /process_test/uut/data_memory/ : Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 +at 15 ns(1), Instance /process_test/uut/data_memory/ : Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 +at 25 ns(1), Instance /process_test/uut/data_memory/ : Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 +ISim O.87xd (signature 0x8ddf5b5d) +WARNING: A WEBPACK license was found. +WARNING: Please use Xilinx License Configuration Manager to check out a full ISim license. +WARNING: ISim will run in Lite mode. Please refer to the ISim documentation for more information on the differences between the Lite and the Full version. +This is a Lite version of ISim. +# run 1000 ns +Simulator is doing circuit initialization process. +at 0 ps, Instance /process_test/uut/banc_registres/ : Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +Finished circuit initialization process. +at 0 ps, Instance /process_test/uut/banc_registres/ : Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 +at 0 ps, Instance /process_test/uut/banc_registres/ : Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 +at 5 ns(1), Instance /process_test/uut/data_memory/ : Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 +at 15 ns(1), Instance /process_test/uut/data_memory/ : Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 +at 25 ns(1), Instance /process_test/uut/data_memory/ : Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 +ISim O.87xd (signature 0x8ddf5b5d) +WARNING: A WEBPACK license was found. +WARNING: Please use Xilinx License Configuration Manager to check out a full ISim license. +WARNING: ISim will run in Lite mode. Please refer to the ISim documentation for more information on the differences between the Lite and the Full version. +This is a Lite version of ISim. +# run 1000 ns +Simulator is doing circuit initialization process. +at 0 ps, Instance /process_test/uut/banc_registres/ : Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +Finished circuit initialization process. +at 0 ps, Instance /process_test/uut/banc_registres/ : Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 +at 0 ps, Instance /process_test/uut/banc_registres/ : Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 +at 5 ns(1), Instance /process_test/uut/data_memory/ : Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 +at 15 ns(1), Instance /process_test/uut/data_memory/ : Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 +at 25 ns(1), Instance /process_test/uut/data_memory/ : Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # exit 0 diff --git a/xilinx/ALU/isim/isim_usage_statistics.html b/xilinx/ALU/isim/isim_usage_statistics.html index be08e85..21d4ecb 100644 --- a/xilinx/ALU/isim/isim_usage_statistics.html +++ b/xilinx/ALU/isim/isim_usage_statistics.html @@ -2,14 +2,14 @@ ISim Statistics Xilinx HDL Libraries Used=ieee -Fuse Resource Usage=980 ms, 1723384 KB +Fuse Resource Usage=1010 ms, 1198968 KB -Total Signals=121 -Total Nets=10703 +Total Signals=122 +Total Nets=10704 Total Blocks=14 -Total Processes=36 +Total Processes=37 Total Simulation Time=1 us -Simulation Resource Usage=0.03 sec, 264171 KB +Simulation Resource Usage=0.08 sec, 264175 KB Simulation Mode=gui Hardware CoSim=0 diff --git a/xilinx/ALU/isim/precompiled.exe.sim/ieee/p_1242562249.didat b/xilinx/ALU/isim/precompiled.exe.sim/ieee/p_1242562249.didat index c8d812b..06ef6b3 100644 Binary files a/xilinx/ALU/isim/precompiled.exe.sim/ieee/p_1242562249.didat and b/xilinx/ALU/isim/precompiled.exe.sim/ieee/p_1242562249.didat differ diff --git a/xilinx/ALU/isim/precompiled.exe.sim/ieee/p_2592010699.didat b/xilinx/ALU/isim/precompiled.exe.sim/ieee/p_2592010699.didat index d65ad9e..2be44f6 100644 Binary files a/xilinx/ALU/isim/precompiled.exe.sim/ieee/p_2592010699.didat and b/xilinx/ALU/isim/precompiled.exe.sim/ieee/p_2592010699.didat differ diff --git a/xilinx/ALU/isim/precompiled.exe.sim/ieee/p_3499444699.didat b/xilinx/ALU/isim/precompiled.exe.sim/ieee/p_3499444699.didat index 1da5dba..9388802 100644 Binary files a/xilinx/ALU/isim/precompiled.exe.sim/ieee/p_3499444699.didat and b/xilinx/ALU/isim/precompiled.exe.sim/ieee/p_3499444699.didat differ diff --git a/xilinx/ALU/isim/precompiled.exe.sim/ieee/p_3620187407.didat b/xilinx/ALU/isim/precompiled.exe.sim/ieee/p_3620187407.didat index f3ae6ed..11e7fc9 100644 Binary files a/xilinx/ALU/isim/precompiled.exe.sim/ieee/p_3620187407.didat and b/xilinx/ALU/isim/precompiled.exe.sim/ieee/p_3620187407.didat differ diff --git a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg index 536614a..e5ad2d6 100644 Binary files a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg and b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg differ diff --git a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/ISimEngine-DesignHierarchy1.dbg b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/ISimEngine-DesignHierarchy1.dbg deleted file mode 100644 index 91cda93..0000000 Binary files a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/ISimEngine-DesignHierarchy1.dbg and /dev/null differ diff --git a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/isimkernel.log b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/isimkernel.log index 86c6e6a..a350c3f 100644 --- a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/isimkernel.log +++ b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/isimkernel.log @@ -2,28 +2,28 @@ Command line: process_test_isim_beh.exe -simmode gui -simrunnum 0 - -socket 37953 + -socket 42185 -Tue May 18 16:16:44 2021 +Tue May 25 12:30:38 2021 - Elaboration Time: 0.01 sec + Elaboration Time: 0.03 sec - Current Memory Usage: 189.723 Meg + Current Memory Usage: 189.727 Meg - Total Signals : 121 - Total Nets : 10703 - Total Signal Drivers : 49 + Total Signals : 122 + Total Nets : 10704 + Total Signal Drivers : 50 Total Blocks : 14 Total Primitive Blocks : 12 - Total Processes : 36 + Total Processes : 37 Total Traceable Variables : 16 - Total Scalar Nets and Variables : 11205 -Total Line Count : 92 + Total Scalar Nets and Variables : 11206 +Total Line Count : 93 - Total Simulation Time: 0.03 sec + Total Simulation Time: 0.08 sec - Current Memory Usage: 265.224 Meg + Current Memory Usage: 265.228 Meg -Tue May 18 16:20:51 2021 +Tue May 25 12:52:51 2021 diff --git a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/netId.dat b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/netId.dat index 2d79219..465edda 100644 Binary files a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/netId.dat and b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/netId.dat differ diff --git a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/netId1.dat b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/netId1.dat deleted file mode 100644 index f395b69..0000000 Binary files a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/netId1.dat and /dev/null differ diff --git a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/process_test_isim_beh.exe b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/process_test_isim_beh.exe index e7c0761..0c15812 100755 Binary files a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/process_test_isim_beh.exe and b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/process_test_isim_beh.exe differ diff --git a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/tmp_save/_1 b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/tmp_save/_1 index 6b6e6b4..f2fb8dc 100644 Binary files a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/tmp_save/_1 and b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/tmp_save/_1 differ diff --git a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_0832606739_3212880686.didat b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_0832606739_3212880686.didat index 4eb95f1..89d9bf6 100644 Binary files a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_0832606739_3212880686.didat and b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_0832606739_3212880686.didat differ diff --git a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_1229531095_2372691052.didat b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_1229531095_2372691052.didat index 762b5df..57009ae 100644 Binary files a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_1229531095_2372691052.didat and b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_1229531095_2372691052.didat differ diff --git a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_1466808984_3212880686.didat b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_1466808984_3212880686.didat index af53ca4..6f02209 100644 Binary files a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_1466808984_3212880686.didat and b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_1466808984_3212880686.didat differ diff --git a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_1802466774_3212880686.c b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_1802466774_3212880686.c index 0600382..6b3ffe8 100644 --- a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_1802466774_3212880686.c +++ b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_1802466774_3212880686.c @@ -45,7 +45,7 @@ static void work_a_1802466774_3212880686_p_0(char *t0) char *t14; char *t15; -LAB0: xsi_set_current_line(67, ng0); +LAB0: xsi_set_current_line(72, ng0); LAB3: t1 = (t0 + 1512U); t2 = *((char **)t1); diff --git a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_1802466774_3212880686.didat b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_1802466774_3212880686.didat index 0e870ab..74bb0d4 100644 Binary files a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_1802466774_3212880686.didat and b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_1802466774_3212880686.didat differ diff --git a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_1802466774_3212880686.lin64.o b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_1802466774_3212880686.lin64.o index 9f0b3a3..936f50c 100644 Binary files a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_1802466774_3212880686.lin64.o and b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_1802466774_3212880686.lin64.o differ diff --git a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_3650175700_3212880686.didat b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_3650175700_3212880686.didat index 7f21f68..6f22b8a 100644 Binary files a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_3650175700_3212880686.didat and b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_3650175700_3212880686.didat differ diff --git a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_3998322972_3212880686.didat b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_3998322972_3212880686.didat index a7f58df..b031d01 100644 Binary files a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_3998322972_3212880686.didat and b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_3998322972_3212880686.didat differ diff --git a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_4150868852_3212880686.c b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_4150868852_3212880686.c index 09c37d9..f08da49 100644 --- a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_4150868852_3212880686.c +++ b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_4150868852_3212880686.c @@ -99,11 +99,11 @@ static void work_a_4150868852_3212880686_p_0(char *t0) char *t73; char *t74; -LAB0: xsi_set_current_line(175, ng0); +LAB0: xsi_set_current_line(176, ng0); t7 = (t0 + 4872U); t8 = *((char **)t7); - t7 = (t0 + 22008U); - t9 = (t0 + 22435); + t7 = (t0 + 22664U); + t9 = (t0 + 23092); t12 = (t11 + 0U); t13 = (t12 + 0U); *((int *)t13) = 0; @@ -122,8 +122,8 @@ LAB0: xsi_set_current_line(175, ng0); LAB21: t13 = (t0 + 4872U); t17 = *((char **)t13); - t13 = (t0 + 22008U); - t18 = (t0 + 22443); + t13 = (t0 + 22664U); + t18 = (t0 + 23100); t21 = (t20 + 0U); t22 = (t21 + 0U); *((int *)t22) = 0; @@ -144,8 +144,8 @@ LAB22: if (t6 == 1) LAB18: t22 = (t0 + 4872U); t25 = *((char **)t22); - t22 = (t0 + 22008U); - t26 = (t0 + 22451); + t22 = (t0 + 22664U); + t26 = (t0 + 23108); t29 = (t28 + 0U); t30 = (t29 + 0U); *((int *)t30) = 0; @@ -166,8 +166,8 @@ LAB19: if (t5 == 1) LAB15: t30 = (t0 + 4872U); t33 = *((char **)t30); - t30 = (t0 + 22008U); - t34 = (t0 + 22459); + t30 = (t0 + 22664U); + t34 = (t0 + 23116); t37 = (t36 + 0U); t38 = (t37 + 0U); *((int *)t38) = 0; @@ -188,8 +188,8 @@ LAB16: if (t4 == 1) LAB12: t38 = (t0 + 4872U); t41 = *((char **)t38); - t38 = (t0 + 22008U); - t42 = (t0 + 22467); + t38 = (t0 + 22664U); + t42 = (t0 + 23124); t45 = (t44 + 0U); t46 = (t45 + 0U); *((int *)t46) = 0; @@ -210,8 +210,8 @@ LAB13: if (t3 == 1) LAB9: t46 = (t0 + 4872U); t49 = *((char **)t46); - t46 = (t0 + 22008U); - t50 = (t0 + 22475); + t46 = (t0 + 22664U); + t50 = (t0 + 23132); t53 = (t52 + 0U); t54 = (t53 + 0U); *((int *)t54) = 0; @@ -232,8 +232,8 @@ LAB10: if (t2 == 1) LAB6: t54 = (t0 + 4872U); t57 = *((char **)t54); - t54 = (t0 + 22008U); - t58 = (t0 + 22483); + t54 = (t0 + 22664U); + t58 = (t0 + 23140); t61 = (t60 + 0U); t62 = (t61 + 0U); *((int *)t62) = 0; @@ -253,7 +253,7 @@ LAB7: if (t1 != 0) goto LAB3; LAB4: -LAB23: t69 = (t0 + 12896); +LAB23: t69 = (t0 + 13320); t70 = (t69 + 56U); t71 = *((char **)t70); t72 = (t71 + 56U); @@ -261,11 +261,11 @@ LAB23: t69 = (t0 + 12896); *((unsigned char *)t73) = (unsigned char)2; xsi_driver_first_trans_fast(t69); -LAB2: t74 = (t0 + 12608); +LAB2: t74 = (t0 + 13016); *((int *)t74) = 1; LAB1: return; -LAB3: t62 = (t0 + 12896); +LAB3: t62 = (t0 + 13320); t65 = (t62 + 56U); t66 = *((char **)t65); t67 = (t66 + 56U); @@ -394,7 +394,7 @@ static void work_a_4150868852_3212880686_p_1(char *t0) char *t100; char *t101; -LAB0: xsi_set_current_line(179, ng0); +LAB0: xsi_set_current_line(180, ng0); t6 = (t0 + 1992U); t7 = *((char **)t6); t8 = (31 - 31); @@ -413,7 +413,7 @@ LAB0: xsi_set_current_line(179, ng0); t15 = (t15 + 1); t13 = (t12 + 12U); *((unsigned int *)t13) = t15; - t13 = (t0 + 22491); + t13 = (t0 + 23148); t18 = (t17 + 0U); t19 = (t18 + 0U); *((int *)t19) = 0; @@ -448,7 +448,7 @@ LAB18: t19 = (t0 + 1992U); t29 = (t29 + 1); t27 = (t26 + 12U); *((unsigned int *)t27) = t29; - t27 = (t0 + 22499); + t27 = (t0 + 23156); t32 = (t31 + 0U); t33 = (t32 + 0U); *((int *)t33) = 0; @@ -485,7 +485,7 @@ LAB15: t33 = (t0 + 1992U); t43 = (t43 + 1); t41 = (t40 + 12U); *((unsigned int *)t41) = t43; - t41 = (t0 + 22507); + t41 = (t0 + 23164); t46 = (t45 + 0U); t47 = (t46 + 0U); *((int *)t47) = 0; @@ -522,7 +522,7 @@ LAB12: t47 = (t0 + 1992U); t57 = (t57 + 1); t55 = (t54 + 12U); *((unsigned int *)t55) = t57; - t55 = (t0 + 22515); + t55 = (t0 + 23172); t60 = (t59 + 0U); t61 = (t60 + 0U); *((int *)t61) = 0; @@ -559,7 +559,7 @@ LAB9: t61 = (t0 + 1992U); t71 = (t71 + 1); t69 = (t68 + 12U); *((unsigned int *)t69) = t71; - t69 = (t0 + 22523); + t69 = (t0 + 23180); t74 = (t73 + 0U); t75 = (t74 + 0U); *((int *)t75) = 0; @@ -596,7 +596,7 @@ LAB6: t75 = (t0 + 1992U); t85 = (t85 + 1); t83 = (t82 + 12U); *((unsigned int *)t83) = t85; - t83 = (t0 + 22531); + t83 = (t0 + 23188); t88 = (t87 + 0U); t89 = (t88 + 0U); *((int *)t89) = 0; @@ -616,7 +616,7 @@ LAB7: if (t1 != 0) goto LAB3; LAB4: -LAB20: t96 = (t0 + 12960); +LAB20: t96 = (t0 + 13384); t97 = (t96 + 56U); t98 = *((char **)t97); t99 = (t98 + 56U); @@ -624,11 +624,11 @@ LAB20: t96 = (t0 + 12960); *((unsigned char *)t100) = (unsigned char)2; xsi_driver_first_trans_fast(t96); -LAB2: t101 = (t0 + 12624); +LAB2: t101 = (t0 + 13032); *((int *)t101) = 1; LAB1: return; -LAB3: t89 = (t0 + 12960); +LAB3: t89 = (t0 + 13384); t92 = (t89 + 56U); t93 = *((char **)t92); t94 = (t93 + 56U); @@ -726,7 +726,7 @@ static void work_a_4150868852_3212880686_p_2(char *t0) char *t70; char *t71; -LAB0: xsi_set_current_line(181, ng0); +LAB0: xsi_set_current_line(182, ng0); t4 = (t0 + 1992U); t5 = *((char **)t4); t6 = (31 - 31); @@ -745,7 +745,7 @@ LAB0: xsi_set_current_line(181, ng0); t13 = (t13 + 1); t11 = (t10 + 12U); *((unsigned int *)t11) = t13; - t11 = (t0 + 22539); + t11 = (t0 + 23196); t16 = (t15 + 0U); t17 = (t16 + 0U); *((int *)t17) = 0; @@ -780,7 +780,7 @@ LAB12: t17 = (t0 + 1992U); t27 = (t27 + 1); t25 = (t24 + 12U); *((unsigned int *)t25) = t27; - t25 = (t0 + 22547); + t25 = (t0 + 23204); t30 = (t29 + 0U); t31 = (t30 + 0U); *((int *)t31) = 0; @@ -817,7 +817,7 @@ LAB9: t31 = (t0 + 1992U); t41 = (t41 + 1); t39 = (t38 + 12U); *((unsigned int *)t39) = t41; - t39 = (t0 + 22555); + t39 = (t0 + 23212); t44 = (t43 + 0U); t45 = (t44 + 0U); *((int *)t45) = 0; @@ -854,7 +854,7 @@ LAB6: t45 = (t0 + 1992U); t55 = (t55 + 1); t53 = (t52 + 12U); *((unsigned int *)t53) = t55; - t53 = (t0 + 22563); + t53 = (t0 + 23220); t58 = (t57 + 0U); t59 = (t58 + 0U); *((int *)t59) = 0; @@ -874,7 +874,7 @@ LAB7: if (t1 != 0) goto LAB3; LAB4: -LAB14: t66 = (t0 + 13024); +LAB14: t66 = (t0 + 13448); t67 = (t66 + 56U); t68 = *((char **)t67); t69 = (t68 + 56U); @@ -882,11 +882,11 @@ LAB14: t66 = (t0 + 13024); *((unsigned char *)t70) = (unsigned char)2; xsi_driver_first_trans_fast(t66); -LAB2: t71 = (t0 + 12640); +LAB2: t71 = (t0 + 13048); *((int *)t71) = 1; LAB1: return; -LAB3: t59 = (t0 + 13024); +LAB3: t59 = (t0 + 13448); t62 = (t59 + 56U); t63 = *((char **)t62); t64 = (t63 + 56U); @@ -909,6 +909,129 @@ LAB15: goto LAB2; } static void work_a_4150868852_3212880686_p_3(char *t0) +{ + char t7[16]; + char t13[16]; + char t21[16]; + unsigned char t1; + char *t2; + char *t3; + unsigned int t4; + unsigned int t5; + unsigned int t6; + char *t8; + char *t9; + int t10; + unsigned int t11; + char *t14; + char *t15; + int t16; + unsigned char t17; + char *t18; + char *t19; + char *t22; + char *t23; + int t24; + unsigned char t25; + char *t26; + char *t27; + char *t28; + char *t29; + char *t30; + char *t31; + char *t32; + char *t33; + char *t34; + char *t35; + +LAB0: xsi_set_current_line(184, ng0); + t2 = (t0 + 1992U); + t3 = *((char **)t2); + t4 = (31 - 31); + t5 = (t4 * 1U); + t6 = (0 + t5); + t2 = (t3 + t6); + t8 = (t7 + 0U); + t9 = (t8 + 0U); + *((int *)t9) = 31; + t9 = (t8 + 4U); + *((int *)t9) = 24; + t9 = (t8 + 8U); + *((int *)t9) = -1; + t10 = (24 - 31); + t11 = (t10 * -1); + t11 = (t11 + 1); + t9 = (t8 + 12U); + *((unsigned int *)t9) = t11; + t9 = (t0 + 23228); + t14 = (t13 + 0U); + t15 = (t14 + 0U); + *((int *)t15) = 0; + t15 = (t14 + 4U); + *((int *)t15) = 7; + t15 = (t14 + 8U); + *((int *)t15) = 1; + t16 = (7 - 0); + t11 = (t16 * 1); + t11 = (t11 + 1); + t15 = (t14 + 12U); + *((unsigned int *)t15) = t11; + t17 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t2, t7, t9, t13); + if (t17 == 1) + goto LAB5; + +LAB6: t1 = (unsigned char)0; + +LAB7: if (t1 != 0) + goto LAB3; + +LAB4: +LAB8: t30 = (t0 + 13512); + t31 = (t30 + 56U); + t32 = *((char **)t31); + t33 = (t32 + 56U); + t34 = *((char **)t33); + *((unsigned char *)t34) = (unsigned char)2; + xsi_driver_first_trans_fast(t30); + +LAB2: t35 = (t0 + 13064); + *((int *)t35) = 1; + +LAB1: return; +LAB3: t23 = (t0 + 13512); + t26 = (t23 + 56U); + t27 = *((char **)t26); + t28 = (t27 + 56U); + t29 = *((char **)t28); + *((unsigned char *)t29) = (unsigned char)3; + xsi_driver_first_trans_fast(t23); + goto LAB2; + +LAB5: t15 = (t0 + 2152U); + t18 = *((char **)t15); + t15 = (t0 + 22456U); + t19 = (t0 + 23236); + t22 = (t21 + 0U); + t23 = (t22 + 0U); + *((int *)t23) = 0; + t23 = (t22 + 4U); + *((int *)t23) = 7; + t23 = (t22 + 8U); + *((int *)t23) = 1; + t24 = (7 - 0); + t11 = (t24 * 1); + t11 = (t11 + 1); + t23 = (t22 + 12U); + *((unsigned int *)t23) = t11; + t25 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t18, t15, t19, t21); + t1 = t25; + goto LAB7; + +LAB9: goto LAB2; + +} + +static void work_a_4150868852_3212880686_p_4(char *t0) { char t10[16]; char t19[16]; @@ -972,11 +1095,11 @@ static void work_a_4150868852_3212880686_p_3(char *t0) char *t66; char *t67; -LAB0: xsi_set_current_line(196, ng0); +LAB0: xsi_set_current_line(199, ng0); t6 = (t0 + 2152U); t7 = *((char **)t6); - t6 = (t0 + 21800U); - t8 = (t0 + 22571); + t6 = (t0 + 22456U); + t8 = (t0 + 23244); t11 = (t10 + 0U); t12 = (t11 + 0U); *((int *)t12) = 0; @@ -995,8 +1118,8 @@ LAB0: xsi_set_current_line(196, ng0); LAB18: t12 = (t0 + 2152U); t16 = *((char **)t12); - t12 = (t0 + 21800U); - t17 = (t0 + 22579); + t12 = (t0 + 22456U); + t17 = (t0 + 23252); t20 = (t19 + 0U); t21 = (t20 + 0U); *((int *)t21) = 0; @@ -1017,8 +1140,8 @@ LAB19: if (t5 == 1) LAB15: t21 = (t0 + 2152U); t24 = *((char **)t21); - t21 = (t0 + 21800U); - t25 = (t0 + 22587); + t21 = (t0 + 22456U); + t25 = (t0 + 23260); t28 = (t27 + 0U); t29 = (t28 + 0U); *((int *)t29) = 0; @@ -1039,8 +1162,8 @@ LAB16: if (t4 == 1) LAB12: t29 = (t0 + 2152U); t32 = *((char **)t29); - t29 = (t0 + 21800U); - t33 = (t0 + 22595); + t29 = (t0 + 22456U); + t33 = (t0 + 23268); t36 = (t35 + 0U); t37 = (t36 + 0U); *((int *)t37) = 0; @@ -1061,8 +1184,8 @@ LAB13: if (t3 == 1) LAB9: t37 = (t0 + 2152U); t40 = *((char **)t37); - t37 = (t0 + 21800U); - t41 = (t0 + 22603); + t37 = (t0 + 22456U); + t41 = (t0 + 23276); t44 = (t43 + 0U); t45 = (t44 + 0U); *((int *)t45) = 0; @@ -1083,8 +1206,8 @@ LAB10: if (t2 == 1) LAB6: t45 = (t0 + 2152U); t48 = *((char **)t45); - t45 = (t0 + 21800U); - t49 = (t0 + 22611); + t45 = (t0 + 22456U); + t49 = (t0 + 23284); t52 = (t51 + 0U); t53 = (t52 + 0U); *((int *)t53) = 0; @@ -1106,7 +1229,7 @@ LAB7: if (t1 != 0) LAB4: LAB20: t61 = (t0 + 2472U); t62 = *((char **)t61); - t61 = (t0 + 13088); + t61 = (t0 + 13576); t63 = (t61 + 56U); t64 = *((char **)t63); t65 = (t64 + 56U); @@ -1114,13 +1237,13 @@ LAB20: t61 = (t0 + 2472U); memcpy(t66, t62, 8U); xsi_driver_first_trans_fast(t61); -LAB2: t67 = (t0 + 12656); +LAB2: t67 = (t0 + 13080); *((int *)t67) = 1; LAB1: return; LAB3: t53 = (t0 + 1512U); t56 = *((char **)t53); - t53 = (t0 + 13088); + t53 = (t0 + 13576); t57 = (t53 + 56U); t58 = *((char **)t57); t59 = (t58 + 56U); @@ -1148,7 +1271,7 @@ LAB21: goto LAB2; } -static void work_a_4150868852_3212880686_p_4(char *t0) +static void work_a_4150868852_3212880686_p_5(char *t0) { char t5[16]; char t21[16]; @@ -1198,11 +1321,11 @@ static void work_a_4150868852_3212880686_p_4(char *t0) char *t53; char *t54; -LAB0: xsi_set_current_line(213, ng0); +LAB0: xsi_set_current_line(216, ng0); t1 = (t0 + 2792U); t2 = *((char **)t1); - t1 = (t0 + 21864U); - t3 = (t0 + 22619); + t1 = (t0 + 22520U); + t3 = (t0 + 23292); t6 = (t5 + 0U); t7 = (t6 + 0U); *((int *)t7) = 0; @@ -1221,8 +1344,8 @@ LAB0: xsi_set_current_line(213, ng0); LAB4: t17 = (t0 + 2792U); t18 = *((char **)t17); - t17 = (t0 + 21864U); - t19 = (t0 + 22630); + t17 = (t0 + 22520U); + t19 = (t0 + 23303); t22 = (t21 + 0U); t23 = (t22 + 0U); *((int *)t23) = 0; @@ -1241,8 +1364,8 @@ LAB4: t17 = (t0 + 2792U); LAB6: t32 = (t0 + 2792U); t33 = *((char **)t32); - t32 = (t0 + 21864U); - t34 = (t0 + 22641); + t32 = (t0 + 22520U); + t34 = (t0 + 23314); t37 = (t36 + 0U); t38 = (t37 + 0U); *((int *)t38) = 0; @@ -1260,8 +1383,8 @@ LAB6: t32 = (t0 + 2792U); goto LAB7; LAB8: -LAB9: t47 = (t0 + 22652); - t49 = (t0 + 13152); +LAB9: t47 = (t0 + 23325); + t49 = (t0 + 13640); t50 = (t49 + 56U); t51 = *((char **)t50); t52 = (t51 + 56U); @@ -1269,12 +1392,12 @@ LAB9: t47 = (t0 + 22652); memcpy(t53, t47, 3U); xsi_driver_first_trans_fast(t49); -LAB2: t54 = (t0 + 12672); +LAB2: t54 = (t0 + 13096); *((int *)t54) = 1; LAB1: return; -LAB3: t7 = (t0 + 22627); - t12 = (t0 + 13152); +LAB3: t7 = (t0 + 23300); + t12 = (t0 + 13640); t13 = (t12 + 56U); t14 = *((char **)t13); t15 = (t14 + 56U); @@ -1283,8 +1406,8 @@ LAB3: t7 = (t0 + 22627); xsi_driver_first_trans_fast(t12); goto LAB2; -LAB5: t23 = (t0 + 22638); - t27 = (t0 + 13152); +LAB5: t23 = (t0 + 23311); + t27 = (t0 + 13640); t28 = (t27 + 56U); t29 = *((char **)t28); t30 = (t29 + 56U); @@ -1293,8 +1416,8 @@ LAB5: t23 = (t0 + 22638); xsi_driver_first_trans_fast(t27); goto LAB2; -LAB7: t38 = (t0 + 22649); - t42 = (t0 + 13152); +LAB7: t38 = (t0 + 23322); + t42 = (t0 + 13640); t43 = (t42 + 56U); t44 = *((char **)t43); t45 = (t44 + 56U); @@ -1307,7 +1430,7 @@ LAB10: goto LAB2; } -static void work_a_4150868852_3212880686_p_5(char *t0) +static void work_a_4150868852_3212880686_p_6(char *t0) { char t6[16]; char t15[16]; @@ -1337,11 +1460,11 @@ static void work_a_4150868852_3212880686_p_5(char *t0) char *t28; char *t29; -LAB0: xsi_set_current_line(218, ng0); +LAB0: xsi_set_current_line(221, ng0); t2 = (t0 + 2152U); t3 = *((char **)t2); - t2 = (t0 + 21800U); - t4 = (t0 + 22655); + t2 = (t0 + 22456U); + t4 = (t0 + 23328); t7 = (t6 + 0U); t8 = (t7 + 0U); *((int *)t8) = 0; @@ -1360,8 +1483,8 @@ LAB0: xsi_set_current_line(218, ng0); LAB6: t8 = (t0 + 2152U); t12 = *((char **)t8); - t8 = (t0 + 21800U); - t13 = (t0 + 22663); + t8 = (t0 + 22456U); + t13 = (t0 + 23336); t16 = (t15 + 0U); t17 = (t16 + 0U); *((int *)t17) = 0; @@ -1381,7 +1504,7 @@ LAB7: if (t1 != 0) goto LAB3; LAB4: -LAB8: t24 = (t0 + 13216); +LAB8: t24 = (t0 + 13704); t25 = (t24 + 56U); t26 = *((char **)t25); t27 = (t26 + 56U); @@ -1389,11 +1512,11 @@ LAB8: t24 = (t0 + 13216); *((unsigned char *)t28) = (unsigned char)3; xsi_driver_first_trans_fast(t24); -LAB2: t29 = (t0 + 12688); +LAB2: t29 = (t0 + 13112); *((int *)t29) = 1; LAB1: return; -LAB3: t17 = (t0 + 13216); +LAB3: t17 = (t0 + 13704); t20 = (t17 + 56U); t21 = *((char **)t20); t22 = (t21 + 56U); @@ -1409,7 +1532,7 @@ LAB9: goto LAB2; } -static void work_a_4150868852_3212880686_p_6(char *t0) +static void work_a_4150868852_3212880686_p_7(char *t0) { char t7[16]; char t16[16]; @@ -1449,11 +1572,11 @@ static void work_a_4150868852_3212880686_p_6(char *t0) char *t39; char *t40; -LAB0: xsi_set_current_line(232, ng0); +LAB0: xsi_set_current_line(235, ng0); t3 = (t0 + 2792U); t4 = *((char **)t3); - t3 = (t0 + 21864U); - t5 = (t0 + 22671); + t3 = (t0 + 22520U); + t5 = (t0 + 23344); t8 = (t7 + 0U); t9 = (t8 + 0U); *((int *)t9) = 0; @@ -1472,8 +1595,8 @@ LAB0: xsi_set_current_line(232, ng0); LAB9: t9 = (t0 + 2792U); t13 = *((char **)t9); - t9 = (t0 + 21864U); - t14 = (t0 + 22679); + t9 = (t0 + 22520U); + t14 = (t0 + 23352); t17 = (t16 + 0U); t18 = (t17 + 0U); *((int *)t18) = 0; @@ -1494,8 +1617,8 @@ LAB10: if (t2 == 1) LAB6: t18 = (t0 + 2792U); t21 = *((char **)t18); - t18 = (t0 + 21864U); - t22 = (t0 + 22687); + t18 = (t0 + 22520U); + t22 = (t0 + 23360); t25 = (t24 + 0U); t26 = (t25 + 0U); *((int *)t26) = 0; @@ -1517,7 +1640,7 @@ LAB7: if (t1 != 0) LAB4: LAB11: t34 = (t0 + 3112U); t35 = *((char **)t34); - t34 = (t0 + 13280); + t34 = (t0 + 13768); t36 = (t34 + 56U); t37 = *((char **)t36); t38 = (t37 + 56U); @@ -1525,13 +1648,13 @@ LAB11: t34 = (t0 + 3112U); memcpy(t39, t35, 8U); xsi_driver_first_trans_fast(t34); -LAB2: t40 = (t0 + 12704); +LAB2: t40 = (t0 + 13128); *((int *)t40) = 1; LAB1: return; LAB3: t26 = (t0 + 6152U); t29 = *((char **)t26); - t26 = (t0 + 13280); + t26 = (t0 + 13768); t30 = (t26 + 56U); t31 = *((char **)t30); t32 = (t31 + 56U); @@ -1550,75 +1673,6 @@ LAB12: goto LAB2; } -static void work_a_4150868852_3212880686_p_7(char *t0) -{ - char t5[16]; - char *t1; - char *t2; - char *t3; - char *t6; - char *t7; - int t8; - unsigned int t9; - unsigned char t10; - char *t11; - char *t12; - char *t13; - char *t14; - char *t15; - char *t16; - char *t17; - char *t18; - char *t19; - char *t20; - -LAB0: xsi_set_current_line(250, ng0); - t1 = (t0 + 4392U); - t2 = *((char **)t1); - t1 = (t0 + 21960U); - t3 = (t0 + 22695); - t6 = (t5 + 0U); - t7 = (t6 + 0U); - *((int *)t7) = 0; - t7 = (t6 + 4U); - *((int *)t7) = 7; - t7 = (t6 + 8U); - *((int *)t7) = 1; - t8 = (7 - 0); - t9 = (t8 * 1); - t9 = (t9 + 1); - t7 = (t6 + 12U); - *((unsigned int *)t7) = t9; - t10 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t2, t1, t3, t5); - if (t10 != 0) - goto LAB3; - -LAB4: -LAB5: t15 = (t0 + 13344); - t16 = (t15 + 56U); - t17 = *((char **)t16); - t18 = (t17 + 56U); - t19 = *((char **)t18); - *((unsigned char *)t19) = (unsigned char)3; - xsi_driver_first_trans_fast(t15); - -LAB2: t20 = (t0 + 12720); - *((int *)t20) = 1; - -LAB1: return; -LAB3: t7 = (t0 + 13344); - t11 = (t7 + 56U); - t12 = *((char **)t11); - t13 = (t12 + 56U); - t14 = *((char **)t13); - *((unsigned char *)t14) = (unsigned char)2; - xsi_driver_first_trans_fast(t7); - goto LAB2; - -LAB6: goto LAB2; - -} - static void work_a_4150868852_3212880686_p_8(char *t0) { char t5[16]; @@ -1640,14 +1694,12 @@ static void work_a_4150868852_3212880686_p_8(char *t0) char *t18; char *t19; char *t20; - char *t21; - char *t22; -LAB0: xsi_set_current_line(252, ng0); +LAB0: xsi_set_current_line(253, ng0); t1 = (t0 + 4392U); t2 = *((char **)t1); - t1 = (t0 + 21960U); - t3 = (t0 + 22703); + t1 = (t0 + 22616U); + t3 = (t0 + 23368); t6 = (t5 + 0U); t7 = (t6 + 0U); *((int *)t7) = 0; @@ -1665,28 +1717,24 @@ LAB0: xsi_set_current_line(252, ng0); goto LAB3; LAB4: -LAB5: t16 = (t0 + 4072U); +LAB5: t15 = (t0 + 13832); + t16 = (t15 + 56U); t17 = *((char **)t16); - t16 = (t0 + 13408); - t18 = (t16 + 56U); + t18 = (t17 + 56U); t19 = *((char **)t18); - t20 = (t19 + 56U); - t21 = *((char **)t20); - memcpy(t21, t17, 8U); - xsi_driver_first_trans_fast(t16); + *((unsigned char *)t19) = (unsigned char)3; + xsi_driver_first_trans_fast(t15); -LAB2: t22 = (t0 + 12736); - *((int *)t22) = 1; +LAB2: t20 = (t0 + 13144); + *((int *)t20) = 1; LAB1: return; -LAB3: t7 = (t0 + 4232U); - t11 = *((char **)t7); - t7 = (t0 + 13408); - t12 = (t7 + 56U); - t13 = *((char **)t12); - t14 = (t13 + 56U); - t15 = *((char **)t14); - memcpy(t15, t11, 8U); +LAB3: t7 = (t0 + 13832); + t11 = (t7 + 56U); + t12 = *((char **)t11); + t13 = (t12 + 56U); + t14 = *((char **)t13); + *((unsigned char *)t14) = (unsigned char)2; xsi_driver_first_trans_fast(t7); goto LAB2; @@ -1711,12 +1759,18 @@ static void work_a_4150868852_3212880686_p_9(char *t0) char *t14; char *t15; char *t16; + char *t17; + char *t18; + char *t19; + char *t20; + char *t21; + char *t22; -LAB0: xsi_set_current_line(254, ng0); +LAB0: xsi_set_current_line(255, ng0); t1 = (t0 + 4392U); t2 = *((char **)t1); - t1 = (t0 + 21960U); - t3 = (t0 + 22711); + t1 = (t0 + 22616U); + t3 = (t0 + 23376); t6 = (t5 + 0U); t7 = (t6 + 0U); *((int *)t7) = 0; @@ -1734,13 +1788,82 @@ LAB0: xsi_set_current_line(254, ng0); goto LAB3; LAB4: -LAB2: t16 = (t0 + 12752); +LAB5: t16 = (t0 + 4072U); + t17 = *((char **)t16); + t16 = (t0 + 13896); + t18 = (t16 + 56U); + t19 = *((char **)t18); + t20 = (t19 + 56U); + t21 = *((char **)t20); + memcpy(t21, t17, 8U); + xsi_driver_first_trans_fast(t16); + +LAB2: t22 = (t0 + 13160); + *((int *)t22) = 1; + +LAB1: return; +LAB3: t7 = (t0 + 4232U); + t11 = *((char **)t7); + t7 = (t0 + 13896); + t12 = (t7 + 56U); + t13 = *((char **)t12); + t14 = (t13 + 56U); + t15 = *((char **)t14); + memcpy(t15, t11, 8U); + xsi_driver_first_trans_fast(t7); + goto LAB2; + +LAB6: goto LAB2; + +} + +static void work_a_4150868852_3212880686_p_10(char *t0) +{ + char t5[16]; + char *t1; + char *t2; + char *t3; + char *t6; + char *t7; + int t8; + unsigned int t9; + unsigned char t10; + char *t11; + char *t12; + char *t13; + char *t14; + char *t15; + char *t16; + +LAB0: xsi_set_current_line(257, ng0); + t1 = (t0 + 4392U); + t2 = *((char **)t1); + t1 = (t0 + 22616U); + t3 = (t0 + 23384); + t6 = (t5 + 0U); + t7 = (t6 + 0U); + *((int *)t7) = 0; + t7 = (t6 + 4U); + *((int *)t7) = 7; + t7 = (t6 + 8U); + *((int *)t7) = 1; + t8 = (7 - 0); + t9 = (t8 * 1); + t9 = (t9 + 1); + t7 = (t6 + 12U); + *((unsigned int *)t7) = t9; + t10 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t2, t1, t3, t5); + if (t10 != 0) + goto LAB3; + +LAB4: +LAB2: t16 = (t0 + 13176); *((int *)t16) = 1; LAB1: return; LAB3: t7 = (t0 + 4232U); t11 = *((char **)t7); - t7 = (t0 + 13472); + t7 = (t0 + 13960); t12 = (t7 + 56U); t13 = *((char **)t12); t14 = (t13 + 56U); @@ -1751,115 +1874,82 @@ LAB3: t7 = (t0 + 4232U); } -static void work_a_4150868852_3212880686_p_10(char *t0) +static void work_a_4150868852_3212880686_p_11(char *t0) { - char t6[16]; - char t15[16]; - unsigned char t1; + char t5[16]; + char *t1; char *t2; char *t3; - char *t4; + char *t6; char *t7; - char *t8; - int t9; - unsigned int t10; - unsigned char t11; + int t8; + unsigned int t9; + unsigned char t10; + char *t11; char *t12; char *t13; + char *t14; + char *t15; char *t16; char *t17; - int t18; - unsigned char t19; + char *t18; + char *t19; char *t20; char *t21; char *t22; - char *t23; - char *t24; - char *t25; - char *t26; - char *t27; - char *t28; - char *t29; - char *t30; - char *t31; -LAB0: xsi_set_current_line(255, ng0); - t2 = (t0 + 4392U); - t3 = *((char **)t2); - t2 = (t0 + 21960U); - t4 = (t0 + 22719); +LAB0: xsi_set_current_line(258, ng0); + t1 = (t0 + 4392U); + t2 = *((char **)t1); + t1 = (t0 + 22616U); + t3 = (t0 + 23392); + t6 = (t5 + 0U); t7 = (t6 + 0U); - t8 = (t7 + 0U); - *((int *)t8) = 0; - t8 = (t7 + 4U); - *((int *)t8) = 7; - t8 = (t7 + 8U); - *((int *)t8) = 1; - t9 = (7 - 0); - t10 = (t9 * 1); - t10 = (t10 + 1); - t8 = (t7 + 12U); - *((unsigned int *)t8) = t10; - t11 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t3, t2, t4, t6); - if (t11 == 1) - goto LAB5; - -LAB6: t8 = (t0 + 4392U); - t12 = *((char **)t8); - t8 = (t0 + 21960U); - t13 = (t0 + 22727); - t16 = (t15 + 0U); - t17 = (t16 + 0U); - *((int *)t17) = 0; - t17 = (t16 + 4U); - *((int *)t17) = 7; - t17 = (t16 + 8U); - *((int *)t17) = 1; - t18 = (7 - 0); - t10 = (t18 * 1); - t10 = (t10 + 1); - t17 = (t16 + 12U); - *((unsigned int *)t17) = t10; - t19 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t12, t8, t13, t15); - t1 = t19; - -LAB7: if (t1 != 0) + *((int *)t7) = 0; + t7 = (t6 + 4U); + *((int *)t7) = 7; + t7 = (t6 + 8U); + *((int *)t7) = 1; + t8 = (7 - 0); + t9 = (t8 * 1); + t9 = (t9 + 1); + t7 = (t6 + 12U); + *((unsigned int *)t7) = t9; + t10 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t2, t1, t3, t5); + if (t10 != 0) goto LAB3; LAB4: -LAB8: t25 = (t0 + 4232U); - t26 = *((char **)t25); - t25 = (t0 + 13536); - t27 = (t25 + 56U); - t28 = *((char **)t27); - t29 = (t28 + 56U); - t30 = *((char **)t29); - memcpy(t30, t26, 8U); - xsi_driver_first_trans_fast(t25); +LAB5: t16 = (t0 + 4232U); + t17 = *((char **)t16); + t16 = (t0 + 14024); + t18 = (t16 + 56U); + t19 = *((char **)t18); + t20 = (t19 + 56U); + t21 = *((char **)t20); + memcpy(t21, t17, 8U); + xsi_driver_first_trans_fast(t16); -LAB2: t31 = (t0 + 12768); - *((int *)t31) = 1; +LAB2: t22 = (t0 + 13192); + *((int *)t22) = 1; LAB1: return; -LAB3: t17 = (t0 + 5672U); - t20 = *((char **)t17); - t17 = (t0 + 13536); - t21 = (t17 + 56U); - t22 = *((char **)t21); - t23 = (t22 + 56U); - t24 = *((char **)t23); - memcpy(t24, t20, 8U); - xsi_driver_first_trans_fast(t17); +LAB3: t7 = (t0 + 5672U); + t11 = *((char **)t7); + t7 = (t0 + 14024); + t12 = (t7 + 56U); + t13 = *((char **)t12); + t14 = (t13 + 56U); + t15 = *((char **)t14); + memcpy(t15, t11, 8U); + xsi_driver_first_trans_fast(t7); goto LAB2; -LAB5: t1 = (unsigned char)1; - goto LAB7; - -LAB9: goto LAB2; +LAB6: goto LAB2; } -static void work_a_4150868852_3212880686_p_11(char *t0) +static void work_a_4150868852_3212880686_p_12(char *t0) { char t6[16]; char t15[16]; @@ -1889,11 +1979,11 @@ static void work_a_4150868852_3212880686_p_11(char *t0) char *t28; char *t29; -LAB0: xsi_set_current_line(259, ng0); +LAB0: xsi_set_current_line(262, ng0); t2 = (t0 + 2792U); t3 = *((char **)t2); - t2 = (t0 + 21864U); - t4 = (t0 + 22735); + t2 = (t0 + 22520U); + t4 = (t0 + 23400); t7 = (t6 + 0U); t8 = (t7 + 0U); *((int *)t8) = 0; @@ -1912,8 +2002,8 @@ LAB0: xsi_set_current_line(259, ng0); LAB6: t8 = (t0 + 2792U); t12 = *((char **)t8); - t8 = (t0 + 21864U); - t13 = (t0 + 22743); + t8 = (t0 + 22520U); + t13 = (t0 + 23408); t16 = (t15 + 0U); t17 = (t16 + 0U); *((int *)t17) = 0; @@ -1933,7 +2023,7 @@ LAB7: if (t1 != 0) goto LAB3; LAB4: -LAB8: t24 = (t0 + 13600); +LAB8: t24 = (t0 + 14088); t25 = (t24 + 56U); t26 = *((char **)t25); t27 = (t26 + 56U); @@ -1941,11 +2031,11 @@ LAB8: t24 = (t0 + 13600); *((unsigned char *)t28) = (unsigned char)3; xsi_driver_first_trans_fast(t24); -LAB2: t29 = (t0 + 12784); +LAB2: t29 = (t0 + 13208); *((int *)t29) = 1; LAB1: return; -LAB3: t17 = (t0 + 13600); +LAB3: t17 = (t0 + 14088); t20 = (t17 + 56U); t21 = *((char **)t20); t22 = (t21 + 56U); @@ -1961,106 +2051,127 @@ LAB9: goto LAB2; } -static void work_a_4150868852_3212880686_p_12(char *t0) +static void work_a_4150868852_3212880686_p_13(char *t0) { - char t17[16]; - char t36[16]; - char t55[16]; - char t74[16]; + char t18[16]; + char t37[16]; + char t56[16]; + char t75[16]; + char t90[16]; unsigned char t1; unsigned char t2; unsigned char t3; unsigned char t4; unsigned char t5; - char *t6; + unsigned char t6; char *t7; - unsigned char t8; + char *t8; unsigned char t9; - char *t10; - unsigned char t11; + unsigned char t10; + char *t11; unsigned char t12; - char *t13; - unsigned int t14; + unsigned char t13; + char *t14; unsigned int t15; unsigned int t16; - char *t18; + unsigned int t17; char *t19; - int t20; - unsigned int t21; - char *t22; - unsigned char t23; + char *t20; + int t21; + unsigned int t22; + char *t23; unsigned char t24; unsigned char t25; - char *t26; + unsigned char t26; char *t27; - unsigned char t28; + char *t28; unsigned char t29; - char *t30; - unsigned char t31; + unsigned char t30; + char *t31; unsigned char t32; - char *t33; - unsigned int t34; + unsigned char t33; + char *t34; unsigned int t35; - char *t37; + unsigned int t36; char *t38; - int t39; - unsigned int t40; - char *t41; - unsigned char t42; + char *t39; + int t40; + unsigned int t41; + char *t42; unsigned char t43; unsigned char t44; - char *t45; + unsigned char t45; char *t46; - unsigned char t47; + char *t47; unsigned char t48; - char *t49; - unsigned char t50; + unsigned char t49; + char *t50; unsigned char t51; - char *t52; - unsigned int t53; + unsigned char t52; + char *t53; unsigned int t54; - char *t56; + unsigned int t55; char *t57; - int t58; - unsigned int t59; - char *t60; - unsigned char t61; + char *t58; + int t59; + unsigned int t60; + char *t61; unsigned char t62; unsigned char t63; - char *t64; + unsigned char t64; char *t65; - unsigned char t66; + char *t66; unsigned char t67; - char *t68; - unsigned char t69; + unsigned char t68; + char *t69; unsigned char t70; - char *t71; - unsigned int t72; + unsigned char t71; + char *t72; unsigned int t73; - char *t75; + unsigned int t74; char *t76; - int t77; - unsigned int t78; - char *t79; - unsigned char t80; - char *t81; - char *t82; + char *t77; + int t78; + unsigned int t79; + char *t80; + unsigned char t81; + unsigned char t82; char *t83; char *t84; - char *t85; - char *t86; + unsigned char t85; + unsigned char t86; char *t87; - char *t88; - char *t89; - char *t90; + unsigned int t88; + unsigned int t89; char *t91; + char *t92; + int t93; + unsigned int t94; + char *t95; + unsigned char t96; + char *t97; + char *t98; + char *t99; + char *t100; + char *t101; + char *t102; + char *t103; + char *t104; + char *t105; + char *t106; + char *t107; -LAB0: xsi_set_current_line(285, ng0); - t6 = (t0 + 6472U); - t7 = *((char **)t6); - t8 = *((unsigned char *)t7); - t9 = (t8 == (unsigned char)3); - if (t9 == 1) +LAB0: xsi_set_current_line(288, ng0); + t7 = (t0 + 6472U); + t8 = *((char **)t7); + t9 = *((unsigned char *)t8); + t10 = (t9 == (unsigned char)3); + if (t10 == 1) + goto LAB20; + +LAB21: t6 = (unsigned char)0; + +LAB22: if (t6 == 1) goto LAB17; LAB18: t5 = (unsigned char)0; @@ -2068,88 +2179,97 @@ LAB18: t5 = (unsigned char)0; LAB19: if (t5 == 1) goto LAB14; -LAB15: t4 = (unsigned char)0; +LAB15: t27 = (t0 + 6632U); + t28 = *((char **)t27); + t29 = *((unsigned char *)t28); + t30 = (t29 == (unsigned char)3); + if (t30 == 1) + goto LAB26; -LAB16: if (t4 == 1) - goto LAB11; +LAB27: t26 = (unsigned char)0; -LAB12: t26 = (t0 + 6632U); - t27 = *((char **)t26); - t28 = *((unsigned char *)t27); - t29 = (t28 == (unsigned char)3); - if (t29 == 1) +LAB28: if (t26 == 1) goto LAB23; LAB24: t25 = (unsigned char)0; -LAB25: if (t25 == 1) - goto LAB20; +LAB25: t4 = t25; -LAB21: t24 = (unsigned char)0; +LAB16: if (t4 == 1) + goto LAB11; -LAB22: t3 = t24; +LAB12: t46 = (t0 + 6472U); + t47 = *((char **)t46); + t48 = *((unsigned char *)t47); + t49 = (t48 == (unsigned char)3); + if (t49 == 1) + goto LAB32; -LAB13: if (t3 == 1) - goto LAB8; +LAB33: t45 = (unsigned char)0; -LAB9: t45 = (t0 + 6472U); - t46 = *((char **)t45); - t47 = *((unsigned char *)t46); - t48 = (t47 == (unsigned char)3); - if (t48 == 1) +LAB34: if (t45 == 1) goto LAB29; LAB30: t44 = (unsigned char)0; -LAB31: if (t44 == 1) - goto LAB26; +LAB31: t3 = t44; -LAB27: t43 = (unsigned char)0; +LAB13: if (t3 == 1) + goto LAB8; -LAB28: t2 = t43; +LAB9: t65 = (t0 + 6632U); + t66 = *((char **)t65); + t67 = *((unsigned char *)t66); + t68 = (t67 == (unsigned char)3); + if (t68 == 1) + goto LAB38; -LAB10: if (t2 == 1) - goto LAB5; +LAB39: t64 = (unsigned char)0; -LAB6: t64 = (t0 + 6632U); - t65 = *((char **)t64); - t66 = *((unsigned char *)t65); - t67 = (t66 == (unsigned char)3); - if (t67 == 1) +LAB40: if (t64 == 1) goto LAB35; LAB36: t63 = (unsigned char)0; -LAB37: if (t63 == 1) - goto LAB32; +LAB37: t2 = t63; -LAB33: t62 = (unsigned char)0; +LAB10: if (t2 == 1) + goto LAB5; -LAB34: t1 = t62; +LAB6: t83 = (t0 + 7112U); + t84 = *((char **)t83); + t85 = *((unsigned char *)t84); + t86 = (t85 == (unsigned char)3); + if (t86 == 1) + goto LAB41; + +LAB42: t82 = (unsigned char)0; + +LAB43: t1 = t82; LAB7: if (t1 != 0) goto LAB3; LAB4: -LAB38: t86 = (t0 + 13664); - t87 = (t86 + 56U); - t88 = *((char **)t87); - t89 = (t88 + 56U); - t90 = *((char **)t89); - *((unsigned char *)t90) = (unsigned char)3; - xsi_driver_first_trans_fast(t86); +LAB44: t102 = (t0 + 14152); + t103 = (t102 + 56U); + t104 = *((char **)t103); + t105 = (t104 + 56U); + t106 = *((char **)t105); + *((unsigned char *)t106) = (unsigned char)3; + xsi_driver_first_trans_fast(t102); -LAB2: t91 = (t0 + 12800); - *((int *)t91) = 1; +LAB2: t107 = (t0 + 13224); + *((int *)t107) = 1; LAB1: return; -LAB3: t81 = (t0 + 13664); - t82 = (t81 + 56U); - t83 = *((char **)t82); - t84 = (t83 + 56U); - t85 = *((char **)t84); - *((unsigned char *)t85) = (unsigned char)2; - xsi_driver_first_trans_fast(t81); +LAB3: t97 = (t0 + 14152); + t98 = (t97 + 56U); + t99 = *((char **)t98); + t100 = (t99 + 56U); + t101 = *((char **)t100); + *((unsigned char *)t101) = (unsigned char)2; + xsi_driver_first_trans_fast(t97); goto LAB2; LAB5: t1 = (unsigned char)1; @@ -2161,139 +2281,167 @@ LAB8: t2 = (unsigned char)1; LAB11: t3 = (unsigned char)1; goto LAB13; -LAB14: t6 = (t0 + 1992U); - t13 = *((char **)t6); - t14 = (31 - 15); - t15 = (t14 * 1U); - t16 = (0 + t15); - t6 = (t13 + t16); - t18 = (t17 + 0U); - t19 = (t18 + 0U); - *((int *)t19) = 15; - t19 = (t18 + 4U); - *((int *)t19) = 8; - t19 = (t18 + 8U); - *((int *)t19) = -1; - t20 = (8 - 15); - t21 = (t20 * -1); - t21 = (t21 + 1); - t19 = (t18 + 12U); - *((unsigned int *)t19) = t21; - t19 = (t0 + 2312U); - t22 = *((char **)t19); - t19 = (t0 + 21816U); - t23 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t6, t17, t22, t19); - t4 = t23; +LAB14: t4 = (unsigned char)1; goto LAB16; -LAB17: t6 = (t0 + 6792U); - t10 = *((char **)t6); - t11 = *((unsigned char *)t10); - t12 = (t11 == (unsigned char)3); - t5 = t12; +LAB17: t7 = (t0 + 1992U); + t14 = *((char **)t7); + t15 = (31 - 15); + t16 = (t15 * 1U); + t17 = (0 + t16); + t7 = (t14 + t17); + t19 = (t18 + 0U); + t20 = (t19 + 0U); + *((int *)t20) = 15; + t20 = (t19 + 4U); + *((int *)t20) = 8; + t20 = (t19 + 8U); + *((int *)t20) = -1; + t21 = (8 - 15); + t22 = (t21 * -1); + t22 = (t22 + 1); + t20 = (t19 + 12U); + *((unsigned int *)t20) = t22; + t20 = (t0 + 2312U); + t23 = *((char **)t20); + t20 = (t0 + 22472U); + t24 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t7, t18, t23, t20); + t5 = t24; goto LAB19; -LAB20: t26 = (t0 + 1992U); - t33 = *((char **)t26); - t21 = (31 - 7); - t34 = (t21 * 1U); - t35 = (0 + t34); - t26 = (t33 + t35); - t37 = (t36 + 0U); - t38 = (t37 + 0U); - *((int *)t38) = 7; - t38 = (t37 + 4U); - *((int *)t38) = 0; - t38 = (t37 + 8U); - *((int *)t38) = -1; - t39 = (0 - 7); - t40 = (t39 * -1); - t40 = (t40 + 1); - t38 = (t37 + 12U); - *((unsigned int *)t38) = t40; - t38 = (t0 + 2312U); - t41 = *((char **)t38); - t38 = (t0 + 21816U); - t42 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t26, t36, t41, t38); - t24 = t42; +LAB20: t7 = (t0 + 6792U); + t11 = *((char **)t7); + t12 = *((unsigned char *)t11); + t13 = (t12 == (unsigned char)3); + t6 = t13; goto LAB22; -LAB23: t26 = (t0 + 6792U); - t30 = *((char **)t26); - t31 = *((unsigned char *)t30); - t32 = (t31 == (unsigned char)3); - t25 = t32; +LAB23: t27 = (t0 + 1992U); + t34 = *((char **)t27); + t22 = (31 - 7); + t35 = (t22 * 1U); + t36 = (0 + t35); + t27 = (t34 + t36); + t38 = (t37 + 0U); + t39 = (t38 + 0U); + *((int *)t39) = 7; + t39 = (t38 + 4U); + *((int *)t39) = 0; + t39 = (t38 + 8U); + *((int *)t39) = -1; + t40 = (0 - 7); + t41 = (t40 * -1); + t41 = (t41 + 1); + t39 = (t38 + 12U); + *((unsigned int *)t39) = t41; + t39 = (t0 + 2312U); + t42 = *((char **)t39); + t39 = (t0 + 22472U); + t43 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t27, t37, t42, t39); + t25 = t43; goto LAB25; -LAB26: t45 = (t0 + 1992U); - t52 = *((char **)t45); - t40 = (31 - 15); - t53 = (t40 * 1U); - t54 = (0 + t53); - t45 = (t52 + t54); - t56 = (t55 + 0U); - t57 = (t56 + 0U); - *((int *)t57) = 15; - t57 = (t56 + 4U); - *((int *)t57) = 8; - t57 = (t56 + 8U); - *((int *)t57) = -1; - t58 = (8 - 15); - t59 = (t58 * -1); - t59 = (t59 + 1); - t57 = (t56 + 12U); - *((unsigned int *)t57) = t59; - t57 = (t0 + 2952U); - t60 = *((char **)t57); - t57 = (t0 + 21880U); - t61 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t45, t55, t60, t57); - t43 = t61; +LAB26: t27 = (t0 + 6792U); + t31 = *((char **)t27); + t32 = *((unsigned char *)t31); + t33 = (t32 == (unsigned char)3); + t26 = t33; goto LAB28; -LAB29: t45 = (t0 + 6952U); - t49 = *((char **)t45); - t50 = *((unsigned char *)t49); - t51 = (t50 == (unsigned char)3); - t44 = t51; +LAB29: t46 = (t0 + 1992U); + t53 = *((char **)t46); + t41 = (31 - 15); + t54 = (t41 * 1U); + t55 = (0 + t54); + t46 = (t53 + t55); + t57 = (t56 + 0U); + t58 = (t57 + 0U); + *((int *)t58) = 15; + t58 = (t57 + 4U); + *((int *)t58) = 8; + t58 = (t57 + 8U); + *((int *)t58) = -1; + t59 = (8 - 15); + t60 = (t59 * -1); + t60 = (t60 + 1); + t58 = (t57 + 12U); + *((unsigned int *)t58) = t60; + t58 = (t0 + 2952U); + t61 = *((char **)t58); + t58 = (t0 + 22536U); + t62 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t46, t56, t61, t58); + t44 = t62; goto LAB31; -LAB32: t64 = (t0 + 1992U); - t71 = *((char **)t64); - t59 = (31 - 7); - t72 = (t59 * 1U); - t73 = (0 + t72); - t64 = (t71 + t73); - t75 = (t74 + 0U); - t76 = (t75 + 0U); - *((int *)t76) = 7; - t76 = (t75 + 4U); - *((int *)t76) = 0; - t76 = (t75 + 8U); - *((int *)t76) = -1; - t77 = (0 - 7); - t78 = (t77 * -1); - t78 = (t78 + 1); - t76 = (t75 + 12U); - *((unsigned int *)t76) = t78; - t76 = (t0 + 2952U); - t79 = *((char **)t76); - t76 = (t0 + 21880U); - t80 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t64, t74, t79, t76); - t62 = t80; +LAB32: t46 = (t0 + 6952U); + t50 = *((char **)t46); + t51 = *((unsigned char *)t50); + t52 = (t51 == (unsigned char)3); + t45 = t52; goto LAB34; -LAB35: t64 = (t0 + 6952U); - t68 = *((char **)t64); - t69 = *((unsigned char *)t68); - t70 = (t69 == (unsigned char)3); - t63 = t70; +LAB35: t65 = (t0 + 1992U); + t72 = *((char **)t65); + t60 = (31 - 7); + t73 = (t60 * 1U); + t74 = (0 + t73); + t65 = (t72 + t74); + t76 = (t75 + 0U); + t77 = (t76 + 0U); + *((int *)t77) = 7; + t77 = (t76 + 4U); + *((int *)t77) = 0; + t77 = (t76 + 8U); + *((int *)t77) = -1; + t78 = (0 - 7); + t79 = (t78 * -1); + t79 = (t79 + 1); + t77 = (t76 + 12U); + *((unsigned int *)t77) = t79; + t77 = (t0 + 2952U); + t80 = *((char **)t77); + t77 = (t0 + 22536U); + t81 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t65, t75, t80, t77); + t63 = t81; goto LAB37; -LAB39: goto LAB2; +LAB38: t65 = (t0 + 6952U); + t69 = *((char **)t65); + t70 = *((unsigned char *)t69); + t71 = (t70 == (unsigned char)3); + t64 = t71; + goto LAB40; + +LAB41: t83 = (t0 + 1992U); + t87 = *((char **)t83); + t79 = (31 - 15); + t88 = (t79 * 1U); + t89 = (0 + t88); + t83 = (t87 + t89); + t91 = (t90 + 0U); + t92 = (t91 + 0U); + *((int *)t92) = 15; + t92 = (t91 + 4U); + *((int *)t92) = 8; + t92 = (t91 + 8U); + *((int *)t92) = -1; + t93 = (8 - 15); + t94 = (t93 * -1); + t94 = (t94 + 1); + t92 = (t91 + 12U); + *((unsigned int *)t92) = t94; + t92 = (t0 + 2312U); + t95 = *((char **)t92); + t92 = (t0 + 22472U); + t96 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t83, t90, t95, t92); + t82 = t96; + goto LAB43; + +LAB45: goto LAB2; } -static void work_a_4150868852_3212880686_p_13(char *t0) +static void work_a_4150868852_3212880686_p_14(char *t0) { char t12[16]; char t13[16]; @@ -2318,23 +2466,23 @@ static void work_a_4150868852_3212880686_p_13(char *t0) char *t21; char *t22; -LAB0: t1 = (t0 + 12288U); +LAB0: t1 = (t0 + 12696U); t2 = *((char **)t1); if (t2 == 0) goto LAB2; LAB3: goto *t2; -LAB2: xsi_set_current_line(293, ng0); +LAB2: xsi_set_current_line(297, ng0); -LAB6: t2 = (t0 + 12816); +LAB6: t2 = (t0 + 13240); *((int *)t2) = 1; *((char **)t1) = &&LAB7; LAB1: return; -LAB4: t5 = (t0 + 12816); +LAB4: t5 = (t0 + 13240); *((int *)t5) = 0; - xsi_set_current_line(294, ng0); + xsi_set_current_line(298, ng0); t2 = (t0 + 1192U); t3 = *((char **)t2); t4 = *((unsigned char *)t3); @@ -2342,8 +2490,8 @@ LAB4: t5 = (t0 + 12816); if (t6 != 0) goto LAB8; -LAB10: xsi_set_current_line(297, ng0); - t2 = (t0 + 7112U); +LAB10: xsi_set_current_line(301, ng0); + t2 = (t0 + 7272U); t3 = *((char **)t2); t4 = *((unsigned char *)t3); t6 = (t4 == (unsigned char)3); @@ -2363,9 +2511,9 @@ LAB5: t3 = (t0 + 992U); LAB7: goto LAB5; -LAB8: xsi_set_current_line(295, ng0); - t2 = (t0 + 22751); - t7 = (t0 + 13728); +LAB8: xsi_set_current_line(299, ng0); + t2 = (t0 + 23416); + t7 = (t0 + 14216); t8 = (t7 + 56U); t9 = *((char **)t8); t10 = (t9 + 56U); @@ -2374,11 +2522,11 @@ LAB8: xsi_set_current_line(295, ng0); xsi_driver_first_trans_fast(t7); goto LAB9; -LAB11: xsi_set_current_line(298, ng0); +LAB11: xsi_set_current_line(302, ng0); t2 = (t0 + 1352U); t5 = *((char **)t2); - t2 = (t0 + 21720U); - t7 = (t0 + 22759); + t2 = (t0 + 22376U); + t7 = (t0 + 23424); t9 = (t13 + 0U); t10 = (t9 + 0U); *((int *)t10) = 0; @@ -2399,7 +2547,7 @@ LAB11: xsi_set_current_line(298, ng0); if (t17 == 1) goto LAB14; -LAB15: t18 = (t0 + 13728); +LAB15: t18 = (t0 + 14216); t19 = (t18 + 56U); t20 = *((char **)t19); t21 = (t20 + 56U); @@ -2416,7 +2564,7 @@ LAB14: xsi_size_not_matching(8U, t16, 0); extern void work_a_4150868852_3212880686_init() { - static char *pe[] = {(void *)work_a_4150868852_3212880686_p_0,(void *)work_a_4150868852_3212880686_p_1,(void *)work_a_4150868852_3212880686_p_2,(void *)work_a_4150868852_3212880686_p_3,(void *)work_a_4150868852_3212880686_p_4,(void *)work_a_4150868852_3212880686_p_5,(void *)work_a_4150868852_3212880686_p_6,(void *)work_a_4150868852_3212880686_p_7,(void *)work_a_4150868852_3212880686_p_8,(void *)work_a_4150868852_3212880686_p_9,(void *)work_a_4150868852_3212880686_p_10,(void *)work_a_4150868852_3212880686_p_11,(void *)work_a_4150868852_3212880686_p_12,(void *)work_a_4150868852_3212880686_p_13}; + static char *pe[] = {(void *)work_a_4150868852_3212880686_p_0,(void *)work_a_4150868852_3212880686_p_1,(void *)work_a_4150868852_3212880686_p_2,(void *)work_a_4150868852_3212880686_p_3,(void *)work_a_4150868852_3212880686_p_4,(void *)work_a_4150868852_3212880686_p_5,(void *)work_a_4150868852_3212880686_p_6,(void *)work_a_4150868852_3212880686_p_7,(void *)work_a_4150868852_3212880686_p_8,(void *)work_a_4150868852_3212880686_p_9,(void *)work_a_4150868852_3212880686_p_10,(void *)work_a_4150868852_3212880686_p_11,(void *)work_a_4150868852_3212880686_p_12,(void *)work_a_4150868852_3212880686_p_13,(void *)work_a_4150868852_3212880686_p_14}; xsi_register_didat("work_a_4150868852_3212880686", "isim/process_test_isim_beh.exe.sim/work/a_4150868852_3212880686.didat"); xsi_register_executes(pe); } diff --git a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_4150868852_3212880686.didat b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_4150868852_3212880686.didat index 9f3b29b..ee7d673 100644 Binary files a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_4150868852_3212880686.didat and b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_4150868852_3212880686.didat differ diff --git a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_4150868852_3212880686.lin64.o b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_4150868852_3212880686.lin64.o index 133b7fc..21dc59b 100644 Binary files a/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_4150868852_3212880686.lin64.o and b/xilinx/ALU/isim/process_test_isim_beh.exe.sim/work/a_4150868852_3212880686.lin64.o differ diff --git a/xilinx/ALU/isim/work/alu.vdb b/xilinx/ALU/isim/work/alu.vdb index 7fd0bbf..06d1901 100644 Binary files a/xilinx/ALU/isim/work/alu.vdb and b/xilinx/ALU/isim/work/alu.vdb differ diff --git a/xilinx/ALU/isim/work/bm_data.vdb b/xilinx/ALU/isim/work/bm_data.vdb index a700e50..88d09db 100644 Binary files a/xilinx/ALU/isim/work/bm_data.vdb and b/xilinx/ALU/isim/work/bm_data.vdb differ diff --git a/xilinx/ALU/isim/work/bm_instr.vdb b/xilinx/ALU/isim/work/bm_instr.vdb index 4e2ada0..102e715 100644 Binary files a/xilinx/ALU/isim/work/bm_instr.vdb and b/xilinx/ALU/isim/work/bm_instr.vdb differ diff --git a/xilinx/ALU/isim/work/br.vdb b/xilinx/ALU/isim/work/br.vdb index 93049cb..714fcc0 100644 Binary files a/xilinx/ALU/isim/work/br.vdb and b/xilinx/ALU/isim/work/br.vdb differ diff --git a/xilinx/ALU/isim/work/pipeline.vdb b/xilinx/ALU/isim/work/pipeline.vdb index e941c5e..1f84779 100644 Binary files a/xilinx/ALU/isim/work/pipeline.vdb and b/xilinx/ALU/isim/work/pipeline.vdb differ diff --git a/xilinx/ALU/isim/work/process_test.vdb b/xilinx/ALU/isim/work/process_test.vdb index 2c456ec..0ed6f65 100644 Binary files a/xilinx/ALU/isim/work/process_test.vdb and b/xilinx/ALU/isim/work/process_test.vdb differ diff --git a/xilinx/ALU/isim/work/processeur.vdb b/xilinx/ALU/isim/work/processeur.vdb index 35fcc84..6737783 100644 Binary files a/xilinx/ALU/isim/work/processeur.vdb and b/xilinx/ALU/isim/work/processeur.vdb differ diff --git a/xilinx/ALU/process_test_isim_beh.wdb b/xilinx/ALU/process_test_isim_beh.wdb index 9119173..bebe0c3 100644 Binary files a/xilinx/ALU/process_test_isim_beh.wdb and b/xilinx/ALU/process_test_isim_beh.wdb differ diff --git a/xilinx/ALU/processeur.vhd b/xilinx/ALU/processeur.vhd index 536a9c6..b1265ca 100644 --- a/xilinx/ALU/processeur.vhd +++ b/xilinx/ALU/processeur.vhd @@ -148,6 +148,7 @@ signal li_di_r_c : std_logic; signal di_ex_w_a : std_logic; signal ex_mem_w_a : std_logic; + signal store_load : std_logic; signal alea : std_logic; begin @@ -180,6 +181,8 @@ else '0'; li_di_r_c <= '1' when OUT_data(31 downto 24) = x"01" or OUT_data(31 downto 24) = x"02" or OUT_data(31 downto 24) = x"03" or OUT_data(31 downto 24) = x"04" else '0'; + store_load <= '1' when OUT_data(31 downto 24) = x"07" and OP_LIDI_OUT = x"08" + else '0'; -- Instanciate banc de registre banc_registres : br PORT MAP ( A_addr => B_LIDI_OUT(3 downto 0), @@ -194,7 +197,7 @@ ); B_DIEX_IN <= QA_IN_MUX when OP_LIDI_OUT = x"05" or OP_LIDI_OUT = x"01" or OP_LIDI_OUT = x"02" or OP_LIDI_OUT = x"03" or OP_LIDI_OUT = x"04" or OP_LIDI_OUT = x"08" else B_LIDI_OUT ; - + --B_DIEX_IN <= QA_IN_MUX when OP_LIDI_OUT = x"05" or OP_LIDI_OUT = x"01" or OP_LIDI_OUT = x"02" or OP_LIDI_OUT = x"03" or OP_LIDI_OUT = x"04" else B_LIDI_OUT ; -- Instantiate pipeline DI_EX DI_EX : pipeline PORT MAP ( @@ -252,7 +255,7 @@ addr_dm_MUX <= B_EXMem_OUT when OP_EXMem_OUT = x"07" else A_EXMem_OUT; in_dm_MUX <= B_EXMem_OUT when OP_EXMem_OUT = x"08"; - B_MemRE_IN <= out_dm_MUX when OP_EXMem_OUT = x"08" or OP_EXMem_OUT = x"07" else + B_MemRE_IN <= out_dm_MUX when OP_EXMem_OUT = x"07" else B_EXMem_OUT; -- alea ex_mem @@ -261,7 +264,7 @@ -- Instantiate banc de donnĂ©es data_memory: bm_data PORT MAP ( IN_addr => addr_dm_MUX, - IN_data => in_dm_MUX, + IN_data => B_EXMem_OUT, RW => RW_LC, RST => RST, CLK => CLK, @@ -285,7 +288,8 @@ alea <= '0' when (li_di_r_b = '1' and di_ex_w_a = '1' and OUT_data(15 downto 8) = A_LIDI_OUT) or (li_di_r_c = '1' and di_ex_w_a = '1' and OUT_data(7 downto 0) = A_LIDI_OUT) or (li_di_r_b = '1' and ex_mem_w_a = '1' and OUT_data(15 downto 8) = A_DIEX_OUT) or - (li_di_r_c = '1' and ex_mem_w_a = '1' and OUT_data(7 downto 0) = A_DIEX_OUT) else + (li_di_r_c = '1' and ex_mem_w_a = '1' and OUT_data(7 downto 0) = A_DIEX_OUT) or + (store_load = '1' and OUT_data(15 downto 8) = A_LIDI_OUT) else '1'; process diff --git a/xilinx/ALU/processeur_summary.html b/xilinx/ALU/processeur_summary.html index e983e48..a91716f 100644 --- a/xilinx/ALU/processeur_summary.html +++ b/xilinx/ALU/processeur_summary.html @@ -2,7 +2,7 @@ - + @@ -13,7 +13,7 @@ - + @@ -79,9 +79,9 @@ System Settings  
processeur Project Status (05/18/2021 - 16:15:09)
processeur Project Status
Project File: ALU.xiseModule Name: processeur Implementation State:Mapped (Failed)Mapped
Target Device:
- +
Secondary Reports [-]
Report NameStatusGenerated
ISIM Simulator LogOut of Datemar. mai 18 16:15:36 2021
ISIM Simulator LogCurrentmar. mai 18 16:20:52 2021
-
Date Generated: 05/18/2021 - 16:16:17
+
Date Generated: 05/25/2021 - 10:06:35
\ No newline at end of file diff --git a/xilinx/ALU/tests/demo.wcfg b/xilinx/ALU/tests/demo.wcfg new file mode 100644 index 0000000..a91cffa --- /dev/null +++ b/xilinx/ALU/tests/demo.wcfg @@ -0,0 +1,253 @@ + + + + + + + + + + + + + + + + + + clk + clk + + + rst + rst + + + clk_period + clk_period + + + in_addr[7:0] + in_addr[7:0] + + + out_data[31:0] + out_data[31:0] + + + op_in[7:0] + op_in[7:0] + + + a_in[7:0] + a_in[7:0] + + + b_in[7:0] + b_in[7:0] + + + c_in[7:0] + c_in[7:0] + + + op_out[7:0] + op_out[7:0] + + + a_out[7:0] + a_out[7:0] + + + b_out[7:0] + b_out[7:0] + + + c_out[7:0] + c_out[7:0] + + + qa[7:0] + qa[7:0] + + + qb[7:0] + qb[7:0] + + + registres[0:15] + registres[0:15] + + + a_addr[3:0] + a_addr[3:0] + + + b_addr[3:0] + b_addr[3:0] + + + op_in[7:0] + op_in[7:0] + + + a_in[7:0] + a_in[7:0] + + + b_in[7:0] + b_in[7:0] + + + c_in[7:0] + c_in[7:0] + + + op_out[7:0] + op_out[7:0] + + + a_out[7:0] + a_out[7:0] + + + b_out[7:0] + b_out[7:0] + + + c_out[7:0] + c_out[7:0] + + + a[7:0] + a[7:0] + + + b[7:0] + b[7:0] + + + ctrl_alu[2:0] + ctrl_alu[2:0] + + + s[7:0] + s[7:0] + + + op_in[7:0] + op_in[7:0] + + + a_in[7:0] + a_in[7:0] + + + b_in[7:0] + b_in[7:0] + + + c_in[7:0] + c_in[7:0] + + + op_out[7:0] + op_out[7:0] + + + a_out[7:0] + a_out[7:0] + + + b_out[7:0] + b_out[7:0] + + + c_out[7:0] + c_out[7:0] + + + in_addr[7:0] + in_addr[7:0] + + + in_data[7:0] + in_data[7:0] + + + rw + rw + + + out_data[7:0] + out_data[7:0] + + + data_memory[0:255] + data_memory[0:255] + + + out_dm_mux[7:0] + out_dm_mux[7:0] + + + op_exmem_out[7:0] + op_exmem_out[7:0] + + + op_in[7:0] + op_in[7:0] + + + a_in[7:0] + a_in[7:0] + + + b_in[7:0] + b_in[7:0] + + + c_in[7:0] + c_in[7:0] + + + op_out[7:0] + op_out[7:0] + + + a_out[7:0] + a_out[7:0] + + + b_out[7:0] + b_out[7:0] + + + c_out[7:0] + c_out[7:0] + + + a_addr[3:0] + a_addr[3:0] + + + b_addr[3:0] + b_addr[3:0] + + + w_addr[3:0] + w_addr[3:0] + + + w + w + + + data[7:0] + data[7:0] + + + registres[0:15] + registres[0:15] + +