From 344eba4dde7cf7131a7f1d7775cbd5bb02fad348 Mon Sep 17 00:00:00 2001 From: Foussats Morgane Date: Thu, 15 Apr 2021 11:07:53 +0200 Subject: [PATCH] =?UTF-8?q?BR=20OK=20+=20BM=20OK=20+=20Tests=20et=20pipeli?= =?UTF-8?q?ne=20=C3=A0=20faire?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- xilinx/ALU/ALU.gise | 65 +- xilinx/ALU/ALU.xise | 69 +- xilinx/ALU/_xmsgs/pn_parser.xmsgs | 5 +- xilinx/ALU/alu_summary.html | 6 +- xilinx/ALU/alu_test_beh.prj | 2 - xilinx/ALU/alu_test_isim_beh.wdb | Bin 11218 -> 0 bytes xilinx/ALU/bm.vhd | 55 ++ xilinx/ALU/bm_instr.vhd | 44 + xilinx/ALU/bm_instr_test.vhd | 97 ++ xilinx/ALU/bm_instr_test_beh.prj | 2 + xilinx/ALU/bm_instr_test_isim_beh.exe | Bin 0 -> 21792 bytes xilinx/ALU/bm_instr_test_isim_beh.wdb | Bin 0 -> 9491 bytes xilinx/ALU/br.vhd | 17 +- xilinx/ALU/br_test.vhd | 126 +++ xilinx/ALU/br_test_isim_beh.exe | Bin 0 -> 21792 bytes xilinx/ALU/br_test_isim_beh1.wdb | Bin 0 -> 11306 bytes xilinx/ALU/br_test_isim_beh2.wdb | Bin 0 -> 11218 bytes xilinx/ALU/fuse.log | 24 +- xilinx/ALU/fuseRelaunch.cmd | 2 +- xilinx/ALU/iseconfig/ALU.projectmgr | 33 +- xilinx/ALU/iseconfig/alu.xreport | 4 +- xilinx/ALU/isim.log | 21 +- .../ISimEngine-DesignHierarchy1.dbg | Bin 7870 -> 0 bytes .../alu_isim_beh.exe.sim/alu_isim_beh.exe | Bin 239688 -> 0 bytes .../isim/alu_isim_beh.exe.sim/isimkernel.log | 29 - .../ALU/isim/alu_isim_beh.exe.sim/netId1.dat | Bin 196 -> 0 bytes .../ALU/isim/alu_isim_beh.exe.sim/tmp_save/_1 | Bin 3530 -> 0 bytes .../work/a_2725559894_3212880686.c | 931 ------------------ .../work/a_2725559894_3212880686.didat | Bin 7412 -> 0 bytes .../work/a_2725559894_3212880686.lin64.o | Bin 9272 -> 0 bytes .../work/alu_isim_beh.exe_main.lin64.o | Bin 3448 -> 0 bytes .../ISimEngine-DesignHierarchy.dbg | Bin 8675 -> 0 bytes .../alu_test_isim_beh.exe | Bin 240048 -> 0 bytes .../alu_test_isim_beh.exe.sim/isimkernel.log | 29 - .../isim/alu_test_isim_beh.exe.sim/netId.dat | Bin 100 -> 0 bytes .../alu_test_isim_beh.exe.sim/tmp_save/_1 | Bin 4045 -> 0 bytes .../work/a_0832606739_3212880686.c | 931 ------------------ .../work/a_0832606739_3212880686.didat | Bin 7412 -> 0 bytes .../work/a_0832606739_3212880686.lin64.o | Bin 9272 -> 0 bytes .../work/a_2602956921_2372691052.c | 132 --- .../work/a_2602956921_2372691052.didat | Bin 4964 -> 0 bytes .../work/a_2602956921_2372691052.lin64.o | Bin 3736 -> 0 bytes .../work/alu_test_isim_beh.exe_main.c | 49 - .../work/alu_test_isim_beh.exe_main.lin64.o | Bin 3552 -> 0 bytes .../ISimEngine-DesignHierarchy.dbg | Bin 0 -> 7442 bytes .../bm_instr_test_isim_beh.exe | Bin 0 -> 235128 bytes .../isimcrash.log | 0 .../isimkernel.log | 29 + .../bm_instr_test_isim_beh.exe.sim/netId.dat | Bin 0 -> 52 bytes .../tmp_save/_1 | Bin 0 -> 20277 bytes .../work/a_1802466774_3212880686.c | 106 ++ .../work/a_1802466774_3212880686.didat | Bin 0 -> 5448 bytes .../work/a_1802466774_3212880686.lin64.o | Bin 0 -> 3328 bytes .../work/a_4060154216_2372691052.c | 192 ++++ .../work/a_4060154216_2372691052.didat | Bin 0 -> 3984 bytes .../work/a_4060154216_2372691052.lin64.o | Bin 0 -> 3984 bytes .../work/bm_instr_test_isim_beh.exe_main.c} | 5 +- .../bm_instr_test_isim_beh.exe_main.lin64.o | Bin 0 -> 3560 bytes xilinx/ALU/isim/isim_usage_statistics.html | 10 +- .../isimcrash.log => lockfile2} | 0 .../ieee/p_1242562249.didat | Bin 8516 -> 8516 bytes .../ieee/p_2592010699.didat | Bin 5844 -> 5844 bytes .../ieee/p_3499444699.didat | Bin 4332 -> 4332 bytes .../ieee/p_3620187407.didat | Bin 2264 -> 2264 bytes xilinx/ALU/isim/work/alu.vdb | Bin 7233 -> 0 bytes xilinx/ALU/isim/work/alu_test.vdb | Bin 5542 -> 0 bytes xilinx/ALU/isim/work/bm_instr.vdb | Bin 0 -> 4055 bytes xilinx/ALU/isim/work/bm_instr_test.vdb | Bin 0 -> 4134 bytes xilinx/ALU/pepExtractor.prj | 2 +- 69 files changed, 768 insertions(+), 2249 deletions(-) delete mode 100644 xilinx/ALU/alu_test_beh.prj delete mode 100644 xilinx/ALU/alu_test_isim_beh.wdb create mode 100644 xilinx/ALU/bm.vhd create mode 100644 xilinx/ALU/bm_instr.vhd create mode 100644 xilinx/ALU/bm_instr_test.vhd create mode 100644 xilinx/ALU/bm_instr_test_beh.prj create mode 100755 xilinx/ALU/bm_instr_test_isim_beh.exe create mode 100644 xilinx/ALU/bm_instr_test_isim_beh.wdb create mode 100644 xilinx/ALU/br_test.vhd create mode 100755 xilinx/ALU/br_test_isim_beh.exe create mode 100644 xilinx/ALU/br_test_isim_beh1.wdb create mode 100644 xilinx/ALU/br_test_isim_beh2.wdb delete mode 100644 xilinx/ALU/isim/alu_isim_beh.exe.sim/ISimEngine-DesignHierarchy1.dbg delete mode 100755 xilinx/ALU/isim/alu_isim_beh.exe.sim/alu_isim_beh.exe delete mode 100644 xilinx/ALU/isim/alu_isim_beh.exe.sim/isimkernel.log delete mode 100644 xilinx/ALU/isim/alu_isim_beh.exe.sim/netId1.dat delete mode 100644 xilinx/ALU/isim/alu_isim_beh.exe.sim/tmp_save/_1 delete mode 100644 xilinx/ALU/isim/alu_isim_beh.exe.sim/work/a_2725559894_3212880686.c delete mode 100644 xilinx/ALU/isim/alu_isim_beh.exe.sim/work/a_2725559894_3212880686.didat delete mode 100644 xilinx/ALU/isim/alu_isim_beh.exe.sim/work/a_2725559894_3212880686.lin64.o delete mode 100644 xilinx/ALU/isim/alu_isim_beh.exe.sim/work/alu_isim_beh.exe_main.lin64.o delete mode 100644 xilinx/ALU/isim/alu_test_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg delete mode 100755 xilinx/ALU/isim/alu_test_isim_beh.exe.sim/alu_test_isim_beh.exe delete mode 100644 xilinx/ALU/isim/alu_test_isim_beh.exe.sim/isimkernel.log delete mode 100644 xilinx/ALU/isim/alu_test_isim_beh.exe.sim/netId.dat delete mode 100644 xilinx/ALU/isim/alu_test_isim_beh.exe.sim/tmp_save/_1 delete mode 100644 xilinx/ALU/isim/alu_test_isim_beh.exe.sim/work/a_0832606739_3212880686.c delete mode 100644 xilinx/ALU/isim/alu_test_isim_beh.exe.sim/work/a_0832606739_3212880686.didat delete mode 100644 xilinx/ALU/isim/alu_test_isim_beh.exe.sim/work/a_0832606739_3212880686.lin64.o delete mode 100644 xilinx/ALU/isim/alu_test_isim_beh.exe.sim/work/a_2602956921_2372691052.c delete mode 100644 xilinx/ALU/isim/alu_test_isim_beh.exe.sim/work/a_2602956921_2372691052.didat delete mode 100644 xilinx/ALU/isim/alu_test_isim_beh.exe.sim/work/a_2602956921_2372691052.lin64.o delete mode 100644 xilinx/ALU/isim/alu_test_isim_beh.exe.sim/work/alu_test_isim_beh.exe_main.c delete mode 100644 xilinx/ALU/isim/alu_test_isim_beh.exe.sim/work/alu_test_isim_beh.exe_main.lin64.o create mode 100644 xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg create mode 100755 xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/bm_instr_test_isim_beh.exe rename xilinx/ALU/isim/{alu_isim_beh.exe.sim => bm_instr_test_isim_beh.exe.sim}/isimcrash.log (100%) create mode 100644 xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/isimkernel.log create mode 100644 xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/netId.dat create mode 100644 xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/tmp_save/_1 create mode 100644 xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/work/a_1802466774_3212880686.c create mode 100644 xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/work/a_1802466774_3212880686.didat create mode 100644 xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/work/a_1802466774_3212880686.lin64.o create mode 100644 xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/work/a_4060154216_2372691052.c create mode 100644 xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/work/a_4060154216_2372691052.didat create mode 100644 xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/work/a_4060154216_2372691052.lin64.o rename xilinx/ALU/isim/{alu_isim_beh.exe.sim/work/alu_isim_beh.exe_main.c => bm_instr_test_isim_beh.exe.sim/work/bm_instr_test_isim_beh.exe_main.c} (92%) create mode 100644 xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/work/bm_instr_test_isim_beh.exe_main.lin64.o rename xilinx/ALU/isim/{alu_test_isim_beh.exe.sim/isimcrash.log => lockfile2} (100%) delete mode 100644 xilinx/ALU/isim/work/alu.vdb delete mode 100644 xilinx/ALU/isim/work/alu_test.vdb create mode 100644 xilinx/ALU/isim/work/bm_instr.vdb create mode 100644 xilinx/ALU/isim/work/bm_instr_test.vdb diff --git a/xilinx/ALU/ALU.gise b/xilinx/ALU/ALU.gise index d6441ae..0dea845 100644 --- a/xilinx/ALU/ALU.gise +++ b/xilinx/ALU/ALU.gise @@ -23,13 +23,14 @@ - - + + + + - @@ -40,23 +41,28 @@ + - + - - - + + + + + + + - + - + @@ -64,37 +70,44 @@ - + - - + + + + + + + - - - - - - - - - - - - + + + + + + + + + + + + + + - - + + diff --git a/xilinx/ALU/ALU.xise b/xilinx/ALU/ALU.xise index f25378f..f82577c 100644 --- a/xilinx/ALU/ALU.xise +++ b/xilinx/ALU/ALU.xise @@ -16,26 +16,44 @@ - + - + - + + + + + + + + + + + + + + + + + + + + + - - @@ -49,8 +67,6 @@ - - @@ -67,56 +83,45 @@ - - - - - - - - - + - - - @@ -124,12 +129,10 @@ - - @@ -156,12 +159,9 @@ - - - @@ -179,7 +179,6 @@ - @@ -200,7 +199,6 @@ - @@ -212,7 +210,6 @@ - @@ -243,7 +240,6 @@ - @@ -251,9 +247,7 @@ - - @@ -271,7 +265,6 @@ - @@ -296,7 +289,6 @@ - @@ -305,12 +297,10 @@ - - @@ -325,8 +315,6 @@ - - @@ -351,35 +339,28 @@ - - - - - - - - + diff --git a/xilinx/ALU/_xmsgs/pn_parser.xmsgs b/xilinx/ALU/_xmsgs/pn_parser.xmsgs index 8ae1de8..e6bbd48 100644 --- a/xilinx/ALU/_xmsgs/pn_parser.xmsgs +++ b/xilinx/ALU/_xmsgs/pn_parser.xmsgs @@ -8,10 +8,7 @@ -Parsing VHDL file "/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/br.vhd" into library work - - -"/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/br.vhd" Line 47. Syntax error near "CLK". +Parsing VHDL file "/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/bm_instr.vhd" into library work diff --git a/xilinx/ALU/alu_summary.html b/xilinx/ALU/alu_summary.html index 3abdd5c..6917f9f 100644 --- a/xilinx/ALU/alu_summary.html +++ b/xilinx/ALU/alu_summary.html @@ -7,7 +7,7 @@ Project File: ALU.xise Parser Errors: -X 1 Error + No Errors Module Name: @@ -72,9 +72,9 @@  
- +
Secondary Reports [-]
Report NameStatusGenerated
ISIM Simulator LogOut of Datemar. avr. 13 11:14:16 2021
ISIM Simulator LogCurrentjeu. avr. 15 10:54:50 2021
-
Date Generated: 04/13/2021 - 11:53:22
+
Date Generated: 04/15/2021 - 10:56:37
\ No newline at end of file diff --git a/xilinx/ALU/alu_test_beh.prj b/xilinx/ALU/alu_test_beh.prj deleted file mode 100644 index d36e7f5..0000000 --- a/xilinx/ALU/alu_test_beh.prj +++ /dev/null @@ -1,2 +0,0 @@ -vhdl work "alu.vhd" -vhdl work "alu_test.vhd" diff --git a/xilinx/ALU/alu_test_isim_beh.wdb b/xilinx/ALU/alu_test_isim_beh.wdb deleted file mode 100644 index af3bc7a394ae82a82c1a0e9e1349b12b75b9b29b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 11218 zcmd6t3zXDV9mg-JNm_`A28Ob*!1A8mWp56qQo563z0FY2VL(?%lmR&c@8q&gq`>o!`B` z`?qw@*H&Kl^=C%iY0Lma+7|p8)A^BnX2gf`_A*<3+1htM z;I#Ae1I)e~&7rk=9C?z}@k86Yr|koVytD zWG3pDh4(4b-meculYQ!gK6&w?a`=3ED%nAq{zcU|=wFjMu5?21>vo1Qi9?NH`B|O( z3K?^S^|4Ky?;h(r#Pc1nKA9eF#9{e(GCjWe*7sr0m$kmbJ>O328|eA=TA%Bqs>~bK zcZA1{QE-?eJ>N3x`>5wT&-y;*`L4CTL7wjs>toj392j+$XB_SMCR^VS&$rt8hI+ow zTHmpr?`G>e&htHKeINIH12rK$cbMl3Ti=}|fb7=3UV(ix}Pzrl(8SJfPuy>Wg?k$6TybN}K8SH^F z*tg4I2inCiWi5u5!B&*PPA-F;UIsg-AC_~TgmwFOSwAdiDv7h4bB}b^L0HBD}&ux277ZE>|JHB50%00D}y~y2J053aId>H_SbE&T|ijQ!=_@X zxyPx~)GU--(y4A1*#dQZRu2*`N7%YS%KLTADl;~=^}K?*R+UH6URqsH z*Qs)at?MeNJ748ds?)8(TvSlEP7h*jl9*YR;mTQz*(IjQGMpjv?;EyVCU}l})=grXEyMc@!*f{| z$LtX^$1t8>PT?NwWsY4ZsP?NQ{H+pkRP0IggMzbBTjSep8+KnBQKNRL;R0heh@mh2#o_t9^M#f;>(pq2 zjgu%L4(9@Kd`p~^Vq|Qbt`g#Kb`Zz6#M!JE=h`^Q65_Cbh~r!0T%Z^i**K{Z;>@sd zd`p~*72`4+XJZL*xHHfn-xB9?#rU#~b503yX4yEtCC(1T_^OSQE+G!*CjId(alWA# zyKS6I332AwIKCy$HHvY)jgu`Q&RiSEx5T+gF>bMOawWv!U1nUq-FC$A?T6^)<=x`F z;y&r#uOpS`d?2w`U=I_^eHDiH77ORI><8Gx#d4R08D=ftSf4#fW!S-Dx#z+Tmq@(N zKFgT~J47sZVOZ{xq(YW&DC|(N%an)ZUPdZpXUPY9oLKJEu-rpQh3stkV26q2-VN*S za@-C4{<42AY#X+Hx>(LO&R*`YSk67pbLN+G=vE2#b7H3`4>QtQIG=r7W!THbPPI&h zwS4P+_63z;`A>Ht%Z##iXaW0%Wp|0Kw#;Z*#>l?#Jc(LqH*zppH{ou11%T5!^`2{;cQrCh#?bWdNtQmaFC5D= zR1DZ1`VRBnYly)ff@NPgcA)Yn+O>FE>^zlW*+Z}ulFyF8dCBnYC+OT*sVsYsJ%oFd z#Qr6(E(U*pz>XAqy2`NZA?im?pBkC<|LH!BXe5V^oHnDE3TcVcA0@#tzH; z(TA}TEPtb(tt>2ih*Zdq!zp&E*od;Q>>*Mi%i6S607 z#>QCu{<8MO4U3H_3+w)NU@Wlg5yr+E!8V9pt1K*c1mcd9e3mtWogsFevar)7SjOVB ztP$)?v7O4o@_xWF7N2E}V4K9om4)T~fMqN`8?2GoxnkF=4wm-=cB167ZjI#bIium+ zx$65%$+I7LM@W92x#WEy`FY-f3Y@R$!lh36v`nxEXiwXt zB=#f;AF=TB?KsxvkCAP*d3-H45BI;EpM%Ax{$Pxd;BS_SuHBb1HWKIW2VEwvAHT3% zQBd#7%sJ`6!^do=U#>h^cSXv)Hzdlee_=g!aDz`8yxo6(VVU-R{r^*$HLO1Ixb2LE zM4A2t1^qC-{`w0$!Pa9*|BZL^x29=mpZRuWH{X=~`F8chCQ)WS`z!BVpPz46@5;Py zB+7i_U4JM$HURX)*bvF(UH|ACiF1;~`9Y!{mU=ApSn9Eyby%K@<(XKKeJXpqpJ=$8CpfB8Q@pFb?xxZrF+2Y3U{)SOi@ORA)*?rO!!RJd0 zG?161UFv8^|GXaytxe@JnP@f>YRaW!(OhU_I<-EQjbyfDvazndrC6vto`@&AL$epH z3`G;UNH&(qPTkbmVM6>b1pJdd{|ujrhE@mM)CH|Ap_*w^!y#oNb6PPW;fYWt-5!d^ zVzE#r+Yw2m*2UW+H8u6&_ZKUf>x!inNipAFpx#bJ)A4NQ2Nf)r%*59vW43eeck+W? z(bHTof2L|>m8n`SRZBI}r=-)RMbdm}N|ouwBb#FF*;G34l-EALTDEz2bxog#s=T$2 zgI?qUs-Sx~g%)_h)k(V}I+~)Hm|yPAXQOS2Sl{ZvW%5lGJkpC4_{)0q*`g36gEp{FEp)ZEK!^$(>z;t zv1wh|WLjFA&D_>yW}dWMS}9dk=w%-zjg~50IeN>3r!kRc4NswYjEtIzNs2 znO4nD4L`N~OyeiaPaQw?{50@Gi)pk7(;`fZFfGEg2-6}=i!d$1vS$3%i#l4=HJYlO>gzY9UD9spO6e-;YUvv3TIpNTb<*|H4br!z8>O41 zo2Bna-<58WZk2A6z9-!-?UBAO{XqJm^dspG=}zg#(p}O|q@PN6OZP}WlkS!7lYTD! zLi(ljD`~HEzx06gp!AURu=H!`H_{{0qtb7s$E3%l-$}oho{*lD{vbUiJuU5%o{^rF zo|E=Vf0X_tJukf=y(s-zdP(|=^jGO`(#z5T=@sc!>F?5O(m$ksO0P?ANN-ASN&k}G zmi{fhBfXpd2G^!H_mHk!6Z6Yc0QnOvLc$|X#uEuJwmGTC@nL|4c5-jB=-Z9;<1&_2xDdTSf3 You0S#)z(_R-g5P}zQNWv)CSyt08e-lwEzGB diff --git a/xilinx/ALU/bm.vhd b/xilinx/ALU/bm.vhd new file mode 100644 index 0000000..144971d --- /dev/null +++ b/xilinx/ALU/bm.vhd @@ -0,0 +1,55 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 10:32:11 04/15/2021 +-- Design Name: +-- Module Name: bm_data - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; +use IEEE.NUMERIC_STD.ALL; + +entity bm_data is + Port ( IN_addr : in STD_LOGIC_VECTOR (7 downto 0); + IN_data : in STD_LOGIC_VECTOR (7 downto 0); + RW : in STD_LOGIC; + RST : in STD_LOGIC; + CLK : in STD_LOGIC; + OUT_data : out STD_LOGIC_VECTOR (7 downto 0)); +end bm_data; + +architecture Behavioral of bm_data is + +type mem is array (0 to 255) of STD_LOGIC_VECTOR(7 downto 0); +signal data_memory: mem; + +begin + process + begin + wait until rising_edge(CLK); + if RW = '1' then + OUT_data <= data_memory(to_integer(unsigned(IN_addr))); + else + data_memory(to_integer(unsigned(IN_addr))) <= IN_data; + end if; + if RST='0' then + registres <= (others => "00000000"); + end if; + end process; + + +end Behavioral; + diff --git a/xilinx/ALU/bm_instr.vhd b/xilinx/ALU/bm_instr.vhd new file mode 100644 index 0000000..8f238dd --- /dev/null +++ b/xilinx/ALU/bm_instr.vhd @@ -0,0 +1,44 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 10:40:07 04/15/2021 +-- Design Name: +-- Module Name: bm_instr - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; +use IEEE.NUMERIC_STD.ALL; + + +entity bm_instr is + Port ( IN_addr : in STD_LOGIC_VECTOR (7 downto 0); + OUT_data : out STD_LOGIC_VECTOR (7 downto 0); + CLK : in STD_LOGIC); +end bm_instr; + +architecture Behavioral of bm_instr is + +type mem is array (0 to 255) of STD_LOGIC_VECTOR(7 downto 0); +signal instr_memory: mem := (1 => "00000001", others =>"00000000"); + +begin + + OUT_data <= instr_memory(to_integer(unsigned(IN_addr))); + + + +end Behavioral; + diff --git a/xilinx/ALU/bm_instr_test.vhd b/xilinx/ALU/bm_instr_test.vhd new file mode 100644 index 0000000..8fd14d8 --- /dev/null +++ b/xilinx/ALU/bm_instr_test.vhd @@ -0,0 +1,97 @@ +-------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 10:42:17 04/15/2021 +-- Design Name: +-- Module Name: /home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/bm_instr_test.vhd +-- Project Name: ALU +-- Target Device: +-- Tool versions: +-- Description: +-- +-- VHDL Test Bench Created by ISE for module: bm_instr +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +-- Notes: +-- This testbench has been automatically generated using types std_logic and +-- std_logic_vector for the ports of the unit under test. Xilinx recommends +-- that these types always be used for the top-level I/O of a design in order +-- to guarantee that the testbench will bind correctly to the post-implementation +-- simulation model. +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--USE ieee.numeric_std.ALL; + +ENTITY bm_instr_test IS +END bm_instr_test; + +ARCHITECTURE behavior OF bm_instr_test IS + + -- Component Declaration for the Unit Under Test (UUT) + + COMPONENT bm_instr + PORT( + IN_addr : IN std_logic_vector(7 downto 0); + OUT_data : OUT std_logic_vector(7 downto 0); + CLK : IN std_logic + ); + END COMPONENT; + + + --Inputs + signal IN_addr : std_logic_vector(7 downto 0) := (others => '0'); + signal CLK : std_logic := '0'; + + --Outputs + signal OUT_data : std_logic_vector(7 downto 0); + + -- Clock period definitions + constant CLK_period : time := 10 ns; + +BEGIN + + -- Instantiate the Unit Under Test (UUT) + uut: bm_instr PORT MAP ( + IN_addr => IN_addr, + OUT_data => OUT_data, + CLK => CLK + ); + + -- Clock process definitions + CLK_process :process + begin + CLK <= '0'; + wait for CLK_period/2; + CLK <= '1'; + wait for CLK_period/2; + end process; + + + -- Stimulus process + stim_proc: process + begin + -- hold reset state for 100 ns. + wait for 100 ns; + + wait for CLK_period*10; + + IN_addr <= "00000001"; + wait for 100 ns; + + IN_addr <= "00001001"; + + + wait; + end process; + +END; diff --git a/xilinx/ALU/bm_instr_test_beh.prj b/xilinx/ALU/bm_instr_test_beh.prj new file mode 100644 index 0000000..382f6d7 --- /dev/null +++ b/xilinx/ALU/bm_instr_test_beh.prj @@ -0,0 +1,2 @@ +vhdl work "bm_instr.vhd" +vhdl work "bm_instr_test.vhd" diff --git a/xilinx/ALU/bm_instr_test_isim_beh.exe b/xilinx/ALU/bm_instr_test_isim_beh.exe new file mode 100755 index 0000000000000000000000000000000000000000..beb9ccd0da76c9db1831f53276aaa98f8c0d76b2 GIT binary patch literal 21792 zcmeHPeRNdSwLkfS6d@B7Gy=*MhZe*P2_~4t1ZS8D+_A%l5G?x8nPFy{(J+P{3p=WQ!PKN`;-1j5}k#8Fck*S(CyEWFE1Vn%@FOIQD_H7 zxSaq~__$X5b>5!YN? z4Y=muqEu!8Rs&ck@&xK}k*k|n;MF`bVbkip;+Pn(5S^lzxrNOrI{s-(8|!w#-Ltm}iXi zBG*5Wt-Qp}3DobD&WB-VBl6^YWl$M=Tk5&i$4NkWq8L?->}hxM^C!{&6LhnkWFMsf zE;C&rB2pC$n+$(;3xCRbkE6`YKV3NVo;>=yqy*`82%e4Jwa&A1T;5(q#;nIG9P#Zu zy6hL4&dmJx3xhUuJImO?MRu-Q_RF_8y@DyiZ|oE=X73380l`mWoKg`2doo7zI1vwW zZr+75bG_dZ^;!kLU9?Na)qy_PBTocl!lr-dGCUcKFdel$c^R)UDD5&3Hnq) zmvQw_p8gi0e=YdS*}J)ig#QJZ1-YIIc1+ACQa`oB?4Or~z$1BdIWB(2^}znTc*qI; z#|0hD}pm;R%2SmTD5&HAnyH4miCiKu8 zPKlOLTu+6aP_{xCTA0_5{k)X1+qj+zrsw(XNlDN1!!!&`id#j@p92^VlxA}M6WO+D zc8)(};eb8N<7xtHKi8leRNS#H2u6%`fY;mB5sP{gNq;=)^)l~O8@#JRZQ(>R6n94a zi9{$t+?~nxc*q|lkt)Iy$zWjKJVF;TXz1$lc821KSkxa0CpURFR59;$QGW+)BNe1pvbc3P1leKP)hm#@j$HUQND{SvV z%aed)lJG)@KMVs5G4FNDYcKaM^{iOz@OZt;y*8V7eJqxY#Qecf+?2HiIy=2pB6%0o zF083pyrjAMvJK(T#wFoM$QesTlg+Fv9PzGBtxs%%e>;}@J3?^O8C9?#8HlX+Cj;$N zNwaK6Ad&L6hNIy#)Lt8nMPhBxdS*6Or4pf*a7QSXf(NdOtxtGYhdWXce=-*Lwm3b@ z;BN0)=js-J+nLr>zcCiS$rX-=0?@r_ajLa76cm5*^0vi8ooC#M8-wdVtHx9>z+)hh-1OP_xn9op7@E$tYaNT- z-fG)rY^le+7^wF$TaA(TF0d`I(Z&|LZ~80be@5O|dK6+$Y0&da3priNNhqnLNzw?O zjvHmgBRs@mSW(FL__Wup!u9)~-h>rbF$-e7LA2!Dd$6P{VllBXAi3K?r!q>ZX<=-o z3)xpixxU!P=~%{qI+~7|=z^6Oo;1`oug5S{!glj`*GEYay~L#CBZAI}oKhUbjiTBna^h%WaSMDRI%1h_rsvw(J|kI0-( zXE!o!ar$_cd@t9~MCf<=2r#0f<44kcP9M(`o$8ipo72a$M5i+fnSSW>@hs8Hc+R*z zSE6*9XlTIJc5Pde!iJQyEGerNA zAQ31v(dU}zNcleWiPIwST!(VY%7IaktF0BS&~UNoEh_kY>M4I0RYt zDUSb%aOhy!0gk^&IPG$>4{`he;S{>rZ5)4sa0=OMKgahFPNACZ=J-y+#}nSk@t+Y+ z+taL%;|~&!xr=32a{Rl5PaxdR@oy1MQ%|;v{~F;G`dP;D zTL`BqDtqiB5N5{-ry-C%#PJ&mr_j$n#qsropH27x$FC!thCucqj;|t|LO;8W<5v+* zA)oE%_+r9oJDTn0cmv@y6=pj*zJPEXe4zcntB<<1r*BZTKdC*hA6wbt9v+|*ICXe8 z9Ymd68dZBES$^0GnSoMO zD|urcg5UszUq(hvm#cjTQm+q{TmasJ{d-$mTl3?b+2-L(i!KRjaXDwq6WQPrCLya~0;v zRKA98`vsSs;20WLklR~-@YdyOVDAnJH;?wtvUKsj4?Nn?dk-XE{Xoq$?F9c03RO-^ z{f-Olhd^$xJKeZX&l$G%Lwo%}SbN*g`yJhjSkgjf|I77`b&l&DH#od|)$|1s@Ti&E zDg=s}zCxc(l6?biZJ(t#O+L#s#ZZ~5?cPbDxQmR`4-r$QDTD%#_Q#zR^G3li3YzS$TBTD|?q z+KU)Vm%NXl*EU@s;J3{vnkS3gJLadN8{_`YPWPjs;QTN)ElH|+ zSt%?(vGYAx>CwJsy(l2Q>^+Ah*nf=J>9xStG-nfsE^Zooc4`@n7RvgdHtPXo2u2puMc2m zQMFEPQzAw-=|3VNjL$9<--%-BFvl->6nveEwPF1pK=hod4e4JovieLwcc!>l-7z1> z6K$dBe9llhY%fLi^M~vcnf~`v1`kTi=IXD3-s%`GE^eUUn}&LIMbtEhV5W8wH+5LA zC$w)s)%IF?HJH>~ed6%N&Amr0y~E_gOzrCk+3K7=*~I4upQ^orO7#a(5y80G5ONRo z>U^eOXQq$#w!Rqj=H3&+#?)3%X0z4Kb>{SMqD)OEt>qpqr@INs)cRlrp-;Ro%pJ7! zUc=+L>G|0Tiav4}qvu-U*WS@@<`o>Z^wopQti6tFud$N0Bcv@;TO^cs7|JcZC8U`e zm=oPp#I0x=nnYH7w3Bz;*czeQ1<-VOpf$kKZW<|@PoG1U+))3hAr+!jm%dKJ;q9&5#}`P6ag^HuFt z{qHA*FrDnHnf3y;{-CAzuXtdq>DpVZ3KV9m52$nhV9dXk?Zwl!6faP7d(`?TQt$R1 z(4MmNUPPkz!KXvT{4RmY(|?a@wD1OwU0G4>KC1qT9bgTo}=F^lK@H!48%` z4>Q{ci&1(L=S!kAgF&c>$zQJl8Dpl2q5wrro6%6rSIekILE2%E8i;iJKANK*rCu4G z`YpYej0&G`#|K^DgaCRBrv8UmA$YTT_)AuDN+ zxvW)|-V-!=@py;Es$KN5gW||yFj;!L=wZ3N#cHqK-+f9+P4{RgEi=1OAE+w})Z755 z$>Z8?*WCBJPhFhcp$2w?z1ZG;YC`hI7{8dh54-tO6(cNpKDaHt8_i{dvmdU zf~EIb;O@X+Znq;hxaHpBrxeWkd;po0S$cnrI=fHJNUF#V75d-OGf8{-B>3alEsO~Flmfkp4wHQS8T;L!NzM*lp z>;aUc_EEQLzsWSi@9xZ$@%@(Vg>YAHPb2!zFtL!tg+omyUJSC)lOHp*oh(UDD&X~! zBeZOGDa{w@lA{WgrKkP`z@rVPD?EYMu$I~VdXcASmR@DZ?MIj_6{())0+n=9=6X! zv$Q(>x9{;jz+7YL{S~G#J###lJKP7AcR`)*MX|A(=|hgD$%BA!>Xm$b|0JLHYc(j# zE;r^^2P)C$jEF5qj;5t5y#|W2FN2Bfy@u?iEsU{FvZKH zq~pL<&11#Ff3vkz|L`wlaEle|jpFiI$zJa+1 zZ8`*CJjLGUI!eAyHPtZ;dDw;+Y5@Lt7aE-|#6(<{aWM;?0hz`@keFUfLYYYeq)fvI z#zgOU46_!nQZ-ZZJx*^f)gL21t+^a#d;tn~Vo6A~q_Co$`eiUV9xhh3H5EG*5>1!< z<^l!#)H;@|g7Q7&-^@B|fum<%AsRgu9Cd2oCB2O+bsfa~wNK4V;TA!73xrA5ck5~t zV9onC5Spv;?2imWkqZ+%8n6y-&P?qg-B9bu4ZF292((4+p22c=&rqrU1@4bY4P*)) z2t9ktUG??1{rdE}l4e62Kh4lx?^5eOX6OpD@CBbHeETO0PcsX@jp6c%E^>Xc@V961 zPEIa>dnd|@c_xrJN%B}Bc}zGs({z9a=djB-&%x7NFFS@&avL6>G)h`fI=Y*( z^!)}i0qMxq4_Y#>VDU)LiNR%=*ED$+ymfS#E& zg$&lP4nTMsfjNOwoTd83RAqYVV~|ueXZfQPm^}>zw=Q<@PXK<20gG^(6ht{K719Mz zQo{5jq*!xZKmpxNvOR;Pu6pIRXQa1wAHo`8GIT z4})M#RWBk3>Z?Tk&Iom%K|Kg_^(`aRpCD)1?ks3rXSsJz<9y4#1EqVgTA+2G_9~th z`cW>{^^qQ=j5gS;9jB5^#9F974U!vuapx&K2Nq+YH_xU0$(Zb3F_(XQ=E$CeO+JSoPdT{YsA6jqZTA%i4 zVz%%7J3a;e zZxE$m?{JX~?>vT_#V9UxYvZyX@^$RgMildv04lU>pU}JC(!6_1A*#KCtLOXQQhfv0 zMH6@<&xr{f&!z38Cew5bV@K~lBAc@vIZ(&bBkz_G>Ne!w+bb7_#`@5s1+9lXTEwb* zGP48cDrk7pdd!1;`$@NULeCTiv|lk+S?xOgiFii&Y_bBcm0u+uBO+~Gbn;BS~_U0@F1snXkqTbSgXP* zM4fRcL!jKiXnxztg9s6uB+bi$)M$uV?d ziOw@6dVf;M-+{AAyg_5lt5&U8)u7ByD6M!ir_d`prPYsY&^Cd&SBE^%Se* z-&Zsupzw)6B(z8gCrs)qL1z?gtWv%i!$vE_I{mDxH4@v%5|D`|S!FPs@UM@AlE!;| ze9pOY#i|ys>)PdxWp1a}}mEcTfLO;(_j{>#P=N>;f9*t#B!pI94wOX^O`8t zpd@1ozTr^lJB?IAiM1+;RA*-_4u`-LW!CJ(EF~HW1w%pNjHjXsHhuJU0bY@#TPO*A z_y!{qG};=L{xyq}uOUy}X4avsjD-A&kP?a}QgN8m?uRiWRVZ*DRf---wuh7iUe_u? z`2~Wqu|32^Kno?pZBb>TKcNI-9i1>es8BCQW4s*+v@sfr`;#EA-y~V$3O-qYDEtgM z?{|M75K1J%aExdiwU1(=L0LxMfspa^84ST$yt>fGRvj{BGG=F_KN~a2AY0Lv-9vJh$m?oWNYAy1u@)azRyfwX!yhPfNNkRou~lO>smb3ja+5&Vg{g5#NB* zK&UF1UUWqrAe}G=|B%bA1N=T<67XTby8x{(<#PJ~pLsi%n}S2w*8wjDoB`ct zlsT*LdRoPscTTUYt(uE}TXiPf)gP1p|55&ljkZKP{o4vZKB~a?6rp%0v*CSw$cEnr zS0?>!%!Z%zw=-LCQ#7%u!+^;+v$aK2whiK66_^n(%HpAjp9Dm%Gm<3T_;I`~8S28F z|KkT+JjQ>HZ40$~TjO}+?`;o4R$|OX{~;lP3Jq{$0EN)$?+6D_dkkuDvvJ*q9{jQ$ zLijX=zW(@(676efjVSjGtk4b&Nf6{d*dUJX-z1Ko{_x*+O8FUuc3vd^FPp-#(VN_d zNybyYJ-%Q`!`)|$g0G~j_M#)QeN((X#Yv9 zQeN%{E5rs+?*G8TlQ2i3{C|Sc-b>2M{nob021~Etl=ezK30)|sy`045er^u^0ugDY z0Y-cz?H6RW8X3|p<>mgdOUTRpqpV-b%l2QNColJ-PA zjJbY!USOSPaNu`BrzKgxByK{6mh&l>eWQ&XUY2 zzy7;XXqJEUN;_wNR2-ztph8oU{U=HPh77UD^NW%H*Jw95^7}7eejC`#^2fyf^O%s& z_jkVh5Ax*cXQn(k43N*CkN+5K6noNsx&JQ}@`uPcBzfK=<)vN!gEF)I^8Y62i@6xv zVt|pml$UU4p1eH&>2w+*hejlgjFgjbFUaQleJ&qo^tlZFm6FjwnIfPB`;j3Q+5Q2K xj~6}U;eh$Z2?rSH$K-zt`so}7qm(}&XC|o3IIl%wA@bY=E$L5_WT)T?j&)%+5@*19MsCvf0E4 z(V~R1OcjNHD5MmSOM<9G5J3%c5fmg56(uN2P|+YNBA|#!eBLwNySLe$A)L z=TA+ou28lk>dL;g{k=iqFn)DPl$FM8db=_2qOPOK#U8UU9aAo5-ci;`>tZu(?A=~$ zxsAQYi(O)4y0+_ktBpX+E!3Mp19^}prS2Ly~eh!sc5^D`Xt(z4zsbM?Lsc3xh8DZQufF4 zMLGl{$BX0@MAGn+Qen_d*vG?$>6V>NnlNF%-G(~ai}G^bP7+-px)%kz0OtyaVK ztg5*MO}o{wea)4_TLy5!b=798H(i9Ah=g zqi5&x>_W55YToZ@^z5AGSu_!=(OFV$%rBtnvzm!kqigB;x;$6%fHe-qwT^Cm;^sZ> z{W|ir(I%5lxc;3iH1EZ}L2>M2&C3EULQDbq*Dp3k<9L~TuY%$WY`dPTOzTbgWonnX zvhOe7))U=LjtN}jSU6LSn9D;`P_{z|+D-_5%X?GBT2P)iqCDtYAG8|nNoR`dew0gN zn_9&y&qM~VcZc+0G_uM(x^YkCk3|PG-Th zg7VBqBdg4#nA&x3lc3V;IuUZ54J%C3LzCvAjpF>-3HIwkVN1cnl_E3V-zKpiP zYA0K*&PQK6i<^*EliX;vwN|V1$=B*zO4`HFHd*ZytJSmiwF#@ON2|T9d7o;vde%;> zJ(#6Yc{6C|QkPciOZTSd>1%UVdm&mqLuupo=eJ3{k371X{jWzCPCi}bqJ zI$PFzX}T@z8J=XzI-7OIO0V-&->WirUy5riI$LGRsa%R{&mUvUdf#=}vSMAfES>IG z-jyi^Wvvs%bk6jFOnYX@_MWJ&A^UICmDkT-S+A*RcXhXRRM)s$D42h>2gwz%rfUUbvtDJLDKhO5ncHjHS2DFrr*?U@%d1^pdqQ60kk^>x z-Mw*S26cCyYHz7s`$}H@W%|BQ-R*I`15`iKdR#CTeJ?1ky(O=20(rORbjGNzce=dx zk-SV}ck`uv>f%c%Uk%SdBf(F>6^O&!5Zh2wd@YpW-4G&&_ z(ME|rvtpzxPPfEOx81RGLTE6TNrqPCN`*qKSO~S3^2t~!G?dSspDacTYYW9>W_&Ff z8cC&7*^y9NZ+~bY6HR3c#eB4wEEH!B4<<~gRLFD=m6JlfDOEBxkiWlNc4o=oI?bBV?V70aiJg9j>E zDO*Ub&L-{P4#*P-hNj_`f=M)W{dJ~pIn+Y~d=$=xUYHLj*O@VSbT}C==JG*g|N567 zne9GO-!Sf?)Ypv*XcVPDRWUpTkyb<{XOMPTB-&$zq+cH^7h?nI88?|!)(m=!D%ja$ zYph(&CkwfBshG;yNiv~d#EdJ)O8Y)SuVb)3voU5U7hMy}XH(hLCKD^h z2i=fzLu>P?)q_PwnTl(f=Ou{`#`3XvF_|}u`g=`e@q82MTW-3<;y%;0XsK!I=rQeG zy{0{4=J%Q2ZgWFzci%TSxh0@K;sWjZ?=pb?rNY!I z4RfFc=E5m(Dx3!M;B;t(HfVsG&Sg_Q9n)k3G1g>KeO~RTR-a2q#j}Q z2&+d}J;Le{R*$fHgw-Rg9%1zetB1bL8k*IkSv{K7qgg$g)uUNGn$@FOJ(^og-8GE$ zTDT6r1lPk2a3kCVn_x5C3}1#@;4AP|_!`^_Tj1+(8{7`xfIHxua3|aa--2($cVH{r z4c~=(;9j^7?uYNe1MnbxAASH2!Nc%Fcm%e=cK8uI3O|OQz)#^Z_!;~h9)}(91pESi z2~WbW;MedJ?1ZP`H}G5d9XtcShd;nBcozN$&%vMI&+r#`9{vh1z~A6ScnMyHzr#P^ z75FE-3jc!F;NS2%>@L5<%P+Lx3&lHK%XPg722$Bre(k;=Th+dLQibS17-fwxT1R@uO6 IckeCpA5#&YU;qFB literal 0 HcmV?d00001 diff --git a/xilinx/ALU/br.vhd b/xilinx/ALU/br.vhd index 4c24d90..e3033bc 100644 --- a/xilinx/ALU/br.vhd +++ b/xilinx/ALU/br.vhd @@ -44,18 +44,17 @@ signal registres: reg; begin process begin - wait until CLK'event CLK = '1'; + wait until rising_edge(CLK); if W = '1' then - registres(W_addr) <= Data; - else - + registres(to_integer(unsigned(W_addr))) <= Data; end if; if RST='0' then - QA <= "00000000"; - QB <= "00000000"; - end if; - + registres <= (others => "00000000"); + end if; end process; - + QA <= registres(to_integer(unsigned(A_addr))) when W ='0' or A_addr /= W_addr + else Data; + QB <= registres(to_integer(unsigned(B_addr))) when W ='0' or B_addr /= W_addr + else Data; end Behavioral; diff --git a/xilinx/ALU/br_test.vhd b/xilinx/ALU/br_test.vhd new file mode 100644 index 0000000..272cc8a --- /dev/null +++ b/xilinx/ALU/br_test.vhd @@ -0,0 +1,126 @@ +-------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 09:35:26 04/15/2021 +-- Design Name: +-- Module Name: /home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/br_test.vhd +-- Project Name: ALU +-- Target Device: +-- Tool versions: +-- Description: +-- +-- VHDL Test Bench Created by ISE for module: br +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +-- Notes: +-- This testbench has been automatically generated using types std_logic and +-- std_logic_vector for the ports of the unit under test. Xilinx recommends +-- that these types always be used for the top-level I/O of a design in order +-- to guarantee that the testbench will bind correctly to the post-implementation +-- simulation model. +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--USE ieee.numeric_std.ALL; + +ENTITY br_test IS +END br_test; + +ARCHITECTURE behavior OF br_test IS + + -- Component Declaration for the Unit Under Test (UUT) + + COMPONENT br + PORT( + A_addr : IN std_logic_vector(3 downto 0); + B_addr : IN std_logic_vector(3 downto 0); + W_addr : IN std_logic_vector(3 downto 0); + W : IN std_logic; + Data : IN std_logic_vector(7 downto 0); + RST : IN std_logic; + CLK : IN std_logic; + QA : OUT std_logic_vector(7 downto 0); + QB : OUT std_logic_vector(7 downto 0) + ); + END COMPONENT; + + + --Inputs + signal A_addr : std_logic_vector(3 downto 0) := (others => '0'); + signal B_addr : std_logic_vector(3 downto 0) := (others => '0'); + signal W_addr : std_logic_vector(3 downto 0) := (others => '0'); + signal W : std_logic := '0'; + signal Data : std_logic_vector(7 downto 0) := (others => '0'); + signal RST : std_logic := '0'; + signal CLK : std_logic := '0'; + + --Outputs + signal QA : std_logic_vector(7 downto 0); + signal QB : std_logic_vector(7 downto 0); + + -- Clock period definitions + constant CLK_period : time := 10 ns; + +BEGIN + + -- Instantiate the Unit Under Test (UUT) + uut: br PORT MAP ( + A_addr => A_addr, + B_addr => B_addr, + W_addr => W_addr, + W => W, + Data => Data, + RST => RST, + CLK => CLK, + QA => QA, + QB => QB + ); + + -- Clock process definitions + CLK_process :process + begin + CLK <= '0'; + wait for CLK_period/2; + CLK <= '1'; + wait for CLK_period/2; + end process; + + + -- Stimulus process + stim_proc: process + begin + -- hold reset state for 100 ns. + wait for 100 ns; + + wait for CLK_period*10; + + RST <= '1'; + wait for 100 ns ; + DATA <= "10000000"; + wait for 100 ns ; + W_addr <= "0000"; + wait for 100 ns ; + W <= '1'; + wait for 100 ns ; + + W <= '0'; + wait for 100 ns ; + + A_addr <= "0000" ; + B_addr <= "0001" ; + + + + wait; + end process; + +END; diff --git a/xilinx/ALU/br_test_isim_beh.exe b/xilinx/ALU/br_test_isim_beh.exe new file mode 100755 index 0000000000000000000000000000000000000000..beb9ccd0da76c9db1831f53276aaa98f8c0d76b2 GIT binary patch literal 21792 zcmeHPeRNdSwLkfS6d@B7Gy=*MhZe*P2_~4t1ZS8D+_A%l5G?x8nPFy{(J+P{3p=WQ!PKN`;-1j5}k#8Fck*S(CyEWFE1Vn%@FOIQD_H7 zxSaq~__$X5b>5!YN? z4Y=muqEu!8Rs&ck@&xK}k*k|n;MF`bVbkip;+Pn(5S^lzxrNOrI{s-(8|!w#-Ltm}iXi zBG*5Wt-Qp}3DobD&WB-VBl6^YWl$M=Tk5&i$4NkWq8L?->}hxM^C!{&6LhnkWFMsf zE;C&rB2pC$n+$(;3xCRbkE6`YKV3NVo;>=yqy*`82%e4Jwa&A1T;5(q#;nIG9P#Zu zy6hL4&dmJx3xhUuJImO?MRu-Q_RF_8y@DyiZ|oE=X73380l`mWoKg`2doo7zI1vwW zZr+75bG_dZ^;!kLU9?Na)qy_PBTocl!lr-dGCUcKFdel$c^R)UDD5&3Hnq) zmvQw_p8gi0e=YdS*}J)ig#QJZ1-YIIc1+ACQa`oB?4Or~z$1BdIWB(2^}znTc*qI; z#|0hD}pm;R%2SmTD5&HAnyH4miCiKu8 zPKlOLTu+6aP_{xCTA0_5{k)X1+qj+zrsw(XNlDN1!!!&`id#j@p92^VlxA}M6WO+D zc8)(};eb8N<7xtHKi8leRNS#H2u6%`fY;mB5sP{gNq;=)^)l~O8@#JRZQ(>R6n94a zi9{$t+?~nxc*q|lkt)Iy$zWjKJVF;TXz1$lc821KSkxa0CpURFR59;$QGW+)BNe1pvbc3P1leKP)hm#@j$HUQND{SvV z%aed)lJG)@KMVs5G4FNDYcKaM^{iOz@OZt;y*8V7eJqxY#Qecf+?2HiIy=2pB6%0o zF083pyrjAMvJK(T#wFoM$QesTlg+Fv9PzGBtxs%%e>;}@J3?^O8C9?#8HlX+Cj;$N zNwaK6Ad&L6hNIy#)Lt8nMPhBxdS*6Or4pf*a7QSXf(NdOtxtGYhdWXce=-*Lwm3b@ z;BN0)=js-J+nLr>zcCiS$rX-=0?@r_ajLa76cm5*^0vi8ooC#M8-wdVtHx9>z+)hh-1OP_xn9op7@E$tYaNT- z-fG)rY^le+7^wF$TaA(TF0d`I(Z&|LZ~80be@5O|dK6+$Y0&da3priNNhqnLNzw?O zjvHmgBRs@mSW(FL__Wup!u9)~-h>rbF$-e7LA2!Dd$6P{VllBXAi3K?r!q>ZX<=-o z3)xpixxU!P=~%{qI+~7|=z^6Oo;1`oug5S{!glj`*GEYay~L#CBZAI}oKhUbjiTBna^h%WaSMDRI%1h_rsvw(J|kI0-( zXE!o!ar$_cd@t9~MCf<=2r#0f<44kcP9M(`o$8ipo72a$M5i+fnSSW>@hs8Hc+R*z zSE6*9XlTIJc5Pde!iJQyEGerNA zAQ31v(dU}zNcleWiPIwST!(VY%7IaktF0BS&~UNoEh_kY>M4I0RYt zDUSb%aOhy!0gk^&IPG$>4{`he;S{>rZ5)4sa0=OMKgahFPNACZ=J-y+#}nSk@t+Y+ z+taL%;|~&!xr=32a{Rl5PaxdR@oy1MQ%|;v{~F;G`dP;D zTL`BqDtqiB5N5{-ry-C%#PJ&mr_j$n#qsropH27x$FC!thCucqj;|t|LO;8W<5v+* zA)oE%_+r9oJDTn0cmv@y6=pj*zJPEXe4zcntB<<1r*BZTKdC*hA6wbt9v+|*ICXe8 z9Ymd68dZBES$^0GnSoMO zD|urcg5UszUq(hvm#cjTQm+q{TmasJ{d-$mTl3?b+2-L(i!KRjaXDwq6WQPrCLya~0;v zRKA98`vsSs;20WLklR~-@YdyOVDAnJH;?wtvUKsj4?Nn?dk-XE{Xoq$?F9c03RO-^ z{f-Olhd^$xJKeZX&l$G%Lwo%}SbN*g`yJhjSkgjf|I77`b&l&DH#od|)$|1s@Ti&E zDg=s}zCxc(l6?biZJ(t#O+L#s#ZZ~5?cPbDxQmR`4-r$QDTD%#_Q#zR^G3li3YzS$TBTD|?q z+KU)Vm%NXl*EU@s;J3{vnkS3gJLadN8{_`YPWPjs;QTN)ElH|+ zSt%?(vGYAx>CwJsy(l2Q>^+Ah*nf=J>9xStG-nfsE^Zooc4`@n7RvgdHtPXo2u2puMc2m zQMFEPQzAw-=|3VNjL$9<--%-BFvl->6nveEwPF1pK=hod4e4JovieLwcc!>l-7z1> z6K$dBe9llhY%fLi^M~vcnf~`v1`kTi=IXD3-s%`GE^eUUn}&LIMbtEhV5W8wH+5LA zC$w)s)%IF?HJH>~ed6%N&Amr0y~E_gOzrCk+3K7=*~I4upQ^orO7#a(5y80G5ONRo z>U^eOXQq$#w!Rqj=H3&+#?)3%X0z4Kb>{SMqD)OEt>qpqr@INs)cRlrp-;Ro%pJ7! zUc=+L>G|0Tiav4}qvu-U*WS@@<`o>Z^wopQti6tFud$N0Bcv@;TO^cs7|JcZC8U`e zm=oPp#I0x=nnYH7w3Bz;*czeQ1<-VOpf$kKZW<|@PoG1U+))3hAr+!jm%dKJ;q9&5#}`P6ag^HuFt z{qHA*FrDnHnf3y;{-CAzuXtdq>DpVZ3KV9m52$nhV9dXk?Zwl!6faP7d(`?TQt$R1 z(4MmNUPPkz!KXvT{4RmY(|?a@wD1OwU0G4>KC1qT9bgTo}=F^lK@H!48%` z4>Q{ci&1(L=S!kAgF&c>$zQJl8Dpl2q5wrro6%6rSIekILE2%E8i;iJKANK*rCu4G z`YpYej0&G`#|K^DgaCRBrv8UmA$YTT_)AuDN+ zxvW)|-V-!=@py;Es$KN5gW||yFj;!L=wZ3N#cHqK-+f9+P4{RgEi=1OAE+w})Z755 z$>Z8?*WCBJPhFhcp$2w?z1ZG;YC`hI7{8dh54-tO6(cNpKDaHt8_i{dvmdU zf~EIb;O@X+Znq;hxaHpBrxeWkd;po0S$cnrI=fHJNUF#V75d-OGf8{-B>3alEsO~Flmfkp4wHQS8T;L!NzM*lp z>;aUc_EEQLzsWSi@9xZ$@%@(Vg>YAHPb2!zFtL!tg+omyUJSC)lOHp*oh(UDD&X~! zBeZOGDa{w@lA{WgrKkP`z@rVPD?EYMu$I~VdXcASmR@DZ?MIj_6{())0+n=9=6X! zv$Q(>x9{;jz+7YL{S~G#J###lJKP7AcR`)*MX|A(=|hgD$%BA!>Xm$b|0JLHYc(j# zE;r^^2P)C$jEF5qj;5t5y#|W2FN2Bfy@u?iEsU{FvZKH zq~pL<&11#Ff3vkz|L`wlaEle|jpFiI$zJa+1 zZ8`*CJjLGUI!eAyHPtZ;dDw;+Y5@Lt7aE-|#6(<{aWM;?0hz`@keFUfLYYYeq)fvI z#zgOU46_!nQZ-ZZJx*^f)gL21t+^a#d;tn~Vo6A~q_Co$`eiUV9xhh3H5EG*5>1!< z<^l!#)H;@|g7Q7&-^@B|fum<%AsRgu9Cd2oCB2O+bsfa~wNK4V;TA!73xrA5ck5~t zV9onC5Spv;?2imWkqZ+%8n6y-&P?qg-B9bu4ZF292((4+p22c=&rqrU1@4bY4P*)) z2t9ktUG??1{rdE}l4e62Kh4lx?^5eOX6OpD@CBbHeETO0PcsX@jp6c%E^>Xc@V961 zPEIa>dnd|@c_xrJN%B}Bc}zGs({z9a=djB-&%x7NFFS@&avL6>G)h`fI=Y*( z^!)}i0qMxq4_Y#>VDU)LiNR%=*ED$+ymfS#E& zg$&lP4nTMsfjNOwoTd83RAqYVV~|ueXZfQPm^}>zw=Q<@PXK<20gG^(6ht{K719Mz zQo{5jq*!xZKmpxNvOR;Pu6pIRXQa1wAHo`8GIT z4})M#RWBk3>Z?Tk&Iom%K|Kg_^(`aRpCD)1?ks3rXSsJz<9y4#1EqVgTA+2G_9~th z`cW>{^^qQ=j5gS;9jB5^#9F974U!vuapx&K2Nq+YH_xU0$(Zb3F_(XQ=E$CeO+JSoPdT{YsA6jqZTA%i4 zVz%%7J3a;e zZxE$m?{JX~?>vT_#V9UxYvZyX@^$RgMildv04lU>pU}JC(!6_1A*#KCtLOXQQhfv0 zMH6@<&xr{f&!z38Cew5bV@K~lBAc@vIZ(&bBkz_G>Ne!w+bb7_#`@5s1+9lXTEwb* zGP48cDrk7pdd!1;`$@NULeCTiv|lk+S?xOgiFii&Y_bBcm0u+uBO+~Gbn;BS~_U0@F1snXkqTbSgXP* zM4fRcL!jKiXnxztg9s6uB+bi$)M$uV?d ziOw@6dVf;M-+{AAyg_5lt5&U8)u7ByD6M!ir_d`prPYsY&^Cd&SBE^%Se* z-&Zsupzw)6B(z8gCrs)qL1z?gtWv%i!$vE_I{mDxH4@v%5|D`|S!FPs@UM@AlE!;| ze9pOY#i|ys>)PdxWp1a}}mEcTfLO;(_j{>#P=N>;f9*t#B!pI94wOX^O`8t zpd@1ozTr^lJB?IAiM1+;RA*-_4u`-LW!CJ(EF~HW1w%pNjHjXsHhuJU0bY@#TPO*A z_y!{qG};=L{xyq}uOUy}X4avsjD-A&kP?a}QgN8m?uRiWRVZ*DRf---wuh7iUe_u? z`2~Wqu|32^Kno?pZBb>TKcNI-9i1>es8BCQW4s*+v@sfr`;#EA-y~V$3O-qYDEtgM z?{|M75K1J%aExdiwU1(=L0LxMfspa^84ST$yt>fGRvj{BGG=F_KN~a2AY0Lv-9vJh$m?oWNYAy1u@)azRyfwX!yhPfNNkRou~lO>smb3ja+5&Vg{g5#NB* zK&UF1UUWqrAe}G=|B%bA1N=T<67XTby8x{(<#PJ~pLsi%n}S2w*8wjDoB`ct zlsT*LdRoPscTTUYt(uE}TXiPf)gP1p|55&ljkZKP{o4vZKB~a?6rp%0v*CSw$cEnr zS0?>!%!Z%zw=-LCQ#7%u!+^;+v$aK2whiK66_^n(%HpAjp9Dm%Gm<3T_;I`~8S28F z|KkT+JjQ>HZ40$~TjO}+?`;o4R$|OX{~;lP3Jq{$0EN)$?+6D_dkkuDvvJ*q9{jQ$ zLijX=zW(@(676efjVSjGtk4b&Nf6{d*dUJX-z1Ko{_x*+O8FUuc3vd^FPp-#(VN_d zNybyYJ-%Q`!`)|$g0G~j_M#)QeN((X#Yv9 zQeN%{E5rs+?*G8TlQ2i3{C|Sc-b>2M{nob021~Etl=ezK30)|sy`045er^u^0ugDY z0Y-cz?H6RW8X3|p<>mgdOUTRpqpV-b%l2QNColJ-PA zjJbY!USOSPaNu`BrzKgxByK{6mh&l>eWQ&XUY2 zzy7;XXqJEUN;_wNR2-ztph8oU{U=HPh77UD^NW%H*Jw95^7}7eejC`#^2fyf^O%s& z_jkVh5Ax*cXQn(k43N*CkN+5K6noNsx&JQ}@`uPcBzfK=<)vN!gEF)I^8Y62i@6xv zVt|pml$UU4p1eH&>2w+*hejlgjFgjbFUaQleJ&qo^tlZFm6FjwnIfPB`;j3Q+5Q2K xj~6}U;eh$Z2?rSH$K-zt`so}7qm(}&i-)RVR?ExG=NYa8v^c3Ruvo~`J>+;flcY%SLIMbFk}ZAW^x zjn;OQXWMFRM|-w+tnC=jR-u>WeTzI>o3#~twl&r^#<8HVvcUWIbF`IV7EwsLO4}-gP7~E~c;O-a(cjqv;#(pR6O`eU|4cDf1pxhZA zZMj@2ocH>|GCfap^3ANkQSOK1&|>?%m8z(jZTqThhOgQ=0_A+JfKbLiFU%7t-}41Z z_5uN+R8t~b=}0JzgxDrfhL)VU{gO?utvq|DK9wmO=j)wH^*YlndZc|&b|3$YK7S9% zVW%E?Uy(QpVx8KX1!DEfyjv!j10ntr;ly0mOptzz#gLQ=Y!5!})I|Kye^_nzHLtGO zPqA}FFg8MMf2>Y@-kJ4m${U-nK5M}GF3KBQs(z6jTb?&|p8CZaW2e88Hx}1}*ejV$ zx7vOz8#EzEH^qOA+Wy$9viVmZ?~C}HN;!4c9>csh;&U#SZH#QexHPdMKIdxLSaUe6 z3mp5nfG3l=MK;!->8wv7KX+@e%yL)@IPmes^Q6pUGPrB+6Y3Z8^MW|#8e<-7=i(tY z=jTmvDlCV5DCB2^CXBTl*4gXamHB=X;#QjwK(G~hjZrq@Q%(;gE+O8Lk<`6 z(<06!%i(Mm;T6pQp|b`|JE%t_>y*-X$bdzVXfXz55mN zQ>QTm9&q#PlxXY^Hpv?7k_%XuW|B~X0sZa;#t_Gg^7pcUeWo2}UlH=n`U=L~+u;!<>M~l5(SggIPawHptv~DJoh*uUqik}lOC{s zQtI!?548_JzE;;fEFW8~pC0x1vFi&)N9T?`-Mys;2tV2 z`vKQ|vrxBv?(vp;gt)UU*WKIHZ=ZXD?Zqi-7hQPSz-n(`0xlgJO_X%+)T27hO+$%nJhvhykZpd)xd4enZT6KcaHhlqhZCI+Qqux9KH+^>tfTy41I5ZrNs&t-4mUMz06+HlDsxZ?%5 zs(^_tQqG8KRWt@ ze*=;W+GX#WM z_Nu;bi52-@^zrNa&+ixIjk~_fW%|U0u*OA#tFLOBd0Q?UARpLMk)?GHhyCC^}-j8v|_xo_i+Ho}EkLUN@`seS*?)q;1 z8OQJL`Wx(i@jUjQKKt(ru>!=ksj-NQ%he2O1;RL7#?g$U8Ao%r(Zq!&Ml@@M))f+H z>I8ji1e*GQWR-o0xt9_mYUC6axi zx|W5Z_H-m0&txaA=t1e=uLk-tKr>p5*g`IT{KonoeXp_m#8WWG1mJ8MncG zz_ky8BGBGo`Aqr3a#Oxos1&M%GlbKG7GZ{PT)7#dM^?mR*;G2P3~zpJv+VS~%Bo!+ zs{X273`Efzu=2vgC~BS+x`MO`(NQ1G#QpwII~#59itjocm`rY}oJWQzdA1?WszM}d zv@GI$A8W{H+@6};)|&QIsw*B%dQ+^$G}pDXHkq~s3!8Q&z0XCaJKEJ1NhGr-+ujw) z_V?so)O7-D_lmBm5$icv21b3OG3ofSU_{zPvZrnlU{YlgyajjOospOgv_~6G_wQ zWmj;xhmtMVO~*5-uHI}SWmn6D+ItgS9ihIZ$&Pe?Rb^=I#3_^eIzs8}(mfZAXtE=> z<|d`GM0S|I!B#SrwYJy_wNeo>a;Uk=wS!Fcs)*U89qrxw2)&8HK}|-rLaE5gXgZll zE;HTHY^>9Tl)aWpwQaF!0(09;)13LH zu3?s`Z)!31t!74>X=yf#+Dvn^X;>h(X`N{rTbfK`LzPf1)CgfSr*4*MJ$;V+)SHGn z>Di`rVZCW;Z7|KP^UNv20%4(0UZkQ?EQ}FKgi@hQI94bZDul7ZIAOdnK~Rw?pD2U` zSBR?Bt`WjQtuRTLEKCum3e$w+g%gAmg_DGnh3P__P%ktHjY5;qESw@x)Mg5&3bO{&@ZeK&J)fTzAUURH;vlXhN|i*{7ltP zO(j27{8aN(!%vu>T7D++GnpS|)G#B=j4(68%m_0h%#1KI!psOWBg~92GisSp%ZyrP z)H0)%8MVx)WkxMCYMD_x)s$bUSidWLPq<3hC|oUEBU~$7CwyPHUbsQHQMgI?fpD|1 zNw`J$p>V6PS@@B#MfkDs6XB=A&xD@~zYuN{ZWn$j+#%d4+$H=Ax9!qdWb;Thps;ZMS! zh3AClg}(?d2s?xqg}(}a6J8SjF1#$fBD^a6LwHSiUDzr7Q+PvoQ+P}Gm+)`lZQ&i^ zUEx2%d%4Rzce4c_DPDEW^|=w+6Uk`0fA7myp%0%#Cer6QAG+`czuX2*y}emoET-Px yDbcQB+$y@J5-NT1jG5NZGAp8w&{#Z^8N8>aWpvjB)*+KpSx=j${0!7&)PDi|?@pEg literal 0 HcmV?d00001 diff --git a/xilinx/ALU/br_test_isim_beh2.wdb b/xilinx/ALU/br_test_isim_beh2.wdb new file mode 100644 index 0000000000000000000000000000000000000000..b87e66f50559adbc4a110f64a9cdd776f3a7efd8 GIT binary patch literal 11218 zcmeI1dvM%U700iD3R1L+Jmrxz4e5(+(ro$yO5U_IeI_mCQJ2kT+brGehTTn@6fEeB zfQ}6OA&g@?P8ABsLyOdk3JA!XBGp1gDK8Z%icp|h6cE3k-`<JHLC+J@=RPl^M5RTz}1!Y1@`mT>qWt%I`4dV+OfA_%-INPvslKKc3eY+x~$E z=KJq_!1Aq8`v#6D==a&9hT6jq+1Ffhurb)tAK{}l_$UX8=Ku$2!iOOH*t5v<%KlH= z#EuNF%Io^Z&jgJ_^!rI6Uk#19^ApA#p#DLg?Iml2>v)H261+n_TeGzt=Gl6!?QqYw z#@deXY!6u5k)Ca*wSB>}mF=H@?opnt&DxIkZ2i`DjAy&r+K%;Xo2{+Pv%O_)<(_Sn zUY7SA;n_N@t-`ZiXl)}s+l|&%>DivJw&Oh8e!6pHHfxk{Zk?-T%ij(fu;T6xG`Wem zdyM(MxVr`IxN9t-kjveJpdI(RJ#bzAP@5jKoDF;6!a>l^Z~cCGTVV{vxNRkH7nQ*6 zDuLTu0{8q9xR;c`{bmW=wIy(GEP;D#3EX>1;BGE~yR8K7_7b=|_rT3(YW~-qOJlzi zNo~$>V{xf35WXsB<~;?!9Jn^y=jp0=W|r-%3K_mWXA8rGIRZjy{=6_(pd`-|D8usw zgz`(-Yo{Zj+!11jKxtWg<};U0dws>(JM~FRDL7y6G*YiqZ_z95gA)1Jr;S0;>Vn4A*s!bWd$6cC;ANrfrc3<7I zWuLKOYWrgi>hsR5XG_7@JoQ-v)^}mS*b?>2?AWq`vGdd~*BCqf<$|%e9>iYBYtg=`~a3&y3174bRiWMj?Yur6@y z;{u*c=4RPgf2OlO#r)i(!Ai?vE#Sb%7tfP2TV!xo*(cO5=H~@*sx-zt*3QL4Y|hUv zaYk7V`B2QyFijY3IjpnuL;TLq(c+A;9MY_qp9$iOwH(fw^TRtjKTYD)Sq?c|%uky* z6D^0cS&Y*z&LoYoZaPXuIsM{Hwj4gr@B^Rqbn#py&dKVthQozo&$>*Uddty~Ey`Id zPNU^~PP+TmCs#`a&vDPXNt`Cjq0GVIx$KMMY!s*2a;Qng{5&j9i{+?P4Eka3oS$vt zEV3MGnR^y{=QvM^bGqeFO zUYCzIg?;s$Q-j(0{GcgwkSna26V4>!bcNdZIa~A70?*(x9N|nl!Or{Oi`XiQX#5H+0pD&fPEosT-PYBs#2u$LXS>2`YK7yH4;uuy4~t7a!X0Tf zcaG0}LVdW8i#y(ODy`;T@wwYA_i1rMmUEod)NG&ohUN0-M78B`=6OeQ$mi}aBQd-t zZjI%RvRrb==T6kiz*f|JIitp&;h8m+%c9*4*A?J%ROFP&I;>3)^f=q z$E7x=G)N5Rh|3v)%bxIVD}+P>d@9YA9E zs<@mHxYdHoA;;wzG6&qJRD@gA*3t#*47WmXT<))9>|Ru=#*lMxS!cK-1)n=o#He7ND?ij)6vNv$QA#RV_aLFOKV+FY6 z2!5ICgtLgib2XU0v%i-%@wz(ew|O3Gj`(eIstlvVZ~HO$ZQh0Rf%t8og!_IzHme6LMD=eQiUU_hV0e zxBiUdcTfGZ?0)e)_Mblc?+dX4#I>ohh>OeB3~B|!I9$fjjH4MxQ~S`wg(gNcYlYSo z5@_lKeQE@n`haFW!goD=SF4DfKHs@$gjo1KrB97O^9_o2wS$^V|1hgL$Mg|mckAc= zPQiYvaHa5!FivFtP4+U8YxI)a^&)QmcDqRKzhBHl-{uNc@~?YrJNm-=u3mL1q^~dT zZ;5GI*R{87eD(n~V4C-iwF&6UjuX zKh)5+Ak>+OObMx?nN6%sue z%4TArL_8i3WpiDTWO`{L7OAP37=C}gQhhz~j6BKb`*SoDR5X*wb$?LK`cm1%(p20A z_deG?2#P@ag5@(+3#v@jBB5HS5zY`!6WWC7!tqsRh#pxUkLA*tz*5@${AStd{na(Q zJyiXbyBUb0FJKjfhf&l5D|7{E6QZjznvMJYp>{6XnT+o~9GFags+>oLC3oTXxyHf{MMSzbUGQ2ro1WEVp<#8+FMM=`~@w$liuYb+Y?PDBZ*Yb7~(M&3l zT55WtxmdRgDcw7eNi6NoDau5Qe9u6Mbw@MNST3G1vlq0P_Bqo{d&eTv0_Jp>mf7=6 zL(@#t*wSVi+s*V2)7EMhc9_;y(==af(>}vAx3!q&rW#>_P%DJZ?1q`9{q))L(`cF+ zq-UA-1&yYqy~(t;&o!qA^MwUMRhf!LxiCVg5Jn1>!f`^CFiIFLj1k5P;{+9%s_{Zd zaD`}s+Odiud!bq_^U7%2&DV!xN7QQG%gtLXH&?&@(E+H-~5ta(w zLP9u4SSBQe9w8;9g!=y$~0?Rn`$OZ=4Xn2 zYODFF;b#IrwfuznspDrNKa==jMlCbK%m_0h%#1KI!psOWBg~92Gs4UWGoy|fbuI^p}m^}-FpjlxaB4}>2I z>xG+z9|^Yz8-yPV8-Ax9!qdVt!n4A2!XJb` z3V#xw7yc}~AZ!<26#gRoRd`AGoA9#mim*d?Rd`K!UDzr7UHFHvOL#;0r|>V~P2nx! zZQySyQtfx&?egOVZhXIuaP literal 0 HcmV?d00001 diff --git a/xilinx/ALU/fuse.log b/xilinx/ALU/fuse.log index efb915b..0b0b3a1 100644 --- a/xilinx/ALU/fuse.log +++ b/xilinx/ALU/fuse.log @@ -1,25 +1,25 @@ -Running: /usr/local/insa/Xilinx.ISE/13.4/ISE_DS/ISE/bin/lin64/unwrapped/fuse -relaunch -intstyle "ise" -incremental -lib "secureip" -o "/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/alu_test_isim_beh.exe" -prj "/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/alu_test_beh.prj" "work.alu_test" +Running: /usr/local/insa/Xilinx.ISE/13.4/ISE_DS/ISE/bin/lin64/unwrapped/fuse -intstyle ise -incremental -lib secureip -o /home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/bm_instr_test_isim_beh.exe -prj /home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/bm_instr_test_beh.prj work.bm_instr_test ISim O.87xd (signature 0x8ddf5b5d) -Number of CPUs detected in this system: 12 -Turning on mult-threading, number of parallel sub-compilation jobs: 24 +Number of CPUs detected in this system: 6 +Turning on mult-threading, number of parallel sub-compilation jobs: 12 Determining compilation order of HDL files -Parsing VHDL file "/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/alu.vhd" into library work -Parsing VHDL file "/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/alu_test.vhd" into library work +Parsing VHDL file "/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/bm_instr.vhd" into library work +Parsing VHDL file "/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/bm_instr_test.vhd" into library work Starting static elaboration Completed static elaboration Fuse Memory Usage: 98500 KB -Fuse CPU Usage: 810 ms +Fuse CPU Usage: 750 ms Compiling package standard Compiling package std_logic_1164 Compiling package std_logic_arith Compiling package std_logic_unsigned Compiling package numeric_std -Compiling architecture behavioral of entity alu [alu_default] -Compiling architecture behavior of entity alu_test +Compiling architecture behavioral of entity bm_instr [bm_instr_default] +Compiling architecture behavior of entity bm_instr_test Time Resolution for simulation is 1ps. Waiting for 1 sub-compilation(s) to finish... Compiled 8 VHDL Units -Built simulation executable /home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/alu_test_isim_beh.exe -Fuse Memory Usage: 1722952 KB -Fuse CPU Usage: 920 ms -GCC CPU Usage: 80 ms +Built simulation executable /home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/bm_instr_test_isim_beh.exe +Fuse Memory Usage: 936380 KB +Fuse CPU Usage: 840 ms +GCC CPU Usage: 1640 ms diff --git a/xilinx/ALU/fuseRelaunch.cmd b/xilinx/ALU/fuseRelaunch.cmd index 90858d3..1992934 100644 --- a/xilinx/ALU/fuseRelaunch.cmd +++ b/xilinx/ALU/fuseRelaunch.cmd @@ -1 +1 @@ --intstyle "ise" -incremental -lib "secureip" -o "/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/alu_test_isim_beh.exe" -prj "/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/alu_test_beh.prj" "work.alu_test" +-intstyle "ise" -incremental -lib "secureip" -o "/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/bm_instr_test_isim_beh.exe" -prj "/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/bm_instr_test_beh.prj" "work.bm_instr_test" diff --git a/xilinx/ALU/iseconfig/ALU.projectmgr b/xilinx/ALU/iseconfig/ALU.projectmgr index 0602857..8fda45e 100644 --- a/xilinx/ALU/iseconfig/ALU.projectmgr +++ b/xilinx/ALU/iseconfig/ALU.projectmgr @@ -1,4 +1,4 @@ - + @@ -9,13 +9,13 @@ 2 - ALU + bm_instr - Behavioral (/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/bm_instr.vhd) 0 0 - 000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000104000000020000000000000000000000000200000064ffffffff000000810000000300000002000001040000000100000003000000000000000100000003 + 000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000145000000020000000000000000000000000200000064ffffffff000000810000000300000002000001450000000100000003000000000000000100000003 true - ALU + bm_instr - Behavioral (/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/bm_instr.vhd) @@ -23,13 +23,13 @@ Design Utilities - + 0 0 - 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f6000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f60000000100000000 + 000000ff00000000000000010000000100000000000000000000000000000000000000000000000103000000010000000100000000000000000000000064ffffffff000000810000000000000001000001030000000100000000 false - + @@ -40,7 +40,7 @@ 0 000000ff000000000000000100000000000000000100000000000000000000000000000000000003a1000000040101000100000000000000000000000064ffffffff0000008100000000000000040000004f0000000100000000000000390000000100000000000000830000000100000000000002960000000100000000 false - + alu.vhd @@ -50,7 +50,7 @@ 0 0 - 000000ff000000000000000100000000000000000100000000000000000000000000000000000003a1000000010001000100000000000000000000000064ffffffff000000810000000000000001000003a10000000100000000 + 000000ff00000000000000010000000000000000010000000000000000000000000000000000000148000000010001000100000000000000000000000064ffffffff000000810000000000000001000001480000000100000000 false work @@ -68,21 +68,20 @@ 0 0 - 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f6000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f60000000100000000 + 000000ff00000000000000010000000100000000000000000000000000000000000000000000000103000000010000000100000000000000000000000064ffffffff000000810000000000000001000001030000000100000000 false 2 - alu_test - behavior (/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/alu_test.vhd) 0 0 - 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000b0000000020000000000000000000000000200000064ffffffff000000810000000300000002000000b00000000100000003000000000000000100000003 + 000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000184000000020000000000000000000000000200000064ffffffff000000810000000300000002000001840000000100000003000000000000000100000003 true alu_test - behavior (/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/alu_test.vhd) @@ -104,13 +103,13 @@ 1 - + Simulate Behavioral Model 0 0 - 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f6000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f60000000100000000 + 000000ff00000000000000010000000100000000000000000000000000000000000000000000000103000000010000000100000000000000000000000064ffffffff000000810000000000000001000001030000000100000000 false - + Simulate Behavioral Model @@ -118,13 +117,13 @@ Design Utilities - + 0 0 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f6000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f60000000100000000 false - + 000000ff0000000000000002000001620000011b01000000040100000002 Implementation diff --git a/xilinx/ALU/iseconfig/alu.xreport b/xilinx/ALU/iseconfig/alu.xreport index bd76561..a97a2f3 100644 --- a/xilinx/ALU/iseconfig/alu.xreport +++ b/xilinx/ALU/iseconfig/alu.xreport @@ -1,11 +1,11 @@
- 2021-04-13T11:53:22 + 2021-04-15T10:56:37 alu Unknown /home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/iseconfig/alu.xreport - /home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU + /home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/ 2021-04-13T10:12:38 false
diff --git a/xilinx/ALU/isim.log b/xilinx/ALU/isim.log index 752fa88..e4d6678 100644 --- a/xilinx/ALU/isim.log +++ b/xilinx/ALU/isim.log @@ -1,5 +1,5 @@ ISim log file -Running: /home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/alu_test_isim_beh.exe -intstyle ise -gui -tclbatch isim.cmd -wdb /home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/alu_test_isim_beh.wdb +Running: /home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/bm_instr_test_isim_beh.exe -intstyle ise -gui -tclbatch isim.cmd -wdb /home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/bm_instr_test_isim_beh.wdb ISim O.87xd (signature 0x8ddf5b5d) WARNING: A WEBPACK license was found. WARNING: Please use Xilinx License Configuration Manager to check out a full ISim license. @@ -10,24 +10,5 @@ Time resolution is 1 ps # wave add / # run 1000 ns Simulator is doing circuit initialization process. -at 0 ps, Instance /alu_test/uut/ : Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -Finished circuit initialization process. -ISim O.87xd (signature 0x8ddf5b5d) -WARNING: A WEBPACK license was found. -WARNING: Please use Xilinx License Configuration Manager to check out a full ISim license. -WARNING: ISim will run in Lite mode. Please refer to the ISim documentation for more information on the differences between the Lite and the Full version. -This is a Lite version of ISim. -# run 1000 ns -Simulator is doing circuit initialization process. -at 0 ps, Instance /alu_test/uut/ : Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -Finished circuit initialization process. -ISim O.87xd (signature 0x8ddf5b5d) -WARNING: A WEBPACK license was found. -WARNING: Please use Xilinx License Configuration Manager to check out a full ISim license. -WARNING: ISim will run in Lite mode. Please refer to the ISim documentation for more information on the differences between the Lite and the Full version. -This is a Lite version of ISim. -# run 1000 ns -Simulator is doing circuit initialization process. -at 0 ps, Instance /alu_test/uut/ : Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). Finished circuit initialization process. # exit 0 diff --git a/xilinx/ALU/isim/alu_isim_beh.exe.sim/ISimEngine-DesignHierarchy1.dbg b/xilinx/ALU/isim/alu_isim_beh.exe.sim/ISimEngine-DesignHierarchy1.dbg deleted file mode 100644 index 1b2081413c1a3dcfcd4c85df9494032f8017e0c3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7870 zcmcJTdzh3}9mh{#L>6XRTBNwZ!h$I8US{?JqV83YX2jP3ep=XpNw?_9p; zJLf&;J?DLQ&tLz{m+!csxbd|^hYnq7jJf1J#@u?8F^|3lSH9nvD~>T{@`p=Y@eyP0 znr6)K@jzbX$@rWISXE4PH77&Jw$>15A6+U`5!7F47=x)qe$srNJVW%8+HRwKl5N{v z*0!HALoyTLU|HMb3C5g4J-273kas;#rd(y)W|XznQ=U%Sd!f0k?IeEaebiHJs>!>a zQ|X}bYci_IyKUD|_lZ)I6#o4xx|-+FXs!r8x^E>{)6EahpiWI1gT|`lYBo?elR9ZM zFVa{mUq_W0q^_E%?wX%UKHF%VWi^@$X{48zeve=-qhhwLn?$VS^EEVDclFcU1>@1! z0-u}E%&{6h6P0{+qnT?pn&-er;}3iuMRTgv=rOD0^8%WAR`UU?(b#m4fzJUnVXOI| z)e!vlKr@jWXtbIsZ=pFFO%rX{8Macz@px#Ot>!~kBfaJ`_^m}~&Z4Yw9t)N3s|(H9 zR-=7aNwWq`o7Egg+!x-noiOUY5@_13hOO>v^t)P@U_3);I;@6cprX&`&~#eON3BLa zTD!pKcqUzKs;`BWgZCdXo@My7(xxPx2>Pq<<+NV`s#{{)G*H3V=RQcP*Idd=W1CeW%I9(( z?5~6L!TDM4qx(An^t`lGi1Jy;gf9j8oQX!M+(-R&J~3?n3@VTExgL+(tMZj{gCcsyl&`e+}^NBdC8jq=%x z$FtTaPWxCs+N(-#l+SZ`yl8zAw2$SZ^-^-9d|tuhRqK*GfGOv2-6>oYhGADvT5Zj{e4cpPVaQnZgXKOMeH z>AX@_W%-#|-Op5$$971bfGgd%n15`z>s`rMAEJbl{`2 zM|q_gAngvc%gC$#L>r}ZwKFM8yA$mStNECXdZ)SCYOB2y?JBDY&Mxg|X(xb^&MW!e zjW$AFW6-yoQn_|Eb<*C0wwJuLI%ky1wKdd9yBqBq^3v+NPpMoxhdOERMZ1o?w86KN z-o@^C=UVN3XxCers~WVYC-m&2$^}u6DJ04@&zO+NjlN-8B}yvt4Z+Woe&8yOF#!Gi}tn+tt=n-snBB z{UBPsv$URCcSXI!HD;Rpcjiu_v`daMMsp&K)?HE0rmKB|va}JjniFZX?uvTeTmFI}bayM2Sa`ibBitCW|Y>pxar z?Uj7qX+4k3;qTV*t9~3Dr*nQ!Sl82vWK^8TtWFuJp(&b z)^n|-vgWnCT^c2|>-XPoS@Wu-{@SbM{%VuwR4(rQz1!tr{K4>l?TXqHidrW{ zjYUyoSLD;wkJ?`sh*7_@I?G^QXqNt>?=o>_3?JY%pJ17QwE_hyo zZ&;fAcg9zUPs1F1;ff_EMRA%vS^zjIU5TVrb0LM_z6Ct(eA!(uqS#*E0Lo0IWEHs{y8z5bqh-3<*lPC zG>W3HDjS|Ek(Sj;&LHhtCfZ{8q+1@z7h-+socYU^z@_RZL$tn@+|uP8VBax>~yno`W++yO4+cZZZrYMd4Gb0-Wh$=6HYZ7ZFqVtO3(1^W-rH>= zD;Aqb&sx(dR`i(8<*Q6<`%=@^*=^b)W^s?{?lR}~n656Y=ju}K^zj0gnk%+K}f-c za1o?o2r`g`P4FqmK^_WFgw1d<48s=K3ftfk_%wW`#&oc(?RE9dy0maLGCSBB{K|Mn15mJwkdW6&?q#hyl2&qR%JwoacQjf5Dgw-Rg9%1zet4CNp z!s-!LkFa`#TTIP280%H=O}HAafotJga2;F^H^8^yM)(eV7jA-^;THHF?11mXPWS=* z5Pk%=!fo(lxE=0*JK-+48+O4x@Dtb#KZSeYXK)|<9DV`!!vpY3cn}_fU%?)D7#@LN z!=vyRJPyBsC*VnV3Z91F!tY=&JOjUnKftr_NB9%`8J>gZVIRBzFT!8oC3qQLfxp7v z;P3D%`~zNtf5PkV2JDA_!2$R;9EAVCn{cS~PA*-a7p4bhv5(&x>9R3wUHovC+mR8=Fh9vDQYbZ?w8b+umf`o9cbte*pj` Bsxklo diff --git a/xilinx/ALU/isim/alu_isim_beh.exe.sim/alu_isim_beh.exe b/xilinx/ALU/isim/alu_isim_beh.exe.sim/alu_isim_beh.exe deleted file mode 100755 index 2cc1bcdeb3b371ef7416cadf42fba43634dce6ad..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 239688 zcmd2^3tSY{{$B)@3R^P0QCX2uL78G%L20qNYfC{{N_lS@nxasss8Cpt7?Mom7L^ut zwdmo!+^${eUNJ09%m?0{R@$YfRHn0HNoqls^8fzMnc1B=JF`5qKYeoNeCN#X{9flb z=RNaXDWiLYgxIvdP}?;&N;SASlBC`Ue?C;bve}Ys3APjP?^(71wq8KP@PCqeSNv^J zNo&91YCfVy&n5KWfcQH=&8Pip9MW%3^`6?XmR(($UwQ+Jb?N^hJWTXGbsrSWSC+^Xo_Dgx`Z_IbOQ|Wq= zhd(I(ZjNBtY}&8(+|787_-yZAq?+*-wLB}mD5}}DU(F6fva{~IV%U)Esh4DD&CJWc zBtPMbORg9;cSd^P$5l{YXL4Sn>{*49wJ{I}!v%qh# z(C0N4^yh*9NL!Sx?3D8iRd^c1PqQTm_{B`0C)@T>Ai`fG|FO+b>4^CsW_+Gx%Xg_6 z)gO9)_O;nBW_GXXf0j85uLDXU)vOYs;2DH!FR5Ms7Ms(r0AMm^Eh}L#JkBXXIw2 z=g!N?VCgv-)3fI0X3R;?os~1!mX(o_kv=zfYI^pp=~+|KC(p^s%}k$>mz|rQH*;>* z^qCn`&9i8C)3fHLXJ^a}AmqEBF*|Q^HkEJ>`-ibWgaKwwo|~R=PsYq#^CG6qnt4xp z*38_D>0nl)7bGiZS9?O0HD}hGTy}X+=G5%;oLTpp6X0BGh4@R;)S5eIGSmT9^_pcQ zN%-8%$urIAGEH_wkLk1Kq%&sB>E&eS%{6j&=j6E=Fx#BT^U|TPDU)+;>C>`iX8C2F zl9@5(?sV`!JtKYAG^l54M!wz(*^}qyrr$F;JCD1^)HzxAK;P4{<^X15r%yu;^@;m3 z(r3(P$;_HL-JHo8Xj08hSEVKmGDXdpHPw=-x!GA$GR%oFFYp=WzKl7uGV(b$ zK1UXOc<9h8hOv@-8Rlfnot2%Jn>A}@`n0^6Q|N+afSz*Gr)ChRHYg@bl@irxO5U6~ zWNp+oq1I&0oW^UL!TK)pa&rNg$%47S^f?)msXqFI)Go~FaZ*~nYr|id_S7fXkE%Nh zE2Dy-x(NE@F~L|YQy+zt^tsU1)QoA9DP;J)$fx>@{ER7ixfucRffLoUXE1HvO!yBf z&tzsRQ--*g`E*5oHic<2eQw^Jfzb}x({tuzOo5tVXH$wsSJSJ@C(hKYsZ_(#r_X>d z&CQ)WCpSIa=xZoEXU?qYb0*J7_sNcEJ%#!fSKvuJgz7jY^KMkDtZW+sH8e;+Q*!3v z_LTg|RDUODXWf^9Bt5B?Gh=cVyfk@SD%7pu5EZxOtoE?l00%$`lW-1`K~S=rI1G;%_Xx*wjC~a#`O;OLy+qPtW*# zh|T{W^`Am*d(bx0`g{GdvieZZ^a1w%wb}B{$U1?zDOJ-;9(xj3J#0(xFa2r#W36A? zpuWeW)qb#sSEzWnjkgOlTuVm__|EG&{a69dyMg2J0{*CqCkXgz6;BfI_f_02;D=Ov zjDYt^;pL7O@Jm&El7Rn|%JXLm_*r8(o+IF=-NNyF0l#G&$BP7f(ybgX74XvW94`~_ z2miwHasgjIf#Ved{>~j7uN3e@lQ>=_;NRcL@frb-&ER;gfL}44@J?aLBRLl&2dG*(^Wc60={Af&)*{8@zjx_zYwF}K9=2ve4ff@tbpHl56>Sj;J@C>@dN>vR60olUNDd6cMEu*`#C;Fz+Y79j2G~E z1w8*G0Y6g6@k{~#k4h&;!0(^W^XChA!~%{N3HW;|ol*hMe~{-d6YyV(IbJT{U#fH} z1pK}dp1)GSKUVQ70dH36)ChQ%8V70x{Bsp=5by&ku2|qr0`67ww^-n|9!9^_=!aY2 zkpiyKkFvm{1ze+_B;Y$#KHV1h7y*A(%|G4(pCsTK{Y(oyN5D1u`4)JQfNS(C1pH2w z&q@otO2DsG^VeA5wF0iu-)4bt7jTV!y#?MN;2QlV0dG|OxkbQ#RB>CV(XaZe^&(ur z?^N+f0biol$0z~+TE(LUe4|=!tbo_4c)WoBNsT870zO5>lLUOJ8n4^}{*8){5%8zf za>on!auuH>;HRnaHdDZ-s(6loKdHv^d;$Mf#ft>|-?MqVDi!cB^?g((;9F;^@le2{ z)c0M5fX`6nsub|KDqbt#56|HAw^`uZ1^j$9f4zX;tKtm;uF+R4@FoG*=(h;CM&A}@ z^k04!;CQ@%*Sx^-1OZQ5 z!SN&kzwB=ucMJF_f9LoZ0k>6he7u0WQZdktzexiA_BNhBQ@~Gsh2uE_Uhpc%^96ib zHOGqt{DZX|FBR|sZ*sg$z;|rmc)5Tt-pKI^0l)h#j#mnJi%P#rz^iI`{u%+lVH3w| z1^lAT9N#A3@7HmByMQlxkK^?MUi?1C8wC8p4>+y}_`RQVyh*_S^d-kz1pNMwId1D^ z^#8FtI36zGV@~J(5GmjT&)|5JfZuT;$D;*2K9=LL0xrMH%Z(TC1=~5EAmD``ay&`E z3qInwTfkR;#qlu$KBj^$^H&P^(LEfm67XdT$7=-qs(l=<74W`|9N#A3 z+b-hrZWr*(K^(6a@ZN(t-XP$gs<|JcHf{(oN>$HN6YwkO9U1^iPLj}q{Q zd-43y0v<&RMer9Z;QvzbcmcmUoaavv@V8a{Ckglms=RIif1s7?VT^#sW5k^P#tZm2 zDn3cT=c#MwG6g)O2d9%G;7_V}zJR}@>c2?9x2y7&3i$jJIQ=pKA9^Ck%LV*f6|WHR z`%dEdD+Rnq1jnlc{3#W$5pdfhT%WZ9e%_-T-zMO%{gdO{1^jk3KGX~NiCcL71_6IV z#T5Z>RNu=@0zU75oKA~?+v_=QJHhDx%TzpEz%y3z{E-5Ff+|;(fM;*z`J)B=EVUgN zE8s&v<@w_Ue1nQ72zc6OJb#jaf1~1V0YAGBx9=DMf9E=mj~DQp$8vmfNz|{^Op&@WaoIffPdPT;}rsa(rFy86!7#r zIbJ2;uMgmOjezfs=6J1upME~aw+Z;sDIDJ};BOD(c)frhPT+WhfS)&l^h+)9G6C10zOg2D+D}G#VZBeqvBNp zzCy)o1bn@U*9!Rkd7S@k0v>S>#~TEEi;61({+^0A3HZEwIh_^(ADGW^TZGY{6XtO| zT)@}d&+$kBU(mM)^S3AguY8#2j~4JdJRFY|@EJ=u9xvcG{+r_o0-j&Z@gxD?sp`Qk z;1{ZT7$e}(|K)VX3-~{Ga(t42Hy+}6rhpfyy8?0qeC#fsKVQKA@dd|=1ibtuj+YAf z{HHlyCg4jpaJ*c=udn5Jg@9lAEypVb{Q2)VUM1k;?A*RJ0)Bob$7=<=;%bg>6YyJy zb9}pi-*Pv{>jgYKo8t`ve$6YKPes7jsrj1({FthT76D(qk<+pDG5Y_1=J0aE1w3mm z$0G&&$mtx967Um8a6DSTuQ;3Iu>yYkxg3ud@YGQpPZ01qqdA@=;9=);+%4d@U(fL| z0-hAd@$mxw?jVj&67VN(;&`Tj7mww5j)0#O&+&W#&%TZ0MFM`^FpifBc=MGUFB9;; zq;tGnz^|Xe@d^Py<*@4i0)8lj=dTj*Pk!e4YXrO|jOVWvaF4n{Y@2}R^y2xq3;1X? z{?rTj!2j`b8w5Q3U5+aPp01lGjwcCt;zt~J3;0Ga$Hxfxj~{b< zynxSZuH>&wt1pKbod48MS=>LCyl;hz7{%je?BL)21$2cA(;IU6| zJX*jTR6b(`{M1mHIBttH`u}qeay(qXzbWQ;q=27a%JFCcU#_bPQN(;P7z%}|c0yDygMjasxW6d^9--n*0-jRJ^S222;zb;{sr%=`S*^9% z-g$`Q;R3#IA;%*H{N-YfM+x|UALMwnfGZ0)9xLEysCc}9?=0f^>stph4twF>P?cBu zY0%+4b-1F#PtoB`I{XqH-lD^Y>hPpvyxiWvxQFnYZXM1!2NAT`^(hfnr-M_jt)OT%Z%TA9e$z?FVf*B>F`n=9-+g_ba)>fUarGW*5MU8+^)kb zb$Fx>uhQXtb$E>qKUIg<>hOL#e47sMufwQ`i2?Q99U9j?RA(&dlT;b-gcC>?%|4v*I1(K;g{<0N*#Wg4zJST!*qC!4!>N7*Xr;qboe$Mex(lI zuEP^_xVw0NxLfX-@%liUyQDU^N9%TX@#gSNw${s^sTpW%J%1VgwV#uOD@te5lir3_ z{GI-EtIBE=N-e+*HfB8oHvQ3M^c(Xn>U`Nuc9=CG;cIZUrK2T zW!^}ZzKGHk!n`(?9!O~lUEZc+0M0*y(iF114J_T4()0@PZfEHeDNUivTg%ctC`}>D zTgB2xUPGEfmA8VW4^o;!l(&qf_feWcledVazo9gRBySE&e@1BvMczp){Sl=p1bN4> z^xKrC(Bn;F>9;6NA;%lf(yvpRLX9_?rT>4d29|!D(iB3x+gbWyN>k|Y*0S`2l%|m3tzzl>C{3ZlTfx$EC`}>4TgK9NQJO-7 zw}_?hq%?&DZw^cUh0+uXypve^7D`hH@Qz{W>nTlD-b;RHeG#Rp%6n}rJ&@8=)xAx>G5b@Rs<^j-rTbEvngrhMEPW!Ssj7Qxed%ds zNVC78-zQ5S-r<(MaTo7y8Z$1%)APQOJ#21IpHTKQVh?h)_TRH+pzW~z9H$L;Mx48C zpe@bq>3KSS-H9!3>AT$1QB_|?9;&K+Cbl+A8*D#kA)`NW6V+0G8qa@Shm4-fKf_O& zJMI{scW*ov>Xw?^86pkvD$;w~OB1P_2D_=#}K zBd$kE=>rWZZv3;g=WOH)A$E$0u|u0c67o>?iQZ|*kv5iMj`XWr`bqg4JPn-A!XwIE zxZZG>=#c$t=wYSraGQ-^Ex>w3el=^5c9nNU4;6bjiF7&QYDW*7atofubqZZ?{gtl! zUkLhcsfSytQ(l5?a5stWR`R=FZ{>GABd#R$agM&>LoXw=fTKHo=nz7uar7w_m41+R z@#hgkZ=blS_`Zmc++3%8eYjJ;CCn-Ja7pjD?5jR=O4}UvRke=9O$B4z@`kc7o6Wwa zmwk=1cZ@6Xt=ws8W1Z4F$nohYPecfQ|0{hN_ns?cnarQO+{$yWM>j|q3 z*)G-E*M#*B`4kW4eStD-K+LvDK2aVSCf^dCxN&}D@sU=$Yh&Ct`>MB)wb!QNBO!L@ z#>O8GZA!AQ`Pmcpvwh7+A#X_^x#dOSh{wniX?@2nKb3Yd5bz9i^M}n%Y!DFDHISUZilLd}P+a ztIcyx!aE7#`*PlCnX_KV86KEZz7MW(eVE+WAsvBdd?>ZRN{+<03eFwtkbZUASM73o z!cN0)ohz=M$>jE&l~X;?))=iy2pMdRv5v$Ka}S3cafZ~7^hDSkiEkI2AZ@g-i8#46 z<{g%?u_x2T?VCDP;Il?7pMbx>f$iULX)paf=o-}ctRPQdXJsTk| z%)PN8%pJGc?QzD~-Ng+}ZfUDqD#>KDT@`LmT9tc2T?O9o%C*g{tq$246X8f49g*jf zT{V(xv7EPB$}4rs8CB5as`n*N0iHx<+?=?vV7N4~$SKWOD5ox#P_H&hCHc5H+B582 znCeIGL&T^IQ5MuizvYFC9Z{}+~mYNL9XU(AwK=w+A613 z$*$FMT8&#?PpXjY(sg0dZQ-m67uSYJ*G0G?raW=mRQF{Am|E;5A5)p0jQZOh6C4vA zcR11~ZgN0i*;Sb$*HFE7N?)@vQ#r4Q%{eObP?XD)9n*?TBnC@`?{dC%iKLct1%XKRFG&bk0vE zE|%);t2SwVGUz<`Nu%CRCL8_a5_qKMCn2P6(N7BSrfjdDq>`URsD84-%ulv(KdCbH zlbcbL!!v>V2_j#V(=)s_z$q?9E^-RW;0UR6Nv&l0Yb-2JO>n*C6=&ir-14)?@*(&~ z`Q?y_<&!1oLai-nWjsKXc58r`gt8H3%2|N$Y+wQ6Y_Q@t{PiqARImWCkOhdh?5jSJ zmQoC00phKK5z=xJ2Qi{ZPOVU5L@tn#p0IN%V7OlLJ_t)Bdp5k_v;0T^%4P5|&GNlT zr<&zCUvwGD1f@(m}IPR{)V#c>kX0DUAT1w-tgLli@lE>#d8x5{Au|f?S zs_UFbF~sjWyN#~XLIzM@F7HAE0j_f$c+m%qV6I~b8f)8jomEz@)0Y&$!$%=ShjW~s zVY=|4`pNzS+)pTjBV?;f`WfNFc{yIAspJhI7T!Sp4#W{NZ@8Vj;d^?8RDpJYH~c|O zjU7Me-Jx5X8W*6m&YK!ZFqg6qde^++P13FwNVKL#B3RLTLk<+yu0RsBsX;CMfGBV> zifXH=vG03*_)wb~I{^l3YE33NLG1s5pRlm8a(`$2q|K(rG^3xq1>0zT@+7HS z^pjJ-N_+jp5H*4}HB9|vPopnZxXLY?8nwvPy-kfrKrz7b$Nhc5ni~Jvr#F1}HZ}g{ zvpnmJY=dDn%P%9HYL?fU8mEC5z2$?wFASZL_B1sN4xnpleB<@S3d^R(o5&LoWc~p# zSW`p7eSNIxo~FiFQ2pawhsBJ1#msfOr>U{m=sF&FhUPkxN$;ZTe6hFvu44!q?P+RQ zxz68FXxmMV1;|By@_RHjT%aA`4S!HmBWsV|9lEut5gV;FHCP~-569EIVJvA^^9HS{ z@&0#4Z|I(;28{{^M1dDkR9j7r`N-pcP;F}51u$4s<9giJhmS6eYMcdP{}23xg$>8< z&iYB4O^qz0pWFtI)coXPQn%2(7j71)Q ztWbNa*8mLG)HoaW^|7LRni@*O{|DD$F{2My2^dH{(VnJ;mFo;gp=~!c{)Ak=S5xD&uL8Xx6HSc@x0F{zvnS%5i6a7IeA<+W%Qt1> zS|`;8pE9ArsbtJ0=w?j%pm3*LSR)s%mI_zO6RR-p*(wz-anc0Vro=k?V%bJ7=N8~~ zn8I;+2AzZXtpiS}aB-^C+!*SRXw11HMXsU=JasTp&a33ZjbD9<`ZEfu%PV)<*TnR4 z*w?%(&N94?JTCj1-89U6fmE-~FE~p3^-i3)GS?nDaWO`togo-mp0T8`O!J`CVBF_H zBp6K!4*@A%KPRt9I$0VWCf(|vaT(2LTy*Y}XBWm&m1Iy6Bl~#OAf+Y-amw?w87!zp zvj;9QJJb@?BCeujsE?b2RmTUuAampy%yA5JX-=t$nWJD9u3(C-l52^}6LU69vBxRp zVP@?IGR0A1T}zxH4H%`ZEL^Fn+XbCy z>L#zOQ#O5W&~;&{)sRzAU4IEGqORfm%<(InrLIQ>=Xc7JBOI6rY?WLqC0A9QYc<@{ zhFL>+0K$95I!sW0`ZuftkP|Rzmw-8R3^)e00h_YY<_VOepXm%x$?F3Qfcl^s;C!jR zdAlQIv(FAxCCCmxqgzUPOQvb=nOgJiaYGDGxo(Zp&QgwR8G>;o1`(haHZlPS)m=w z(EZo@^d=)B>apLWG?y`B;bmj>|y47a`h z|A*m9!AGYJcQ+mu4TtEKN0F_fqqVKA%H=|+P-o!ODf(sq>x!KQFNK{R(N(ZlAdO}x z9+xgg0lM0iS8DYRNTb@-kJYZcT>A2h}V&ux90%*Q~vtv}vHw#+6C~vYD9z z)1m+Cc1ys%F2iDpzQm;VuJMPuWxh~1SqydMTBu{MeKDLI+=*!i?e&et5O~A%J560C zKa64Zn zmp(4|`bJ3O(-M0JUyB0tTKXe={nwA%C0zD z=Iir5GFq{7zWxNLhzia;UfqbTACi8%r5iyD_{46+Qy||~H)1aGbi5le16tFp;cK8N z+l>b4TsNWz7!nO~oVpQLLI9t;tG&8TbR%@8@O2|1K)UnYh+}wKQ#XHI7kpsQbtk(K zPlAexuJg*!s3{6~mCp8e*Yf<`wLEm!nu2x}T&S+bcj)`?lcHzT$TVW2Dtf1shW?sD z{k69WF2oi3Yg;7OGM8uSSvGVOzC%Z0^x}c&n9L|ok!KWnSr1$7FnkF?d)hGX<}h{=3PG%QXydC&e`Gq&24I0b^}Ws+p!#7815AJ*Mgx5I?jLM`r@=s*23Uej zq5+Ou_^*4X+rmGs$`-?aImova{&SJ1~P|0z&0(e>{h{y*5(x#)A+Ll)8JD+uCK z5DWhkP{bb^{?7yhZAPCzA=7a-01N*&)^*MR4=uDXz(fdQG{EOu|6l_=0|weOz*1xq z4RGAT|F$jN7XE3gjTru)2Klzae;)F5Jp9js)--GT!vDU_27`Ap{D*-d(ICeu{3k+y zZV3N6Q~1LF$spbN@Na`oG(a|XEW0Sg1%0YQug_@ed?Ho#xOK$`}55}6$1 z(#9rBzqzGD(tB>HSs4jzct~yx+ctRnM8||pZtQB^50%;1#HV22+S`bJ!+Y45)HZ%C zeeae>#oE^li=`W{(v8sE?R>-GW|6RWgBjr`{Dfz-=S>KYXC?XwkHxO$%{_ZyT5H5K z{3x~H7}uZ98)#Er!u49(0*if;g@`(C=`%KQwSl&LDnFwzepiEOYo4+z0455`wg4Cl z&TPu70WgN1Y|1l$Z{L*oiL~9Gx|RRlxOJ0mm*ys^X%p>qFyqCTDO|pv%9qg%FI01F1 zCmrKJ)^lJVpt{A96`YVPHM^yqZYd%rRoaX(j^S)7!z~rW#12LLzsT*$jfsTz-j)X1 zUWZ_q6TMnF3&qflC?9f?ibVU6U)ICrCt?mWFI>(G!(Jb!bP%4?7a1{{5m%2bZ%vr2 zAAV(0X+cc5Lmm+0l5^=IH^!fPH;DLhW7B7heGM{?ylQxS-Z@*HG0`@M$JHu1W5VAc z)*Qv(V`oTqOvJq0##qb>*yM?7*%CHc?^T`zHCNnswApS{(eNRm_9Y;J0g0Gsw+wkP zk;A38mux0Mi#I25mC^o5zInJYyr>{1Is{Hxil-7M#DwQ=fIV;#QLqN0Lfjctr1Ys% z+UG>|IS)lj_0G5^6sl06s432vNS8be;%2Mj`f~rYPM4d+#onrZu|-F~>BiEOm?+{k za(GBy_`?6%OYX)%%kU8U!n^Rx{Dbn9Oht-`xLX<)!>V{n zOgOLO+TLj=>UgbFzDld(drEXBPXr;O$uCq9zsdUpVbzdTKUYcXJhy3es%uwuawP7` ztwn9ZZ$XV&W%^{TPPa^3MZ;r*R;ZVZPasePt5KP1jhb$#QImZ&>NcuTvq92WquQ_F z5_A}S0kXbERPa_6@hf;W5K?f+@lkLdit{;dyA(Xi_(XRoI1(fc3U<3Cyn~XZPuvn> zpymkASddQoPSA#p?)$kc8L|~l$Vyuc< zH5$h#6~shhx!UmFE_o12#=C;O+axc&j1DL#fImrb#$kvboA;eDQO>J|N9C0zX2-5LhG<$yylyR^4411=d$!jj)}VeKV5 zK~C^}iV|*5%>W!-#dn)VBac!5&+^_6S1ksW5cqVz+*Qtyqo6r}O%zRyi7I#&D-A!K zN`-{QVBsezm}(CiuQ(Y3)n{BxIChrzu&;SXTO+T|XWth;c(5`8VUy?>SN6G#s2>h~CsCunnCZW`jZ^Ny$+@CFc!=f@9%P zl!b(7{2acu11EuTkr?x5fq9KY;~UCXC`;@(XR=KMG(M76nJm4h^D%Z}$_WnH73PrB z!ll$I$%Sdh8sBjuu64K<5p)VuTkTlJR-Jk_#NwbeB^+Gf$#6_kf^Zm?96Qh)H)*dJ{mq4SpUi0dl#gq_Wnp}y1@ijC~*i33u-&I_<=6-$15!`1;ye(PRUN9&4) zUtqJBG=elJFh6Q1g%XVdJn4c`*NbR$RH5HcLk;q8aG(RV;3p%G_D*cT5=m@YcfB~W zG;Cnv#PZyJp%a(!qX%52iFu3do-5!?+Ipnhpb%{sz&9@VQI&Bdz;fB9VF%?($Rm1UTDbl_aX-|s0 zJ|EntNUh2xxCJs#f(!&;w{c)tozmaPyy>8(_Zq5CHz4O9>XK#Tl6k9+vr7&`fouS| z(=K`NUn+$lF8Mz4bj~F=gTDZmycXp2qk~;>$pJXxP#1f1xsSn@u1CoMF6lzadY8PM zI5Bt0XI^108OjClyX1qwb<78G$r|MRLtT6}Xr zg;@eza^*h_R<&N--i|0a+vt*Clf$qm`5Jf*aLIq8WW7tiK%AJnWN+MpXXk*7Axa*2 z*}!}dmpmU7{!o`>QSv76dt6`KC!@fwx#YY5Xgf+)B2VXB@>%c~;F70967twCDCYpZLZd6 zrXwvn*0@yu{dZI6+-hHSP(p(Xt;!~~Rav-t~uurjY5!k zc@pw;&N)M1paADA_*>id4Ll^!yj6K7P9@g8!e1rVVexVicn)yR2T`)#Ip+{3=Fa)Q z70fx4K*kU+Hvrc;r)kaNt;(N}vzwjsjM-gq&i>%XBy#?K&bi~It~%%A8igRvITLw0 z=bTfakO1f0^`gPPropGZ&Y5F$&VJn65IOgO=K$yY4khcIb0=|P?wnWQ7RbB^G6v@y zXkliIC+5z%yn;DrFD`(;^HTy`$9xdyd;>W}=fqIgA(Nq@ z5T|Dnrcw7QPk|w9^ma+RQ>1U$v^k9dJO)aX=EDfh$^xV@#AtJQriZws?~*+()8lp- z(?4V7dkqnJ_c@y8+p7#i5x9f>T@0>f@YQvrXu{oAH!2)M3CK4E2aD_1r3dV_{u0l1 zRJyg`J5aj+fRbLi7m@C;bU!@HrMs1>$|v3b7~FB`TJccM)lRA(Fn`WUqQPKWtnq#g1d5suJ_5m+B0Ven7-D%mqyZ^IOv3T#g<{;nxS zI+!9IOTpwt1Rg^XdAtaQ|3G#Q#enH?OvX6mF%b^=o(N^=7m$I@S;&rw zbV#)+(vK<95w`@l-=!P{SMa?Q%tJ=IBqc@qnoVCYo9$9|;chB61xXv-WJipo?o!VD z7TPz=uHXa-N%M(|khJ6b51KM0W=po%4$Yx@0;!52dNHrCgf_a}f+ya@$K}PEkun2q# zJ(5}>yIzvhDsfalY2RvFxnXqjIjlJp-gR4DrJ*oOm0M;8qk!V+S$ZdH^eY>%&_qsK zhwl{7Y|S%IA{8o?Oh9pt4Wz}AT6<{^&~(ZdJLM_i@?0#N`vvn>^xjyB+?dtH>H@4g z!>kok6As;uiN+KcPQRH^&hqY)^RSJ)St(@Me{shBi0oc)Lk6UkGI5x9JB-DYhbI$H z*DAN6y5aN=9G2Jw^eYv00L9k@K(+#NU)Z)tY+zc9I2p)Dw>skvfb?E`!hq~Jx^&ou zW^Dhol-TxXZiDTuTJ~npK48N32$jKs6iJD}KutXe8YEFbu@HY)G|chKByNtc5Z~-; zwnHKG`HF88SIIk7%ZGGd9!{k#bK z8h)a^r)QyB%JaBU>ME6)^___=xqTePbs@O0dyXB2%H)id)SBLdf_xnuUTx}>$x96W zx}N!K;3p)!H-M0`1ewLAW`44C)bJIK5AYR^Qp6atWMGV`gm$*1YyDP#i19uF8_2yq z<3j>Y+`)G`k{4{DT8+kP@g}rA8}Yf0Z}GW~FLY5Qqbvngg*CqRP#d%y1d&6C7MWlQ zy^JE3?|?FwGU0<36e9ZIq7)Zel%Sc?|3Kh?DDf|PNEaoZMOx^YQ86@A8j4#WlMOOj zlwdQZjgOPo+xOuPUDw85LLWL8qJ&Gn(kZcX>bANnd!RCt;?XQYuL)+@tIS7P>iV#s z?WOc3ZI?X6B~Qnyk9*llR2CARMlR|%s}aFfu7v~4c+X%n-iQWl#(Ng!{L~i=*s;65 zNU(1rj|8cRi>No1JQD0s(JO)SNFdCkA5cyws=It~Agu^NV4xYJO^+Ee24Qronp3U* zMn)YfOBWzsgC(<)9>Vh^gN`ls=@VA(L)h~P${qwTf4y&4L>$elqtqQu|4UPRmm=c=P0;5q`B{1?PO=Sb7%W^xO}R#bJ+9p>V`s zJ3b7S1IFC^15LEZE!nd!hQ)}1AdUv^>$uI&z(d1P89^l$T@#W!FyI#DR~sPucE{Dw zN=qA`#;rndt13mUNg^!Lb9e!>=*+Ymy`0V^top^inpTaUrilByJrV$6fm_l_IAqb0{bxL zCqo9-Quv69)Q(r?PF<&@mKwZ-)k3qD!cOX3h|WF+50mokYp{e^s0hROJ)H0u$rb*_ zSg!E1nM`RoBC?63wlk@>fXOO(B6R(W^cib>?o|#hq9V~*YGCW)ONeD>>7Xd@#kf!o z=OrZmE)28^WVob_j(NR-N)xtX`(}HVH|Cvfr?0>y%>;L7gcvkrk^JDG7z?eqWPM^WRQp% z4x3n*?@N@c7cZ4j(nZX77j%bsdqAcA;u*|$15am!yI+F=!HDh%N>?O`qWNm|tZOmAuL2QFT+QV>Cgl9|$ zn__#v)|%mGf-;-}O^FQG)V0mYz!}%^}|$A5xwmc4`G|tU|-b$;% z^%6IyQ9%Uyf`HpU4JVGV(@fk=P(wgp_j-Cx*Vny@7%>c+t*y#=Vm$^MI z964H&z|`!jz-2tYtiok1F3DnS765y;$e@igwJD%)8MDGy#P6W8bW@g0QGwsI@P2fR zNS8I^+tjXd2OU^0d#1AD7A9`YT}Iy;cj2nwaTuHlDM!oW!{wYXOe!u!H<+KY5s%Vv z2CA!=plTXUWSa;kvl6$o4?F(=!DfeQhrCYpifl=OTZcM~{Ewj5~;ZpgYk` z4m*to+SRP=s0=k{9p#KWgf4j__T-h0Dh8$Jy*7gdm31a$Z8P}GkU&Rb;_TDPF$0+_!;L{*}E;4jo{6|2gQ{qGRF(LHY4tp(# z_#XrpzX(E^TmPXvqxe6APlNb*$k2819|e_8iO-uX;cJ4({xP$drRi5&%KmV!QT8KX z(jfahWazr=Pk>5iW#^uMLUj=FUt(NaieC+bnwwspYZU)i@M#eLK4j>+_)9>gQ{wwP z|HRcn#NQcQ{D&Zvx%i9c7{&h$d>X{R9~rtX{!&me6W=A(p+Op^Hb~jnsuR_mwaQ%* zR($PIjxd9uG0(?BX!KkgTHU~yH^wt8T&0Hi>e)uQVnn%KM24=*wH{Q=lVE2~`;Ewh0-!F5j1+VkTcZ>^72V+|+Kp znQ=_*_S-C@T-i)Px&fX>WazqFJuT#FuiadDK8W2$n>Z$KV+|1GTg(XQ&GyPI+pkzzs%kj^ZOi$pS-I6^)@nFhunq zC39{*#nZmgLiE+^4bvCaYDLPV~hqhC#Q>O+(<5pFl{xHZ<`EfoLi|TBcu;U5XbM4RDc)_zW~#l5J2??u2fF%#U228+x&7iC(n*KW%%_({}|9 zR;lYWl4kWQ&@#LWqB#_iorJigN;jjmCGO{Uezg{!ZHjGup2U4$f^=#`Ug0qz8*qmT9DZSeTQ_i z10NH0=)NY3G?sGemWtpU=>6=<~1KLGlz zb2m~t>%0p#FtF#h&JC2C4Y+oTbtZtExpj^uOFy-*@=S(#3Sv-u=)0srst*lPLf<_n zT}n$U=mVv6tb*A$6ovYPiZpc^(_D~>)U4QyCNr%_&B{Jd@|(({A~h=?XK1Espy5!e zNX^PIfPPceBIT6X`exdTa4uBIOoewQt4I};+r(6WT6UEt`&wzdrC19=Do2ileGvJ$ZB@SDIlx5bqmAGpYT{fpWm4p-*?< zPH3Ua%YjaSF1sN6gD(b={SxLsmcuY7GYO3yKQ4O=WYza)bHSuR_Cu4qL-sz9V6 z=!GD%-^|QqDf^{NLNnQ8!IWP1e54JsH=}BG-R`GAgPoO~kH9T@K8W}O8P}HLUj~Dk z4`sxGFTMElkT!_llHMKS_k|KWCBAP2uCyYE_+x{MAI5|lXRW1{GtiD&CI|lVI!-XPkV3J?%n|Rz5)<6(*0x*~Jw&H5dmR`_C?3i8U3% zxIzkgRoIxm7vl<8>hIY=`%KZ1RL1|A`EOM+8>(b`&}m!g6UWGd`L^p;4lrVbrlW?r*go9&?A9&XdDqj8#T9K{BD?U?RUM{yB`2bCK^EJ z5gOR}duiZSblb4N!euLN_;q-Xyc~Hf)~sMzP^&TscQmofNKdqbKoi@Jo9z-CgVhGf z69usmJ-GJ0s`i_e{zvuNUvV2&V$cwh@++=H1&zn?2dsCUR+`LKOlcET?1XD-544&c zXCT$SVK~CT-F!$q!hjY?`8;KhG8l!+sb#WjiJVq$=5*+de>70v#lr`2eMcnt>FY+g z;ECvt@4S_}AX}o6TI$n+{WrfB_A1XImz=gt9VdPaDXfNpM>Z=zfiXh|ESIusD}5Z2 zRTo*euF zXFKHE;O-dN+@*}b9ZjdtLw1UJG-l7nAEFsQ>VXeIYIzDqG!5?VHgR`Y8uKE|&~6z! zi_SmdxAXy}dYo!$cB;(Zk@BJ|)~X!EmH7*xU5hC1S&GN4`oRwPP>`3yK;~j*E#fHX zMgMZhMB4E3+dCH0bou2M(goRhf9T#NLB#LNxVG#MoyWYvO#IWqmtOoVqz&RLw{(a2 zJ)p!+ncmkQ%6}q=_@jc0e;B2UZ67`oa0a{MZ~EMYv_X9D&D|k>D3oZ7L<{!wVej8> zV72#I`ry*Ofz^{BZScNPv+{SyqHBel_KljA$3V&7`nDWceTElk9Iab{v2uUwdpc6O z(YkGnFk0VQV7rcToAiyW-WqL9QQQW4*0yR_=cuCts}s)bxTz-H#7!00QEFEDK?I$N zf_9Xel~0h{Zz_w9QnPY^7icuqksI}(}hn#d%*kvy8$qV_1=$T5Juel@eDX=Ydg?rGj7$d z9_>IQQe1iEJ8myGCPLY`*qYrLOxsqwmu@m)xABIy*?kImI;-4MgK)bGPHC;&jkqf+ z_mXxf*L!^@x#e4z?v$<7dkl)OW;oJ>;evLkH=#2O`?oH=`mi;_h0vEZ!$ZKFsNr|O zOk3`|C|S^OhgN5;MG1_jY~$*zS3y-=S2Kcf6MS9GFK&UG4y~)X8m0Q8cEIYaJ}BSE zlW$$k-{~2w84I>LYl_HK2Ucg*X&MsO)jR^C;<}nM7&F1w)qHYYpo-ePuI6+UYQ3)J zOr{}mT@CGoYHM|t!;Go+2ElcUtew6T8nJfzyKq-@deTo@PXG956CJjPU5sWzBIZxDz>!X$Qv*u1;{Q#ua+ejDN^l$A_T}YmR#{KQ$igItNd*rQ^XS9AmJP z-r~~Ry0K2Sj*#s&^{us}b)U2FxOH82fPuie{5d_-MqPf&DJYW1yPpjl(P~t5Ew$CC z#LowyZ5HY3K+ngCx(;=$%15wusCJPLUn6H{pn6<#o^P2YEwa1?4_jB`aZEX4HGY$x zLF1DGoo?l)VHxUuqXLzK1%v_0p+#_Rbs6dp%5F_HVu<@E$cZ8DO2$SmTY##L6$h-* zJv35a!>1dJle5L_^bv1uAXIfA^#tQt#nz5~rpBo03C2gknOHUBAVFJ7bD445o?t@t zvi3o1cC*2db-ldNgx&kVN?Ytc>FB(2`v&3mE2zqv+gj!d#wbz%PTJyDN$MoGya70Q zfwg*%qIheD?IsMr17_M{_{z>O>~8>86Z%|-NE;AI4PhdND6C zP&sWk0Ke5#BZjz_LCzOXm~QZW5nvQrsBvu706dr=4zd^Tck{Ip_|$V5mI}}zn*0P2 ztr^JnXn1f?rl)6Um^NVU|EBm&Ac=FA$b8497{JTB>b zd$s za#{^LXt7oK2Xf({_$7^P~?_y zP$jcZ)d z5z?nx;Rh5q3U}em$OuQ=muktem`uFbwS;|LF%DZHjwzdl!GhTM)SBWM(fgNkZ6%-E zr8?%&{P@mO!Hsr&Cn_-Z6+MP0e2xM!D$EYU+;Q-QmbXF)?dX$ZBpPC1M6`%m*X)j9iup)P`8^QiD)t1Cgp zSf3W`ACFUok|mUO2%CgTj}q_i1=cBSC3Q+~DQo6}FHiq#kDZH+KYiT`-l7)O|&@4BU)=fni(THeAu4Q(EyZE>ooSW%x-}tD(n3 z`BOaA*`VU6;YT3hBPEwF%nw0K$N5oEl}pZuP^oW6?z)m4_?J_!$CXO`3nC<~AH>RP zpw&@pX&Dw@3e)_f@70n^n*jHqO;sI%tZq*U(Zrl=CHq08X;q#b3Pe_sl75WhiWtf* zm#hY(PJA4}DdXhV{4m)a&QFt-JTHNOebq)f#fUwcBGDI0mX-r{O52H{H+2mC9LP}K zLf-IP6uk#JBMGbqj&B8@5wis3^`_v4Z_5X$RGI%RV_ z!1W|y^Dg>&Z3!t157Nz|;XOj^3p2^Ynpin-gJz`_h2tgXl77ZVE_`pyUqA{O=}G&V zc=huayE#aFLMOC8TrOOw+{jSI0d_|+1<0>3HOnRBJx)1ZA1mm!v6L8-tI4wT`pUi( zW{>+RCG-_~Iz{3?I0XtEa0;E9_GqZoS$9#?b9*txbBUxi2sX~ql4Emspk zW#tf11vz;=nO*6_C6<>`O8pX=ge*?!Jg0Pjn9OV|-4M=wLc$VI&wBD$7Os`~c$zKF z(k)=HmpljO6KW_};_k!s{1ns_sOQIsHRzpQ8Pv*IfVBFcPQ{Ib4FaTaQU(FGA&aE| zUbIMCm7bt2MvPb#jAeMz(pm_p8|$NYug8I%gWG|l4&q<64&sXbB+nPPa(g_K0d}up zsi)|5o8no&8!2AFQM><^OSQXI$>Z)b2v5syRH;~be3-O+GqO76oN#AcBaZ0$3ZJLI zEBYnLvkkbkO;~+PbLDD<_SK(O<%>(W1As8qADKU!x=c=rkV+VxIwcCi<3%j9Gy(v@ z*g(h}o|p@V{!mp2_Pv%5`{^=c9Ao}|2T+`VPYOfDBe|8Y?-L`A$Pe5 z<|H_GOy_cWd4cZ6G^>+21|2T25u96|oL44tCZHA^}^4ilY}%5oxK1v?o>i8o`b=WDh8ZQ5Lnoso6sn zeH`(oU*_@g#c-vF7_^nbtUg|7oTcc?Ps%oo#s2^z28qxrC5eKq^02S@NELyd=<>JU zh%w7$e-X?MX3PHi`XywCfzLtOAmNV}LBcLCWI0I`kp}zPXS6ZUxw+9^{ngZH*P<-F z(Vj!vAkx_+Qdf#gxNuxQ}DIFmQ<=0H`)y@9v>Lg?WSZQxzaIp|0OuMb`+0S&xw zF8~;{fj0$l9J1;g9%sigcNH3VQDBK09=s8LKneG=sB3u4L4j)PvXgDQGL+thw%yHm z9P&^r(7bK8{d_~yXeh)$-83|fUO^Virjem-_aajY7T)V#wC!ozy#Y0$R6)hn#G0;c zw*qO^HaZpCjRNFwQU(F`qc>tH0B_sHfl8NxMP&w<^YkCu^v4H_d+y@F!Ua_v_h3epMqZJH`5#xSjv8-^0h%p=b>P&A?;-v}^qnKFJMU1&f z2S$u9qYVOFVZc!PG^o%f>u^H_XgiThdTfW zTlNM|gkppBjE*oG#@^r_KV4mKa12nPH~2ByU2XOTOQC*!Z!jEm+SeOAM&_rZt8_1^ z_Vfn-gy(|E+)F33)*C!{wojKjnI{0{y}^Iu%FqmNf5+l9Zi4pr20uBARa9SZa5V9& z?+tE2hAww38bHJ#QMdO7&t`To>sTBf;FFMbET)q7^%8!I3|;RH9t9PHi0wDpozQuQ zdV}ZsMPgm}$Dm$=NRcE`S9^mOixPF*Xl?WcvtSBwGYa3jcI}x)qpboj1_=ivL)VSw z1{E<}`%i4cKz(K_MV&w7K)nfPK;$+K)N29%>Ru@O8h(@>KUdFqqTXG?*qTW7U zqVcqdpH7FOeP?;RhLr9sk0@p)-Dwd|QErn>P@O!>qY~t-?bEL9YQNW69_yp%6~#|D zS=)KK7YI~I%pbR*K(LA?;4ZnG!kkXlF9-d%(&$R;& zTTbg%^PGU^^#rlKsgD19%gWOajGY)H6!a)Mg80X*2#cD|a1cE)_if;k-m%qHz=GPnf&(SFqQR(rp5b zLivWn9iF1xCh@Pc`*r^gde+8jR{-qje%+P3I&Q0~Q!RsGv$Fr7&q!?s!)E1t7@^Hz z*sNRw#qeO*;6Jo78Ep7%brMoKTV>-3gRL6-cCW2|1wBLTXnzZE5);}i8m{`0sY8s0 z*FrXZG<+0kL##NNgzIWFj1wj5cr-lFM2uNR!|BW@X3=mW%GO82SCBSHIOr5e*yU(A z8dSsz=Em?XU+qDw0)njeP)Bc-jW?4s_P_p%-*1@DHw!Q#0;MF&z1^NNI#brL?gP`p zkKW3M`R;(a+CR*fEMKdhQ45i1@IXCLp*_n#FfmQ*5-_`{{KHPa>tRz6XnVB>4F_tg zJytRjY_&%PE<3#1V=#(#^C5b5wFf67to9&-V(6VMV7L_{3IUS=pPUS^qmu!rL^59$ z#+^=L+VD>X{0h=4i@Kq`42JTBG*UHVx`PWnicvZr+M}nj(1ZO1U+6)qR~LFX@i^Er zoeUsK%BDV`$`*Q%k(3ySg^DRJATIQHk}0L*lL7yNnoz2s z;!0voH?&udbfAiR7zLQZNf`v#g;7{b0r=3~08r_2qcayj|UAGOHMF^i=V)-7%pZbi)C#y%mhqle$w%9F`t(zgo}B^nl4;q zAsrYlJ`OhsFw8`NDrB(~fQO6kkwpv_G!uXqEUj+phUHt|8dPF){LjCbY5^EpcI!i#4a9uNBB zITIY}+NlK)LwxbH^av8S4KJP_m{L0a;_1ap6<$0xVomqrX#yXCFP^^u)d}zh9uft( zGME7T#gmIHU5*q7MuI_4|NQrkN2It4rC3Lb_1E!8u^8$&?vdhuHZt5F5-BF2Xw^sB z8!7hQW)dmFKqq*lFlnb=8)6nI5;;r8c4~~DPhGfpr1e-A!^KLl(1ma@1CIv{7yFML z)7Q3Ch#}Ut|00WJGtUq%HsI;btXTOU)D#$zKP1+4;bJ4wf#Kp}qX3IJDMPn5JeUAH zT)2=WAY6pf{spXMuCDwIT9lTS;Ribj@tLX$TnRfGQY23~P?tOzTa3ohHo41_{!2Cb z8nD$D* zp6_sB`;*u)(dzyr?TBdIc82QJtlZ^98k@_M@S{+qw0s>w>DSx;tvGa_m>1OJM?qkJwemAV-Rn@sjaD-=2`Y z$~OH|*i$9t(PpY9aD&eekQ1O;Tfulcpq!jc!RR*v*=8y-g>o+l$R%VB`htB)J%5C5 z@vT({u3rnS3e;uTUz8=utrvP!PU$;29Yrv2RV9eW+3@DQIUI804lh z5_lOoY8fp*!vj6lNeu1z@3*M6OS9Bv5$dj23zKg1efUDU z4#%L0;cYhF{X%#{5FpNJQ|W~$&~T)gdJf*mY@j@bx>Z_2hDq_vs7R5%#+!w5feOh#cNzIg zYL#31z+JpQ{H_c~+8D>$$z%|=1A6TgT%rku(KdRDf4h>M!k~n~q48PeXm%JtiYKGY ziTb1R01x5Wv4?pNl~YGfh&d$o28#wIrTQ?b{8O+)?5o*!{}p9$KE|2y!G$NWcQq+PW>C?3(rKuAN`t(D8+Na9BbkKWFyG+S%PVA^^4~+|-o%2F*_j5f z;LU+=wlWqxYK36NrM-u8H7O8pAYx4^{R!cjX_oYyj*{5>C=K`2_ff(9aJ(F(<>mSK z7w;hHGr2mKT^>+o;0er9I^z`kD)=y~*+s*nLhU8@BL|ouWwVcVc$l8#eIt)16Vi|3t?8E$m6vzU7ow69D zd|xDi)Zw6NQUks7@M=;vAhY-`7*913Q-ZjU7eOh0@Re`82+DC4*aR;F>T80#J!h?7 ze=cl(YO?3S?rVahHc^Ws#j}d-NS0pNK`jM*2p1vV@w#tkesc3c-y6lZvzRr-X|9+E zP{Ztia^H^>GS(Yf0I-opKaf z-Sl2(d#QO7g4&0?rC9Hbt4FhEBM#Vn&RXPa8>dYcplkInLh&Jh|O{30DQE~=J>7D94G(NT92>dF>u^QbKKW@ zJxHwTTd%YE^W@pVn&Uaf=J@S!m%#VcNW5@__m!$lnYBdoPa8Bs|}R=J;eh9<(`5@&+`=?43bzQ41;_@bUY;q^dqsJgS3y4gqOOEa zreVB#Cb9HX{L6XG#1;KXOS5r>VGzpT9|qY^C9(Dyg70@jdGK8g&BI#5Sn$n>kQ1;1 z2~V-m8$%a4;Zk)pE~NT69KW)a4S>WVjj+3t9%}ah$YSL74S*co=PMSbSi%TZzl0x< zrQmBE1E~%J
6b;n@h>a!S<$=pj$f8Ef>N2pq*lVc89K@hUISh$!w`JcW$K##5NFeB&u(bk-|So?&Ff4#ybN08Z|}1Ndr491y@)5d*pa{v2nBJw?-} zG@inw?u8pZfvUMc&B`P)p#F`tOKF!tzVQ?ygqI?Dg;vrskYF)<9a#4bsjNZ*Ln>q- zD3t7mMlD%Q8&aW)PC8zW$4z3mUk@uGNk9z$JLkIqd|(eLG(wK^I0t>oKpe*u7UgUxL@YG?;jypjr)$`!iZ@5A{rm4bH>C{ zFvOwf;SRYlOe(4%7bT+PkXq@TB&`K1U zQU<%qSLiC0I%DF8qr-L>L~%3Lc$TfbBp#fi6RAIag@{DDJvA*Dn0Os8G25Hb_(ypd zs`pNSm5V_pBs4oFB5^`YSngtH$f3mSnDByPhwQQ?*5`ir;Z!OHYim>FwPlF((r0mR zNi_QG3a7I#qn!3NF}<+I@Ll#ceKQ=yH{gGpQvV(5fPKyGQ8+U0Le6;ZG8$KqH_m;L@fHld|>c>loYoK zhx){Nk-L@dy?Hhs=69>uT^;b8KYI=N$4y8ZU-#_X#JnGlXK88Rl_1Tj&qNa(|;D=CC1ud^u9zr#Qjadu)5+iYkI7nT}^UT(YP?m zpSN<p&j+HgHKIO!_?rFIP zlH#-EV#6QQ6_;!Er_G|PDXLSnL-TbD^q2U!8>J&|QT@knZ0X$bLacO_lAKsNtwpq^ z_r#FSJ>=hg(%DG;38m8$Qg%{0X=YKEboS@tu1bfov|yUWWeHwOwlnF0uWdPfG1bBl z9j%WhIkB9ELb_W{->=@Catfl@3FY(%r0k@eE;Wm~yX@!`di_5S0q6ya zf&V=0u_JtXj{IG|Fl|BJr`ocN;zbL*a^>3MUyW;I-z(N?i?yYm!OMh^u+Q4KPylMke_{1f`Q-k3HE__}Db*g#9puxL}tL2j7L93LErx$BKtH)Tmr?KAz zRAw)Qr>mZR1tY$C|5!4&R&!~d)tSS_|atB~l~H(Cr4 zXX<|BWSpHU4*MH~l`=9}FGFwsDp`g!_8|7&R1=ROe(e+Gs9fM-h(Clul#emgJMOFC z@T8N`98*uo+~7Om!jw~J4RfmbKsc=g%ePYuro7=|%Jwg#Oc^AsbTVZ%iOFe6V@%ls zrg%&-o(SJzEoo=8#pFm5T5>e?3q!EN*uyi`${x2=qYkqgY8}j)uk_0Bqqm@#>SOL z!4!`nJKu{IKI!C1GK1|qpBYRk)Ryx=x-r<^U|`XoaWQ2qIW4CADy(#Nq7fuE4O28Q z#be4&4z~Y$!pV^&2HV#@HMPV!*bX+Z=o4z3YH}-CEslI6d~|YT5Q$C0ksHAjk0U#; zCX*g_G9?)`dGeDugY8)c8ok3jCs&4&)#Az$VWyKSXOh@7Tp0u=#g+5?{rr9VU=(+> z4L7HevYdzW_6qe(4fVl7nXUz1(fZBGU7Ydae7TT3Fq=8!-fAZ|;;9Hu_d>ZForOQQ zVCxTjtW>1No{Iwp2K}7g0+Z-TKT(u4wE+5vL*PZ) zTbQot#AfJAH_1MbE*Hp)$Mt&@f!;y_65fJt3_`!^&HJewZ3;X zzt?)cXPMt;-0RMtW`1uu&i#GkB%}5+&-dl#_vylCS?8Jb)R^B74!iM8F~2|L`99YC z{*i~Ck=FMI+;}cEzn}Y{`+Ly*zS=`iNAvr*%iQ@h&F_0%?*8sGzkhX#`@25T;KO}` z-QSm)-}fA1e)o2RoI-7LQ2WR^7~uK~wG8!04V@czFenNibu(BCL#f$#S|uK$fzg1Q zM;Hy*E#9Kb-S338sW_&s`oH;QBov0aXX}|CASY+IY3vIX<0)ZlXAajCIB3Ro+=-D! z^l{}Q1}938fb%(0UAE5E6#so7o*iuX?+P!_|Rw{c5pQ1*Z0Kjv~?M8 z!xS!HGom#+7-`aOZISZS7H;E%~+uS1lGm~JvbhrLns)Z&@+Wlwh=7)6!**jNV)YOnVwh9`>9>Z$`9(bU&`xK zZu?PQ7i);Vvp{AJ@l2H4wO`zhe@a_DY2pOcli*o&&!=XI?4|w^ZnH&pI|@?1(Rj$G za$5_ZkBtvlT$G(ghcS7w7d&Y#y=8&EoO0fwXCxcL66J=Qt&Hd{73!8Tb4Y7Pzm{zX z^`fu5+C(^L%)?C>Cvm)L&fSR0p{j~NmANBPy*EDZ-`<4@YUc*wSKWxvnO^S? zZ?B8{&U~+GgB~F#XWCG!vZ55Wt!DnonWSn7-V7gh%Y#Sww9!-L$Z9wJbH*3H#*J_u z1aE?%sQC18nM+ zR%OiW_k544Hb=+Q(4VZ(Cq#uxrwZctWn_v&%ZWk@?=A@uX4c7M&6vp+mqF6U2}*8z zN9ri9W&^BK3;`=tVqgLUfCpZ6(~I3`M;mAZdD~0&@iTt#M@Cp ze8WcybkCL{K~OjfEF!IEICYCZh369)JGy80%9z>tbk({XIyENE(Ypw}FC+OnJe4HP%{tmj>MltWBxxQ=|5q^n z;kObq{#Mdr7=NWvATHyJNo#7x_qVyL7=J2?VlnCT4sK(qb6D zaY15@|CqF_&5m(t{L_-OV~p<_sNgBY&*?9QEyQDV4GuL%{)Kk_ zbxZx)BEK367-{A;I?@zqTa0nzx%rHU88ZXCmf5`~hR0)!)YNQi=tz~Jqq|Z21u`h) z{Zw*@W85gn+kESN1|4-cm$2+)EUG)6KN&Pmv-zZ0cq?M9_Tm;7^;k;JQg~(pepb{96PmlMC<2yTC{Ns71NYgag})*o~z8$i;$x_YF1g3 zQBhA@FcD{kM!&*z9~v-S1yqgZdB9B>FMgEwS;m-&$!N(GU7cE(#S(6`_99{n}z|&RR|UfBw9h zQTZ5`Sf8b1^Q$`MeOA)Ruf0wB+u_uGISUZb-tqHVXlMZQ?|=tV-YLkdxh%V$orONS<|c$EHbFZF~|C~3_s_z{EmZK{7zrj)tns5y824aF~Y4L zGBEjT_oV4Qf9)BmB5`0E&4~apvt*JZ_YuBG_98FR=IfqCMk(u_)SoB6R|SJg1)0XZQCcjsmF&k7 zRV$6I4NMRoXn~1Z99Lz`VyTFwvE{d|rb~$aiMQr6TIVkxm7VS@JtY_#C&q?a+?So| z%cyuu*ywyPAfs|m1mNLpF+C<+JXW?I{c6c7TlaV~A0@WY2x1Ti@Fh}F*=f8cc`sPS zkvW>@my0L+wckH>UHMe4fo7sJEb+14<6BG~kSXrz(O z4d0k8?v___8IctF!!C4+6gt)}M6g&yUpFUKe!Fo9QEQBYpyB_ALr68vat|Sg&)&Hq z)dmiq3dfj%s1g5JE z0(CTZ)a%gRt#sDtV!^)Bz=KDFEVcST5(8Q(V|O4`ssgGpJfIEX@Rf7NVuWXS320jj zjvGD8dHP*>P^_1ux9XSE5S|vtu~HLkE^l@R%-pPRqAyHu)w_{T4yr>FBmC&;`a7>- z2%Jq<*Rvq6L8o*1P3gXpA-JCPFkRp2aNbN&mw&eetc^IF3BHmr?X8=oUrAL-lKm;sGNEd(~#s~qE43G$3&%xM^R;bCjLm+prbqYhi5J( zu6U{8_UsfBM{jdgx)$nOm0rosz=#h9pA;?>bw+18S%{%y|543f2Zp?U9NeO@3_(_XNJ3X_UEoL9KXgC7}Vb3 zSah|-I(LH*h)}Ue)m%Z4yQqML<9N8^x@}S{yjuMpGCLRGP$T78e4irMqR4vuKm0Dh z&a>v?KsAT8O`0P5@z4Y|KoST|BlBGK**el7lkC+q$x z#|6KkVpWzqK}xHI+Dj?y549q|i16_9c&t5s@y55fZWKgT>`qRc=!mGH`mD#|D^gTB zru}zcphXQwMkHaVZib>pr~MkLicxXS4o@-GDyaGU1)4XW9xc}b)BWLmyd19-z{R_% ziVlwVt4RCCUa?ewTYeiWmaTdTzYD_!*>RUFWW?PV?d??IkDT(-KgDJ^1nj!@tgzZQsD8~L>Xm>3&fCPqf(DlmD>3}eM`wSk+J6*<%j z%k-8RD=oeo%Z91Yksm|Hn^PO4qizJAz@tT+JS=lxa-{{vu;DD(2!D|2#bZ)xMp!}a!XOHj5!B`d%fH?j)XeL0 z`jrK>rBovu)_VAP1`n;&>z^AiF?iflL&@Ouz>O6hG&Z{Rew35rwZ4))mClv+GsHOw z9x#abYg2JTi8u?gO?k4T20q-M9ITZjHEK8(BN>&S#d_(2VY-sUHFMU;ct}sHG@>#V zaVA6TV>^__9Vq_lyDuEBNnn*nF=+KpCh>rrb;W(5-qj(z2q>RKr zUDd1zzhklV5Ot}Vl-<6{nvT`!pbKEG8i_d_q)htHo<;L;J_aU-`r$@Td|Zl;{;v%ID`a&AyqN%6CGBy;rpHpBB zVcB2$Mk%?yeM3B%#(kz;;WGN!5kRjnu5@RdUEGPUx|{#ouK*d8Q345aRCG?$1<^m*N;#U zQ2INP6jIlEm5-!Dwkir4Jd$6f-3mjM;vdhLnOU(Sqap&&)iLIn8|Ds)*Zb6vQDkd7 zDl4KwD}`Y*>!KRJRzFEmsJfoj56!#SRA$Z2EDFB|nQ@9|ry}cQ=_In`CPiS~ zMbso6r+^CwRHZTpO1)QZlitE1?&7gr6i0NDN>?sDCCEb3i&^Aq^DvPx(WFfJav4tR z#6!+iEk|WpZuPG4Lr+6F>(-&BBn_~WI!n@zh_7y$XzB9{TPLrgB8hlD&XuR6tK|y_LhbL21)#-lCs61+iwRhY1PVFt7EYu~`-goWH zqP;;fJG8fpm%z$_hMIl%4@=FIE{I-1+?-WT_TQhVSN7{ch%i(`(8FyUD2Y>k1 z6m2yA@<>7MR&8{(-U&*`z(m1+znhjdd({wmG}UuDxmwW!mI-`cw!>zZa^X3?RD^HtNtq34EuOW!d%$D~WE$(oDlEww#{J3d?HhkH!}yw|jhYqG*LKh_-hWb)m=#MVB1pGk zeBn^TtawS15}Fl%p<#T{7j~aw>*QcXVM5d5cssM07C$~B-D4*Wl(sXIU)Dbl8B5EW`L`J4qQcA4`%lj30*NzqtlaefO`>;By{s5)Ez%8jl7)IK

!GDoqJn=K9_VyPN5*x~jPoTKHFN zAGvol5FVAyPjMwBeg}Yy`ot~OfG0y!Lr7dlhPpdau2E1XkGghLoC}7hU~BXR7CQn) z9ug3D93V$PSl#7MOU2ouk9<%mw#VxzJ`i(^PmI{S@?3n3i|`dHbh)4lgsw;rG#`>K zK{hwRXcjpEZH=rL;4mz%)klykx`I&mq06l~{T+oBBV(N@5QdBD>tNXLZZUT}TP{%b zdV=wkf%$ZT_6`%jxRRvq8y`>&_8guD?^Jc)Xw)6ULPKuuRLwe8G%G62|eYN>UG)#UPpCYySQ75S5l8KDUN>)%F>!0o?x+A_0+q04> zALQ+UX`-9r;rQ+&>9(_#hg01IFK(kp$E)5enq?OirB%+U4f;!tGSjk5L|0KpEVPon z4X0@3-B2GIFp>T}mnO4M=zc%sb*aN~>F0C&CcEQ(gc&}CdaIs65@<$(2KEqfX_`!1 z?-w)9G?|c#a4pWgd3Ep6qh#F-`GihQ#2qC>BM@PNe)P{42V^XI5}d|${h>4`6*ZNu*h%DP-J0U z;;MbA(zt40H8wP9o3Kw~*T_Y~WgMH2UfXpw80&gbQlHOX?s>g@y^`?8a zFhUpNl>HA9YVqkMa?O3m!rK>>$;w?@RTw@a?#`C%X$f0k>!$yTu9nuQ!9|q!XFg~v zWjX$g=uM!evZk~TlFftvij~G*)azuEmqXQFgY-1{Lv=Dg=ThBqdKr~plfl!!5PE@D zmpjkBw6(kg8}!$xPxf+~mrmi3WOQS;hEh>4!Up|5^6}}o;u^oU)L*_RMeaM3m@L^- zYXg-=1>6+oDR_ZWD0QW!n+Au|VS|36Lcam$hipHo`SQ3xjXK$sJ6|~wTpjnIY~2o)7B&~!(T;4k+e z`|=Hmw`n)%9i_Y~g!xd^oKSpCrwbT;rXZY8hjVpEpODqBhAjZq1@EJVy6>fXtd+Ww z>mJ#j?lD_<@9ZAkz_sh$V~ByaVLj_eRdMv}uM9&R7Di>_h9ORJN6<}%HdhGIk(9I} zPyV7X#17s{Ul`MHrNk|*Bg<}PVh&ZtXX1@&6tg1&2f{NK6IX^BZqH5ye|_tYp!)&p zT$NtgNTo3GX|g2Qfxi9SJ-^&Sb*>Kd``t~^fwB#>4R@doRJHqLuLA$tQ)PVF@9!4F z<*?KxhHtdLK=x;-;mlUJpP99sBMfT5;Wj8Z%ZB-&BdOFbI-u6tQWRs6V7jCt@ z(gfXY(4U1NE+*zQQYlOvLKZI*gY0CnG$FJ^`&fI|V=;vN%iNTW`gsKHa>}{KpLKJLdX8<(iDjwzBhPUT*#YG%6ptgng-PwX-Q~bCWr> zI*AJ}GAg&l8WP4bdAL!5To2N~8hPC`35S$JrV_=uNd;#{^i)Vu0h}DSBa|jErN^Sw zj?GvLaUTvxO#L7H>CgL$_taR)s9V#&${2G9f2$2aS=sePXxwiwIUepQcgDfpeBBdN zGd371lg((3duCLAL}!8vWAVH^a+Kvb&84;aOj=g=ikKRsz~xsL+^KtaItJwdUrr5t z%2{29h`IWo%VY}Ei^5lD^WZF@OF!vfs>z{-<2%I?hyS%$a;V$?*0=}MW8s8rKz+8d z(E*ib4057(N3}NYj_PP#1;&1tEJd&k}IXC=k-A{nI)()iu=MqWbh*r@B8Vc8b$) z%66L~*v08nJv66#X%3a|GN-42!aI0fz!6}-wuL)B-HL5pk|c-Ey+Ke(p8_5wvEOSY z9t!u3c?!5*|KJY95iYH=0-^?;Wq8eBwih_#fL<9A|SJ z;mfF8&R36XKw^xj!hJ;emKw`pA`Z%KQd`;S1TnemD!I<6XWawbEFcc4idS$4sjB_m zh=I(w>t@Cyaq5MN+1xY&wpa1Au6zs-TK*f}Q1PNt^w0lMRC}vVxsJkb+?QnyHp-7( zhzeQv3A}A5DbM-|k|g&D=)c|`UuNp|m5*^)IJ&ix*{+`4jxV#rAj2uMX+?(2TJZg^ zlv(YYPMO_RmZZ#<3F8};*-6{zis+^lnZv~T9u$?RrH zQZmbj%)dcDyYe=-%zpcqA+vM%Zpy5isr!C)jgL%@74OFFV-lI3OdmUFj#FNr-HQE} zF|&ieY6?Hj{HyU{sa$Ybx4v0!7I>0&bGxa=Ov6)wybU)!=#VtX2i)E#S1 zBW*2-DzSwmDMO}_bD%Vy*b2q0#zcE>_n2rm-{=-w%bteVF5`P5vB`Qqk=W!4uSTYP zCARDtPO+`IA%WPg_lWKIXNj_?{L!EB*dkMCH^md$y+6g7J2qf!i_p$6B=edr86~uz zkw={zJwTp6+eu1jpGwlcBD9In=@#1GZnk*g>AzyO=u_Gxvqd^+JhR0^`G&&%TtZT^ zvqdu;SMd+F98OA%{xx{8n9ZzqMep&|uF0qr;Wpn#Vl7v*~pN;S;v3hrr1^sTF zFno~VoG`|oW+;Mwed3X4egh-Vmr0I1&rNrZJXc9=@g|H?&&c!gI#ezCgpIK+)7ZSx?CgaR69w@?LtXvC4)~pNOccBhTL}Zj1j!fEw|;}4Y_sX zd!iXbPFg0KF;*riw@oiN<@Uef3FLO8M{a*Sk>o^wu^wm6c;gpaay<<3d}WJA^|;p& zN}c$fnKllzgJzZF9+RYWk=zi7^-2!^f9VA7li|z_=R;#Iloge#%+p|dCHH0 z&Bs%IMd25XL%{yot@&a{{uOThHE2CL?hav-c(HNU!Y-Z;sSQlGk-kzJP;n*pwUElS z7RBQ{;5glkAv;syIiENi*&|q`AE$nwC%-u`yjDMi-#GZ?UF^UVF4=8f6h3~{sq#d_ zXrErj=+C*EtzTrk*l$Wz>i(g?bd_-yHzIUSRapk8EYnq%S^lcjHuBY6v=Ib08z-|G zt5@pR!Bdw@FZQnOpRVz;{cks0`yOj_w%&RO3$B&_Qz;_Uxt*2fs6ee8THM28|uHHuGgtK*H$56k)Mb*&SZa(SW*?KQF8lr2v zQuiX^c9hdv+fB2Rl)ZU{B&oGsdq{Q<9Dh3vK5gP(p~2r?)g&4`5i}kR&OO=C;M*lB zSq*MVdvh4>oTvu>aAkuUoNs3q4W2{hgc{tKy_tpct|1Nfk?z&tGgvaY?9FkuQK@FX z+5bUPb&N_((Is}0n*AP^BxP^@8>-z(T)uv2As4Z0>M07sOUK69MY=FO+>=dkPn5Pc zfC=zbH36;&mMZQj)2w&V@^NtCqT8D^(>LJy|EEZ#Hv-$^oA@(fWQ1_8XQ zKV{4$fb<9TDTPl}T=>jZJR9T+9~#Jj*=m*kGE0QJzL5e4hZyyRJx% zqDlH3a(XqD)6#MG@c#l=ny9s0GWGosOA||O@a{?CH{75fJH^sM(aC2dd&bN?yQ6{< z&fdLwMujlfV#ronWksy0TTpMHfGwgp!Fua*4B5PC6l@^MDn=;769{F5mxfJ)8=+w` zEw7e7sO}`(pudjG%{=iyn_s4Q-63d#rh3lD z=Pq>K_XO|f8UxT4eaIkM5Jv05Eq-sW!~sVoVqL8e^s#UNEb#jb=ZyEB^$td% z!FC~a&-#43ka+VY9zdOLTBR?ojzsGI5KX&Q+7KrgtkJNl;~DDW1pD0cvRlvEiIkjr z_UOP}*0VQ25oa{rUA{qU23&KAQb0S{r{q{;P*}qEL_Vf@NHCF)X@ydsA8nncA`~bJu=HwV+JAm(r#3qwbBC&m*q}UFA%qg}9`zH{a zcQfgfdy;bLKFUxM6Yz8Vde>&sQ3qT8TqU&0$iv!98ek_Wp=pw&Hj~bV&UixGO@4Sw zXd^Fn3oY#!Lui-sJ(19w!Vf=Wl2d5kT$Dg)UO)UDW0DeD9WM8n$hwg@=iPqzYu(=5 z^@zms!%wl3)Oz*uoN_x>YKu2U zdj0V4+@0hY*&$xs&zm^sP05*l_%qvCJx+NdPDEQRKYWFqq$GEcB&EwR`PBvSCAXVG zS*;$o2V`IZ*|XaNM;em*>HK(-Yr0U@UmkKwZn)Iuk(|^O6Uy3JW2l?MQ0!|cly&5$ zI32FMN=8XLly!TSB{MZj-iq#6VUb;qu#(g$dATI1u*h72nzrb%(N6+7&4g?ny;Ph~#^H;>&3_g|b?GYsy{FnPszRESYzDvuN@2;%i8J>+TfNy_-c6%IexIy8Qra zPFMPT?>XqRj%S3;qSx#sHTIQAlG-df6{`OaP936s;)1{YEa7z2MEj+!p$KR4J<)Wp z91zM*ial+Vgabl7NVpHHo2Ucgy;rqBhXY!Y**SzN^E;blJXlZInyh) zzg>L6%3J=5DWm*fO)_P42aRXSc>4fD<&Kb~WT%V>I-vH9o8Y4McQE~*W79lhKsfMSFQqsLs#xc0oq7gFp*`6}Bq#uZKS`jku*hy+hUm!^; zLZ&4o#~XNdlM~Gvcuoqs2c8A{8(MG(-(v@!raS%cRi$&_nRG_%z!UfM!}m8O>5S<$ zH_nuC9lkY(!+O{u*1)60HU)`T1JBcTk`h~3lGMP{2THwSBPN8)ZB$GM2Uq+tZ>F%Z zRS+J^UgNOXqs4qoV!taI`4K%^Opt(H+RH7y7W)~}8^Cu?8{Cv%7^=vYivS8TW*SR- zUTiAK;**k?CiorUDEpj z!PMoLX?NIZN_#Z?CEl&a zPb{a??fJs#=n8M&bVCuYOH*b5reVSWt7q&Fy_7dL{$*n22 zy=Jn+j>_#Msm-&*QRO2j+ic01+g_9GrJRynH?-B- z_WHz5Qj*J(BsE)q|4*;v{&v&Gwqbw8v@xlBlS~`ufyOg!e7mQib=@Q>*=eIGS9!)5ql}8mJ2tb zoYpF@t9!X{i6p62UN$7h8+&%sD$klTicfWqJzupjw4e*$6OBE}EBL1Pcz7n=W#45~ zuHdUDHZyKm(y61IW6!I33C138Skn3bNpkF&{c)UG<52eD9jm+*wrrHx<|7enmDk3- zOn63;)GBWnlzPRs`&W4)Jz3@5+tn?-cBzK+Zshx4yUM#6cdRjYNdMb}VqOkTVZ=;>2R(U!?Gvh8NA2t9qKnIWHI!X-lemdIWA9O8J5 zY{Vd|yBH5ca#m!!dOC9=iFSx|oXB?iUo@K`rmHlrS_Wts> zt7dhPNp8mzDy8sYhM!6D79ZSq;W}yK2+*)+EL|2$w4V z$p-!T&fd4HK4DBHN;u|G*COL6iX50&H`ZC16*e5v$3|;F(Rzd0c)Mze8m4)FQtoZT zvl7w2XCWk!;Lev`n{#g?o*jd-i2e}LcRXfwJ=|8|{MIW7Kc6J3n&d05|7F(=Lp^hx)JO0s#~dWNiMW$5X1xtbBJ6b?5@ir$^iGWed-Iwl-yXq{}E#UIUTyQYt?z{myJzFg$As zEqh%Gy_w1X^c7HAHyChUEUDWN=6vP9>xa^D>yX1yW~_X z5XILc9Yl6vQDn-Cs+;8v1;jfW(R+IemPx@yQZN({&tR%~s%IOg3_Sy_$&B&BoXQU~ zszm!jljPUdkNnMf8zZCY6n^;MkFDcB^9V+J=)I!ZtLfKzIrk#G();7vc3{A7UX^# zY(7bPT|r0{=ov0JDn+XlRu*W}IxC>wf#FJ~+<7>;bC+r}gna?+XTQ=db>wK1vZF(D zMCy8L5Z5aDX$iX9m+bNvZ!2A(5YFij2q|UJDrH!XKDWe6(nI-x256O6^T$;~XuM?U z9jX-M1-Yw(&DG%58|@E;g*`=~r$oHP;fm}vmii<7#e8ER7M7uas{uw|I6|p&rF>9b zA#A*l98%1Uy5nDXRaW?=*A{5&nk!*($TCzZ?1fb_#u=wZ4Q^I00~;qAHy>XgIc}2t z;KYM{>^z@FX^D!jthyBy7q>I`c!*BFP!OB2Ob-@ww94q>!7d8IEo!C+Y^yipK^ySELEAB8Yc6hWo`dK~GY zr({G4O_Gi(k7;}nnjv$C+*jSEJ?ZlH#p;X~mm|$76k3#;*}U!(Vr=vSN%c;=(lTE3 zk`7GF1rMo9-zwCWG6xI(;@I6ZWwHBt#V0(1xvMvsqJ5~gQo4}*(<-GGY3dE3#Lus5 zlcX1E>RqwKZpD`xs#BZTT=jg5=v|lR2@?WdJe=HM%K}cy?ZJA(Qag8I7KCb zvO8(uT#+kAI**N&?CCGRjW@^6Rnx70BnqUA^nh?dy)`TYIY*$#enw!=n*Ptw91)I8SM4E>;xcmDMMd4r zZJX2WGSZhKIbu+so>H=xoR+Vwf zV|$xT@tDX{lQ;lHW)HdMk*N{j#jLZcghG=lN0VwF{C%%&2veVs}#F4RF-U!r@Z7s(GmZNAuYCCyxwgynl2woILI+Rm$#-AvN|e zRIAU@c_R9wWb}u)eY?S4K35hDuk)WTxOJ<&SU})G5qQ|R_o0u4ic1|TTGEI7d2eOh z)qy{X!raE7)rH2(IH}~ZN^kwB^cC6DLxe)P-dt!7k1msyDZ{jAK_Pd3hbkpiZqBzB zj_O2fJ2q^%?P!d(G*x>6UDU>r+9(W7lU9n6{QOb)uB3_Pk{Ns4q+t)Tt5eBCxNm%| zzDWpB$H~Q-MrW?0q+|Wr%CGgJZ=C1o8@*U%FnqY4EB{*96m}h?GzO&q8uoxaUy+1_BZ)*)uBt-Uu4?odIj5bH~ zSF?qeGGUiitG3JYaP{9wLo zpXzs=N0Vh8B&*6*`XT-74x)_AB)UngQhK@xZ(J6I`;`@G;|&e^5Y<;>i=p~Y9vQcf zNM3gzxtWZO_mMXpePm0bKGH!@m5<6!=RWXsHO-V7Jwv!cXErKdrEhxJ(L01@{kwk{ z5~VLq$Nr*Q2!rVpl4|Pn-h_%7ed0HHW+G&xJM1Qb5aglo=DQUs>PR7Q0YN7zP2-d1G(Fanw!JJG~u`sE~YiN)W|Jze8I9b3g&Jz zj+m%L7x7kF@l@@7eKBn=u=}K`RJHoNBgAA?1$&0ubnqXCdaHiQ5T}G#O$Mv7>AVcb z?Q*KJ+-+)AppBl*V9Ejh08b%efWn}e^9XoCbPdv+E37=RTo#-z>eUq5z zW7mVR6TKLY7>&mKiK*k9=wCksX2u%BMqZ~ed>9zPlKlbpv@!T9sI@H@^t{##o$k4wS$^Z0Ty?Cd=L z4S^;N%;V?T^Z3!IjeaW>8uNGobtdeKTUq`Ix$f7<V8tMtc5xcXg~HoE#< zI^`YxF2k>#!6Nf~$0G9#W0C2ypWDaU&s+m$Si~EZMNIfoDz>m3iZ*QFkn%w(J9w+U z1u~2e{@kT!hEqG6@)GuI#STL_cd`FX>cTg%DR;isZ>pvi2HI!(LZMszFdQ+KTcK8e z{Xh$Mk8qn#{^R=DMzE6dBP2^ThM}yDb{N9+(p;V%FLj#1Qii3BnhIg(lf;Qg_mS=1 zpu-Hl!!UzKxx3HlG_&sCVg|RMR&V$Df4~fWvkkInA~X1Ua5c3Ve7M5dv}W)-`$K0O zGkCuP%qeNNnZcKxBO}FZG0&q8;X@#=0W3w8QhWI z3C!T}AO?TED&cSlkLJ5$IBIe;_%MY(Ni%rkzKT_<@9&@)eCJBH8GL}z(5OTBesDM5 zP-OnDOj9e&|2 zgmF$&`9d%`RA*OB<(m!sf0e1cH`=@Frt&AWIgY8kv{fUf@-#B+tf~Ae!DbDZ%0Jt4 zJ(q&`^ggiBFqPXWNO6VY&K)p>W9;+ zpz{LQNqeCZ>DlZDrqaXH;s!uB@)r2(pom9xqnFkm3e9mYt$pD`H_cbU6&KBQX}e7G z9?@ORsldoI1fT|T9aMB45YT5 zq=EvX(Q~zG*P2{3N8)}cV>IWnXn2pOCTG};;3u+2y18ly{_s7dGQ&5b_WTmZ5E+p< z4PaLRcVROcKyAN1sIXgM0G+#uU|$}2+EPi<|{9Pc4GRZL&)HKyYNoU*Eo2T$`f?)XLFikxT-2;SKROtl0n8P ztrZ~{jtC5`S9%?OYa#>%C+pc0KpC?v&K2?<%i^}uc2$B1UKI`^p;D?523~MhU(gFG^#M1o}SCEq;-T-i!*2-R3$>b}8E1EGp3( z#sH#!`jD-J$o~Ci(myt*)crwS=EG&RfmhUkYP?)l8<-8#2}mhsPg$J^2!_YD!g3ap z2wJ~Xk)(o^k)A}ov|D1;AP$IO)KC``@)q!ycM93@Rq*#GAtx&=>L`9R92O;mf769U zO;14)Vwb?fg$I`ZQiVm`MMisQPdI?ZTKREyg1TF%k_wCJH_>Lk85XtPCw(v3u&B&! zmYH2g2#8Ep$guES3n>HFNE#~x*Zts)9~N~m*&SB(3SpYls=kPlNmx3rN@=Sli?&#_G7SgXbCeljtN#Zl}t)A6VX^-eVUruwRzh33#iD9&FVouW9Dby zC{IOCg;c(RTs`AO54nuwV%Z7&rtEkiMEePg;i~!g>`ijBs_x|*W1w-%S5ZG$Zz9-K^F8CYVUKvAd|m} zwWXeDNaIMbKUA3B*m5X*9S010gCy?qC9IY&jVwXzqXmYgNT9J9(Q8?Xi5DPZFqZr- zQZ$YOB)G`ix1H>^K8MA~Qx}dbz@gP5aDN8Cr&uB~)1=|5qE=3C}we(D_4?1S5P~0i7{R zicdl4Tv9BO3RDSa%$Za#a>^kUO!rBPou@Ba?-*Ir)Plk=Uu-Rqm4RZ)Z2M|ssdB~^ zq^-v2I?jBcCBheJHKd0CHd=c5+$>Wkm^`P^oy<{gtHtjllGdch|lL* z`YPCu5$xxKT_4R~-f?rsR}t06I93s>cz4Y*k}iOPME-e)krW%l6>B5~8we@;_huxS zjFEI285=i}V#$fKkoe_S8HH|?aSDJ(WpLwjk*|CYc`+N+lX-AFjB zpAWj^?4?FxqWDaoHNzEK~QkJSg*-5IS zcw=uGu#@(~C)I$R^n`6Esj#(LT4>lw;Y|(q_r?}PGmjKQ)ZUBx*tcO@xGqaf@n`cmBfPR=rN9-DTA;+XCu@$vKLp7XhD=kRDydi?i$b~ z*U{JbT^;=?;hM9fXM-uJj_$IT5_a@}fxqcGy6QmoIgw96pJYcjdS2X)UQL_hbo7Ua zDv9an;_LJ*h&qvP=N3e#s2X-^L6jS8u%j<_cl4FC(CFxse~Rts?j0r{E&RWIhe_=U zgyZZm_4`po-NZXgK9JfYN+ZiV%(_e%91JJ7!?ao%h0YzOaqFeBrrBX?ttfHsFm+Mb zn{J2cyLC}&8(!f#_wuYW%Ie6f+F_a`c;f9at$|<$u$|dqTA3Pek(Z@-;@Dx5{@~tW zDm~sBf0?vJ)x^26?gtss6Yeniqh&Dr-z2khhpCsW>(mZYcm9%mvtcq@zrptQJ+G6tUC}CwWTTE(zGWUv}yUkK31_!mp^q9_YO`Mb{ zRBur~*#y#lXE7;bhpZcKu*)dAz3tyEtZ=p)ixPoHWBH+P8SACe6K8W zd#r`IB9Y`b;(m%*LW_=?OXvp_c6CG^>}$RU`#%)+YT81ZCL17r3pd7Z;b2aIh#CL+ zpCWwa6QFtKSyO8lkTY{u3-@!i@KT}SQHts_`D@pg@t+?=f3r3NGx@Qbm+{r#p?k?J z<8LIRJrO6IZjxHYw-;2&E#re_j{Z9w#n4x+X?z)fW1>jA9w$4{jTCfaL6@9$zXxR< z+a0ySIHz^r3rtB__sK2e|83xJI_qARyU}I*Hqa+&-K&D}m+|vya~$jbjc-L)8ehiu zA>Ym|<1bV-?9?*;%F9Bm@mG;= z=a%uqRSi3}jK8dFgB^Wtho)k?FY|D_iii+hgPGQAzOVT0D#7aD*1Y!+r( zSA7(56wwQf^{)_sd7*I;Ji+`%9x6DkR)3eoUAfSB9p&ShGKJc&gvHAEU#Pvu7H)6; zioGMwA37&JbR8!&jR1l^yaPdZ!Jy(8W9&XAW|j0uE*%vP7*UnMd3vgf)zehWsC}f? zzVK8u)7aZwN;PVDSo@`DWU2R7wgD5dW`>eICYI9N26&Nj@h&vp3J>V!{@PTD(B_5+ z!lT7vmOo@s#O*|Ap(MrLceK+N8vl=#t1LjQtxLlSm8c~$?B4Oal`!PaE{}ZA>gxls>SG{qPIHwu2WM z?_*;!yU!SfCD{v&-z0au*!LtN_HQl~=G1s2_HSo7p(dQcM#TQr@F?hv*kAWK&D^z! z{j>yBHyW|Oh|S_S5&Q4`;ih>O_+2!gvggn|4kKr*2~yS^tGKaRt4Stu#PpELFhxvd zz5ow{X2^gnu8B3DZI7H0&h8Kh z7iFgu7`NYX$!;@=0my-O0q#GvE(tX5IFR7h!=Xby%k60l#?qDamO@)d9riEKiald0 zBu*?0_lm~K;1ZnoZE7(0OBF6tsLd}d|7K&6_8HfVbyTFO+lAE{*f(1mvG5_KUxt5D^gzODKFO5fSou55dl%1wGJE`PEtDH~8=LVnlbr>+N>dJ_ z0RA~q4Zv2vKVC6Qi zwR&rn*}el-sYqG(!?`*0Zscp+oOvkhk8#%>L_w#!Zcl!zn=_-nIzyNd{pwGYukI67 z!r`my%Xj-iNk(OnLOkE$Xyt8FK^xPFLYxSLgb|2VxT;Shc)>g(j#5WH2C3?@<$5zs z9hvdZrYXcgZ!6cii8+TdBYOwMXJsli7XtC8ba%dTaiWToqjSS~wt?puUSuOe-?&Vy z?n}XEdi)H>S3?4s3Q5#%-?M891XpV&7J}TlDUz58H;i=xtPs{oXX_-bDK@8;23T|v zUM*XRq)QsT=K?`vvgxaXoorhE5j5pJC44k*dn;db`tgXoeM7YMx>jWbuSnamv|d- z9abBzLxU;eI#hDMn?2YlsyzkObjs-i_-iL8*tXZionT)jtiw6M?u}G}&I$JJ4-wO@ zO|Z{^A|BO^POw9UiK=|htt)UzF8S2v2eEgWduTo%uDEFa^n+cd`4>>QC)o1!L1py! zn}Ee%tSySQ_N_NuJfpZ4nCh|RC4R@+>W~ZCj5k~u74`O1E1FTP{id2sFlxUE!ElQ& zCmJgsznBiTPx+^1=xeAHb8@SGE=(3dYFw_fQu@~iBqn7}x}MD3uZU}A-S?B~Yr(V| zc_E7*;YAkJ#^c4ZM+{zcVUCOP;+yxQyyyiNUA%aU#HQiJ3NY6`#eoRV#f$MIHVrSH2h(okg{2p3-ignP`yViPai?p% zc$(Z6FIplz7cVptn}!#UfoV7L!qSW7Z^!3F)p&y!H@n7*hska6q8@@>yckVl)9_*( zn06yCEWKE=I6f~%-EZ*XRb0K%vGX2sTfEo=!7g50M`F|P;w~`lMqXHYQBxbA7sJOH zym($VGweC_4su((_#T2?ycj}a)9~UJFzrTOSbFiwqWHWR{9l6?^JF{H=EV)r#gw<=^WuX03|`D&+bGJ5tH^EfVkrc>cu`DZ)9~VQA*y_R zS}@#27n?Br&!Yf(cWUJC143CoJxl&BUzoO_4{uSBZyOor23gMQy^v8bRG#0Cf}#Ab z!O*xIv9Uw@1+~^3V@u<^{sg6K%e(TFrMUJl21)t)ED$-IhXvW0ooYb*m>}*Yh<}tS zLoW+9b8|rU2KFRLwgv=|>%Z@dlsB5X8pK14ej7^OoRsOV^IH}H!2Mg#M``q)+JV}>*^Um7@o zBIsik3W`2v@JaMh^iT8=UPUZ@1j>~d^1Y3zui~+i--nv|`k9c<6EQrILN94?gh}{m zT;S0?MQKOAi+O3nyo+g8ZTU9d`W0-VtzDzqdIQ>#FWPEoM?rQLd8Ep0Q3qg-NkV&k zu+n8#MY$(rWI@KmV+{V?0Z)W~?3<{*ZIdGWQ=}{-N1gb(^rPo7kV+Q_#%JJCI54+6 zRqBUAzDZs%{Jf~8qhBY`;SV5EI<%A+ z%HX#`_JWD*0TbCG7qTpVDdw5TZ04EBJ~5FoiV0gWn(1UeR^Q7DPZ0JjW=Td$Y3=0RB3BBX=^yN9LX{bq@@Db z3JHgFJ`{z@r^ttJi!OI(k=#`u_k-MZ@RmH|Szh0|^bF{!ffdFiQVJXmF&%^2Nam$C zs)R{@)JW@>J6i7;tutwT4y{*bYt_jc{bKU3o1#~|*>D5eO9Rx)%QsVi24qUlpaFD! zqX9W0Z5kjS!YxX)EY*NZzI8NUuhL#Lpv-PSKZ>@_nAtC_s<+S|6#Ba<`WGidKQ;OE zN8tRrXZ3}18m9kh_&T?%6nLEijnn^1l|g@}uVU!WPegy3(0>c)Ys+UPP5%lQ5B;go zF9QU!bn8!!8eyj~9?d;P$p3@<@Ji{4r7j1Yrl{R7c>DZn#$3iRyPs1;e%t+Ax+?OL zcLdfvPajkC|te_392_yXGtmj2w_xcoKH3PT#Ob}t!2i{{IsebX)?<~#bV|t zU4x?9@+poM=R`-`J;>Sd;stv4>;~KS9z(|5lcm-}jP|LH5h_<8e844li8OY!ebUFA z?R($dKEKoy8dpOb=+trBS0(Lx7SYs}PiVM(1#f`NFb@l93nt;y(wf6)Hh*ua%<}0e z;DH-y3$8dJqg{ot*muQP|M~v&cx%|-&)>HweAFJ-W%>FA!^aEk&BX)!{rv;{m-q)R7#pqe zmjw_fbP6F*km76RyYbrV|1)sVz!Oh7u}haOd0q254?O9_PA7Kl+VSMBC;P4(GGxfW z;R8?Xl6PXqP90Cq%kzyW89Z=A$)IZn54wJ^m3-34q;@@}bH`KcFP-!9I(P0Y1xys3 zPV9VQmy=ICv9k;#SB|)HScl=)54rr>VZ*PyddT1thKw9?f_#(A9h~)@F!;*BgGzkY zm)tabNaN*Bva2=A37h%XSbfn3>{z*Iquk{>483;PkPcT|TRLLIppp?CdX!#2WKby$ zzV@miB?Cv?G@@jPG~e-SNQaSEUVY^?BRlwu`gIs|b?FH=3>|FI&Ch|-fPt3{8EP|1 zq}CyU)S|Sc9MZho!gQbSK{|fNS=%G6_wo6LqpMwkWx&;>-Hnp==65LyIudvQ9b+P} z18_R9FK{978sKu^IN*BVG@!4Q&-Wp)4e%Ra4ln}j4s6Q+(i@ly91QFU90}|L90ME% ztOkw-z5;v@xEQz>Q^0!QEx^`{XXAllOU_~b?+bhtBeMdyiRHp8Kp&owHNYHPeQg-| z{vjS`-~hbMCBXl%8!;I;j%})sfnjz-Q}^}x7PI%<8Tcta+QGno6Wek>@FRk776Qkt z+#dNA7+6jH`}us!zNUU)r*EkrIC~BC0}uP2`hhR3rG8#+J-Ckgfsgz^{lG)lQ$KLp zkJJxryMg*S0Pyfe>IWXeA>6^hslQM^Fmp5Y10UK#{lJ5_Q9luZBY?euOMs(*Lx0;I znE)IPoC+KXtO4E%{1{jP)PZ*a{{;RC?0lfl_v0ViBW1vq+qXxS08fu>k7OR?^Hp=P z(qP~Mu5g+T{GKbt{sfNT=Chnk^n%;lN`M~%tARt(>Lbg5+qe18#udT zeMF3p37zUAOMoROf*&KW_er!H*uFFE2JX%K^nU`^$vgF|hu=B5K5{?sxl`&RtAQ7H zt&gLVk8bASth*PL1(X?=vxcU8CgNPpmQr`Jcu0FTM9k1Pis>93Du{{#K( zQ6Cu&JfdfPWH#_HF0@EH61oGl6L?53_yGI`xE}b?8TFB_**@R%T=Z4}3>Ct6VB3Ge z$D@3{7lA{8-OsF#yaN0H=sViy8(74pTfoi03BWsw>mzG`2c3nSj`8_so{gM9`F zUxEJ{0AG*w`TlzedP^!yn)ZU}k6f?Je*J*lrYh2mAo| zC$OBLlwcR|-iqDfPt$JTRnO4w?&!nwv=6x8 zMcM(}?|-xd*nKAL0RHE7+VM}H@4LCQ1Nie>&BW(@GWHQ)!1{tkHq-vVa&eZH@NU4ff{y@C6D5B01sHpI08H#SOp9KtAPW6 zvw(-_^mpJ?U{+7+tz%pQ4grn?4g-z>mIAARwZK<^ZGV6s;C$e(z{}QAzQE^O4(tm& z?-ow(neLh5f5iCh5e%2Bo|U|*t^W&sa83>OV>WxGfu^ItySPuX~M zfX@;9RRSDDT+wu3CIL+Afp6!M?@a8cV{(^Hkbbodjv=4$Zw@%f&< z7C8Y2TnD|tLvgZB2aY2OaW(J)-b!oT*XL_}QzX(I_|DCd$WY*z(a0az^R`H2A+Xu) zk;q2iufRk5G2ik=XA$t>G4L7q=~(Cn{xL2R`4Tw!!AK4>} z|NI7BmtbH04qd?Yzzcv=iPNqCjv>Z;7Vzrr^eCn_ z^PPThw_{Hd3(Y9c(B|t1-Zc4O{*&^s!gu0}xNYQ{zg6oyoB3O1mNzeGmDTKDwa*OCJ8ox7qx6Bj1ja5B!sF%lNO# zlWz^*?(yW?#<#~j`Q$0%r#$(x_%@Sof?NIx?98|S@$E46#rPKF+Z!Hy{rNV}Q+7Dt z=6Lc|@NI!7-vqwR_2iS&-*0*H)$mQ~u=tV%O&{~EmT%V!eHXWCUEb`{R%r`*$sfa8 zAtcGOjXVdulsJ#H^U!8K-{s`7aJT1MN4{Ojw;Z4$)1Le6QG9Rnds4$K#_UnPXY=Be zmZ+S6Z;~bdrQ{p*^7hE@)R#>DvE)B)#`Z|JB=Ogf@8nmvM_MJBe;Giw?@j(s@e?FVe;N6|#t)Dz{nN=mmvw%!{9jG}SMdiVOMmNBpYL}32FcQ&PyR9Z z7m}raIQg$4D&f9F`f#&bf2zsQHg{!aLJlI4Ha9;{CZ zwN94*y~)26ztQc9+S}6I-ZJvH!@rbl{^{f&zC3yQSCjvP&y%OW^`6+v__va!C!hS6 z;0H@K|8VlZ_*L@sSChZ>s^sZkM*brFaLLl+V?LOKzb={lIjCAk^8fVB_6TMc3y@Z= z$H<(1XLEn6tn$>0TB=#SM=OSZ$=jd2hu{NjEN>=xtH>K9??l0iX;9v**~2nd$viX} zxR^A6`X}FJ@ng2<+Y-J_=Nlro^R4FF6P|Cs^6h@UF*K@wo%z4)&z1A7RKC!zaI+q* zGRH`}?o9Q!$|-MA(5hpz!L4%mK!eFZD}!Xsr(6x?einV_^Sx5;p5{GTWrb6Fw8|ON z!r!XnoqPCObuHhspw;l^ho`h`)s;`6Bnw#$O#ZzE*BEdO`L1bjEeBUi{H%kLqN`ab z0a>zq^kuiCkLpc>YdE+%8M?|+nvG9Dn9wyFTzlg?-c?*V;7Y?_`378J{x<9s z(^l=+>@q{GF;=l^q~6Zt?YVAy+yEza)PcA9}L+)6?+{{G5FL?&Ryyjdm%M}6E7*_-@A4?#GV*5Q z(P=DiS8z=w?^^P*BvoV0Ju=pWWvm$^W7M58)|Btj>`XOE*<%ZS9J1?_-Glv#ohh5$ z3ZL9gmc5j+1v^nz`q@OvUQXE;%=R`owlY?XP0-H-mkzGCnh00t41Ar@`Fr-YJKk3|K_*3^S5W>UD+~u{Qb#) zPzH~YC!*)^D1MRA81i@CAD=RRH#(P&O=*^BToqi)!L_i7aAog{@3BqO&@~)fYnlkx zY;ctvP#;;9B){f0&_B}lW8HKhe)S}A&2Ipg?ET8~=uJUaHMmYVsA=e053c#(dMTMU zGM1x`)`07b!B6z$&;@W@I>g6*o5cWH4U!C z;2MzCG;M5iAZy?wnue}R!Bx{lxTb<@#6Q>rOOp4mH^BRC;EI52_5au2nFq*K6b*dH zhAV^+?r=$vD2y$ppkjNznYB*Fr#GUU~ud8<6>v_YBuzxa2YFguZM-X?jym%f{@ zH8Gc+ho)W2;I-o}L({IO;q?P}UD(V!E*Q3;PIm=+9?5I@2fUUy!wcQHs17rcd&!MC zaMuC1*J`+D9@brlRnV?g@LB?|OPXxgNp+Y>yGCw9ObA{>(O<{HYopzUroXO**ED!t z(9An7c<=s_yrSjs`k?(KI(w_~re_t5aV7+#yV4h^q0 z@cKEthGMFN7AlU@OonicuAj(+>zMJzRl~K z{h;4zzvlH#7xXLk9~zmw6JFyE_~0@reK>q9dKO+o;b)lwua6u!Q28Ew8_pH%gp1x| zJzfE?GvGB8?Rptr&%{OR+W;k97c=j*TvZ0)^vAw36PLotqv;Po?jo!eZyI^U~Z_rdEw@EQs| zF?v_>1SbrQe0>gHKZDm$wCe_V?J{v_bk1AwI)8|Gwe3bc7+yo6zm~!)IcaG0*J^m3 z46mWsGwrfFxn1xY3b|hZui=LbjeOk&uQ~7<3jMXgIP#~5h}TqjWhM`e{<<1or@?C| z^w(?f`Z2tQVjPQmBoZx$esKLIcIVmfIsjfL4&%Z0(V;y-%j~KxF7zv*U;IgO=EJ_! zFny`TZ496l`WK-8#t{RRbiFvtc~SZ;_QZdG)IeooxIN*0{r%PUOosmi=x?1mPnL;KCFfuA(*`QfH^U2`^ZoC^>uGpB46mVR*SL=n>ptd#w@b#O4_<$P*WUHU z}F<)21>j&@}iuc}SA9D3i9H{JBZ@w;x z&ey5XPv{<~>{E~aOHul>p+C5HpmJnAefvnXUspmuqrds~ya4^@7B}CXEs~^-e{rC4 z^12L)tw&+`{#dmA6QIBDOwJ5S;$0m5vSE?+s1N!x&KszlQICH%%KuvEALDG^BlYO} zs`Mg9au)FmU*{~A^k<{^0zQa(F}iUSm-CDhFY)}2p|y6{Kdb(_!=Sxmd1G4935%fJ z<1)_kh38U;Z%d*&=7cyBH$Z>QH#pzM_r!!zqi0HTB%jgzcOSpq%x@0k*8J5ZMzcy%Jg|?aZ>w@+-*ED`V8IQ}L z{mC~6D(i>);*%G)Trhm;y5xAh;d;W2^?lGT|JFcd_b_c(mPS{{It%Z&pugZ+&X)3B z=0{wv>q*g6*WjGcUKGB9aw3l+gGVESgw_)i>fk?D2j5o*zqAhi+B*2V>foQKgMY0K zet0hay|%A|&(^^oRtG<;4*t|Scsa`)*U#UL!HcZir}&@BxS0M8jsLK3J83EM^IB;4 zxo)6xkAs32=vkyHV(Z{?J_BwqgGh&Z!OBQs6u;v{%>Cel1MP zl9Jd9+I_!0P+2In^j$djJxC895hRCGV~_&x&OcG+RLYzdUfV-s(=sQzHZFtymp3*? zKbdB%f_}_*2P%tY?l)TNru5d?E#c3m_(%qM;>|sWa8+%h!0u7%fCoPj_11HPlI z8*AaU{MLcWS@p)__f=gf@-eo=UW#+~tLo_1VYgM+q{E>7;>zZEp9=k>x1)#a@qV~E zZ*PG1wL1nXchIJ~^Ood>$N>eNw=Yn3&Yk~#+2H;6B`=<`6Pjq_VbH$wqxV;j+>g-z zWA?1|>IvmcuY~r(pEO6i2HJ1m)g0}}k29a{ZcHn3RD|}apK{McJvrL4IfAOQuxBo5ZcYD0~ z_V2PkcZod79TWBTA4{rZEpuin^jH3#J6!6?^5fNcy#(6Ur~iAo5ShA*vNyhuveL%4 zDZ9%v#1oom<1PnK&maH$HcCCyDZ9(FjpvlmE`@ggHH~TI-S33j&=>S7jr*Ly?yquN2`2Cj>o2atugHuw4n&?(!UN=2r~utY&&})(Ym z(WUY(a?j0PYmwu1craZZ(v8DH@BLk9?|6Nn^1}wS(vH>8w!Jw}xj(FP>*#c8#|8(n zKK+CHa2oIy+AOr~{~D+~de|w;EQXSfs=~3Ok4Ep>#&Gnz~Uj_Yx?>6_I zLcbRJ<0}J|?+oK1roUT0Rn_G}FZTicj{9}$$z{ua(e_V){y6U8k$Vzi`R`DrZ-Zos z&~s1E`<;I>9{0iHVR%H^SoIbB*hx6@0PR|6r*R+9`_&o2_)p+06+D`e+3Ca(M8q><0$U?hv zn@ZCDwr|W^#%dX~zuBQuxu;&AKINoY+Hn`Ovv#aBty^A${wDs!V6*+V{UOYm zotu-#$vy3Yu~((?2HyvD zRaI|1V|qi{JZ>_3RcQBa3DJhv6K_v(Ec6fWQ>iRozYhJvs?T2dp9lR`1@4m?UWYy( z)ysE6|55Ju+O=MLN>Tckq2Ky|O6A5T>Bk(3ERJu!J(HndF_C+n>ha$`+MY$wzs-GP z2iBwCAWDA&^y?qie0x?yzxfpIm#W9VEy{m`PjH6i=;r#TjUg&RKl0P>f87!ur^4ej zc)Z`WNaSV}JpKlcgV*E1*7LAjt{auJwa~9~Li2Jn_LJOO#vk*1rCvXJayA9}?{SY? zaosxYNk#iz?!&u-``y;oe2<$mCRbjQmoi@~?U_ zFs&*B((bdNfBefItlba7W8zodf4gODMjpXkn(!D|Z|(g@b+1%}_L8N|(awUl|AOXd zmq9!2!scl2f;RWn=4fArc1!LtZ06mzIFhq&P13eO`{YH<@$G{4hhJ-s_A+QM`FeA- z_d(l#adWh5p`CU~V_LC8x1U1)F6RzIzFW*@3}3V0CxXYk40JAc^&BkW=&?Lb;kWtx z_UpmlBrSA=-mC2zq-`7a%@Aoq_!gmk8`@R1 zwAc8wv!GqNqA_g}Udx~zdj;p*aIK-z=u8X z{(E2zet!8}tx((?^6}wI;)~=n(t*UHzF$w;z5ZU@e#k3e8k-?pRFB8+}h^87=G+%_iXK6CXN(78#<7%`ah!2M#rDx2;PtW z4NwF6yk-+eSgHOVAovp@0sVCSoj>v6%3H&g|4@N(IxarH>vgO54pSW58sD9K zj+*M=^kW=6?^p-V{H%j_f6l>Qo#5b`(;fWl33Xz&&r@+c3% z(Px8(H)wc+hOg!9F!J}Y7OQ;Qg04cmA(m+YSbQP>Qb;4|kT*FCTRH&2M(_)Y}}K{X+*I`H_R$|J1=J?s0JGy$+uE zGY8++-)~*zo2lu?s!C(Kv!E+yW@T?$E#@_&Z#66$%2M4!$#=%n_ckt*Z9GtR^ zYwt(5b?}7X&>wN2aqjsm4>`Is9(C}Gzj5%?#~fVwM+c{`aWM0wgB$(U!F9KDe14~J z^F7@2iwZY-&e65J;9y1Jz>DtrKQB4B;$;W-{fmQ}z3SkDdpdq|_i}LkRtKL_xc>8w zZu8e2+~f@hN4@Fb#&0?JqklL!>t7D;_qKy~?c?}$rX1WdjfxM;oza3ny z@ceh(^Va`y@MeYk4!GwXT3q{29`4|{bsc<4;g{EQ&trmvqQp8CetZLm|E1Rdi1ItF z;`k5Gc_a5cEC{f3ceBF8g|5Dx zzv|!}H#vBm_V0-M-SbZrzN&J#_;(J!$!Z5zDD3#Id)`p_bUomnchGs2ddfZDqj1{q z-Scw_KeDlF=dTWN@KZ-S_}H-yzM$j!xays2PH_1CuQ@*VPIu4eZ{pxn!NFh7Aa3oR z%QGDO@%9e>d8~um?CRiuW;*!Gtb=bA9o%_;2YU{5a7A#~&DAOYZmabeTp1kHCJ$4g z;SFA>_&2=Ys~n@>4pTWcxV1hTG`vB>8#H{BYxU{j4)ndjiS^Bw#~w}ao4Kd8s&_Afa2Opk-R_Byz~!f6WUDg2VcD-`}v;lm1F zRQMl-TlP7Adn=r%@OXv&3NKK2ox-~np3?8ik6h?rwP)P7`xXDN!o@+tJUNbw9DOl3 z;K_TQ;-1ggY*wdrKNe5qj)4@{{ zj_=XWy5}38cJQ)m9X$OT4qhB2=yET{D)(G?-oa~Lba3h>j?b=h9lSdDgL0hN+0Q*6 z6UZF+qmZu`#YHbh=a%NVH z!Qs2=@1Jn6Z4U<@3J&m*`xsol!QX&7+Tk|}68O2Z_|xwBkgS9MDmeJrvV)(P>ENbs zxpuy}j(h&?Ki%`4!`<@*Z@cFO>$&Gi+W#N@ox^YNkb~1+a`2vq9US?xgA?9yaL)}~ z`St$eo?jc`p6?!T&)*v9p8Fng&o4aXVCgXj?|sF=*B^Iq@v9E5{I-Mtv%HzrEDKZ!C9k#7YPE zxWmDF_4)HbLN$Nm{HqRsWpH6FcX?jqp2vON!8KZLr=PgzU4P`@?BEa{X9do2&wo`p zz3FcEync`vMBdV+TJD<;&ItaXA^YJz*PpqC4j#V9!H$z1{Nkw&UJ@Me=H9b8?s<=X z2gje};Aa*)_=Qs(JpYRhUURyGKht@hJFo>xYpF4?E92FFn`6U!CLNU(R;$ zJHY{N?rT5OJ->X0gWD>6a*2CB@HEHUo#IQ-@b^J7Q(Y>_bkbrip4BF=x>lGtj{-yEgin#Y~P zsNj1G-s84S8m=xe^`$60hQm@4ocnm&^`FEW}ZGXx(Jg)t>*1^lRc^$m+ONP7tF|l}1@mIGv z{Aix#BimP@pW@-goEQ995C6R4*A9#Fk?oz(U#)nnSGJLYZ}af7T@w77b)xN*?Nv~( z*Z$FpU#0Ca{@W;inb*#bDt?KF|Cr(zc=)X1r+awWHU#bW@RJmu^zcV2ezb=_R`CfB z->&$vD(5%wET2wb+_=j!CheI%BHC}Vj0ryB;l(Tv{2J}gG3z@17uVtcEu~+je5Bvy zb5k99F&~8glF`xjh&dzpu^#@{ieIylqc3V)>34PbzYbpJi>)`N7B@#73EtKtb@L>| zj1;`BSATNNPfSz1t!IW8vqR`@y=$XB`CO`aTMyNYN!+P;TQBc*l{`P=R?fUY+;5VcH&ChYEwsk=9wqH6)$0dWj2)+4ZmMi^S z#hYJ9=9PS|P`vrw)J>OoOz{@?Q1dyl9`i%^|3&q`x~~!&Dc<4~rauo*yxF(G9U|1* zt9bJ_1a}*Pzd`ZlH&u6G;u*!;zU+2sJfF?hllIhpG68;6!uH=|lw_{r&5!hb#b2R# z+h?rQ{&`IC<|hg6RHV%M>$`q1|J^X9|G469zjLSJyA^MK&F8h=>lAN(hpm+U4*_0@ zLO{+@N&lPwQS^>{cGw42}UW^tP`t_uW?%Z}G%kwa`6^ zH$Sd!0~2p4-u$)pUVDsi{B56T>&WqnH-ECd*F}mqKcLN{2NZAq1Czsd6mNdgTeO|U zjilaMJF_*wk4h|1JJYn#0g5+2#!BVWt9bLfYIq=VLx2zWr2GDJr8mF&8YOvK@#asw zTk)+JeA53Gx0Ll#J~I_>@mZ6f%M@>Zk6i=(#hF|1A9Y0||GuL17XRH=f8AkYskio% z4)CKA<{!{)cw&y?&98KuwsVEz%`fnvcIa;uZ+<4zlj~u~%KKXU)Y?;0yv2bHKQF-7 zw(~NjH$T{9ZO^Y2Z*hk;TC`;oSFib5?oj%I;?2K&i_&)~-uzT157#K({NmqJ`X?1{ z{sNmvBT&flz7_|z_u60a76(_iMWRRX7B{l?-=KJlL!cgm&ohcQKcTuK5}R%6>NUSu zaQ>MRlN4|MPSek)DBk=tcPRhc6mNbZ)6Xv|-trHwR{CvJ|Crww^%Z=MP`t%Yto>&x z{@1^7bfyRHRJ_IEg1>DG$=|?_7JJg$fBjqOExvP{W0%-xbLofL&*1@nRKon5!JS!< zomq$eI;FRGn5`p!P`u?OsCz0gY71%Sm>T`OEqIX!%X_eKKN9?=&`(i)ZvED-^cKHR z_i19e;w|2Jh0eQ&6mNM5J^JdE0AJe=+imIEV{y*lZ}vj=sQ_O~KS$}!Z?#ZKu28(? zQJ9=Rs(ABP+PoXSm8;j{QQucSr2t=B@8L>senwkI&ZtBG?K=3C%E#iY!QU05x|bDi z@dBF{J0NN@UKVeVeV}}fR=mZ5f;;xXpQm{93z{Cd+vr;z1CyUO0(`(S^3S?7KW}N;s*rk*Q-67Io}J%54qoK* zSWi9=S9**0u2w#0D&FGePb>a*#hZURxRZn5URAvL?Spgo;Kw3J(jN1J2lp$1pQd<= zH=e8fzpQx6C$M>RkK!%PZ~EkP)=!zI%X~XZ>CN9fQTut^cCI}Z=b;;e&+*{L>i00{ zQhJMvo4j4Ec#9ucKRl^;i%$l3Wy5g9_O4!wOODm~exTwl-fZhvpW-cUWBTnz#aleg z+W$;|54YTXAF+e0*ZlE!C`p^*EpGp=;uk93{N*P9H!0rYpSJJ#lj1GE%Iu#lKH}=N zI8SiT19cp#c#C^Y&~Z6k@fM$*!nn$3rQ$7~ZR_5_GP{hGdTT$22l!D5%gfVnTH;K_TONeX zyE_7WEuYm&Z*fg)&&ZwJ`&vGR^~3l8U(08f(py}AXYK#X6>oVkPixUf6>o92wK^{A zVyw!%w)~kjO24n-Ee`XB;=2`ZanY+4f34yz&&9^&DaBhHO~avyQ9HYOEk190dxGLE zUVD-9KN-B}z3HCbJ6Gu~pXl#Of4AZ-zvDv1zoB@G8`-?reHYgri_h7<^*F^_{?Z?n z&$0lo48qC%Z}Ijmb-cD?Lnr-j`4GXK|L~g<;6se{>*-2w@u|hi=ZA{7 zyik+RzbM|~qNd+=+|AW%`56}||EY?%xTxv*^A&ITOg6svDBj}1!Tmw-dMm)!wtu7D zUA>m?X?mtj@s@96`mpy}QJKXm_yr}e+|EuBD#I}37ez1JIds`g8BNT6Ob<+=LDc4sv%g6nU{#H@EoWc-_`d2Tk%Wpbq#-3+uypktJm_L zP5#>zZ}ENG-z^XDwf*otrGIm}`%Tjm5-%v;@~peGJ=?UpdMyvY-s=d(TO8c<;hBoJ zJR4i5ZdbhJPguXbs(8!WFg-AKAE~$YGa|^o8oUV{1k_<_kCXR zmQQ5u9FuhKYxzo>X+Inh;A`8nQ0Xo2?p!6gN%59nXY+lH;w|se^v{+lSFh#GtW-Xq zP`u^61oxEj+v$q8ycF~EtW><^yO`hLWyM?Gzs>6%(ym_1KQ%k>XvJHe;icN1^AvCS zQr2&G1$ZS2f#21^ua|N4S{{d{?IiY7yyerHfAR~8xBL>5!|N4qc~VDd-A^mt@;qPH zaojZP>b3k8<1Ur@Z|z1e!bO-|~q{d_FIk4jkn z=mknLUGbJD{qOlTwk^7PEf3WA92ww473$Y^rMG-A^Lt#Pc+0(7%FZ|7**Ri1BA{I0zYF-G}3r+CYQllX^xw%*s(Yx%@h zulx-Fu_rBmb4TTWhT<(B@~4hX;&#Pb-t}>ce@*e0uWk69KJM)B1!{+z{Ok!{>a}wK z<_DXuc*|F`@x4UxmKSL2#V-|ad2hB34k+I8ODAhPGyA!EEf4uN#m^1!`Va!=E4}5H zAE&?Fqj<~nU!(Y&rU?JqJRG^hUf$R8Qf<8U*x%tTkNXnkbAsZp>u>{txfp!<6>s@z zw*KCzc+0CZJ@$;^El*m_x5Q=#NWHb6e1IR7u>59CvrK$m@s_V>eyyt%Z~2=R*Lb21 z{}<}u-%&nx9_usOw0#bA{bT1Z-c|fe#amu1+lS!u4aM6z1Y2hxQM{dF+d=7D+8lp7 zr(*iEqsP4Y(2eJ@q_vEI*)#@c*~zQdv%lXu3kImXZrA9#oIZ73$@-w z0bUl}w%p}$?Hc+2Yz?quY*-z(no98G>k9pvWe5^uje7QD!<<)_+yaGK)n z{DkSHuLSr|1NG~bN^j>TmMi{o#asS;@HaO3ZNr15-rCRZ0e)1%@_>W;SRp%3@s>|& z^8Z!ETVAvEgWSO_?`3(@rZ@gCz}MEh+XP2%`O_~PZ;w_)l?26Wju3kIuveP=QzzoIzIpYw|E1%00Z~4!+wzwZ24)9780Ug<4Q*5s;R@pc}>^xJn7Z+Yaw-|B$Zvx>L#nrG6}@a$*}64&iTk+_rx?Lh*JU z#@3Ou6mNO`ny#LZ`;X(~`3a@BJZF=`4G(qoTHdhjLl02Aog;fp+rJj(V`JiLy-IKA z-=0=_xu00x%g!H}{rQaI?c9RJB{%l$%b517z zFM=PdZ3}~n(%ZRc8?VeK(O2YA_~)zJz{`8t`4C%Y`xI~IFoJuospv+*03zm8UV zJ8x-v@;t@cc>&{dx8m)by6KHK6mRE=to^$O_TH$3o$oNcbe!VtJfqFiuPXkbFAode z$mHra#oIYTn=daZ-p+^GxNQF^*AI4H#r)4x6mRG0Y@Pj*;-p(P}KH?h1+xZoncfVDb3J~yKDQ~0(_|9 z`t?MmxASh6pLUJn?L4XV^KTVz=a?*hy3sUOubp!Y{+2Fvv?<=s|JZueuXsDJwNl&j z9mU)EA@dhKt9UyPW%X`xjLf5IKL2p#vom4>eG~ zzNGYakA~%OZFj7z*Urb?uJls^d@Y~ZN^j?ytlutIyxp5&`JxXg-tMz7zi~zJf1Tm# zuy{?DjgpLuoyRq~>Qua)mk<8dGexgdyq(9l{mv7Lw{!fqE^PQ&$KTFX&)0rFQ1NyS z(&+mXZ|7^RpKnyW-49@TJ~6YWueYy%_UzV~iCG;z9dkN+`#O5cee=pQySnFh^d`#X zS>5G1UEMR8wRg^^jSI@@Tq&JQ zCG({ckMp~dt@%PG@4l4M#o$XJpUSx}CH!qdQ_N%v?n^e4)Gzi^wp0o*`C`fy&zF)~ zJehRG3#q)LDdbY=fVhw=W!#ryLBEu8S;sk@(JvXA(c95l%q2@SJVQm1;ZePp7l?>ZyDt>DtVzEBhvF*SLGQpJ3xkS-NzB>(2Kg;c3=p>!%$Dlo-M zMP4D9Owq+Lg{o>Icqd*xlgTCXS+qr|kSdgtsd6rvDP+N>6QW$~y}W|?bf!=&rtlquQv6 zHqv|9QYxk^yym6QYH1W29g)f9Q;ce=rg^=6v&voFb2?|Dlso(8mKSvO_m+D*=gjYz zW&KpuQBKm5!Y-LbTIi%yF`HymO8Hzil}Qy-gM|ilU{Huo%_Os_VlL0Cr%SnFGS|5M znM|^P`Yq(rIR>9GEEQ_nUo#O>xl$G>D^XpJfu!T&ddd^eELyn4ltIO(82W4}*|>EG zS1ylK)5J`c;!J~vb0<}Rbt)sWnJXpp8J5)8!5>@|T<6iMEN_)9mh#CWl7NVZyL?{9 zyqOCYx3wK|*r5jv2-nP;^D@eDa4OmtoCAOp>``KF#zf#5K<=lu9zSi^X)ISSXg5 z_$-DogXb4QF(#QrGMfNJlv5#Gs$8CW_`#;e51o2=xoR@;gBVOx+h=rjSU-C`mda!p zWK>o@jgF+3vvGp!^%yNg^AuUhF+wtoQJezuUIVq3lK-+yC>bTJhVWa&FBR!bPAY&l zTPP&y?n30%<5gW%+C`NxOXA+hYaKRFCdaCT{w^}R(uF2;ez3Y^i;N^)Sjb`VHL;HI z@+p*kDPO`6!}`fLp@z{82xcjh&$D1MElW(P>Uvo&cXiH~S)SS39~ifF#+iv+OfsR< zi~w^ojc$vX8Gf(iG9~5%YastI*vVX^TNd?pmirbj=qUGg^dWV#+xxrv$_ufQ2Zhb! zm&z4N*%C`W9gHOjj9VrBLMdJ!P0Ui1#$Zgd7{y7BC!%z2AzNgKa!feBWedqt?WFb# z4Qc{vqOF*xF@?MtgjrHz#gjFQsg34Om%=?;F3()lE+f<4)p>G9Rr94=b2e07D>BGb z0iy;ThHbzEO=m+bmrj>OkFC$#_TF;GLbfr+$Zr|%&N3|Hm&+t!Q;eGlUZFIdEz`Py zL4tT9*>Nh=E0n@8V_+C2q>L2?VF~v^{5(a3F+)muCN@HrVdcoj6!JPJozIn+0O=C@ z6ea`;IMg>;zG*SDd;Y?5|9od$I2&V8y+9*$|S!86xh|Wbm(WUI6!jn3_$4Y6W7<(bZ1V*tTvlOXZ7kX1zC{nWC&B zCDz=)Xu?qQ$1}VmcXmmd36M&sP@^~pFbRusg}fI^u^?h9WBg#(Wzh31S}}v7Y=7g^zQ$z+BiS!#@_$}1)7Fr7f>vC(JMOE)pS3#C#jgLOm?6xm3@F-8G< zRz7PK>KCg|EGjk<#Y_`cJ{pz$kG-+X~kHQ)r-P-b1DMjP!2|vmZ^9E#*R?c!{bzEXplL!D(sOlAZ3q~%f#tduf=Q_u;lq4hPNp4 zTzCUtmX~E9XU;vbJiEQKt7t6HNh!ojXCb92nCi*%VC%azPp#+&}nD7|!qB~jWYx-`1@AIPr3aAFw zD=`r93S=YZj&x;D|9mVhluUbHXZN7z%d0%_vtag>()_~~oDE(xm5W`E%EOU?`Gm4- z#*c-0flY^8CUfY6A|NuSg2D4;B(NJh=l6BYAzonuQ%5$~*ovJjzISvEOI}Hath%p@ zpPpGM=6F9@+i}mb8%C*C`#)B1=tfglmU4Gbx#J7{ z?OirHUaLfe*gKanDl=#UvCNy;o}~)%_IT3Rm6TXnM5V>_wO^NN`y|F|5hD#ROq>Wt z6$POT_E-4xu>r9!C7ux{K%P-V*bx7L=oZvr8dq1?h^wOwi6x;@*x(~`j4(4Hrgi=+ zMK&eJhMI!cL6_m}W8Fbo#dQ(Wdo_|excO$RuvlUzy;lf*#1P49MbEL*!iN=8 zlh=7TUw9Mt_((%3h>O)4U|u~bmNfJ}8(VhYY%pZ6)p+iOU3kS5G8m`EycrSQbTYgW z=~iyzav1&+?Avjy{py4<6j`o+Dl>vav6* zOr)7eVlfu+Db;kC-=tvEW^t@OirNM#36Eik5!^)n*=Qq1VQD*h z>V)#qQ^y}MVf;}O2F2CW?W{V4aZv8Kr`g$Hy*8mXf|x(X2vbeuRkpm1J4W0stkcYX zwn8i<#DHRkz#F&f&W-_O!6JIwc-TZ{u?!FsObbRL#-OX2*q!rh!p~k)h+|7w7d0b( z@e&#@t|`$GO0$U31Z-aBUm@6+g$F2JF=1BA!pU0%?iUnibQg0A=TP2-n?`QH;9W#T!;`^TuojfMjZXZH7z99gHMsvBLb9n2RJRB9XIy>rOhf|-OjA7ihfGDR{axFx<^GAhIK_H;s%aHQVe5FQt#Eoh2pFaCXhTd^=cGh z2J=$PU*a_)qM=TV49%=gUbD_y60$)~ShLwp@PBOY7^Ub*QV?CkSRz}DtRD$kgsZke z_ZAaLx0K}!zZd_qJ79KH?e0i>0}jdp-r~!cTigmPj zHIe8iE@)IGGK3cb|9nhMUZIFiXC;q!5qgEl^CJYo;37u^nAw2Fy^|-b*lT#ISfsJq ziLDcaXeg>EILTKO6PxTu@p?p)IcyxGYa0rLNh=wN;*f|>`SRLU-ArTr5`SWh8ad>h zT2wofsYgU1+}k285=7`Dz|`AG)`1sC@ERbNIx#$4A+kT`g^4NGHld~v76K7|b_4Vg zRt*!bwvab=Xc(4OUQ>)829N)T+pS&d6+#}x2|_(YHCb{<@{Ez;=yZ~u8oL#?r4l2d zEK{&Lo8s#UyprY>!vG*fBBmm*abhd5OJQ}Fz!K(mOu}Aus=91Q+xBX3BEB`eH6bUo zKej*mT$0^lT2rHW7)qA~B*{AjSmO+bnvt~OPl}ns+KKL|kw9-NTycmH!jkERqLZQ6l zk|aIhp9`%MbtXC1;b2CgBtOQweLP9QW|d@P*{n*c9O^Poj=e(S_XwhvMfP#%6OvbA zq`)r}-lejU!^7T0AtEUFaU?WK3W{XN#+0cmIg%yDI!9JWjO0W$WYoEdgB>-}OqZil zZCA=XL$$^gs?`GG-jyAiWISafJLt%oI{kSeaK3{XnKBQGV1P!3I`fJXH->n8@xQ(IjFTR)8X0 zL8gao5=}^Ix>NSTa=JoN>Wkt_ju~#R5HBEJV8Wadq$B8wo{cHxzbDaLSy04lMU;ej z$W}DwJ-z8lpOCHKqPTPp`FU~PE3Yo%%|t3mA{HYUdsY_UhE*YpM3~Sd_yt(Ad8&CS ze@+HbTwPC3?&#_6sOJ88x{5}yF_wcExEpXt;!Uev}pLiMcBo}Fwa|)6dDoFvXIgvFwqLTZ%7YuHZz4k`()rdef zlaLY#3E;)WJ5VEDwaJKR9XTI?!-K62shKFSklch4y5&$-ojD$)!Z@o;j%XC+@K8e= zBeMOF*c`(WQ_2&hf}Dqv9Ww3+La2D2zaUIkLQ;<^k8b@Jk1h@l`Z_|OQh$289~G)Wu5+LGmoT^LRZhApm; zH{Dr2@nfuOS8= z0h*XPz21)=!NR1B^}2e6syh{IF5=5{YRr&%g=CpQgSa$i)_~akn%DUZtK`R$ti^)L zj*VrliOv_KQDk;XH_qzmT!{HKyR!!!7;J6Hx#R1CZcaSL8|p}!WSio5 zMQkJpRfq`}Ebud+#3weG@J&t~ViYqb-dl$@LxUT+KdvsP@O;w2t zYZ-5-beqghobe^B5OoQ}Z!*Is7BNHR|@GSj^$q4rHxE zj;9AlW`g9wUJitm=Xc{sY44jkw{!j+6F5)*GV(-aB`_NV5NH@Wu92OAc`?LmEIv&7>Pc-q_5cA|#T@j~5ebhAn)&z2y}`tJ5-?QEF}*lVg$sCnA~ZB-7TVpp2IyDV*y1?t!S`_L@O@%h_SWew1A!x zkZm9KYK-3Y3PoL65~GPoSXr)Wu)D3|E^6@RpxAqOyxGJuMI=KEL#1l(afq_rPx~% zN5(fwpafqquJdLJG0kySk*3q=e?LTgUXyv!0IL?yi105LKStKYwO$GAcq4 zb_03iz}%HgWtJ>)bV)j4*#8@^;aK5FM!^V@+nHD_@luA{R!2<`ei=L#D{jqZPJTy@ zn3LARI@v@`Rfi5L2|2?@TWdi1QWUvLMlS$}DOD9oXKf4~!xKj-Dy9i`^6-D*mC6Mv1Q} z*s;3H(U?^z_~_*>@r5KakDVW#Op+$vSe(pp0R&IZqQ`d8cd;j{JvozLuv{fVCQJ|< zjz3T4$r=9GAXgldlSWhi$B+sSw18CL4=}4)*Qy zx(BZ&^WM((XDq5g7!>DH!x5v;nWSt7i8ErsA>+|%?FFOu7;{ec6M;f^rbC?0^qLom z-I45o5Y{%&D>%0$992rr^iW6St%gy@wn zURc-XFM0R4OoJ#Lds-IjCj9QvEd`hIHDjytnmm3;*(lOal1$G* zS==?aYGX8uH#~uVY%mi|5;U5zaKiaTa_}kE9`I@kr48fE#HH6v;z+e4(D+=DhSxPL za^*vhlr$|8YWjPt_x*VlSN&L$amFq+&W{r+6DV()tn!5$tRx<8OJ#^m%z;SKD~2sy z5pJH1lc!rE3m8M3jMFWCP2$-O_ITtL5yC^=#?=&^+mB>I6oiMUDb%{`*f zcD*CZvn&8{&JDj1*Sq5F;^ZJsYxFY)daaWvcJgUPMF8q%RM2)h7rzN+B=#{eS|&tw zpQHWkby*~I$pVh+CER5)!ZX@?JM=PNG{MYjYPQF!DneL@2z+c>xWDKSV3mu4aJX^! zQgW+m8tj!Il%tu$WEsf0=NQY}D?-P(6N~I;FH1aW~-xl%vHc zZ!$&Yq_o^85@!|qV!`g4-98RSHbMN4C{hy=7&%Fg?kBVrqsaWKSO$??vhO5>!D2`v zeoQHEU?V#l(t)rk!ZH%ye{!Y@^IWV@*>Q_p#?<7uPXaRBh9-7B_j}5fiV^jkXzg7* zudjUuu&>9#x%N%&j_6sCXeBDx(K`O1L-+1$pQBH6=J&VG=BwRh*NM5{b;|J&R| z>#W7|;pbpqkNbs?cCTEb5s>LancsRky4t0Hep}GhmuL-?U@Ko+=XCSfC)rM|a!ocw z-N8|f){eP)G4|Y9FtI0B)J-Z^!oE{O`@GJXv`J2Sv~qt>qLtgZ=gC!dL-5%S9&+Wl zUe}%|>KB9Cdv)mbIkLp9ZSIS~2X(-t{8s$umzTdMA$?-qv}hs^d<<^w9tZzb zc7xC7^DSI{nU*)$rhlKw3h3+c7Z}{WIgLR zSiQ#YTVDA-{d`FjT8^3yMHoDAMK(kLDD z>%YeS#g zll?o^z9?J^6kb+;oBn;C;-(HW#iO@&8~Pu7ktQY$q0q|j;o_A9qjM)B9Jlv>3jZW)k}tDo?Z7$LK>49Ur$K7yZ_pqu udQ8x5!H5;QZJ4n`(f@(JhQ*^#d;4^V%XUP^xNRqNipO?F=Xh-wbcqiXkO{c} diff --git a/xilinx/ALU/isim/alu_isim_beh.exe.sim/tmp_save/_1 b/xilinx/ALU/isim/alu_isim_beh.exe.sim/tmp_save/_1 deleted file mode 100644 index ec178c98d4df8ca32f2ba4fbceb0bef59d6fa02c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3530 zcmeHI%TB^T6lEv{-}(vNxXwhjfsnbN$^=!`ZpR83|kU%hEmB{^qhr0CwJ9g$)yh4OyP#rEJEH zwJ&|Cf|+gsZT1``eJ(02!s<9lXg zbzS?6i>ZiMN}?>v5P@^3QJ|Eyie9ZrMTbbGs#ld#({(N-0*B8SJOGw=osGo2IS@c_ zFp3lgz^ja;mWp8L>H~vZ`9U_2Y&x_P3V=IRfb=ix;gngLk6~k;;uEr@(NrXuC~5KI zN;Fi6ky(mCnQEsoZ1~4GlIKUNCfQ1K#lZ{U2?+MZ)es2Z95tGR$O0LjH^K`bJojVB zLxQOg!#m=25;KVXr0J2@H(*%4XALk*2F9k4CMH4?pPp~tS%K$xH!!FK-s2#BE4u1U zd?O-M@5BoCfUek@UV<|*3GZST+m8I(`@p&BMMksL+BZ(x?RMQbbX`LlLj&jE4uNil6U#U^aX6duV!p!Z+ -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/alu.vhd"; -extern char *IEEE_P_2592010699; -extern char *IEEE_P_3620187407; - -unsigned char ieee_p_3620187407_sub_1306455576380142462_3965413181(char *, char *, char *, char *, char *); -char *ieee_p_3620187407_sub_1496620905533613331_3965413181(char *, char *, char *, char *, char *, char *); -char *ieee_p_3620187407_sub_1496620905533649268_3965413181(char *, char *, char *, char *, char *, char *); -char *ieee_p_3620187407_sub_1496620905533721142_3965413181(char *, char *, char *, char *, char *, char *); - - -static void work_a_2725559894_3212880686_p_0(char *t0) -{ - char t5[16]; - char t7[16]; - char *t1; - char *t3; - char *t4; - char *t6; - char *t8; - char *t9; - int t10; - unsigned int t11; - unsigned char t12; - char *t13; - char *t14; - char *t15; - char *t16; - char *t17; - char *t18; - -LAB0: xsi_set_current_line(54, ng0); - -LAB3: t1 = (t0 + 11471); - t3 = (t0 + 1032U); - t4 = *((char **)t3); - t6 = ((IEEE_P_2592010699) + 4000); - t8 = (t7 + 0U); - t9 = (t8 + 0U); - *((int *)t9) = 0; - t9 = (t8 + 4U); - *((int *)t9) = 0; - t9 = (t8 + 8U); - *((int *)t9) = 1; - t10 = (0 - 0); - t11 = (t10 * 1); - t11 = (t11 + 1); - t9 = (t8 + 12U); - *((unsigned int *)t9) = t11; - t9 = (t0 + 11224U); - t3 = xsi_base_array_concat(t3, t5, t6, (char)97, t1, t7, (char)97, t4, t9, (char)101); - t11 = (1U + 8U); - t12 = (9U != t11); - if (t12 == 1) - goto LAB5; - -LAB6: t13 = (t0 + 7304); - t14 = (t13 + 56U); - t15 = *((char **)t14); - t16 = (t15 + 56U); - t17 = *((char **)t16); - memcpy(t17, t3, 9U); - xsi_driver_first_trans_fast(t13); - -LAB2: t18 = (t0 + 7064); - *((int *)t18) = 1; - -LAB1: return; -LAB4: goto LAB2; - -LAB5: xsi_size_not_matching(9U, t11, 0); - goto LAB6; - -} - -static void work_a_2725559894_3212880686_p_1(char *t0) -{ - char t5[16]; - char t7[16]; - char *t1; - char *t3; - char *t4; - char *t6; - char *t8; - char *t9; - int t10; - unsigned int t11; - unsigned char t12; - char *t13; - char *t14; - char *t15; - char *t16; - char *t17; - char *t18; - -LAB0: xsi_set_current_line(55, ng0); - -LAB3: t1 = (t0 + 11472); - t3 = (t0 + 1192U); - t4 = *((char **)t3); - t6 = ((IEEE_P_2592010699) + 4000); - t8 = (t7 + 0U); - t9 = (t8 + 0U); - *((int *)t9) = 0; - t9 = (t8 + 4U); - *((int *)t9) = 0; - t9 = (t8 + 8U); - *((int *)t9) = 1; - t10 = (0 - 0); - t11 = (t10 * 1); - t11 = (t11 + 1); - t9 = (t8 + 12U); - *((unsigned int *)t9) = t11; - t9 = (t0 + 11240U); - t3 = xsi_base_array_concat(t3, t5, t6, (char)97, t1, t7, (char)97, t4, t9, (char)101); - t11 = (1U + 8U); - t12 = (9U != t11); - if (t12 == 1) - goto LAB5; - -LAB6: t13 = (t0 + 7368); - t14 = (t13 + 56U); - t15 = *((char **)t14); - t16 = (t15 + 56U); - t17 = *((char **)t16); - memcpy(t17, t3, 9U); - xsi_driver_first_trans_fast(t13); - -LAB2: t18 = (t0 + 7080); - *((int *)t18) = 1; - -LAB1: return; -LAB4: goto LAB2; - -LAB5: xsi_size_not_matching(9U, t11, 0); - goto LAB6; - -} - -static void work_a_2725559894_3212880686_p_2(char *t0) -{ - char t1[16]; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - unsigned int t8; - unsigned int t9; - unsigned char t10; - char *t11; - char *t12; - char *t13; - char *t14; - char *t15; - char *t16; - -LAB0: xsi_set_current_line(56, ng0); - -LAB3: t2 = (t0 + 2312U); - t3 = *((char **)t2); - t2 = (t0 + 11288U); - t4 = (t0 + 2472U); - t5 = *((char **)t4); - t4 = (t0 + 11304U); - t6 = ieee_p_3620187407_sub_1496620905533649268_3965413181(IEEE_P_3620187407, t1, t3, t2, t5, t4); - t7 = (t1 + 12U); - t8 = *((unsigned int *)t7); - t9 = (1U * t8); - t10 = (9U != t9); - if (t10 == 1) - goto LAB5; - -LAB6: t11 = (t0 + 7432); - t12 = (t11 + 56U); - t13 = *((char **)t12); - t14 = (t13 + 56U); - t15 = *((char **)t14); - memcpy(t15, t6, 9U); - xsi_driver_first_trans_fast(t11); - -LAB2: t16 = (t0 + 7096); - *((int *)t16) = 1; - -LAB1: return; -LAB4: goto LAB2; - -LAB5: xsi_size_not_matching(9U, t9, 0); - goto LAB6; - -} - -static void work_a_2725559894_3212880686_p_3(char *t0) -{ - char t1[16]; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - unsigned int t8; - unsigned int t9; - unsigned char t10; - char *t11; - char *t12; - char *t13; - char *t14; - char *t15; - char *t16; - -LAB0: xsi_set_current_line(57, ng0); - -LAB3: t2 = (t0 + 2312U); - t3 = *((char **)t2); - t2 = (t0 + 11288U); - t4 = (t0 + 2472U); - t5 = *((char **)t4); - t4 = (t0 + 11304U); - t6 = ieee_p_3620187407_sub_1496620905533721142_3965413181(IEEE_P_3620187407, t1, t3, t2, t5, t4); - t7 = (t1 + 12U); - t8 = *((unsigned int *)t7); - t9 = (1U * t8); - t10 = (9U != t9); - if (t10 == 1) - goto LAB5; - -LAB6: t11 = (t0 + 7496); - t12 = (t11 + 56U); - t13 = *((char **)t12); - t14 = (t13 + 56U); - t15 = *((char **)t14); - memcpy(t15, t6, 9U); - xsi_driver_first_trans_fast(t11); - -LAB2: t16 = (t0 + 7112); - *((int *)t16) = 1; - -LAB1: return; -LAB4: goto LAB2; - -LAB5: xsi_size_not_matching(9U, t9, 0); - goto LAB6; - -} - -static void work_a_2725559894_3212880686_p_4(char *t0) -{ - char t1[16]; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - unsigned int t8; - unsigned int t9; - unsigned char t10; - char *t11; - char *t12; - char *t13; - char *t14; - char *t15; - char *t16; - -LAB0: xsi_set_current_line(58, ng0); - -LAB3: t2 = (t0 + 1032U); - t3 = *((char **)t2); - t2 = (t0 + 11224U); - t4 = (t0 + 1192U); - t5 = *((char **)t4); - t4 = (t0 + 11240U); - t6 = ieee_p_3620187407_sub_1496620905533613331_3965413181(IEEE_P_3620187407, t1, t3, t2, t5, t4); - t7 = (t1 + 12U); - t8 = *((unsigned int *)t7); - t9 = (1U * t8); - t10 = (16U != t9); - if (t10 == 1) - goto LAB5; - -LAB6: t11 = (t0 + 7560); - t12 = (t11 + 56U); - t13 = *((char **)t12); - t14 = (t13 + 56U); - t15 = *((char **)t14); - memcpy(t15, t6, 16U); - xsi_driver_first_trans_fast(t11); - -LAB2: t16 = (t0 + 7128); - *((int *)t16) = 1; - -LAB1: return; -LAB4: goto LAB2; - -LAB5: xsi_size_not_matching(16U, t9, 0); - goto LAB6; - -} - -static void work_a_2725559894_3212880686_p_5(char *t0) -{ - char t5[16]; - char t23[16]; - char t41[16]; - char *t1; - char *t2; - char *t3; - char *t6; - char *t7; - int t8; - unsigned int t9; - unsigned char t10; - char *t11; - unsigned int t12; - unsigned int t13; - char *t14; - char *t15; - char *t16; - char *t17; - char *t18; - char *t19; - char *t20; - char *t21; - char *t24; - char *t25; - int t26; - unsigned int t27; - unsigned char t28; - char *t29; - unsigned int t30; - unsigned int t31; - char *t32; - char *t33; - char *t34; - char *t35; - char *t36; - char *t37; - char *t38; - char *t39; - char *t42; - char *t43; - int t44; - unsigned int t45; - unsigned char t46; - char *t47; - unsigned int t48; - unsigned int t49; - char *t50; - char *t51; - char *t52; - char *t53; - char *t54; - char *t55; - char *t56; - char *t57; - char *t58; - char *t59; - char *t60; - char *t61; - char *t62; - -LAB0: xsi_set_current_line(60, ng0); - t1 = (t0 + 1352U); - t2 = *((char **)t1); - t1 = (t0 + 11256U); - t3 = (t0 + 11473); - t6 = (t5 + 0U); - t7 = (t6 + 0U); - *((int *)t7) = 0; - t7 = (t6 + 4U); - *((int *)t7) = 1; - t7 = (t6 + 8U); - *((int *)t7) = 1; - t8 = (1 - 0); - t9 = (t8 * 1); - t9 = (t9 + 1); - t7 = (t6 + 12U); - *((unsigned int *)t7) = t9; - t10 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t2, t1, t3, t5); - if (t10 != 0) - goto LAB3; - -LAB4: t19 = (t0 + 1352U); - t20 = *((char **)t19); - t19 = (t0 + 11256U); - t21 = (t0 + 11475); - t24 = (t23 + 0U); - t25 = (t24 + 0U); - *((int *)t25) = 0; - t25 = (t24 + 4U); - *((int *)t25) = 1; - t25 = (t24 + 8U); - *((int *)t25) = 1; - t26 = (1 - 0); - t27 = (t26 * 1); - t27 = (t27 + 1); - t25 = (t24 + 12U); - *((unsigned int *)t25) = t27; - t28 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t20, t19, t21, t23); - if (t28 != 0) - goto LAB5; - -LAB6: t37 = (t0 + 1352U); - t38 = *((char **)t37); - t37 = (t0 + 11256U); - t39 = (t0 + 11477); - t42 = (t41 + 0U); - t43 = (t42 + 0U); - *((int *)t43) = 0; - t43 = (t42 + 4U); - *((int *)t43) = 1; - t43 = (t42 + 8U); - *((int *)t43) = 1; - t44 = (1 - 0); - t45 = (t44 * 1); - t45 = (t45 + 1); - t43 = (t42 + 12U); - *((unsigned int *)t43) = t45; - t46 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t38, t37, t39, t41); - if (t46 != 0) - goto LAB7; - -LAB8: -LAB9: t55 = xsi_get_transient_memory(8U); - memset(t55, 0, 8U); - t56 = t55; - memset(t56, (unsigned char)2, 8U); - t57 = (t0 + 7624); - t58 = (t57 + 56U); - t59 = *((char **)t58); - t60 = (t59 + 56U); - t61 = *((char **)t60); - memcpy(t61, t55, 8U); - xsi_driver_first_trans_fast(t57); - -LAB2: t62 = (t0 + 7144); - *((int *)t62) = 1; - -LAB1: return; -LAB3: t7 = (t0 + 2632U); - t11 = *((char **)t7); - t9 = (8 - 7); - t12 = (t9 * 1U); - t13 = (0 + t12); - t7 = (t11 + t13); - t14 = (t0 + 7624); - t15 = (t14 + 56U); - t16 = *((char **)t15); - t17 = (t16 + 56U); - t18 = *((char **)t17); - memcpy(t18, t7, 8U); - xsi_driver_first_trans_fast(t14); - goto LAB2; - -LAB5: t25 = (t0 + 2792U); - t29 = *((char **)t25); - t27 = (8 - 7); - t30 = (t27 * 1U); - t31 = (0 + t30); - t25 = (t29 + t31); - t32 = (t0 + 7624); - t33 = (t32 + 56U); - t34 = *((char **)t33); - t35 = (t34 + 56U); - t36 = *((char **)t35); - memcpy(t36, t25, 8U); - xsi_driver_first_trans_fast(t32); - goto LAB2; - -LAB7: t43 = (t0 + 2952U); - t47 = *((char **)t43); - t45 = (15 - 7); - t48 = (t45 * 1U); - t49 = (0 + t48); - t43 = (t47 + t49); - t50 = (t0 + 7624); - t51 = (t50 + 56U); - t52 = *((char **)t51); - t53 = (t52 + 56U); - t54 = *((char **)t53); - memcpy(t54, t43, 8U); - xsi_driver_first_trans_fast(t50); - goto LAB2; - -LAB10: goto LAB2; - -} - -static void work_a_2725559894_3212880686_p_6(char *t0) -{ - char t7[16]; - char t13[16]; - char t21[16]; - unsigned char t1; - char *t2; - char *t3; - unsigned int t4; - unsigned int t5; - unsigned int t6; - char *t8; - char *t9; - int t10; - unsigned int t11; - char *t14; - char *t15; - int t16; - unsigned char t17; - char *t18; - char *t19; - char *t22; - char *t23; - int t24; - unsigned char t25; - char *t26; - char *t27; - char *t28; - char *t29; - char *t30; - char *t31; - char *t32; - char *t33; - char *t34; - char *t35; - -LAB0: xsi_set_current_line(64, ng0); - t2 = (t0 + 2952U); - t3 = *((char **)t2); - t4 = (15 - 15); - t5 = (t4 * 1U); - t6 = (0 + t5); - t2 = (t3 + t6); - t8 = (t7 + 0U); - t9 = (t8 + 0U); - *((int *)t9) = 15; - t9 = (t8 + 4U); - *((int *)t9) = 8; - t9 = (t8 + 8U); - *((int *)t9) = -1; - t10 = (8 - 15); - t11 = (t10 * -1); - t11 = (t11 + 1); - t9 = (t8 + 12U); - *((unsigned int *)t9) = t11; - t9 = (t0 + 11479); - t14 = (t13 + 0U); - t15 = (t14 + 0U); - *((int *)t15) = 0; - t15 = (t14 + 4U); - *((int *)t15) = 7; - t15 = (t14 + 8U); - *((int *)t15) = 1; - t16 = (7 - 0); - t11 = (t16 * 1); - t11 = (t11 + 1); - t15 = (t14 + 12U); - *((unsigned int *)t15) = t11; - t17 = ieee_p_3620187407_sub_1306455576380142462_3965413181(IEEE_P_3620187407, t2, t7, t9, t13); - if (t17 == 1) - goto LAB5; - -LAB6: t1 = (unsigned char)0; - -LAB7: if (t1 != 0) - goto LAB3; - -LAB4: -LAB8: t30 = (t0 + 7688); - t31 = (t30 + 56U); - t32 = *((char **)t31); - t33 = (t32 + 56U); - t34 = *((char **)t33); - *((unsigned char *)t34) = (unsigned char)2; - xsi_driver_first_trans_fast_port(t30); - -LAB2: t35 = (t0 + 7160); - *((int *)t35) = 1; - -LAB1: return; -LAB3: t23 = (t0 + 7688); - t26 = (t23 + 56U); - t27 = *((char **)t26); - t28 = (t27 + 56U); - t29 = *((char **)t28); - *((unsigned char *)t29) = (unsigned char)3; - xsi_driver_first_trans_fast_port(t23); - goto LAB2; - -LAB5: t15 = (t0 + 1352U); - t18 = *((char **)t15); - t15 = (t0 + 11256U); - t19 = (t0 + 11487); - t22 = (t21 + 0U); - t23 = (t22 + 0U); - *((int *)t23) = 0; - t23 = (t22 + 4U); - *((int *)t23) = 2; - t23 = (t22 + 8U); - *((int *)t23) = 1; - t24 = (2 - 0); - t11 = (t24 * 1); - t11 = (t11 + 1); - t23 = (t22 + 12U); - *((unsigned int *)t23) = t11; - t25 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t18, t15, t19, t21); - t1 = t25; - goto LAB7; - -LAB9: goto LAB2; - -} - -static void work_a_2725559894_3212880686_p_7(char *t0) -{ - char t14[16]; - unsigned char t1; - char *t2; - char *t3; - int t4; - unsigned int t5; - unsigned int t6; - unsigned int t7; - unsigned char t8; - unsigned char t9; - char *t10; - char *t11; - char *t12; - char *t15; - char *t16; - int t17; - unsigned int t18; - unsigned char t19; - char *t20; - char *t21; - char *t22; - char *t23; - char *t24; - char *t25; - char *t26; - char *t27; - char *t28; - char *t29; - -LAB0: xsi_set_current_line(66, ng0); - t2 = (t0 + 2632U); - t3 = *((char **)t2); - t4 = (8 - 8); - t5 = (t4 * -1); - t6 = (1U * t5); - t7 = (0 + t6); - t2 = (t3 + t7); - t8 = *((unsigned char *)t2); - t9 = (t8 == (unsigned char)3); - if (t9 == 1) - goto LAB5; - -LAB6: t1 = (unsigned char)0; - -LAB7: if (t1 != 0) - goto LAB3; - -LAB4: -LAB8: t24 = (t0 + 7752); - t25 = (t24 + 56U); - t26 = *((char **)t25); - t27 = (t26 + 56U); - t28 = *((char **)t27); - *((unsigned char *)t28) = (unsigned char)2; - xsi_driver_first_trans_fast_port(t24); - -LAB2: t29 = (t0 + 7176); - *((int *)t29) = 1; - -LAB1: return; -LAB3: t16 = (t0 + 7752); - t20 = (t16 + 56U); - t21 = *((char **)t20); - t22 = (t21 + 56U); - t23 = *((char **)t22); - *((unsigned char *)t23) = (unsigned char)3; - xsi_driver_first_trans_fast_port(t16); - goto LAB2; - -LAB5: t10 = (t0 + 1352U); - t11 = *((char **)t10); - t10 = (t0 + 11256U); - t12 = (t0 + 11490); - t15 = (t14 + 0U); - t16 = (t15 + 0U); - *((int *)t16) = 0; - t16 = (t15 + 4U); - *((int *)t16) = 1; - t16 = (t15 + 8U); - *((int *)t16) = 1; - t17 = (1 - 0); - t18 = (t17 * 1); - t18 = (t18 + 1); - t16 = (t15 + 12U); - *((unsigned int *)t16) = t18; - t19 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t11, t10, t12, t14); - t1 = t19; - goto LAB7; - -LAB9: goto LAB2; - -} - -static void work_a_2725559894_3212880686_p_8(char *t0) -{ - char t14[16]; - unsigned char t1; - char *t2; - char *t3; - int t4; - unsigned int t5; - unsigned int t6; - unsigned int t7; - unsigned char t8; - unsigned char t9; - char *t10; - char *t11; - char *t12; - char *t15; - char *t16; - int t17; - unsigned int t18; - unsigned char t19; - char *t20; - char *t21; - char *t22; - char *t23; - char *t24; - char *t25; - char *t26; - char *t27; - char *t28; - char *t29; - -LAB0: xsi_set_current_line(68, ng0); - t2 = (t0 + 2792U); - t3 = *((char **)t2); - t4 = (8 - 8); - t5 = (t4 * -1); - t6 = (1U * t5); - t7 = (0 + t6); - t2 = (t3 + t7); - t8 = *((unsigned char *)t2); - t9 = (t8 == (unsigned char)3); - if (t9 == 1) - goto LAB5; - -LAB6: t1 = (unsigned char)0; - -LAB7: if (t1 != 0) - goto LAB3; - -LAB4: -LAB8: t24 = (t0 + 7816); - t25 = (t24 + 56U); - t26 = *((char **)t25); - t27 = (t26 + 56U); - t28 = *((char **)t27); - *((unsigned char *)t28) = (unsigned char)2; - xsi_driver_first_trans_fast_port(t24); - -LAB2: t29 = (t0 + 7192); - *((int *)t29) = 1; - -LAB1: return; -LAB3: t16 = (t0 + 7816); - t20 = (t16 + 56U); - t21 = *((char **)t20); - t22 = (t21 + 56U); - t23 = *((char **)t22); - *((unsigned char *)t23) = (unsigned char)3; - xsi_driver_first_trans_fast_port(t16); - goto LAB2; - -LAB5: t10 = (t0 + 1352U); - t11 = *((char **)t10); - t10 = (t0 + 11256U); - t12 = (t0 + 11492); - t15 = (t14 + 0U); - t16 = (t15 + 0U); - *((int *)t16) = 0; - t16 = (t15 + 4U); - *((int *)t16) = 1; - t16 = (t15 + 8U); - *((int *)t16) = 1; - t17 = (1 - 0); - t18 = (t17 * 1); - t18 = (t18 + 1); - t16 = (t15 + 12U); - *((unsigned int *)t16) = t18; - t19 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t11, t10, t12, t14); - t1 = t19; - goto LAB7; - -LAB9: goto LAB2; - -} - -static void work_a_2725559894_3212880686_p_9(char *t0) -{ - char t5[16]; - char *t1; - char *t2; - char *t3; - char *t6; - char *t7; - int t8; - unsigned int t9; - unsigned char t10; - char *t11; - char *t12; - char *t13; - char *t14; - char *t15; - char *t16; - char *t17; - char *t18; - char *t19; - char *t20; - -LAB0: xsi_set_current_line(70, ng0); - t1 = (t0 + 3112U); - t2 = *((char **)t1); - t1 = (t0 + 11368U); - t3 = (t0 + 11494); - t6 = (t5 + 0U); - t7 = (t6 + 0U); - *((int *)t7) = 0; - t7 = (t6 + 4U); - *((int *)t7) = 7; - t7 = (t6 + 8U); - *((int *)t7) = 1; - t8 = (7 - 0); - t9 = (t8 * 1); - t9 = (t9 + 1); - t7 = (t6 + 12U); - *((unsigned int *)t7) = t9; - t10 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t2, t1, t3, t5); - if (t10 != 0) - goto LAB3; - -LAB4: -LAB5: t15 = (t0 + 7880); - t16 = (t15 + 56U); - t17 = *((char **)t16); - t18 = (t17 + 56U); - t19 = *((char **)t18); - *((unsigned char *)t19) = (unsigned char)2; - xsi_driver_first_trans_fast_port(t15); - -LAB2: t20 = (t0 + 7208); - *((int *)t20) = 1; - -LAB1: return; -LAB3: t7 = (t0 + 7880); - t11 = (t7 + 56U); - t12 = *((char **)t11); - t13 = (t12 + 56U); - t14 = *((char **)t13); - *((unsigned char *)t14) = (unsigned char)3; - xsi_driver_first_trans_fast_port(t7); - goto LAB2; - -LAB6: goto LAB2; - -} - -static void work_a_2725559894_3212880686_p_10(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - -LAB0: xsi_set_current_line(72, ng0); - -LAB3: t1 = (t0 + 3112U); - t2 = *((char **)t1); - t1 = (t0 + 7944); - t3 = (t1 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - memcpy(t6, t2, 8U); - xsi_driver_first_trans_fast_port(t1); - -LAB2: t7 = (t0 + 7224); - *((int *)t7) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - - -extern void work_a_2725559894_3212880686_init() -{ - static char *pe[] = {(void *)work_a_2725559894_3212880686_p_0,(void *)work_a_2725559894_3212880686_p_1,(void *)work_a_2725559894_3212880686_p_2,(void *)work_a_2725559894_3212880686_p_3,(void *)work_a_2725559894_3212880686_p_4,(void *)work_a_2725559894_3212880686_p_5,(void *)work_a_2725559894_3212880686_p_6,(void *)work_a_2725559894_3212880686_p_7,(void *)work_a_2725559894_3212880686_p_8,(void *)work_a_2725559894_3212880686_p_9,(void *)work_a_2725559894_3212880686_p_10}; - xsi_register_didat("work_a_2725559894_3212880686", "isim/alu_isim_beh.exe.sim/work/a_2725559894_3212880686.didat"); - xsi_register_executes(pe); -} diff --git a/xilinx/ALU/isim/alu_isim_beh.exe.sim/work/a_2725559894_3212880686.didat b/xilinx/ALU/isim/alu_isim_beh.exe.sim/work/a_2725559894_3212880686.didat deleted file mode 100644 index 3c04a39c69d7f1bf7b25231695d9ce8eca59701d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7412 zcmeHLO^6&t6fS4|i5iU>lYs6a{=`Jknb}Tv&&;yOxGXV;JqY4KbnWzJT6T1HCrr=8 zRZxS7Z` zW7pRie-H2o@Coo4Ao2nVPf5kFX8$Vywu1T&;M`V$TY=wz+khKEI8GN2YNhZpX^HE2 zm&B0LY`+FPs_mTTyBR#RlOvC(j=0A(5A$>(gNJsGjyg*?o-=qnt{a)>edwJ1D|Ik> zWe;K z`C;Djg#K5-^Q3mV6Z)MEp3M!!yy4hVY|j$%)DZWyZp4wUE@bd9Z#Y(SdCnlNta*5j z?7<}Bd2Nn|dE=Vqjps-3R5TCIu$MA;m^b#7$Fmo4Rn5b*=kp96#@B$&H%&w5LjS49CU)u~f~^$sybm7cV+xwFVy7-5 zSh^@~74dbHKh!*8r!FFxM#Qrp8?S-#&zeW<)I|hg5zh(mOrrb{H0LFD>LSAZ&$us9 zn1XI;9%pdBjd#MC6__jtLw`z^|G|?9?~q#>@cMpCWg^xF+BJt#;X4 zX@A)(Y5R8lw>us=o6`Nto+DrKy&B~&_wJ(p{5Da@&EF9sVrLz%!G?_LSH`*Fs0*X~ zWt>I*`R${m^E1jGd&lPjb3yPsLU2sfJb$S(wS@%yyi4_#Db z-^du62qY+n6ZcNpckGwGO%13aKgl!akmo1)m2XxP{sbl2udK6tlbUEJC`mubGxLBlh$O1dm-D{CifBe|E{O70{#vWKKk@}(hdH}(G`1M+@yzw(aF`!VmfyqEG$$@^dv zm$p=|L~>2bEL3?(0F{3*QR+!QyZ& zs4TZT?WN_)v$HQ&{LY|!dchP6*wlQm;Gb@Hdwxf?gCOuud6v_#suNYW(Gbf~yV0<1 zJ85y@Qm@(7n)pmuw&l2%Wj72>(Kp01Z;0n)zt{1YF*7T;WQeD0i05rXJSPqDgob#0 zQ~Pn=6p`OD_5OwfQ=5Bn&@q(naK3G7=_Vc9(4ZzA#~71qjHzafscwqNtr}x8wsx+u zjJwA2R5O;R+SdOB%AJ(IuXwLa*Ljbmu$}kQbi3S*+0OgucI$G7W<38Nn&yY;zmQU? zG&aURk>Of9Y%lWu%ArgUdIVp=t(ID!@7Gv_? z##9WElLkC4W63BLldCXGCXCY2<|SBMW=u91Q!n}emz>#RVx^E>Z9lceDE>JBh6aq% zg4NAvV6v;JF+8ITZzvl+j@D)uG+{ThT}=xX+PM!k!}}5+jQ|2$n7{65>$8n@2q}pa4T=>zOF)X{@d%r}P{*z&#cdiiHysj~$aY?U-EqWc8TT zA0EGP<3@!J^v==ob`GlN28HZ=; z4;SnSQkH`8bELezS51&!Tmr^T0=N#kq$MEb8B;&TX<2&?p97J&peL`)m3QQ~=!oO5 z6LRv?2{|o?SHYAW@2bYmR2U1u8wRb3j5)z0W3Q31Ky_uup!G={YTM}-Jd|)xgpG)X z*4`n{ewb)iSM$2kQCvz!X>*-Mn=M|hJ_CAT1{mAFBsVP8L+L2)I904%JyF>iPHUj~ zw9c-6OL`y&xLF@ywqvh#e4Q%24kHVn0p9}1CNHI?N|ymhm5S2{RPM~it z6RN@c(w}tG?!wCL74TKZeN`@3hSV(s_!=VMH+M@dB zlfH>gAAV(F#LmJuO7dol)6C=M@{W5uFhko=DGa&q689y{p~B%NJx?>LKyCo- zL7KrwCHMO}H#JXg2uzI=_dMAxdb<2c&ye3R{5>81ZJqv|`~At?j*9}@Jo{g^!7)5f zZO|9d6Dh2T4U9CNH!@ z{?Bf=-)HPh-~kTt{df?EG$WrvbQ9i2VLwm!AmMl87Z}oc`LrKiLB<*OHQ?6?G7k7Q zR_A3ngJD$QOjad%#o{^WH^9EAGA`dH+|XKlSK@PZBF!tvDc0sG;L9&6!?5^M7yM-x z{E7>H-37;6Nwt1sF8FR2yy${|&jtU33x34~Uj<93n$E2*_@E1Z5b$L%Q~pUqAlE&_ z`6(CvPh4=}@>vV^-}I|z?lr@qT8#EughVYuTP;Go79m!P&{~TStwo5`B7|!ZLSnBK zjKx~pMKHBKgpV*jBEsC5+SJ*RGB<75u%&yexwWG+)oqILT*l17@u$C#&03>*6OKEU z1P8L2eOA^S%w%(UGoMY5=FGt~6!(s0^Wwqo?ryWkjK(9OaH6d>)Fv{PWtqABfH^$2 zE7NZlMst~6qt<|FJyJ*yW5quC5GG{l{yk>@&>pat8MYhlWv0wrq0bCQL-AHPL$$@D ziBPyT(i)GL(e`+(H5?5m!cyQaP%U+3Q1^&6GL}7XGv#hQwYJB>jrLG17LCTk(P%WR z_ms;#YMG;B&`CPqKa?5WH6K%Lkud1yreuibdRozcYkMS~;EgEKkytx~IuvhjmoE0D zbC#LTX441E{;|>i8pldAGn&cEc3Epz29CK|(>{yh;5!hUVfzbtD<_;U18h5D1^ivd zACMp|g)%1m?BTap;qvHBIG+C$z7xurbUV9kc#fTE!taM1Zaz*LD7o@wv`fhI2dT7|wORLpWx9{``yac|5-W-xEx_o*jgvUjg`2 z^9sLLnDp^|!^O^9jL-dAhz6?IS;}zkSD0|!uTL>P_v;|xx?hJGpX+(qg@4Y4zk<%0 zy8U|z$7bAqh~XcBIu*|^GWwJ}PUFRE&&vkm~$gS&KOgQ?rn)SPm;aul1!@15J!@16* zgzGw=WPGmk6ydtgUo-y4n9l1A=Q`KWJq7*ZI-?BdIyV!p>wK8;xz0xjN1Y#Mdd@I@ zGsFMFaISMXoo`Vm*ApRJ*RziCxt@mz*Y!NZ_*~B`4Ci|O&Ty{hJ;G7X-OR7s7QqW7 z#POc4*6$+1(J$1b@TH8uoZ%}NAAYNI_)wGyKR>c>(m~;b8-k6id#Sp6C|uoRQ5zK>lZaCL7_YPh=R8yenC?>!n$uOdJNi~;_sw2lf2 zS9W%4xa#+?hAW-Z8m|2MjfSf@T+?tBH~9}Bs8f1WoO>{k3|HfFP{Y+YKBwX8_k}k# zT-^^vFn3@ipYDS^pSANLRmxh!>0sU(&x;`Z+L;!?zFbZOvt#&z^l&gy`3koa*+vqe z6dWGwPY;V=|JcX~9PC994&GL9qS*iSk$ZhM&a5^I*47EkUyXcagFxP4*-m9)p}Cv1ov3P>6ii~JDFO)3fKFG-`Azh zTWueP_GmMrI-qFajpJoWbf0aQ|yrT_o{ diff --git a/xilinx/ALU/isim/alu_isim_beh.exe.sim/work/alu_isim_beh.exe_main.lin64.o b/xilinx/ALU/isim/alu_isim_beh.exe.sim/work/alu_isim_beh.exe_main.lin64.o deleted file mode 100644 index 2c9364c464de4212f42462bf6d82f33039e131ff..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3448 zcmbuBO=u)V6vu0l)s5@!m|b;^t{>wn5X9WG(2f*T4F| zSJhS3uln_BeRd>~5L^=CjOafx6{2{gZ{z>`_(rTmL`p{?`2=>|yuIu?sJL@k-m> zkfziNdM=kM6-$Q9>KVOQOy`SvVOy3Zx1^pc>FG>5Un&XDUz471I%}r8#?9G0v=$3S zy3iv=sbm-iI#7s=Zs@tZt{WvG=BB5gNlmUaI*#8-6||g|p2~KDr_4^KsHKg^Ln_2w zI4e*RuP%zj_ITpd(Zgf!z(PI>?Eaudh+nX-B*)(zsU#Qv_XipPj1Ju?$VZ3CfgXzCx5V(nF??{i_Xf(rI1dtkAQI^j;!j8TB=Kh>e2Vyd zgzLmFMmRo>idzS00munu-hwp&hW(8XsD)UJ;SJ!&#UK0Z!}Ugt{k0#X!Yqd-ZFU8@s`D#fw!DMk&tus^Jgn{d46_wsk$teD`)FfDYiXZ z+K%nZHOsS`4n1FL2Zn1kZO^w{P((?~cI1|8t;!BKb8_kDrywTdY%dEP#JzNN0QWOg zi2p4yh6;R#-cbGamKO}ZY5CG}nzjRzWVPDvWpS=rt;z*8iyrU{G9>2J&34mXm6=T5 z5KGH5a%s6TKT}zpVSgpfQpElXvZntM;Y4;E39HuGG=0162sqiof*I>LTz|t+hUHG; zPe6S_;Sg96{CS1r7&y+d!Z9~8zd(EhYW#+n zUnGv>zfa*!;;6q(;hV%!e@fvVahxAKcR2nIanxfjX8s0ojE}z<%-lsC1HKqD{C@z0 z3it0apql&Vx(pocG_`Z}0SRy)sfGQxJ_n6#tGc~maESILVh8pfu&>F#47OT${+Yc1 z#<2Cfj!6azuM@5x`m#TB>?YbbATdU;Mhk6Kn&bL{3QS97+dX$sw>v?6Z**=&<-H@jhvHZ35| zFb)ik1CEm^GdiQTYE{sxMZx>1f(M5vD2f6C3Wx_(l*6NbzW;syU-3((k&nmLwEyg@v zY0R|K0bluP#K9OsO`2$G7Qwl;b~*Mo;wn|Z8|9D=6W|Q2^7r7=ML((T_}3frHd{9x z->qvSe-?Ge!RnH_DEWD651UKs2FX|0x}lP~tH@VUcLH2fQa8YZPNbY-lfifUvWW)% zc#b(`m5OYBbM59Q8klGY`!&tI;-_EKV4W_Mygcven4f7=t(+Xd>iQ{wA0# zPB)qct9kPjnqD-G)M?(PPodd^rpanfu^Q<$pFw}FLvsOn^@k%lX@B02W|h^PI)!E* znpUfsiCuH&oKcMboZwjp(X?5O&aX6juGS^c+>WN*YGzvvWL{W)hh+QYqzHMU;h*_ zo`aX+LRFH2IKg^o4uZ7UI)Pn4UUAM~LoNk9Yp&I3-v>$MiX+swMUz;?xtACZ**IY| zN~Li$KJ5qfuXz%yIJ=neYeCOFAB|FJ9JSY6GIakADz%F91TkK)an_(yDvhHuJ%?`l zcW9|q{Yf0m=`a&|$ZHOQdDeNIr8pWaUYGZbwQD3Htqvz|)ms-UcpwSK+Csjrq-3yB2TE)o_BWL3bl@UjG zhvK+aadr{o3L7U~MjY)Q#c{3TTuqE?Y@AFPaaP(mu2r0CiSb?=XSj?w`esmnT&p-Y z5aRocy#;Np)h@7F?F(1iz+j}k4Q-v(F0@+h3s<|| zY7e8Wx7tNkt9{{W`>pm#wA!DV%f(i!eGzE&?n+asJ}l$_Xn#su1sa?7g{#%~zO+lw zYJW<*1eCNNTy4&3>(T1|l6EQ3HGdDe+7UL0;$DFEJgZ%fRUfo30s{;A$7D@VdF9XL4`!;%e_{52?5kw14H(MgRYY zwDZtjLS9?+CxgE+QlkFTa7k`E3NjBQmIyJFKrE44_Df-%1EVJf9=uMp^Z~7Z3Hwnjm7P+ z)?RVLXcM^72LBy27HPFdG&Ze~w2f%D;YzD-1jStpu2yR#?Mk!*xY9O(v>J=6)f!2A zKH5QCX>~rN)mU7u)=1h`v`JiPbv~rkSX`~YMrb?HZl_LKoeyc3fvXMHh~lw3TDss~ zb<4}~wI6gwlw4nPsq>)Z`Z@y@DkQnS+XvUzSWfiS#c>6`t8slvgLjYXPZme*MDU)N z%vTII{;_=3yK%Iiw7*nVj<2~|VD%cS_K@0gg^d08)_N|t<$7-id!B2|-_sa>52(G` zo&wsFN-9$Vv%IT7yEplJe@GpW#R2o2tLkF17WtO8-e8%-`15*%RhF$OrSSygT1Py<#gVulbxRf7kZn zddc}@6M_lWYkLu7S-$f~`PzJ-<7cw{ve*;B2>_}Y@dy85 zsPg~U?8QC`XCuA})-sT1U>_X~4Q7TCp>3H$F6ZTQq1Hk+;T1x|+06DtK9<{^%O{2= z<`SWiWGa~+3AJ?fhP+fEmQUpJ)jJ3KO{kE|hEkchmkK4*IWM%?*Hy2Lc7$r{tHU9j zSbJ0^ggh0>W#ge_B9RE?^8K+?W*`}l)z&tIUtO$pVJML$5;0$0pz%(5*<^n3wF*{9 z=aK{Igzem`oqWGn>S@8BKU39PWvVtq4b;Nh;S%VAHE?#78JEX)CgS-_)-U<*+80;L zHXo^}o$yfddnOd97X@Ec(mfR-Eh&|pPTC#O-|FQOZhqX)dwr?I#A?6D6q^z}HZCbC z8`tcaOk@kKO8tC=YP`?{i)xB%Yx**oRKiO;O>BwjZ0U-2n4XQj9TP>b2$37|QmI%n zoj3WuR4l)HxH!-tlT_=J~LeW2~MgRbNUj4-p(Y;@0mUm&u3FH zs!ZBs%pSh-bK|?hKb57~Y$BIQ74pf99i<8N6_Tm`(8#uQe|C3mO=v@PQ{zZ~D4XAQ z?9=Y0`-^jBGFj`4jCtuy-j>C8;$>pmN#ib^Ef)Jb%sKvMg6|c|@1fJsKVWGuXVRJ2 zE-#x-rU%TBmyZtyUCIpa&L#&2^Yk(q*FMck5+C%kUOb=3n(p2%6Wy@JM0+-y4zZ!f zbaY>ATH4l`){ZXI8Z~QrOjoDb)MGk3P1{D)Cc4(NcXgQdwpyrzdI+2DmUSk&rJFdd zrmY3L-b8y_O-HoNbVe^St6?MbLRAHay%Ofb0$2!(U@=s|5?Bh$U^%P+E{CdW2tjax z)Zy1d7$VRBjnD+muoBLJbKyKVA1;7Z&;qT{2JO%Rov<2o8Lfp2VIAm#S`Xc@0WN}z zVIxGL2Xs$t0$pgj;S+EGJ_(H-Ea@w3qOYY;3x1?xE~&XpTW=J zLHGsy5*~tI!LQ+Acmy7W-@s$=I6MKrh2O!G@Dw}^zlUew5Aa9$6Z{#Th3DW1`~{we zzrx?(1$Yr&f|ud%@DKPW{0oj2U&6&VlK*w#+^)sEZt}il+RN@P|Js`DizS(hjX1%_ zUsPkCTw_IrLS8Qi(`w%fUTUg0gV${OnvK`rZ*qk`GgL^KTwgM0R_5}_p%|Zz@$r|; lN;aW_u4Eq;ZG*Lq);1MwLyfgo-(YnOw!YEUH`e*Oe*@U)_w@h( diff --git a/xilinx/ALU/isim/alu_test_isim_beh.exe.sim/alu_test_isim_beh.exe b/xilinx/ALU/isim/alu_test_isim_beh.exe.sim/alu_test_isim_beh.exe deleted file mode 100755 index eea863ed1d441e5f1f2c9bf14ace42902f1ea989..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 240048 zcmd2^3tSY{{$B)>idrhYal2Y%R8VGER!~}Q?&?xdmXh@F;tRz>B?ZHRB#~ko_eQ0~ zEiEeRQdg5o2}=`0#oqM1WL8u@X490^0<+}*{hc$jJ9Bnsd1in5 z&T}P?=n)cP)BZwjSK25Qc@0N~sSmQB4OPF{Y{P5`wqx;cKU-hhF+juc|1kBb_}QY8 z);`14d_;}jOX$G?@w2a*Py5t3q|ct}GqaDVpE*J8(`Jii+2}pu)%Lqu;P3qzZc{&p z_2J1<^;3I~a?^W}>U)uDe(lqylG8pl`A9bUe~kKE{B+_O{b`?+m;OfW$eB#v(;nt8 zil3iFuxvK%Q+w|QyvO9@kK6n=Qq6IrTAr0&m=Kg#El9J&g;~=kUOM=~tjQN-O`DOM zcR^mlr59W}c;M`r121A(i9Y2Wd0i^KZ6kYYsv=UoRg9j0@t;fHtJrqpN%O8-nl$~c z*Y@S4&KpVjhv0wBZY4F*A-1q#afDro{~h@MjUOJ#AMW~m&+YS`$Zxnat?wGo&nNEw zbl-`1WcfD-gikhk+6zpX;Wt95X87H}qfO}Sx1e(~^7l8(56q1ID{yi%{D=j93zTb? z|2_-)ms`k{WRd?`i~P$h@=t-`%=k&R;J=qe{(Zc!Y)iXItnY)55;*SkSrEg3iAz_;li|OXxQ=wCXIGo zIOyVmgD=FFbmwULxNsmfe)xX|HGtD5X3m~AJ!8hyX)`j={MqtmPfMSgk(17m^ywMX zXU>|-(8(ED895p0Idii!SbA2*)M>MGGG?Xc%*>u`o0gH0kv=OS^gxTpC zb24V+m=`f==8QS%(`MvkOa-$Vy&zdRyV@J7tl2YX<*=`FGAC!HXV1LLoB-!iE5u)# zrq-NU6QB;Ts@E(dNy6u3PMBd%mua#idQ6`=E1fZ8PA@wvceatci4$gLz-+T7%uR>F zCQZn(rB9hQW13&)Ntqdw?nnp!Q!~hp5J!3Pbxbg-Ul@~>Gr)8LJ>GH%b9B)mK;WA@Cf z+?;7MXQWTboiT~Nu$Q6Wob<^VB!vwcou;Y>^>0${tXbqHsHQ@7n>J$#ucijKaZ&X! z7mnFFnD0!Tl`(-TvQGip7jt@?lvZKea5Sb_^$qr+>ea;9>13SI|IIjS~r2&%9J7Qfj(W44^Cp5 zOrM=QF)&ObdwTY)j7d;4>}*QW=!SZA`NWw#Z8BBO^r_R~OS5w(%*shmXS&FqHFFX| zNcvq9R4?+q0&ehd>Z}RV(|yW7+@D0Fol8LqR8X-eW!`}bIxWkFkPns8$E57Jcswa@ z0!4xeS<~*$K$6~63!Xk<8r(K%ObYa`l{O}2c-G7r8Dl0)%tCH@X8t4tVoU(JiC~$D zVMCKM*)}pc>FVL>7Y!Wj`*zX5OMKr44c3}G-uj`D^!=d$XQMP9(5WwaibetOF1R2{g#uqGGwHO*@wO`9w`GdYF1bJ#63LU-qYt!?p2tq}neC7w~#@ z9wXew`x#n(Egdc3_GC^zR=`)Pc)WnWqv8nyzE{PE33zx4r|%Z<3siiRfR9x1Gy%_2 z@$mwF;q{z;rht#Vf#cZ%e#2;v=Lz^iK5VxY3iuOadHxasfBR;RmkRjWTRC1P;LYPW zUM}E0$8)?wz%Q7{@k#+dcQVJT1$^QZj@Jlyb|%NS2zbct9IqGfd{xg40zUl?p1)DR z&za6~MZo{9(rFU#Z)foQEdqXfHpgutM!$XUPL78Q_)W7p9x33j=Wskqz}<5=9xdRn zseHx?_^P{j{&)euWG=@O1iV(IGfcp%?&kU30zNXIUuezV-uMqGP z9^iPTfDcmXR15fXDqbVtebo5SDBy7_u2|qr0)Cd7zr_N#^)ULaMnBvFj}&l?ev}0s zE#MmcVFG@l%BR}`A0^1zH40e?ls8wFgWuUOzs0V+dZ&C4K0wAYxJuHT%%tj;2Ql#0Y9^Z>t7M@9xC1>;K!+Wi+~qC%<0&A z8vP_|5y!&?y!A1TM+*2O|K@mil5{^vNJAm9(Z!0}-M zKK(x&cMJHn6&xQW;FrJ1@iYOScO53w@i$(;!)O5nf0+WF@-oM>1-$B2j^_z@c@@VC z1^n1IIbI^*H?8M*seqrjf#YQYzWHsAmkapwwH&Vy@QZ3VUMb)|s`RS`ym%weUnAg? zH*tK6fQP@s@p=K@@FB+=1bp2`9B&lxwe=iV1pJk49B&fvQQvU9MZi~o#&O#*M*sJG z&hc;ofABQ!50L_X+dnuSCE#VT9FG?8+v7MME8v?x;N`{(_?sVdJVC%;|Agbi1ib1~ zj=KeX@3$NuCE!nd$MG})pZ*2M#|yaYe;m&g@T>ZAxv~ZPq<$RF6Y!MtI9@2=XPnRR z5&?Jpz{@Qa@S!_6UMAp)J2_r1;0e1pULoL@DIBj9@Gra^uNLr}-5jqG@Z>!l-y+~W z25`CR1^n3yINl)Ojte>7DB!2Wb6gQ{$97(BlYpn}=Xi^NCmrCpt(VdN-3K`yF5n~8 zg|SEhKkzHhA0^;VwQxLIz~>y|c&vc`s>&5F;Lp**JN^;`{QAKhA12^uUczy=fXBVW z%N-@)3sgK!zz6)q^N$zsfqOZgDd69#bg~8f^8fPuc>;d@K8_a(__`*Jmk9XDYCB#k z;87}GCg6{$c)5T-@F1sOA>ernIbJE?UwSxRE#No(#_<{ff9){Gw+Q%z-#K0{;3xmV z@dg2}Rq;jvzu^eauL$@q6>k#ooK~K{MZm{~aX+zz8~uM(PmYHR_{3v49x33b&;}Fy zMG5#u6^|D18^d}2SOHg5JYK+akLCFj1UyXD^DqHF7Bk@V=N9m46(1$wx2t>f(geJ3 z4^C&ifWM~VnF4-AD9@iQ;Pon=C*VC*Jr@f2amR5wB?7)i#Y+YJj^la$G6C-w!SQkd zU!~#|0zTvfp1)GSKUVQ-0l)kqre<4>fR8KX_!a^Gc{#`H1$?m@9~uPQxtZs06!2eE zToLfI8+iUE0e?-!TLe7mOP=3$tkM6!Q1Ng9e|iPaA1UC&Rk@-B{DnH6KU%=YZsB;W zfZwr|%SM3M71w8FUZr@P?-ZP2gX#y^f;rMs~kGO^7nF4;g z8gH`&{Den1pLqiQRXV3rDBvp}<@rkl{O|;xzf{0$BRO6s;HUKFc)5VPqBve5;QyY) z@k#+dsP^Bg1^mqZJb#UVk3NUvTLk>F435_ec-W;JZxHZ-mvg*Pz{g$5aYewF594@~ zfPdlSxa~NjpN~0(W7&Yc(#DwpytoBzzYRjqhDfymkPK>zsv$J7jTV!jez%>%JsiR!0jqtFW>_+dHx0g zziJxC8wGsK?HpGGe99dhZxZnQERMGb_>^0mkIdeDqb$&D^XAF0k^C2x&?fK zijNZTTi)e#(gb|R7aSii;Aj8B@k{})3gLRl7I04^&z~pYKYY#cLIMBed5)I|_?jm; zUMk=p)^NN`z!z-bc)5Vzxt-$`0{+!bj#mo!zaqI@)dHSAjpH=}zI6!4w+MLYP>$CN z_`)oXHwgIE(>dNK;CU}|J{1A~Rn6Zd;0bT@{4D~$SH*2782$gJ*}U9v0e>!sKq(E^@*2FGIsd~tt{#|!uaPL3xC`0|k)A12^ep2KmsfG@t5u-gCUl7XkR|v{eJ0YCjKjt>*?*`IRUE#QZLgJX63wU&`@p0e`lZ<9Px;eJ{rg1^lK>94`^@Gn+VGD&Up><#?HZ zKVHu9asfZCj^h;q{`t=wuN3fCw{W~#zzYs=yhgy!^Kg8NfNy(<1^m{v9FG_9^fx%3AmHWeI6h3kpMHSjZUH}c2ggSV_>Q+Yo+jXq?>RnR zz#lB*c&31-KgaQG0bgS0^*T?$$L4apP{3#2#qkmWe_$@hO9lMtdpKSu;I9{Oyj;LP zn#b`90iT}9@k#-oG>7BW0)7u2T*F_DfIoUS$F~UhfAcwBFW|NJa=bynzbfQ-qk#Xe z_S+Q!pD~Z?ze&J%6>_{qz{k($xGmD?|6dkyJY2y07IQpOz|$p;M+^A>)c$|01s*Tp zGu8YF0=`kjhY7ew-)(`95^#-vnt*Hc#|yYdzfiyzsr9SG0xuQt0c!p-0l!bh%LQDc zUtxh)3b;nUTEI2>H3F{DZxrxzWbSW@fRA{P<4pp7zr^tt0pDE0ahrM`FPzm9oWWP| zZ~>3GpXZMh@a+pY9wp$RDjqH1{fl`1SOFh1pX2cYZddUH0Ux-K=Wl53&p1B@|AwhD z&_|;Vr)S!qqQg(oKzugo@C$W#iw?h7hsPe_<@N%m)=>5|UWaoI`AZ2pJXA+#m=5o$ z!`(WZ%FzBs>2S?v^ejz>YwBzXXx;79UiU2BX#(hIy_2;bI;}v zqjflMfjAzk!(()G;&u4hIy^y#pQFQv>F{%PxLb$E>hMuIJWhwF>G1P(_;?+Dz7EgS z;RAGdwhq5Qhv(_=fjYcUhsW#i5*ga`MzZwDl%|mAwXyVZl%`PVZ8`$r>>iY+5a?}W>EEi5 zrqJiDXX*WvrjX~YVd)4}u4 z(Bmy<>02mGA;(+F(l=6?LXEeOrLUnhg&1!(OJ7ZC3N7C8EPXkpDWrHuvGhfhrcmM? z#?t3ennH*-o~8R!nnH&+nx#*pG=&UrBuk%6X$loy8%rNYY3f>dn|^2Zr!<8OZzD_p zwi;;)72bN5-cM-?5#Ab>{*ltu1@Tt0^!JpeP~k0S>8+He5aBKLrKgl4&He`clq7xp zPq*~FyJ&mUs4>Z&o)2Qi((O6n6!tN6Cvvs+sRE6I_A{K=zv3BsXmfvCs@v1^XMDO7 zTinu)oWG-r-;F#}#ZMU5+BjvP{fq^S{ehn|Mll@p**#f^V9 z_jDj%2(eR0j2);2NytOl$9bn9N9t&bRnlR%^o#NWJQJK=g;$hY@O}M3qC@trrk9nz zLu@wwO~yV`{%!66?c2Odd#Ko=VcNGRt|qMxbqw!eQxZT2-*2Sv%Ma7{K0j@OPNW`g zsZMziR>IQ}^z>i+>3`Ixo}oht&Ex2OJ~WZgi5z{>hh9QxGDpX0^!G~N^7j#iZyi@# zbazBZPL5N)Cfq6C80M6FxTN=7_LWIwVRUiC@H+tMd)`GIgma^#7$e&UuN&qjDhrXGQr3N2sPo&d@4NNAt zr{ATZvpZUq5Hi?y$2t-}&N&$Jn=_>0YEOjCk@#-@vC;;6Rm6#{G4HXAyL&QS+*;eI z5+}dbg%ZijV3?X$0}Mmq!7TPmnb-_YEDP63v+L140FeAbbFjJ zc6U)@lUv&CmWneOZCAP5lUnJ%udW<@1Eo)MYpX+c#zZ(0M?~bhWLLH1S}5nPl5$I& zaz-UIx$;BFlaDu188;?w$R8q&D|AZJ7sx3KCDf}8QgI$0j_?dV6Q=svdp|KMLzMgK zqR|$=L5}v81OF&j9BOR^$+b>tmtt=rDQlf*9pu%VtvcoIUt3${luFsPN=~hI%j-xL zl3f}ZCXEeeRk)}oL>d|4hM4lWEtB0B_ho9a7k^4+dNLYrb=>9{=lG{1eO#>r0?V$7 zWVxE^wNv_z&6di!h3iRcN;-;id9q?!k*VxzdsW|9=}VU5E$W}G$N%6LdHgRf;4hv7 z5buO@%ZcgB!T?oCp1)@^OJE4r3U-TTFp-e zoCQDGt@o1&Mn5?P9;x}sE@&XYPi_P&?e&us@{wY_mfIfKe>#g_T0w( z1d%Vw=^0WJ;1nkz7dZuGaD>#kq*k*0l@^w#&b!|7iZgKqxBN`9dsf#}xLZwF-DnsNQqNm6ZTU%vfMw(8yy3w7*Ph3N0p`CaxyU z+dTvEFB`Tg%Rn_CXdHFdVKHM(lbP$Ju98x@>!59USMvB=XM@3Y#+9pKLv@|AD2Di5 zXS>mLz99psjmxW~cdc>JT&Ev+X|L-Tg2tM*U1z10>$L3ig^vP?4re$$gLUCU^^;GK zi~NK#I6^kNq+by}oR^>(O(AaxvG4{Ofgp~UdBd&b4L?y6@)&3bc*9@R)p%*I-W|HN zt8os7`Mj$!4CYemVQkGCmXUTfZ_v6LcCe!NhVJQVP)|P~3LN~&7d|Yz8uiHIe^Kpf zya_N^SK~=M*N2ZTbv0&y*#84RVPRwbp3eG7n_Z15Mn9>58)$x#PwE!^WY_NY`-vfH z1np{=`pNq!s_m}E3&_>IU5y-246yuBZ!cI^L;6u~`0nj$yx_Au8;raRAJZ&9pLD8O zUTZIY@)|AQtz8X+1L(RMTTp0QU5#bPziU|o%wc&?8X-P6@b1l7OZby&=} zPBC+x?&)gmGP=%ec&X+(BT4U~>%6+F{jOsO8tv(7ShuQWcp>1_F5|PIrE7Yz=48UMrjW9gd$BORhYJAxE z|G{-w%=qD3GuP>!uErjt>x9EgHP_h&4FtH(NbsT`#|Cp9L(phXSHsG6`jZ0qq-c+B0<8#T@?!0WJtB*;eQ}kc9C=*!s_it* ze2&zht}i%BdiP2kw>-xlI&L9mqn#m`S)RVApj7jqC&(gN@FBryQMe~a={zX6P&!c> z5hmT_UvU}1S6p=NlWP~|Qk4rqMKnm7YLF5WgE-~6+6orbqS*squ{zWe)FQ6JB&d&@ zgH^{d+sGWb26G(2TAEX8V&=%7iEl8)X34e4<%u~Rrr7C}a{{duX~ZmTMZt1S-RDCmn!3p=>y&?gWzcm&iPhY7 zP+ix8il}QiKXd$YXNl_}!TFu?ga`)~0$U~5a>-R$=UN5#v|-f{9)R$ku@(!IPd|@c z0&)Tt?GmttjtR%0HegFu+B$*q=~kTqDtLW>0Z<=Q1Dq{2G}k*qHu~&9Rf6oWH`z0E z$K(BN-o;d5)Y;pE5KmJZo7^VNrK1d;mlhOSX)c%6dj_lPJr9Dc;Hf_Ax#iTd&0#%k ziQ_7A7C1u=xZ)1_>x_Nj4Cn^+6qS>-^cHDME?BOdOR_>cSjl4^(Hc`HQViL>TnD9; z*{f0?D(M}v`!VG>yxiS}+xcAQ4R_j?T`}DIiv`170r3J1_d>(}55vs>ADuSb4R~2J z9HLt;MYi&e*0#1PmkXgnU4c`V=x6<}D|R~gDD3nvT?Km-(r9+#aVZ1^=xSGPiB%hr zO0}yut6jM{)-|gDHLF(-s#$Vi&B`^eSs#4Srh!6tFIP4ro0%!F9Qwa*w*>6#D%Ust z%IBt*SmFzH6U0zgriD7z?2F-~0rY&q0-GVw!t_2>-6lZlJ6Tg-8E?#&6uhB@Nz#|k zieR)(xdO!WRxGu$V$jwl160fu{O9{RYt8Tf%v8heY)o281z-OUr15EqwZVN*fL=>~ zg|C12X}f%VE3%oH;!pQ=W{KZFF||aeeSHt;b<)>KUp^}`U%wv2^j7Sgug?V)QNfu< zYZ$TQW72Q83?pa*pE!&t0Qt6t5jP`G$A=N4p*77Kz7E=3^+tnqZWy6}ifE9dG>kX_ zMR&t6LT3u!Fk=5lK2vmJ7_l9UY3k<9^^KsXbN)^aBl1DTMAx~c=+qPjG^Ka?hike1 z;aV<+YfV9i3NBPvV;j2cLsIngYMEwC)Zz2zQYyx43XRv^&OaC5Fkagvxt6#*ll$2) zP}qTiLWJBO1C!}x$@26=qd7v{0f%tp2HIi+9uz|jTi1iBH{fctPzERq*gM1vfq@P8tT?uPKMGlehwA9%-SicW<89bimT zHxK`#K~EpuIyZ|{04gTB{?o(%^IJL>eZF2`5q&m75TAlr_`e86=oNI-!+!`EXfyi! zUU$?Dz`}nF%I!?}PcE`Bz;H-wG{7sH|6&6af`K*-a1SzxHQ=a)|ED%}TllA=He&dn z2l8!&|67r#OI`2P`9M1vfq@NY-a-4OnDrtpRTgS9?WbRzuk z1Y?@IdH5d#div*bPY?euyxqCz^YXnG(Pt9`@hOOf|BF$CUO`7a{PzF@ zZAPCzHXL;Wu<(C2%I!?}?^j@904Jn18sOEMzt{lxfq^y+kdI7`F{z`Iq~G1r0qFy` z)T~4S8xoQe!;TH!I?i!htsAFWKY_~ZRq@FlYVl`!(;7LgJbCd zHF-lqbL#nl!;K>0_3OE zTWb@)km~IzoB8K;n`?EaG;5`%S~}^-k3u`;iC9zqg_FqwJl0l>Nk8WEU3(*!T@1{t`dwNf$wo^&B_{s2;Io z1t%m)&2H&)w-gbRB5lMR#}KxZ;g<4aVh5pCoagrB#6&`Sr6v7sZ$L1tiC&=`SVt<( ziHY(d->FEn5BUg)JPvD^x#4nd7|!}QrTy@n7QmRzh-<)+w8IlzfF*j#- zELH_<@;J3@35TqADfyu0irYbl?S>Z)xiHke2qZ8e5fkl}Aukqkxb$}R!%k3H0#_NG zpX7&!cZV0|$3%y~DNFEH;%zbEIqP8$e2K`ff~XL8Iu$8>>6CtSqWT<*BBcgrToVda zs8G}tXH29^9t?4_RB?T|zgnxyP2%EgRqxos-@xgHlH`~u;x%$eNN)IockIP?V4`J6 zh<(BB_++N0e8rQIVj_8O!8heaQ0$z+pT1vb@E#yzGZZUW@C>jm!mIlYLDxMq@&g-~#cG`(LUgMN6 z*XsCk3@Cl|*A7BPlmD?sH2H2ItQxZF=PGWU>o%=Ubrd(+tWJ)^Z*yu;oA8-mZC07q zqBx&!nYIdt#0ITU4;tSva<@l76V3~f?uu{6}&`6 z{B`Ow<#aZV7ErlJtdJLJSmLIAKr?xoJGttEcC# z``Gpm<$59`j|fNW5bcm}3X{gf#5m-EQk{L}Z`8VBIz6#2|LiE(6!=>!xTRb?>l3noR<%Y$}LUIiiyd2IW#LKT22PJEumm5F*zo- z;2Fk{_fGP`Pw}q72Ro*sjfGrA1BgslR7AQ_uaam>MJ#IvRrLPqj;e@C;VP=cyR3&3 z<(B@C4(L{I;G=LEX(#r0{V-44DpZO~q?iXOr{YC>)d9C$Oh;G?hs4`*`y;_`WeJOS z!z|%&1%7}&HwLZ{g?m=qo^`WuPZZykm1rcZ5HKh*Wo%G?73*dPLVQ~814tcr_ z-r0)pQEYQ2)qy_R#!P2SUu*}w5UWeOc4xpPV@X)@doHZK_;ZjGe4oOw+f&`Qk9Gtk z8hMn7@GS2=aMdDE34u@d&ROXU`2#fjvW23_F;V$XVW;88lc|uf7;O9`1yk)o=M^_Y zp!$r73CGFu9`>sD#J!%YULzBIg|(!x)6ox$$0*}*^oq*d=tR0bZw?_|=uV5bm?6G^ z@_|0=L#GmlH9%S2_MS>L>OPQU?h+g5E>S$fghS=8V#vog1^QLK^HpvV^pe-5;qEbR zsCuB_(eF7?;4~bZo{Q1c1+Wd>I%b1HB1y?nJ|*W4f`Vh=QIv&**!>mgeug{5xJZoo zP%y8N*!`xm5oL)p7n$r30nLx3RwPLu=zNUbm~yN`c7-|Q)Nm=KQgUJ0vD$Z?h-)pL zMFd^K)OI_TvYkMl^|833P5I^(iYBgbEK-7S7`7Zc&>iIQwqPMGWVYBJY9yg^m+*+| zD)EG!&bFa0+Z~F7?COmJQiIM5uxk}tetW^z0b71opg=ZTS2*Mxo4t59NP`0Nqjplb zL!$ssI;X_-96BA97&lZ?hx~h7=s-RAk;tR9iH+DIi9_qI=dLaZ>z_ETET?zqxF!7R z0ar<4?n1lgQaF>gA89B#hPb)7qS81MV7YD6aGlF?$R;et;;x7~W5oFh<;mmLVvtn} zo|B}F(pPSEU`v~!-a^TgCa0qD`OCz~xU~-H>tyN2WNBxzye<#iCrhnLC?0`KKFB}- z_83=()hWAI8kjeo)bw6S^=S|&{G~2gN-lXV_&usFc^V4rnoBmktWpT#lBXIx}m16g&sGWEo1R_+RRhEJ~gYevfLD zJosW)UGi0pLJ*hy7xHw@B^N*;0WP_(!eG@dx#VV}OAh8fhA4R)N)B+zo)ARulD`lq z<}P^+9#Q+x-q1zKi;T<%iIRE9*_|$lPIJL5tI6}&Kp6lt&kIVNuYVJ z@*lX*Sl5I<&bX~nQe5=-^g`X zV_pxQ1Dx|cl&p8oH;EH-=ZwT7__zczhQ_?*Sp)MyobyUh=w{~}KD`Uhc^x=6Y1aR| z$k`7CcFj4zdPb!X#5rF_p3XVv3h)=;oKYaB3qD-VJxoVBjSdmWYTY49B=-M>LeFP(&Rho#&2 z6qjxYQORbZ>$6B&p6g3A6^Z{7#4U zVWb`MKO-EW<07y>M8f2s^i7gygx-e9xE0u*RJ5ZhS=yg09ZAOG#rI2@L*B}ZVEA6L z>$|{Zq7fG3zyu{TBYk0pbVyhxH(K)KWSng`UQ?|63L2dd_ajIWATIHv7xxXMJ0b3Y zB~08bUW8xVuYh+|++M^IyYm|J&r~75NRr-n;yPIi65Re<oy5bMcuCdu5H~u{O``|mTo+-@`N>T zM{91M#8Z*m!QZ#d?GI&QNW5=P8Cu9Ba;DXzTB%MfrpbG^^j-@5Q1WCVftGohzyN7xiNOWY1)3CAOoLcs`q0@uZewRY9qH+(){+&b5q_uHdAX z^SfpQO2QTWZXzZXkLNVYlRR&+S*w|#i`6xfx-cOm=Qu~DEAABId>|*R6r9rs9&Z?$i1hi}`sVqYVgH1l|Q4mw3yrWpZi-uIeZ4TOBJm z%uYUyJ%>VDx7k$^3bRzYWo9r6D4w3zO<k03Wzb+Nku`_8ax#ngmLw_~EQ#D&{$rkAn2 zKgzi{M&7JUV%ZNl<9a6?Fi` zuM2=|Io7^#Y?0W&vKVm^&Pca9Ob4;B(E`{%SF??a$l>+sn1=&7i%{ zgzZq3!T!`p5QBl5$^;FPD4yvPMFxLe$NV+$CnUVrf{=1IGK+n$ zyd>!l!>@3BgkRw(L5v|w2F92QXh;61Hg5HYnDvjs268V?T1dc+JNTWBr295etwv|H zs1|+C-T1kVAMkS>+jLPSqcj;+g>}AmQXg~%2qK3NEi%CrMj3@H-##UYGT{d;C`6oq zFD3Y*MG0Cd{Wk;-h!TtFC0&$QfV40&qhe^K^vg$Bl(-ROv?#$=N;d%4jm(1naEESa zV=tZ*7$sctWlo9RQ@7bw(F2v46pwBRMolooE+rLZsr$ozwU>N}ftgFb&?QgBu8+Ie zPE-~W<`JjZiK<2fSD6+Lu;M+Pt#~6EuoZ8jhZS%w7_b}ueUYH@HXaF55EoHzDtIJ# zLPakF$|HfWj=oQ6eV8=mivy{J2m<}h82!SSF$kl})tqYeH!|8wW$7G*c(7zvQWoAP z8FXy1`^Z_nue+7k`<)12{(Aq4irPUHHIgcQ1@spn?McL%U)!8Dt-fb5B8iI3NmJlJ zrMXEZy$WcFt3oc$hnW)_a*n4B#tnAQxkp${$NBPI%J(4etLfb3>y(sIgU_+w%`s`2 zybn-KFGXf!P49e{V#zHgU5bw$0ylq7mmQb!s7<&mpyA<<~F(%H*49gx)haTdHnkbLDHg2 z(FZhwb}6zLjRZvo4S#64NkvxyZL3T1>O!M20=g8(GGd8JEHA>}rD(c|yTWx;O50tE zxsb&dXtXZHcG=*s!Mhaaf>klll%V^{4jHYcri_igQ*nvm2k2PPJ(a?!r5wjk5*gda z8wM@Y!3KKI=!9Q^;fcSekqR7J&mx?jd*HFS>`^KZuJ~)m4})ccF*pB#Cfekdr1?W{;4!}g4;@G4EGn_^%8;D?0gu9oN!|g`_dCvnRsupvU&^ZsB@svq{SIP_ z`W+L%213bJ_J(doI0?AE-$D9q$5kKp;%7|y9XJLiy9%%K4316?%_u`>wRa5uxfJJ< zUK&FYzrd!9KrE9}E1S34SJDrZF+VH`JIR-qWqJ-FvBXvG@QiHb0~pV>NB9uc!KRTh zlKIz2bX%O#x-9UED}d06exAPK3PPv!vr*Yq5^!ldXKg?)Uy0vm zV?WSiuPS)x>XIR629({07_?Vq1aft_ggFDY5JrNjgH-MVh?Cd|OWhFk0~jkgfOuG7 zIhG*_?cvAJsG-j}%~7-&UH{cI5VU(fW1Rw~w%xs)GW&va81s@K1M4YNgBf21=1yIw zoCQ8~UczdjSx@0}8eE9Zekm8C&|ZZt#6m?ljX%Q;kC9yA_ua@9emavW6<0(yk<@l3 z^(HV`DUXA$4@p~D=X00R@BkHw!BQjJ7hg;)J4*&cdC$ieWh|IoaNrl8rK(lEwaiH#Yh9qWQiClR+Zp5o_^kQLbLRiy0+d#C-O? z?hx;NP-(w-2J<MQEY-7dhiq0@REW$G?ge|dsSYyp_X;6kQfzuA4)?Aws@0LV5EoVi-)JC&yM@u{zN^28|1K%#xvEH?|t^9-Y*J z4xPI0%DQwHFbfzfaBrNt+oxO%ru6mqQKSv^w?{#D)ZYQ1A`X4&%$ZwaLuO2>r=e5p zTe@R|Cz@MNkv_sGc(8gCL++MAzq)W?y))EzX?-DnL&3OAhfC|1Bzs<`A*xgQAz%{S zw}E5DFe;HP&F!UCt~g9GVvL6iDUEA$ptsy=a=qBiX_ONI!sYBREGjO*Fqq%6@i&x)J5YTixI9oO91<0pGgDqV9^Z=!3T^k6 zIX#mw(AU-?zrzj}buIFLbLsW(opJje_LZMwm>hO0O|+|7*;N^8&J~n1?f{15yKyG3 zWOzv!ep2`c+?bO4toITWlq`Elg(=u#Bzu?!<)zGZrL9=EB|U1Ux%DsvXQrXO89hPQ z%S?6$z8MqHYk~x?hnS@-gI6{li=AU0yyk!@een7MX+!XGLE~NT`p*QFF38>^ znC!K1ksyJ486KO1(*|&m8gX~Gj&~@2ogGy&*cd))!Xmt?NFJN3-n*MoaV6%4X z);UJ;zXG2I@vlLKu8W@oDxDG^vX2U(W;^WlAmVQdF8&kwqn5F4ovXp&%j#2hTFlmtedSvLj>~le-v$Au~KX!Ex@gHPd zTZ;c*7}VVK6J{I5{|dHRS@yt3od>ZgfbU@;w+>1--Azs z_%|R!*TugFRLsP8Np#OeBmUr>M!Aj?<(i8OU6<=QP%)E>E|kIOW3wonOU_egvZO;K+z)s^NPBe>ev5(Kf^5EIAbeXRX6jPlK5gmm(KhYVep?|4zZ1T1Gb414-yS02{$ zn&lnrjGKvz1#l4S`R710jvt z(8WI)%%JQt^;8ik$WN+ZaLbBTWQaO%0mE zFtO*i&Y6^(O}KW8b*=_EbL$*Ql74Al<(UBUKT8o->H;mvLHxz~XgodF{GR_+uuxQ5qhC`W-7FqtRl^!+$N?ei#iJ%Bn{n{N#|Fo+C;sg~H<6+A z+krMsgciEI9cUk@bV2s{&jpeF6y`sc(=Z1ik@FuzIUi@^V4dQ>8-W}q%fJ&#t_szhSlm`(%DY*DQLiy(6N3th=&mWJpLHv*Y*&X5^ z0u}Sd>G!|97yidMh}=dm{6&~g*D{%Q9(E~@LdrHf54)5l$QIBGe;i!+Tcn$j()IJ+ zWrTJ8yhO@v(s}4)FZ>pevo=q=`VN0qFFgG=Zk@pX!Y<`3u&uL7(Eh?MWe0Nmtph*D zXv?G*euNij?1dk?Rd1a)keATI(>bZJ@N;IYe^;guD~0&K)^0{1-65VnOyCi28{umLApAZaC;lJKJ%{5KLq*i zV1#tjpj&V54*9|$pRraI?ZFR|VD1rjoP2>Z?ZSvwK2{zcCXdG5#pSp)7#AG-?=D`B zJr%;dLNZ2GIGDZ*^9tDN?^#dhOfisD27-4)m&znIRmskv)3MS=kB|rRW7n<9A&hKr zz7NN1_bJoCCfiESFL9_-w&9^~Uxh=$tsL+mj7Z=e8b^fCLCr0gzZ>k^``sXp?t6e2 z6AfVS2n~GxXK7#n_{Rndm#wJr@Q@z4+45-YS;4lTR;7nd>^Y<-y2e-&d&k&zijB!? zgXD>V*goxhRqbP}@`qmg_n;&%O(7}Y;hU(SG+ckcM%Sq&No>cIwm`*hxTgLrNb-PBzU3nE-9$SHPiXs*&BLZFN@~(>4xpv3j{zc`TJ=2(In(qC27Op&$%*KZbkz zoep^{+#NHU-zulziKf#BAUpMQY0jR_Km0nzpi|3Qo{SkygS)#;+#Qz2x(GA0TgJ(v zvwtJo@@TV9k*O%MG`m%%04Xm~drA2B?_H)!vcPZ+JgQYj8X_ zxXDQAXS}Fy4IGfy5DqJoyFbuP-*tOAF%im!h1TqjX5M6VROJ#Ac0azhZFUQgr?bjEB?z~l zGvBb{_H{fJm3vA%l>5;&o#d7u4x1=jt9LsTVeJjam@quC9qK*3GYtEWF1_-gHN&%^ zFKdSTfH_gauYj4h+;@7Cpy3Yft9cqFFrKoEyR#k#Ro@;KH0HDo@+`(p@O?Gkx&>}J zw6Eq&lF5v)87k?SUdfAW>Vv&qokj< zoIY=ai4NPtF6O!D(CKkOIDQ}MvgUXOxD%`CaqZxEv#S#vt8s-InzZ|^b-WeYu;%!8 z6OPmHMq4`WZNf1oJE;|y-qp=@vVDYfzTCgplJ)-azlPQI`vx zf+Bgm`_;e^?MB7WQrnG6{Hz9Tvq-lB^n9GCkuFi?BiKIFUxo{O_&PbC2dc*<=lZr; z(k9CU6lz_KuVKm&x5q7`chLEyK&RXJY1oE3USx^~x&YVRrJJbPu3MDX}gbv_ipelyNS-{%bp8w620yiD%07g>@+OR#K1Grz$ zldsX(h7#LqG~6Or9q0hA)ifkV$Jrn%M#t+JGr>E6Zw?JqQM)^UNAR+B%Ml4)eJuGp zfN#({ZEVj!$Be1=2EjKAtew69MzePMbY@axSUH}t8@!>rYlw*s+rzHf0X#Mc$M3<0 z)*MdNec0TkGl&C*4t+SLL4Qd5l>;z~iz7f;j0K|cn7c{L7ZeS+T-SXCGbBP9el|#A+k_MI%T6g*yeiYRmS58E-k{)8 z?nYe7y-~l8jhivo(XAu2oW1QbI*RcdRzY#^;y&f{xvi}WYV5_EaQ;IF&p~mLi*8NE z1%>;RQz0~*$0hxQ9|H3I%Fs#3L}`BMMynD+Jf^Ny6*UP3;r$9%;$FLFAIM|z7Q~vB zcahDoc$o;D?b6EdP^9|D*4Rk*?!Ru60TK z*eNfz(){A3;2ct)$r&ZJ@KxqgJZKN2UMwPk!q3h)uVW3qwmR6am^-C+neIplt3jK1 z3#Gg03o3awrwo-#Kg+4r?4re1DqL~R*La3pfus9acvgCW5%2ONSaqI` zZ^reUqCIKY1yJaga8V_G-y2i7E=fP$AV+iHRE;!lku*+lBqx4b$R%w`viN-{{1g-R z0aW5N1NSCZ15S&bkquWd?AZaum1A&h!eL>xM{`5tTwIF13#qFWn9Up+y%pVQEdUYRTtw+=V+MBOGyGt0l)`G4XuYBKGTwaX1QbMEUn% zSP%!FT9Z9PKWIMFR{WJ+s$&k#ukSpBaAT>3_~x*4 zdVuu>7)7=g?G7nA+-hHddTsjVuORDxlYZ&V(Hc`{R>u2=oG2r7_Y>f(5KeN%sfHh^ z+z&P3rd(|0*aE(=B}Ov*^m#1^=`S044Qb=Wy15l}Fy(kE@LOd8Xh7-EgZisW2QF$` z=j;~@brA%IM};4@>Idq^`gGr(Gz7RL38mpo!{U-Zi1!Zy>lBWXI;FRjs@dSn)2HVj zXW|mulil~dO)(trC8M_D&|EcMM`{34>@p!r*A+XFq~GCE&lS}$a3lT&hGThK@QwbQ z((~`*YqGSi6dy@yHS~BWf3jzF7N|I?c{Ik4lw9)Q-64qS%}OFv<&rZZROH{)M0la`HMdyK(>wLt=R`rPMl^jx0{;ET?o&n9OV|T^r7QLc$hM z&pPs07Os^H=!>=Qx(y8W;-}$!LJbx4T);~e^n4ew2E9|0LA{)*NUJaERJ>uJL4b=) z1b7NrECukQ7u%}rMiwz*#G+tq!;==*KtSDGAGN(62Tl&w14kXiziJ)CH~N!2+wjfp z@lXcXy_%&Sr{*@^4FP}rTyH&ZBIZE*Wye+#?rDEl@Flp&VWOd5f;m)|- zxT5PD{5%Dk=w*^;3vg+Ru=|$Q%GC<(t3R#Es~2zw0AZ>>GJiK^i99SqDrR)*lp_!x z4YAD92ml1*03maDVs0;^v6x_Av%J+$ml@*-^Y_6(aRWXn3>A;$R=&H7l>cM%R!Hy# zx(Ew!X*n9{1%*Q*Lvp4hqn4l@$Oi|~Ry5OKgPPtwP=rew5F;0p3fN{}mz0AmVA&5F zavgG)+mAQtrwt9qdUFHajcHaV^Kos+{2N|CcwjPf2W(Y#GK?FE>NuBq7*H>QbF1jja3?LytBOhHAYtsc_O6zMwzJJyli zr)))8)c>Y#4^{Nb$sYO*@h_eaSBi*1UnvaJCZ<1LXk4W@nctLcn2Y}mL<|z4SIUnC zT3_d4ulht4f!*lx!tcbG<-WK5;9K0u!nee?plnq_b{TjYXv|^+UA{~tD2BV!JO4MlM>LuTXPP;pdGwPh~toz_gi@fFD#b1tI+W{0#elR;GOV& z%3h?^7j+#EH$%J9x0{2~yU@4046lPN>IItj?Or(B&^0<0nil(ZMaW{=H8S+=B)r|3 zzTGoW6G|0S{3Nla>)Xjl2da1nh8nug=}nxJp>z5+vRDeh`*wEF?ozO*$N+PmKFxdo z`e2ct#e>E9P{mOX7E{h*M&jeczhpGHy}!>*+8Zp6$ukKS3qdD%urL`7{tw~@dh*Ad zr3iEY{iDIPem->(<8KV@O2oj0C0&UaJ@I>%qp~CF|!(zm^0a+|7oFQV2g}yoy zF=p~og@`eYSkpy}n~@HT7_UVe1c)&apcGjw1>h0mYh)24Mt6?}*&!@pH24&}js{#Q z%u)V?(crQ(xB~D27d>q!DQY84#d%51H;78;6*_BXs`_5Iyta70lwX#(ctoatfKlxg9FGO z`mxfJ$k64{;ARjpNYwFxMH{2R-pu#R1{Ux3^-0J^gV&Ju^%AZ@hOQ4RegGANi0vN@ zrg0xK8NyqP2Aluk6N!xm?}B;_BJD(mE*tG6QKF6;t&P!OI!s|cgzw{*kQr?;cri#A zMiO>;G&m4c#BlAuu?-XTnXMFc{*nvzE;UoLA+alikI~47^QO|>v?zV^{c!M2o)^60BPPt7EL3Q#jkAH!jwSC%kT(!A173_d)js>1Hgvg zJbOz>|7 z1K@-X-Gg{hALj1m!R|r)2C}pn|C*KC4>Feu9{+G(A}`T+2=qOyUHU7S2Pxel&?9)m zaJj>D%54(=I(uH1%Ck0By8>WG&+E?mrsKA{{}jt$*sSc??=w=H!4T{FOr>oE!)7H0 zis8YqQ45C6${Aq8Z>#T4HrVP$ykW4_20ZUhTTywPjD|Ncq0OS<%Ac9*ii~-kB0l2h%w7(IF1>`EE*0++4^YsDAEQAdys@(j)nt3MXX?M zOyBa|9;JT}6PCL@)RlQ3j;5)Jyi?cV-@g=yNCfYn81o}E6|!KNV4_HGZF4%BvgEN3Lx zZjW+&?eK1oFcj_PQ}pWm9w#I0_8^10WwxI}xd}500gD04PXyS}#eilM4qp}Koqh%> z{bIm(NUJRBruMF2DBnmUt!7MjaHGexNNsA5-o{1`_7Qxe2dQ4&=y4ui2V16#0Ypjp z_X(iNHhPeel;gOt@?vf>Y?-W6<{*o2W{haFjUJRi+~|?dTm-l9ba11`BB&`)#s4DK zbW?lxA|0sW228Q)1W4kf3<8k;Ed}6Hdp$tA%aP*o6fo%Nv-69i5h*HAigl#8V+@ZJ zr$HS@JyN6|Plo$TBE{C@d_L0NNb$~8lSnZibb?0;la+uEAa|e}*KwAND*>9~1W>^VGVSdu_aFM}F6(Vvv zv8D?b*CQPmE?x{b2ymK-0QVz{r2sr!e2Oe$xS*8)byrt6Y!CDFtoww*NF;Wd@~(h! zhuDLo_?kc>bD2M3O`x zxCI>O*96`@2L9i!HGz7($<_o4=xWFz{A$Q{>=0AHX)u`^z=60Xu#sWnn!xEm`I^82 zd=uTN!~N91k3_+qKAH7LqxCrtrC7H2X9;w5)LWnbg^^+ZlGf)o6s?Aw_O?DJ z-DcAIgn>@**2iSi%Jn_XTAw7Q4gaQqt?X#3RKlsN<+diWRoMEK*#AqB|HVc8xWO6kA)5 zv=J#x`l)A!n7Q#8oF!vF^*BGDx^OWWrFJb`JOUQF5H3dJ^`PP6?ITC@qr!_Jh8QlM zMwa%4i|6rnXLhWtgPH=v#p}eHE?oQv>A-LiViaH&CuIm1-~16o03I&R2bF+u5lZJ5 zu$Ost#qZFfw73)>I7x_~sVc`e;Y35SiHz?ifG+&hSjTBxl4&O4wot4{0>D*OVpMb9;@N%Ar7o+_`&M;NbL*gI@q z1m`A@@SoF?JdG^99shD(6?~&VY4IL>!(<(0Nb)S^dv%_tgD$?48~dFU&~_=$_^pbg z8aTRv-#=Wu7VqIql(cRYzBuvI?M`WFCB8~6&bWFUgsAo8h2UHWkYwrk0VomYL+Ln& zQ4vzDd&3Vn2E0wL3#zv2>8M@GXNP?*g#{EoW4Ag6Ig+J}WyTkMXF_%viv-W=ESiPyyCGz=@O} z!7J7ojHerS5ChUy^+eJx<#Wyu?I>nJb0-u;LMQ|9z$egZE>N@b7|BofyVH+~(u7;j z_6d|7;XMyj<;6q@M@Mn?ix;!$(2-VG;!gX5_2ijF8$&3u@Ky4Bc`2C#+9WGst)Fb< zl)l3_3unvN_g3W#yzZ2~cgFn&m;AhN$SI+@uNag-@*=JomC%T{ND12R=z2=?Ia^)J zaUP?+b3Nr!K44kcc}e-S#0L9AgUChY70lq0nyCVr;%fDW*>J{pFb(QmlvnUgjJyhjW@k-TW9$iKEyn3CeBi=>;#ho3 zk={q}<@aB?rIam$-f`fjuh8M_9{t6mkOKh~|5E*^W4l(6zRi+^*y>yhn1rqpWCysAJk()-{ejc^ z*lbgzVmDEdi^*bz2_ZR=_)a#%cYE;~WR;gPh2Z=%BnyZTwZw`pLcE4Ff5Rbt&5k+m zOqSP?s%W2QPdtS)-3vQ8XW>%#G(WS2&UNn?FKd?Ag* zHE3dZyAy4{5Z(|3h;uqrdIAbG+^JC9MDBr-L&1^nHVAnI!F2R;4>7cuUS}s;nE=X2 zfU2hKRnU`%^klCZr!k$v=Au`ASNqTl&ad zv?u)b3`goH$C?Rb5OxB3%_Mw97Yeg&^cMf^N_Go_@*C-_Xk#?H3?SK)QR+nfQF(xe z@a#ClypzgVhs?O`pSNJqprptLN#$RH9Wu0el zo?KKJ0P;?Gb_8$Z97TIW@{-YU8ii*XbDmqw`e>MafO^W3nzl)+>YYGJ-d)5|Mlo$~Ynb8lk7$?Q&p6=*r|o2`rm zk6Iy^ap~-#yqXk<7Km6=N`66jW|}2EUxJcYdz6Z2YI~G_4;(KWX?bZL{zV%kZIxH& zu&?`+(Rc%^l+HNCz7jsnYIfm}s8DxABo_`1c7Ja?*`w=*)BPEqOEMFAPyAG}op!z}6Fu=L^t!2CXJ@H-7lQhSyr$>9P3~*KQ z6nGxlOqn+n;)vbxxAwtD+w6|tMBQ=nKdtxp0A2&fZFI+dz1Id}Ro{CZ$=@f>4%Qvd zHg?AyaF@XL>gqPSUBmK}K^`WT-s%5?l27>kO)z0`N(BqwP>ebf;(wR?m8hrQqux`X#dl2rY zqdX-BPsIS-;F|`CBIlPD+wj4sK_c-jFb0PM^~GRJgS1u&(;(Z3j;A<*J|s4BkQP${ zcR}D^J`FO8rN`i3wA$2*$2a=JgvD+6>YoM~;}5>Z(Z6;Bm_POoMpn0HDUpW7mH+J~ z%P(d1S9(4f4^5^)-e>O=4`c5Xv%MT1O4Jq8%`}Wx&v=%ejDI<=nfOM3(&8+9!!!tG z@K1y6p^{kt48eEz#XR^v0nNi&gJA`l29Xo60|{@j&>KTvvcsj-(fA@Y#Nqmt&1?cB z7HNdt<@8d!CqNb=w{HUE(;t1s!W4@bq1DUq0kRZ)jdLKY!vOi-ecbSF1Rgo1)qUwD zPtS{2>jx3Iii^Us8|=DNuDj*eIdl2{m!X z`IH;L5vCvL1WORPxMj<03 z4md`c25@o*na!s>0f_?w_+nx}7r=`-L+mZOV1wpUnAF=87QnectGPhU$_O%`zC~J% zZ0!)pH=ja;&?u5uXeG@82^PcGf_2}N%1R_Kr9uXRLdkCE)RNV-DHW>dq~jvIZW6=& zdUymP2E=d==Q|&K;0!4=LXMNiL7y@Z$k!34%166&v7GpY>rln!Q!D2n3#L|>s`1=bMDd6LhnV7S4R!y<<+oF4`cf#KXwmQz(0fh3v&6rhE3 z#;Ctpc#Y@?;e6jtg!6Q0TuH@KaW8IuOeEcr8iikL)P-(#sR~V##-j3G_lj0|sng8r zyYdo<((`yczsdL9KSS^!o;!*PBBJdN(ELE1GbWaTAuc@+cgO`{Qa*leQXYqif|W<` z9lgjV%;F~2<@doifSYj-Cg#ltoR05%ch7KRY9)$HDT7_*8w{07oH6l3Fkm|fqIei< zyvx>J91l)0h}7S{LPR3np6V7%OuT_c%=T6^{!y}FOz&;5auLXcgl5G=B;FPimb1_q zav(7)COp5$A-ime4LLhLo=nAHZ*8)?rWBE0+8Xz^M6=JXaJsBC%4x5PIR?ko-e;}p zTj3zS9{<~vw|1Zo*sHb=$CYvCa>jEW84{BFC|xHCj^2#=8#n^PwzpC#|NoKqCg4#O zOThL7f*|G+R8+if(Hk{!V-X|~R0c9I(L`|xE{LFl;)0?i3JMxcqB#zu@gj;>(5R@W zpxi4UvW7*13y3Qy2&jlBf-Itd3z`3|KFgdlOEN;>^ZP%ZM=Nu>ySlony1Tl%x_b>` z;_G1h>y7et318JRxkeU}UT%*ssZA?98Wb6{KC8z()$4iXW{_uT? z`nBBO1TG|afKQeMtQT>jCeo|+;Y)iAzEItE2*$^ ztV;l^zr!2FvPjQKeRCZb4W8VHTO7-(?t96JcjL=)4~sw*yk&_|g?*HKib zXq(0x7wS*(aX(5&+@kuzZ*A%LCr3+X0?F~Evjc+N(iuSh{U@C-(1p0t$%K?Wl+NaF zjG`{-{Km(pOY^nrd~I38;OGrpoWjkQ`fLVj^_FjCwQqt9Ue&&deBu(} zN&etr$Gs+kI@>&AQ0HC6m2ydOr?pDPQ}VTK>M>UCX)G9v%6#;y61bjn10%kAkyU(l zzpX*!=4-oyX){Jr_yNV$BsyN(jr%gJYm-kN+|?sSNxcz7MY4w%u+7JxecIv(*3|U^ zHf=e`Xp6~{dY;6VYiLiPB`E$B?tR1mtQ=TP=1w#il76?v5OJpJUkgJ9PZEdy)xt{A zcOfen^r`(TR2kv&(F}LzphEJW682aAhi(BDs?2V0+Q;bq%)vn;_gt ze6W50OG9HK2ixluo-F++yBo=1JBr-aVCzA$uEF+p5))&OBcdYaU^@m(k?h%v!S>k~ zof?xsH=4H6>_(2k_F@Bx-tu8*FS?hUmcDEeRyuppH6$j+kopGOQZU70$e#D2M_zF9 zB#~ZJxx!$IbFl4VV9{T3G35qwT1@#$Sm|U+E{P4pl-t1+iz$0J*baN%$&m!KzV#9ExFPLI+WY5*)`bsBL5>b=kpT`(%TN!Bd zHuIfaIhU*!SNwzK#y%BnR1nk9KkHdxPvUdWg`Xc-USey`vqx&zk zv_JQ4ntQf?lBl-SH}@P#HKHd4qA1PO0_al?f#+%OV!Eafo1riJS@war zg{4M$TsNQ?9ZZTFJ%qoP6;+?U!uEy6`m4#|X6nV7k!pRf9cQ$&I^z2V^ZT^N-1(Q8 z-~>KqJk{RNr_x+MoLi8&bL1yAe>*4#jHI-XXEhp1yT;N}rV19ppd=zW%> zrOskFWjCwyR}hXr;x<$Y4Ll{=U7Hcak9HX~ZH zgV9Xdtu3A@06*^GB@2?Yt@HKclD6U^UR+jn|7MMw;n`(iKOj#jhY^!n)D*6w84LB# zz*--n>z;~1Xy>?u9xsHljbPEIxL@)|(tStB^t@*N=Hrsqd|az-FR4ws|0j7}tSBD)h+@WiNGmu+`Rvz*x+ttM5VdDc9=cP9b zzf*f4JZUMtWuZQoa*;#NFgAuI$_+PLG0|Nr)Gc-Tz?P1FE!z<4MPGTfiEz-Uhnp}? zVtCb@yAfrBR29B*b4Q}`Abj2*eE<{G&JDsZzZ+>Yy*}Z~VqjJ_f%x=V1Iy3-J@NMD{pmDR1Mw8 z3VlKp&Okx@kqnhFXlX69@a~cjVP>5`*3{{2aTz3i7N_Kz_oa^fN;bgSMG-JxCHlrf z0C?b4XFcDIcBFyUm%Y32A6~`}{zwgK>_=I=>W?pbg28r!9)FeQn`V zl=eMS-9Xy607R}W`PP2zkH94;x+AqTl_AM%4niDr zTGZMwI=t6-`z_2~PlI=Y7@JNSC6)-B*zB#*QA9Dq!DNiAONq;eHAs(ZvbEq-$FS7G*T;|mld(}|DNHf3QktRpm zVT>CU3m6eor~7yjVQO)W6$)Itr%_qge0f@YYJK|)}7-}ae-OZIG8EPVJg+UD1x!O)KR2fHkEVLr0 z-1lS*JGECxD~Nbnw0;W})09_nm3bPRr_9r~$Wa|NE2~N^t)(rPh%*8sr($dd`c6>+ zRU>&G@aNPwKh0i|I%*s_)E@LiueRE|^D}P}W+=8QAy&Rh9}!kQBCHnsp5k249A$^{ zOl8gG@Q7G7`CUBnDNo^5fqbbVP%y=-Ey3oj*2Mqk&8`~JmFXSpv#6qLdE4w2h3&lB zd!)Y?Og@;i06y(~FRz6L`ZE9aeKP6&ob0OmQ@aH_wDguAeP&M5lI#^lKUzlKdo;_M zW{n_(PF0RM)~ls@Ij7}y9Ms}>%El~naxBjB6kcqETitJ9@>Vyc=^k%&&t#D}uo=w> z0Wq^=k|X5OafMB4+4J%>;s|SS)|<8(v>{#ZhW>4Q%H&NA+sLdEPxVb92y6x>@Yu!4 zNsY4mPD(AEO9~NWzRX`RzH^fFob169MlA7i`18x~8bU&do}VYy)H*aincE9HHqwsw zgwhvBB5l0!Rb-U3@kRY{@<*zmU#TF|cx03o%WNh43ZiPI(bc}O!UN4WPK)8Hj9M(^ zu{5^)wv}`V(LeFlOr~|-k`d`Co}y0vz-Tcx)Z)JQY)@+GyTV5IMRrXQKm=#==`q3l z3fX$}swJyz-Q&%Cme@ukh(R1d9i*b-b9qhjF|dl;dnC^<=TGozzoWJvixyUUvlomw z->dzB+O5qACTEL!`iOy)_A08hFTBNFIyLT^`o!X{tU!XvJ-pfPrIua+Ba(j0N%{(f zY?PDz#eFMke0)+xYC7{5!^3;&)-c*0Y`PDPG}5_Y9J9sU@-i+Xl0tvjg*r*0mUf{H z=!E|9oM`#&$002O{}QI~)Be6ZHza3=Ul!i>XvSse|xqS{h1roK`GZM8%a9{7y(~ z$GxqzcDA9lEfo12p*6JjeiGwrt>cE*qT3_1*1T3BFp~G?cSY#o(^Mj#GJkU`by%bC zRyjic#v`X-;pJrRQqK|>P1H9}M`JG)jlB%g5)}R85gMDRnB~|a84K3E*Vs>>s9w>J zr>YpD$J@F+S6iX3qK=9)^v2tejk0pWx4{GpEGtKUe;Pt8+cE4;u~5{bvhq@_Tu{>- z3nf=uZ0$=QOanNGqe2PQzH~7qZO5c`kk&m}yEJ^cKzH`I*15;7fe~6@*67{2(s-U$ zD($JHVOKg1hPWy{sGdqUYWfvq*?&w_c80tS8JmeC{%|qzLuhlgr!yrRoQaLpXl66< z^2kaTzg2goF!506+J8(`>%Fc}6`P6e-J19?w7HnL<9~IxXOA@Tpx<1QJ0!Bw2kNO5 zCN3k({$rxj#6SKUkBL7KHt6Wi-Qc#1iT|Z!gKOf*U9L)(!Vp)bUFxY6CTe7f!NgxN z;wlL=#*Uj9F(X=gWzjweg3FS6?tnr1(;nc z-c-#YNNJ~@OtoqSV%iw}iau_hE^qxjKD=$W_V{uEpw%lR`WIII@+`dRQw zG+VgpEtHq-Qg%xf;+^`}d@<_?jGUpL%THffC{>#e9&o&2<4}tbNI04t0pC=w=8IYz zd9}Wn7+YN?Mrzqw=>%@yma$^E#K6tUiX3W%WqQkul@{NPWy2)sI4O#bCnnWNN6k>W zzjSrEvB%ldBi3SbK+OJnUgM(5IJs~>>Q9jin3E|c?hNDdupRorkWjM%^GLs&zufBb zz$W9_Iu7foXW&%4nz}V8U;8M+Lu;Pd;W8KTdg{Ne#!a>`hewMzd06beIL@ zgpA1a5@AwmMp!}SB0mb1>euG`OTO9a*Uam33X1*OGOCdcYyJAy89cP2NdH{lasE?Z z8bk)C2d+YN(AenKPo9x#Y_tCMj;i8yo8O?k4T20q-M9ITZj zHEK8(BdKL8qP=wfAYDllJJcUA9@5jwjHryooQW0=$jNGT+@9Co3`ZVE_Khsu7G-B? z{*$=%CP`dpU~+%6T<_OJ?bT0j@kkF|zC{q07aVNV`5SfiQdv2wJzlXJCESSRh=puc zrh*L@h~seLCc6d(;y+%6Kgw|^heq)<7E2k4f4aF*9)8Ds=^^S;H7UD$tu-C1(?RFL zTs0DNI!KxHlfjly%q<};H7}xzEDpGPW{ds{Ei^Fs1Jk70GJf<^*op(b(m+9Z9f7Mg zR~neONNJ1ynvKb%=}3j8BH(+1Q($#rIaK;aA96?b4e?|e_nBrX%7SeH^a|rjcgEQz z?VxvzpRYNA>kJnVp*UUn?d1}JoZ!8vu4+}Ri|)9X!?;CDDSFIn>c)=%mG%{Pnur!X z{3SosRqxR~jx(mC@`KZ)ubCGsy<^|2QaPUqX(X(DbkiltJK(KNw6HAzNr&vr`dZi=<)U{qE!|0GL@&X2r z%ZX{0TRjVY=$nyI z%|_Ieq`r1i2TA%=l9r1GKMl!$a}9p?i+!QNU0-St4gPIHlm_2*yP?5rBq^>2cghWn zQ9C>+s7LMav=&u$x?fYvPW;Q-yK93}doNJb#ns*q?98IQ$CBBhy&WS7EbC{e+4m<{ zYNmAQVKhi>HPxI)dQ8=Rl!d)5(>J9@tIvqUJG8<&zD>37N`& zK#QA*o9ZB<<}MU6j^!)M%JI}}*vAv&=ED9Zm%WOZqVK9?=-ebKcMVm$Rcd) zNS=UUEY7PvH;m7R`aLm>520Kv!}w-+u-^^iRygRwwyHmtVf+Sx|51kVInV8ZVf;!Q z!#FQo1FH1xvodzdj(Q@7@eQBIcqkrIWf-3bb6tk<6JXmT!*~@fj53USC~SYxFn-*| zWE#dEg(XqLxEr}6`^MkQFurYBy=H~*Nkfrv*HENL>R`$-DsE<4@**9vtl0_ z##eo1_bIkcwmu^i#x*TYw=;`rv5?FT)8elg#wXTe7&nPw7(f4^(=dMLILl&Dro-ps z!-nxTJ4qQcGbKqG#>J5QH`m}vAM6VaKKVZlqQUE)j?&=10}Ks*SCZmt@IEq($E|Q` zZ+k^uT^+PxF` z8PzJoc*fXhJ(i3Aag~WQJ)g7K7r z`E-KzJ{~b~B}v`+Pzc_L!_(lMr0yFHyJJ{r$jzOqSs4^EEWPHr+FEbPeRx|gGrXW9 zAsXv~t}7~2Elu=PcQvA6x;EoesJd&|3F^3HBDJiH6;y=v&*UMxBfbvXv(j2V;I|4) z7TpvN$M>H~x1Fs#oa!ccaT^tmQFD)ImR(eoRyn7(=>K(;nVe=K>Pi{0&<~PQ~&-6wL-cEG_Z$=OVeap%6G@5 z?5d;1xHqq6DLqQo&5%#%)HvKxLNo#qCg>+^vp67Q(Qt4Y*YyX=q$ieD4a*cymOt1R zr`mW`FxZ#%*?5s=o~D$6knx&PG?$=YRO$zPs%o2g@=IDF+Hzci)E-Bz7T4Jhl1kWG zn z?W@KH#_tmLY3v%gXt<7J^Wkf|x`DB#2PO51{NQiF>qZ#5sZHL@HJA_mP@ zRaRAWDUucbD^?N^N4W~J$;+W?uR(g6{GmFTmvgD^IK9-eZ^#hQzYuzkR+Bm3y|lHw z16%axs89BC8y8LFkYspcwu(|=FTxi6Zu0Tz)ch*1w#-|yI7#k16BmH&sr~pk>ZrD( z3Fe6?c&<_?b)}`721g*^7QMAXzXj)qY(J@q>r`KrI@y%DKsg{DqK=wX2wC4GwI#OU zdL;RsA$Idc49=6^ZNato8?HASXzLEK>zFv%*G23TH;*KHctt~y{T39#jk?LckE-hK zDO2P2lsm7Io|2XOK(*0R*5n3z)X`ITI;C2F_Aysa3F+hcAU$QOem!gm_mp2D%m@=u zJ>>?nCEioMh|yE5c!~%G9w{o~lm5V-cl?gjn{0$OR6(eyaE_)sf&|}t6qPO65`UX^ zi+;G2SA{Si@){G0ujzCFqtE07yVBuY9dd)HKtUB-0ICb#NDVa`qwE3dmId|z3(0u7-;L(v%{$>hMw)qFvRXbR3>H^;&^ui-6PQE3L*M!6nx2_LX-f} zEBh!6@vz8BOY5nWxTTNDvY(k)8;HlmyVWRWM+Exdwu^~VDcRsm{O&i)sYcAu7JWGk zaaDR=J(a@5QDjN51AX_qdw#i=>RcUY`6CU{ftnj=>+V3GQ`P>Hy$bwmM3u2S(4lTI zcj_CbWj5cD#yM@CN8f7>~`VMHDp*PW|q-aDbK zY}I}D;ZmQX_St=9Kc#BlGuQjd)~A*oDLZfG<>qfgqw(2)R6j@uDR0hUgI*Py5hM=tM&PHh5Z!jSi?nh(cZoKgYs;Te? zie)pJ*e%Z z*=|z=yEuJz1kFE#-$nDaC40^3M?n!ecwNB}V6V1=J3iftZC#QihtDH}pb|a>JVIi> ze~@@6+&AVa;9C8a2WeWcsN4#OIyv9)nm@*O^K|fee*5Z@3}5L_9E+xRdgt&zwyQYK z<~YKaTDFp}5v~D=F`^3h5#f8QEQg6WC=Zs}iq9j6$z50B9Y#GHpX6o%aY&U;#T}%o z_I4%)GWFqm7>~rM7szLG(+JpJtN(yikQek3TK*f}Q1PNt^w0lMRC~8ZxsHOf9#69d z8|BAtgNUvB1m3fglxMw_B*}dO`d1IcmYKSJj&7}Fwzns@W6NxNv0G+CFEC`b zitqbUX4P|@GV3d~B_gxs!uWb+b|(93qMKG^4hKuu>VEF8cF63e<6Sbl7p<{m_Pm{> zWY%Ajl*~?o%)dcDJMVtC%;x19GCP^?rp!8218lyOWC2&AO~lBA>T^SP#OQP7 zZ_4hGH}#2kYdTVhijK4^Qr&I_(y95U^sW0KFP5D4bKiPuq+3o$_zgMT&-Z;Lr`u;a z<szLh;ZW`CMBogXpb$YK`^_1IeqX;jDEIma-^KLx#iT7iVQh@F#-k=g3a|- z_^8u*D)z{>884mqxbI3o3_)_HIr1XX2R6+>ZU080%Bv^si~a1&?-lpjUWY2T1WiOl zM$l(y;;;9R6n8&+A4X&>nIUSb!vC@TuMslhM`S!>XO?li9hn__+J7w~V>A)Db;WZI zCEc1etWf2vFrmYt$_KW#dW{-%x}(BYsIu2iQXMKol9tPwWApIX3m@r`v&CunA|?8NVNGMyQO>p;V+}9abUghK^wT;RaE+a$E}Pql1XW0d zK4)m8603I?S5hm$am)x#Gk!muHq_gcLCAae=sf7$aO(4}h_!x4_ z6-Uhen=y{P!!5UGI~#I4fba3-CMPZ9%@}JEl-tj5IOSG8B#zwfj*#0QFC;k8_t9g_ z8MC(AlIv!O=WAO$s>f9#lp66nGi@Ah2hA$Ul}S>HNbUlNjg%bz|Dv(nC&QT=&WA=_ zDAO&a+E)o@jN23^@RT0|n}?_T@`7&~hk(7a`Io!%7xQ4E^F*P9u&GmYx5F-; z4ypD{v5`)#_NllM`&vllS_|WG9&qI41a;c(c!lS3;%sD(V6C2{e)pE&92ovV-*y}R zMqj18i|w1pCA*FDf~UWfEl)Iz^ytM5L7cnU`Bmzh1ry7Y4-NRHsEjkX5urn}%Fd-%dtnKT?tsovlNtT+4?{>8p3P4BKIQUMwHW9+fBBUl)afJNos9(2qgc_HTc|d`$B`? zxw%0!_)gG7Xz-Q}h6Z0QNr`H3L)x2zap#0Jxay`lHMpytSu}Vwnd543efDM=&bzua z*h6}x2KQviq+FD;Gz_--|xXNF$kl4A6$^QA@C9BA7SHSxanUghNITt*z zy~1jKr#@g1yv|%5o*%WoW7S2l>d<`;QVshhwY0xlMTB>PzTvzm^IJ%tg4-Y*KHHcg z%yyculymK=rT0+EJ6CQ)RHGd?CYOK44>sQ`*=3(78``|7!EMP~^dl*0zcb8E^Vn`o zfoZ&FG_1W$mOMjrDT4ss)y=8X2_XGZeM;g}ITt>&70(8_!p8gye@yvr&0lRmIng>pWM0lSKVIn4c_}xjcd==?`LSJ$q$pP!`F7 zzH&uN39TR&LX#k4gWuKqmK)#+$B2Cs0tFL^d>%&b7=Z&D+Za&+T@4iUM9El3U_jLM zVHZa%^Q>con`xa9pdsP=#4+?ukfQPWi{y;dR8C9B+{51ot~60=xnyegKuZ$~?~2@$ z#BaDoKV_n&g`$%qC41`hrd?1$31{!pIJH!mYcb?&T4hD7s9R9;C}4{yMzG$-3_~^( zjDmGUSw#tDNF1SD8A-#E0rk)@ftFXwZmhZpaf?0^mz#Owfi`OtuQ>{h(YNqdq|Df! z9$AikU7>yIbp>9C&>A4NMg*lFPQ?;M^XO!FEpPXH3S8`axse2!7-tc&&Fm=09 z3kHX>RYh3J@;Xhy%V)PNY{lQ@ju4a5uH>=eaZW}^e)Aa`BOYhW^};eU#!@0tVstBY z&rZP-qCHxjm;pVhWe0&XLMVoG7ehZ4NSI3<-EQOLouAUE#x*CwY`I(sts<898^$E_ z;NRk*&#Y7!v_5~ z(H9P7hxB=r-NV3Ynw9b|LZROFV!&-LzI;Rv8M_{2`iFAZ>^d3|4Qj z&*=Otj(k{J4vk*(wsGpW;~3AuEiWhjXX_&I%(YcuJ@e_H-rCA3G-9BVVF zubrfXcDp30&7_Xd`8Vim7xr-rZP|&2(9Ys}yuQ{De)xgookE*&RUDy3`r#iOm5|VC zaJffC)(yis@Akvr?)K(>f=Dbs{6srRt!LksB;|)638}HkBqw!6g|fEP z80zLQ6np9lWgYf&j1E^)E~BI!%DOwvl9?JM2cSDvSY*fJtt2%{=1P(Zi%f;g*u70& zAlm1ltd`6&db?#dG0l)!OTO<*nVmP*DYJhUBq+11A5KJOi?+s?**<#6C9}_M!6=zc zK^&IM{$by+p=35jl9bH)KxQnN?dN`0c$r&fzZ`4G>}tN7GHdWq*2kW7%In)p>j;sY zV@ED3_Vq@S>=4OuWgmY(zmy~*r>n8>qb$f9 zDe9I}U%MhDCm$6Vaym#DWQVdA9Ie>n3}v0EGW`8RSr2}&-p!&txLj;huLjvH@_{B| zvuMFT4NW{nlKxL@7VQp-np&Z(qc0K)<8F5@w=>IT(G6tY)~Nowr7N|MxOQ5sao8j|+Y)FIj@F8J&Vh121c z>^rRuML3@C@uqv_fKYZ)^l76691zM2_77G!VF$#E`69v?r;Q3DP8%Iw95D>VJZ&`m z-5Aq_gtD5$kQvQ-<>4-|%|#-X1LAQzNr~-6Nm34oo1iq7*!I&*Vu|g+Jh#{y9bt&= zX1?!BvGrzg6BgU13lbDtzxzaN^;y;n7RH!8eo{NL>cEOAwsuFj#MTr|wZwLloutIJ z31Jyx`yZ6L#rC(0kXdu@zL+wuJikGvj6*;ZF=af~%22tTxg;ezWrWZHwPzd^&-&LR zWZuX04^J8Wg^c)9#&|okOc`gAIqsBEUxdtfe3UitD6u_?5?KS!%XX3y+fYeT^F=n4x(6N=6T;;- zDkg-3E8eI#Q`p$b2@Ybfad7m}Vm>CY-xZGh2%jw`NI<`!hg*6dv^1o5Cf_-2FuZGS zpfp`B0?0|7Zn$HV12oQDUvEIGKQKmu9VA}zm{LoA(*NG_)rRDSgd-#BE42c)|g#ZPo8^ zKx-PmBLiALYhebozRB=kPeAJ^l_imY)*aNu#;NSx8ylz50j-V6;%58bZn|z$SnqT# z%YO4}(O3_nIKz&MRoL-P{k3ilGF@K}nuzIoU5e4w{gRaEbls5QfY+!Iz*^z`*DDmp zUE#H}Gs|#MO6IuJb$#K02am3My59Eh=;>MlT3su=pX_CvTH%fE&H!Pq@J@6u6^2QY zTH&1w)ovx;uQRsgbh^B&a5}ugThZK5g!A|wy;Lw}?1tF(x(yddc&RY|yf`y<q*b^C+bong_jy5YvORM^7{SkUgf=Qi%3cDM+9T9^0wM(YL&N4($u{11cWN_ z*+HjVXvb}Kk(Yi+&?&DV$faMAcNY3)*BNv=MK-aN%YBEw_$(!!Dl;TB*ko{$DIcOX z0tFw+UH2T~c#LesAgjwv8zVU@vRyr$c_)c>h;)p|cKTm9Ftwg-rY4QL5H>iW1SvGvZXKi1n- zKi_FhV!VUUU;G`Mgm|Ds-!whHIBUO}inQ&iPF zCsW6Zs@zc2vgY6HnxXW($gxFlVPo=#UwIv_uqE)A6@E&=#Cr!@^hLDLMbnuIO9GEs zH3ExXMDEDWG6)68)oMA{%#kjhZ>=I@p7sH!0=Ravtb$+s*_uDgjX%@)v)K4EgFlDy zXXL#n7pDZfC23Cr@4nX5CKYt-Nn3`w) zg|*w3KW`rBz07<0&DZ7y)$c;2~|A{?KaCrD<<|MY)JmkC^!o)Wwy z3B5(Q@+^$-S6|r{mX1FSq|AU9z+X;GxL+3OAx-0Dkw##Wrk=&`z!)hZasGih!VLX! z&{V6(Z1_&HG+SG~b7fi8{e<$&sp4nxd7OXR0+O;%3QwLP*m5$tO!A_nk{^@E)~Yz0 zbQvW|svvTHQrRa(-?yUJ(V0rQkRz81RW_ zFxfoSvx`%P5d*Esj4{o?U-n6AxoBTty!_hvskbq2W2BaM;)i!G{|kBNiNO6q^*lL! zUTo+uLB>78zO7fQM4yBfECoM2u8sXD6*svFdNL_6O}Z+wz6deqWPaywJYITTPCymt9?Us0Nh=dp=4g{UD4^bf;Yy~=`8c^V zmub_4eLiiQ^(H4rj(%2lbYPB1UEiTpp%FX`^NBB$l z#y~7ALjl(TjJ|M$Qs*-Hpt?fPcpo{Sm>YJ-zwx%L@QZHG(Ka?#!s3u+piI~ct7MEb zPK_GeEL#pXPBgAMy*6~}c=^GRtM5=IVQshKE@_;UVT(o7OGrhXH`wYdr@{1}(WIJ_ zN%|`i3{og8L7vc&iVe;326K){(tO2izm#HwlryVjR*U#*wW3kB?9@%pPSJG+W3{gm ziagc62?Dr@j`tl#PBO)1-+c|z86qWaeh3b%5Hb0tm@>*-=@5~e6%345-7i@3eS~=A zNKjzT6sR^tGlJ^XIj%DKW=Kb#Y*%Mk^SQ=2Fh-gvw)z&9u5<{a#fdBZRh&r@mIZ=a z1yQiek%2KI5GINusPy+wB|Y$xj3|Ne(oy9xjjsaJWbTmrs(+VsdHZ5r>YFQ(=0plD zPHx?}=5u0f^gl_BoOq>Wyyztzn3xM5QkTA!t1V*=7X10qyJ^Z|_wtHQa42(^^rLVe zsxFf*B>%KB=|!4)Ln!|9>)LqfMVfk7EdHBidBInVNjm;p=;1j!3xv#sLj;KB|AYS0 zDNG0kAw|X2zEaVfYF{}SLR#NZ?3^d?!g{f}3w9;AWcx@hTB5fTjYUhW-3fm%Orl?r zD6h6F`kE-5Jq?`kb|dS25M=drQErrwhKd2{R%HQnk5q5{fD_X!u& zTf;J-^IC8-;RldKuV@6?Gg2mwF6PAX2iYPf4(240zC@Q=4_KLRx%1+fdMHbbpP|^Z zrvEcAM}#BORU^owxQv{3QBgN@+vYsGjP#|?GsK`iFRAcAIW1pS4t>T$V_jez%Q&|= z&`D4`9vVBD-|C?;=1I(ONK+592^Awi4E|qL2_70FS)Fqpz<2whF|U^I@oK(guU613 zb4lu(3m8`Tol+wscOWRe)4Wl~dRfkS6HcMrNxfmKUp*vew0@_atniPrpQ3XX7$61K zs{+Qp>k5WlSf%ut8=rfHrWvC4RrE5O;=MeN^#sl{TA)Tt1}*8&`cuEiY%p+~j8rl@ zq!S-ZsIjRH5TM(i}jbUq|Yrd zuTt#7Siz1mCL%L|yI(RdjLHknl3p({aWOk6RzHojAMZ0w;b0M6)x1=Iqj~K6%Q(Pr z#`{Mn!O2r!Ql;$P7%)c)1DSN5kX}eeZ-Cpk>+I$8WWg|#|2+PkJMF~+0{4r+gT}oN znHDN8btr94AM$3uoBD7Y{>Te*8-rF87$f7PlE+%T<+IXPWKRzf3g!BjCyMk(7R$<% zVOq2xmpi`$Ws)j4=UWR$b)xk+Hf*@s>p|b>?dTglSY}^-$xHdfkClY)JQ$AM$(}&9Gi`uhzRkl>D~V z0PTgy0{F0*K4P?)_t?{gmoi}&RjRhj^KkV4Ru8yZyn(W=78g*iz82RU2(|h%WnQqLI8Pg6 zXwWBEDfV0$(ue{3A9#wIW{QlS zAzYy|8e;tP>20(wC-Sf6*<3!So49HT%>-go+t`;x~C_B4DFC=6(k{ zX_RMw#`;58VqR{!Qcm&&{)Tyu7k6TnoT%BW7_2-`?48>ku|qmx`} z;7_2F3@#27U`^|CKN^h<3c8E>vnyW1=kK(`mWW5m?b>!LWd>XK0iFsUxE52FwsY^2csu?F&r@(jrkK($2rkg90fBIndomMWBn6- zG&%C9c7!o}w4gF4`i=CtSSIj42rsiuU^PE{@lR|!dCobY=yw{u%3$q)(QB8G`A(le ziNxmdBky+2;~Rx3&UySQFgf%;L1Q=WJRUIcH{3if$`YQ(k47^SoX1tc*z@=X+8kpZ zUwfpQ$E9HGd3-Jz_H-WqmOzs_=JDS4Jbn^tqYr?M#yoxsb;j+BJ6Zk-x$f7<4uACK^o8AE@G@Qm!)b~Cd$BN6trtx<(k+LH zsvg)H<<49mj$I9ycDZ;SRgNEy5Vrd2UWW@C==uE2nT}}acSG2|` z87yU3%7}o3oi7q6BHc%}d!1(RgN7MA!rgsNq?t80iW$7|u;}jd|9~0%L@Q*`KxVKX zTn%jo|8l6{Y*;h6QgFsFgLgsy{xyTIyI4kw*BSEKS48iF`4(! z41Q>h+YJ6gxNh1z4FA>o&5DqO%-}c48h7eV*daWoyTc4Vl~`rdA*>uZ`@sy>TEc-u z%;5fHY#=lEFhOOS!KrG>ViQtZW;=r&F0atT)~w3>t)}B8sbUR}oKlxXoN2p(BV1mm zu-oP~m4|~aF;jUnL7@)6aFsC5X)3n?lcSI9t*I>hR^w8=e&GaNURN+zhE3&dpx=8_ zc@}MsVJc5;QIDxSnhbktD!)yzSskYGHhZq;QV@@xO*;)!IrNXZ`)hr^>5b=!scieE z?>{%(S7jS7fxf!8zUi-166~u}$yk41jWXSp-5#-Iy-83d;G6DU>gZXjqaG*J%CfZ% z-*hoXb4=g#11uQa9d-FZiFQ;UWgQ*$2;}bSs1JcDp^oaZt>dm~-OrugPT%y8&G)>cw%`O$9Ub*B`v{!ss1;CXbkyEbXN>D# zBc9}o^%nSYwUbp9`9k$|pPCvmAf3(FAM|9J?RQ=PJM=(QV(%^*t^`Fas_VV9_GoC1 zacS)vpSWr61y@`&&ug~VG;ab$qzSW&QIiR{8A`~e_AX31BOrAvf8|F%u4f0s-bY~g4Vk1C-s%f_;11QN1g6KpD-Voop8V@B|dmz`-NJVtGE=kB!7=LPL| zn^gc%9?pZnoR(zQvp{N(y~-6Dj-IPlyVm5QITH6n8KXJRg~NLyYI26n2!0}aq?@aT z;154QDl>c|YR@lz43QC;QwMexaA(I2$ueWG!fu5DL_{cPzESm})X^DsBJjbg?c8G= z)W&+{yeftuoi}Y0N3Na-|GWvUR&$aB_lzXC=Q3k2{WN&bP)yvHsBayYvqx_|o*5k% z${Hh0alCJ(9it=tK_a1y5JU0wtmtXYR74w#s!4Rgzo3xfqU?52=|E->)r;XW?C9@E zmc9GUyhPyh$WsJ9Ok!ExrIvQ)FL{*ULttP`o)BY(PA{O0c>#_J+x=eU5Pd}zCF~B+nIU*=&%q^9U_5+eJTq)5rHNi}~^m-%p6wQs5#P>q+%s(rHs zBhq6}S)B;*2P;}&ISWYyt@l?XsbFQKCkx}_KD26(k)b;^)cA$GSNSV)3i<0{Q5()g zPF7gdiTtQLEJ_Cdh6{^&C<#S~UIGsh9$5ZM6&BT(jP}qTcL0mF@?-1-9b%zMC@iXA zoXvbQENX#A`d*@8QLT4bW_ArBAWC!B>baL#NEx_>(O4O{ZU(Pf4*QKz1544@>i;CW z!>XPyOmi-O+fp(it2(i;sA~=U30T!5RajIz@<)e7oeELTu&ASi$jGp$yF$C+r6bP8 z42#OZ=jLefN0fE7_*}}>*J2|qs+62{b&~p)p4Dw#OV4EaX~Yf2+on;`gN|+zt(4Kb zrFDfxT~`Z_qWj38s6LWS#`^n6LQBuhyFrz}(zBC2_%R$xuhl0|QY2c}(leO=^_WY~ zb{ARw0(8KwDj1#UoJIO&{s!wI2ZIhQ6t7FCvb}{fL&`A>X5y`k8 zUV1JCy=&W-OB$WGp*F)qwdL zXHSXq7U9q;i5Ly-66_7+rqs6_3f{p1gI*wsxqJz# zVJQ-5Y)152mSX$`h!~9L|1MHAjsqmV0CAd?;dNRD4RIe_*z}uVH}`?+Tz|yI$T$f` zwf+!d$18O8oLz`&{?!uD{GAGDHqG|}!6SzXWck4n1M6(TWSBvBPbi|w*t8?5%P?~S zWrbukHm>8IcgUgh2gVCV_%@$LMJ*|23-OL6#U!afm2k$KN%bP9+8w(E)7>I2&9T|G zf$x8TtjTIYVVEzr7Rbs#F=e)WwXswg0JU*~v4*1~Xi0vBT7+mkL}=t6W#<>|=tKS* z99xO3BBVN25e4B@gb0wsWzt@A74hXfOJ4>1?q9)vIoS2A)Tibi?4Vemdw9vy+zkTy|2SFwSWweYahP40{_k!M(J& zc9QU04a^PYq8Eh<+et5hK0!N46~sj!!%n&#?!~Z^2EmFbJ4x&`v6EzmH|->kuuLr^ zh_A8iBxTPjJ4tfpnFAblQX_|*bbzWs*-5ISM8@9KVJ97ePpS?(=>^+PQekV8X`x{! z4gI<9{$AgLX!^gz5ViN>wx1C0>xwmTj#D}M`ra;xF5Z@4U(X<8{e3;51<}`A!+pK3 zb0Q~=a`a3Yg!R#stZzY-6K_G3MpS}(FD?gkiFNe#UROsC2-ln){dXdY6YA(Ldns;5 zKh?m$uNFkJL7!kpH+o*oj{Xqbi_y{VhZRvBU3{Gp3!)>0WmX`a)zKwiy$hmaR1JHy zAjPHb-}K_YRW>%KvZQVN$yS!5BMCr~V|OZr~lJFE%+wlzNtT zn02jTus@jC4%0ei6gqd9Zc>#s%nnmaMTuG_nma-VIh#^Y=L#>p`Q}`aM(i-n+9<54 zCssIO4=mOW(@?<^YlrE52xb7=lO3it$*~rBX^JO~9VY1y?j5G0)2;EBNn2D+oE!6h zlo37d4wE-r2DASOGCOyeddRv??J#xWFInlSrJZYssXt}NL)r#e_F1QNW!32;>96lC zrK(clWuSA(ZmqHHy`^t)rx-q2YZ+)LkF^XGMUAt!^ulx)$TAQa2``JYXu=v6*pAg; zGU7F+Ay$J5F{{DbsWN)mMTTZziM7Q<=zVxS_+MHVwH}-#t#GUd$4M3DdN6v6X|t}@ zgExW+;w;a5wf+U){}N%vQCm!EfHL=rUc28?Ck6+##Z*KEHN;7YLiG~$lT9G)cNUW} zcF4N%F1w79zYz47_ZCxCsYK=f!(W!aa(zsVmc3ke;l4A4)10U@A14X0hsejasHLkK zpEzyF)|}D}y@zS!zHYE}h`}LQG*#rw&6H}J3^ALC^k1e3gC)LK7P+lyNsUM(@r}66 zQA=piQF96Xq{6O_$b)_62C$!?uvgL++BCrcv0Jz`b_)k^3PjBKFaH$bE1v+(GtZh@ zyMUaTvs!qFtA%F?4bM_kAID#Nzl{I#2>P3~8Q7X1`*|6E*Z1gNB6}VAWUSw`PiPt6 zNKhrVjQ1Bi`tJ}FL!Y+3{$>2#@gnWIo#j9`Owio`y2Pye11Rg*?wBl$b6WRDgDD~F z-nAzf*SZ&egTLXdds*&=m+@;rpP+TG3dUZ>Po&K;to!G`6J3ee?&web5zF{&^6l9& z{ybH~9xdZ9=u)S3pY4v++dvBq>weO=b@%uBmhoE-buHshlb`!{U-OY~671{OlCl22 zp3pM>a6y&8zGlA>j-IJH`iJYFww`^>M}Lmvue-9n1D)#VBSDu~M?Z$Lj*h-axaREW zXM!oAj-J>uet?0$;X1l>v~WlN74!*qbX72RN1sQVV|4TxYh!fu7|Zxx}}yI@dpj4^tZi&-W8kxNH~14dM(f4-iqV)Zl?Gio2HwJ$ss%{2Bl zmr;!x9_FkTjr{8u8kbQn)`iAjiyUOWO_m64ZivW9H!mm3NtZuD z{6AK%vH-ERE)6SGqL%C+r2)rUBN+=~;e#xQr>v4SuKMXM9_b-^Qm!h^tNTJ@pQ|$K z)+nxL3)jGUw*b_8aiMXKgGE^uqpDmewMBmzZmYr5Qq>(_xdzXabgu@Zz1n5zj^n;C znnkVqLgRC6Oe|HDKCq0PsIdLT3yrtaLKjWfDJ+RzXgq=3v0~p7h}gfUNSIR<8L|HW z%Lz5%3@{@0FHr=(tM04drmt#!{+BRq?;`d$gCZ8y^+xP3X0td(#QxGh+%!K6SNzUX z2LIT5n$HGBb5Sq&y=U-WRww#TUFa zo#8_bCGo?^8EbF_TVoYBR%=y>M2?sqQW>U*sqCu2Vm)Q03|NCBM#LO#AR&G0>|k)G zqe!AfSXUbt%n?^^MnX)J0a;uVGgs^m^$e!B@dfkJlX8sP@3>^Qk;DMBfOkIbKeR3h zH10SMWlDw)`7F1mF&Il%(zk*sC!h}d=V~9t0lDRsd|zNePwvvPHuQIa1yv|&m>oA58^Y!J${)TP$j!;@APe6Ct5l@8%dy;?`3UkgZq953 z`=i`-yD8{&*ZstAb#rFeS7!(_q<13;_scBkg56Ke-yAm?l|>4%e1{{Iw@n3Y zOd$$!91IdhAX?$7KAGSJ^N2V~{rP7gRb943Z=|UsGv3)Wg&62vB|0}TXHaHXFTeP# zOr_>RApVr@&Q~r@RB>{2ZaB|2@EpU7Y((fAmx z)!G`Ln%uc5k{G8Lx{y&DRtW2)vo)30B*3Dp@M_sgBwf51RUtKe; z9DQoMv8ZT6*h$#mmjN#IMx>jWHE$Ramv|d-9ab8yLxU;eI#hChggw|Ws;@r*)fCF< z-9Z{_s!CvjJ@U4g6YSfBbvP&3ZPeg*PFDS?d@m>1AShx{UGD@taImP#25wz}OLE_l zw!n|&)Hs6Xb0TS;^zmNP{3R&d6Ku&QzcTs@#$xg3Ym1|;ed`UEh*4bgO^UGPC4R@+ z>W~ZCj5k~u74=3`E1Hq7{id2sFlxbAf3V35&loEoub2+DPkGUD^fgd~Ik{8Mg2^IC zjmve`NdJ0;M8{e@zB%c7GV_o;u9Cv$?BYdd5*vmW z*Mez3^1{-KM;6EC#VL;)yqGWBkv1>#$ZheW3W8m{XhUMd@S*@r`;ixxUflO?Y+f8& zVen!ayPaWPbS1aNi>VOo;>Ev6Y#3hT3Q;ASn)!nrH~+)KDWP*t1?VSGBY&^b(meVB z@^{IiW(zOnEei70l3|{o<-C3xGV%vXx*kWtK-VmPV04Dq*nva*T1$?xHRHQpPU-5B zES|EIV3JhTY}Bp9FV<%rX&HIso)wM*3J0s z4+b`zCSdd+K(4$S*<{S4X=*!XJZ<9%r?Vl^W0JVo(+I+r97`QrNUbi(FbVVXRzqw1 z9j~GjB*Lo?elADJ##YH`l-#&TZ?R~1sE*dGfcSYi(wZi!H6?m;jz48JE);sIpg>q= z&{Hn-^i%Y-j!n-{i=MaqO?#fH4(aG)IUmr+R6dD5ivEc{!mAKa z=_63C#E|c;OnnuPmHa;1)Ylb4I#0y#L<+s6$qxa8@N>Dqqf3&~j;=1|H52A}A-cL` z7jOLvHqq9su(sZXc61eOHMAoqJ&in4WxA*XFvBF_G<>kqW!8qdCuF2SMt_rxLGVQQ z$G(Z`+cqh}KSj!Pa@2^gOHY~4Kq_6pADe-n9S8%vP^I1o@=fym!PiAC9sN3v4u1@h z(xJ5!;2$1OljF?FJN3v}Nk_f@bBtgnqx!f4ri9Nh0)9lSh95&To8Ge{D|P^xw&evw2xkpppXh z(|^yyX-WX?zK){5Ydrd!3H{fCzPe;a!t~FF@zDR{Y-5B)mTvvYP$TSHOlI>s3HjfU zA6_XvvDD?TWJT>E{s$IRGUhUl+5Ma%^4sp`(p8a{yhEr|?qYc!l0CY%dBKw9NKn0r zIzvk7M+l<=qdls*mteG@YRyxpcWqYQt(nZSP_cwLO1~Y7s!Jw1TAUFcaStG8+dd2R z=5@F4Q`COmSyF3~(LU8N0woFr4@-YYr14kVCwzK`7P>m)S=Mpw}WI(5wUl}q~` zL$#_)#@5}wQ)Yq8Fb^+MUHF_w0D5DZjd?h`G|i*`Ab*Q_sAd9~`G-I7u%1HgWmScZ zLhCT>3WW^=gblx|g0sZ1n_Kx%l1G1freJE)f<(VoqKbh_MzUbXbEMdfQf#3V3zSrn z%``N7ww3~7We(z_zChvac#xDBBqbghAUM(>C=&XlQiF8@Ks7X9B8o++Q_%Kzj`|97Ne9fu44 zn+1PJSQ&64zRE^?wTW0PX*c3*K`fu=Dk~erO%23pg7_9e{BjiHzBb~1CgOExL?T{C zshRS5uKZ6Zeq)BBxSDBh-mQW-Ul0eIbe5eZLpC-2weJFZcH5#c6MW_p-d;iEj)}^Az}lrwhE)tL@I!cI0J#udvPE-B=I3O}Vn4 z3e(!HN@vQ9j?fSJpvs3%CvfH)o*xqx~z<~q%4e8gu zV|M$t?b@D|o$VP~IH2Fq!fS6EaP6G~tmHG#A~ma1hqj&UFCDV8J9OwE1xys}+IMK* z@vQdkJIG{m)6km+w;6Kh!0T@xJmjWZ2M#!6;IM&b$T!K{##!GP18y2{ZK3DR!r?;( z)?e;SyIQlHFo}Ql)faBSUn>`Gl)GG;LAMVc*ye`Yi-r!pws2^hZbf$vytar2-+uGJ z!hS=C4=o%h&3F77*k;&Gx88Kyur}Vjf;QLQT6D%;gJd{Rbn~-c;lQDV{p44_>jn<8 zxh0}&b8Wx2S!W`>vpSub-S5oy?b>H$wLL5AER!Hpx-qkOJbO*2BFrQX#J8AIUHOj}vgc8Ahf_)e7G2b=-iO4UQTD61iS-S1$+|t8E^_v z2YwFx6SxJ~k}<3m14lYA9he350`q`fh&O* zFkSo!oCB1>aRsmgFvN5^0QegQ;1j?OER_}kyRqo~1$Z+)Et$6m;Pbo;_#8g*Qs89v z38n%+XCG-j@FVsaTOI82G-ppg2iTejibCM4c-JQY5BrMt0++4Y9ZEjL<9YaN>IWXO zmimE1*HJ&P_8aO4_WO?dfm_#8Kkp7-y@C3HU;jY;z-wx#AGqa5>Ie4OMEx9JS^X3B z1N&~Fe&FV<)DOJsXX*#8+D`q%v0nWv^#i8?`vY6-*c}=Ld<{4e_&?xm;7s6hU=?sZ za4~Qf@I7G4;U3SqJE;%Y=C|FU3SinFyF;si5B#}1lyQW|^X+cv05%CBcVGtBgrzX5 zPXlHFFXw{1QeZ1C3Y-Fbg}VsX0nct$8)|u^$Fr1sUAqGNbIHONzMBpOeFTg7=Ku#xnJiGFc6Y$9k;VZCPFZc)i>0 zV}ajXRvTImTvq^JGd-TQy=y}wfcITd8=3?>`YQMe`~{eCipTR=ANUIV;%e{%clM>d zz=2Hde*%B)5B*q}+pdLv;MVJ)AGqau=s(Tld1fGV0(;yDoxo!U)rMvRYk|#9htD_F zhAsp4xf%Sx18+eufHQ$vXE5&Eie3PJ11<+XHn=u)bQ|crtu{0W_+Q{uVE@}`Z(I8J z5ZVh|1RM+Oa|i7Oeg|yZ&f^(&C+!7>fJ=arht`H#wWog;qF=z~MeqSQ3%Cn->s?&R zd#1< zOkEGXz>|T!fad`R0WSv@18)b81wIU%0(=g*1lad``a5tlux)qh-N3j6d<9qzd=oeh z_%?6~@W3DFf51M#mB3`ZHk6#>@k{`=1^%-JegVgBM6ZB{ZzezRu`TphpU2Z|8{-Y| zfuCs~aM5<^2lm{7oO-~YUCe)0!$0&0IEcVO4u72l-80D*f~@i8U-x& zghDfb?w(Q1he9p=@RiWtF2H*a2!(D1wr?5=O$1)c1HLPPBU3`5X1VYyH5AGM zHa#R18U(zjRVXwT_#_81mjJII_HGw2?U+y~<9v^&EqAb70o=sZETzB~(?g*u;8iDv zLVp4eB1$gf0_-N>0ASP1P^bc!cS6Trp1jkX9F;56_rz>ZuKncj>3 z+zY(GBQFIn@FU<7U`YY_fSr1S?_%`na_|9PypnbU`}CpRz{C3Ds0F@vO(@jr68KN3 zV^`pb*C8L^((BK+EkB35=fE%6&g^up+@tp89c!6(>Lten2o(qL00W&ASU*MOoK=0)q z&x5Zc4`9}$P^cKV3AhN@<}LUIylgsry#o9*;VZCc9()B3To?*X1zuQz3M~iz2yAr~n2;|MdfW1h&!PBd~J~?FIJyF%)Wjwa0VmM(PFj+Y|~70p9XcC^Qau_h#e| zEZIVPfRAm3?|m6BfLXvlftLZ_-votxFo`v`A~TsYPo(cw00} zBdL`CPM*9wR0;G9xAP5C8N3S@x8(Cg{(F%;|CBuZlW(*6?^V8?C?EJI-=%!qvJ z`BoK?Zx`P_j>sn$F0F{jm&P}pZ-QI?3GBeP^?W-}@o^Qm@Bker85q*g~7Vgvd)|PM2@GS#q$h7-E4#PJM~wuONTl|LqQSP7r?;`36nh9cqza{;lNeF+Fkm#m9Fp{(wa3 z??wJ*_zM!HznJ`ovc6B0{wd_&#=1XI{;wl{4gP^d>2I0r@w|l}AyN9fl7B8ci;2=d zg#6>!tA0G5KHTHhpGxxY#*cApy!-|3{L9Iojlbiac==Cu=l3+hULpP|(fn=6e*tlH zfq3{+-T3>H|7H9w*T&0#zB_*f`ByAW9Df!0+Y|emDE_VFzaD>2qWn)g0DF~~>qPnA zi~Jsf_8*AX-sbN17L)&Cj*BFke+v0uz(18J{p-lz7(Z5`^tWt^U5vjgQF^+Pzv9cp z^A92aH~7&KrN5H=-Bu?~|8nx*hhHvH`aR4CAFW9&e+Hs$EAt0_KFlr%YteF)%;^s` z_O?hXNxrJNn$^3tVEC83SCcnCfxNBBTTb44$U9E(Vj7e*YV;qOt7IOU0Q{Xafchuj zX7FQk#J3Om_ATE;1?8XQTgSK0BEJ2?x5a#8XjK0?@PFBDp2oK#`9iybjk>jHJxbd3 zP_nm0MoE*L7Hu01XpzAO8cYUS=_hMf%Kc2aZKCgdeoV?e+PGVbv|w_#78#?Ocw4l6 z=m2kvtdgcVErv8cHmP}wEIxsfEMzq>c@Gj?qrmmlw+(}9CAhlacfB?tx*7%IkmV7& z(woyqzi${^L%?+de&By4LRWE8qcL#^6S`)D>l}Q^dy6XrT+J|8TIst(LH;)E6w_91 z+vqw&tua=yYNXx{Mj2N+WYc2DU0LpVHX4yaq++lb-fT3*0~Qw zU5-VFAc%-0qQkPg!0KM^!J#JN2{E83h-grW8jna6714MCDjMSzHHufncpIaHct(CR z@3)?++IgOy=V6}6{PljEL~5Rz>gs;FtE;Q4s(ZofFYtpuYSvp>a*4fOvZYplb`pH$ zi@a=|cb&TRZO}gspSoZAo;|V0gU{VB{UFd^@^An24WR!7zWeLF+W)Du{mVdq3Vif_ z>7N7ry6^naQ{Qg^{gLqX|Gl&CowjVr(`?ETZU3F1w|&x%2kj!n4!-o_yCKJS4rnJJ zzEFrZ30@R?+AH&aS!_`)U2{3>jk0&i`)*aYLRT#Gm}kU`atgjJ5-*Cm{T#8NVzg@xcs*PM zUIXxs&wH$~!gaPL6i(%!{sR||Oc z*`+wV9s#dg!RuY0{k3*0^P|Tu*f;Hpc!*D4>$bvcJa|11Ud3qFa`2kGTXEX;Ie2{q zUibKUN9dD9TY1OiuFwIy7l#+c!XGOVub05=YiK2KmCRI_;-)~BHc6{ zypnrlvTN;6f)BuJ_CCeo72F;E?!HLD^4TZvdGraj>pbv! zyGXpA0k13eEzUdc)(3mw{fhIBlfi3qk$9~Duix*F7@W_(e`qUx{~dUR%8R2{hV6kE z9C#I@T?@hMqyvi6uD8MKL-4xXPk*(Pw0Lxvwdd)-Cw%k=X0l8C@Ph7~<-v^Pz8$>I z2CoHvc(s%?d$6+FwFbQI1+T09@It$0dN8AQ_1p_FfAA_se@z6hV-6}#f87FJbHS?^ z{j~|ao&m3I?Jv^lgAf?#eQ+jwBkhCLezfcKOL97$=o>&k=a5YH1~2-Ho%G8<|3yE< z!oBEiYldb2g8t0@ne3fj^jGHi(|B(I{fCDYM`w=M2YZ#ni^FR%cvT%y99|p2>uc~T z#(FiNFXC_mww)J^)hzHj4S8pNW#@L#KU?8Xc4%xjfIb;4j!r%pfxaifYa{sj*_U|P z#{E&e1-vGPGT9QljN0O7CI8I%29|-gBAm${;6=NUX{kTye9}RYOt#5`w)E7T>=XTW zps$W1@5YP1GDlDSN%??lW5tn4$|*cMzU^{E?V`NIgA?1%i~59e8(#-6zxrkn=ub}h z*EbEIf8nU&$mE0I^^2poT_&jyyOu-Gf>$y88Dqg~?4V5cZtyKQSFj#mxs~;J8F<|X zUd3qFC*ZaFF~!*zMGru%qDZ{vfY-a=RScWsIq*8**y7k6dme~<7w{^E%~1&h%hq+1djRMlKV06=NJ{f!9~yHP>Ie>bKUeC&BB;!Nt)NWrrY-6}*Ze zUz5P=t0J}QcJP`oq&WKPEAV=_NW3chAs#%mIQnZocufJXV(c+DfY%D}Du(_#_)z3_ zfmbo)p3W7-hZRS@9s#dw!K)bht4Dw2NfwFMc<`#LDvthI4qhw4s~Gz0bMX2cyoxc7 z$-|HzJbc^r7ulT`gI5c9omGMd-ACK@1f^4Rwm8wR0{xvMkPB}2rP}FJrMxi!Ezy4f z`oElz$%eh+F!P-ByB!Yy{g_O)%HAIPzW%9Pdq#l&FwpKaB;i)!#xAo^O+zgg|i*Fke&G3ZCu6z9D+g4c`SRgCu@P=WYtZ6^CiulHW$eD6u1 zf4|P(ewyBUKIqdp(^ic6x&gdC1g~Pe_rXUZRx>@5J=|-)&T-Dy@t~j4kjWnDMSqEt z{$kK~v}Cely!7ph&VHq{d5c^9x90=UUp~Wsdv*)rY|J^C>^a>qD7qfm<@*R{`-g%4 z_49Ftj1uqM=ogka)}waN-*-tSdx01KsFVLKpzr#dO!h@D`t}?>$w4@Vef%dekaURS*mu!4X1GzCr zh$C=2=wDfabAtFD7}l$-hLR)kDZ_tH;+}(>dlcS~cp9^tLau+Y!A9A$wK|ABROty~~ZI)@N{~iSG@BMa*K*wM2@BJ!4yYCJD-md|)r8gFSKN^pvp#2wUyW9KXgv(18be-P~ zIbNH&CwQ!%1no1+GucD!w02o4%Z+suyuSkd_S->VY5Sfv@$z zpXY&J?15k5f+tydQt*$_xTyZMjep5)EG-YbK|uOG2I&AjzL+)QGdJ& z+_}KrL3CDsNG@+>$#3mBwL}|8AQl4J<@DW2dzGCQOG;n>Xd7d9`p%yFZl#Cs zU?qoxiap81F3@R|r-x7=AAUblePUsq(ZL$~oAY2DZaUeDls{Y75m@n%j}l6;h> zu$Nki^XMMBwd9`MnluWuci-cm_j#Z%Sp_}p#ryf(yuBT?``w?(K7clP&RZlmI1VVt zy!`-Wul*5at@l3)dGV`p&fiBHM}c<01OIy)sh)W#dmGADdDUafnO+6jH4plu-3Zz@ z{^XCg=h4VjdZ;ih$x#xtJ3fMYBfR8j_uRaO$OWc@zWZbUd*4u9x1j8WD0`$=T_bWb zOZ2aT{(?2Q)1wp*x*pi=_oJNjT?gS#mM1dVb$0%C`ejb~DCo0K;VuO)`lU|#TF_tf zOeWiAr?>0C8=UluLI38n{@ec~=+{5*zx`iJt~E(fpu$DG_)lPr%1 z{Y$Uo&I~VEekC`r=YV$d8~?jpkW4*-vTyx}ved@!Pybj zC_CZp!gGpf=YzKSox-&A?hk@?-rs**o4!KX(sv8jLv1QQ4!*jL{%A*mHuhd7`>9u- ze#+xs3BI#HyZIlP>}q@`eeQmiV_2`rCChfOj*H>oS?7@s=yl>jce2#JkGpyb^0>~E z2QhjTJhr7B!@y(s2S2_Y)X($4V>R*c>bqCD?}&C4XpjBKAMHlauE)JCUi<8lmvVf2 z4#rU6E|)^IyP*w9&_4EACW|nWwHKSm2)n-j6P&cbNnFGyVlR?t%x^)i&K& zVtelup#2ng%iLdpmfEoaw5^*m*{AF}*F&dMJLq1W?*GAkLj`yfZ4|VNzRF}DDnLu^ zm<-wjzR6@~deD|mcIy6xpr8J&zy2fsYe4_s@BO_e(Qg9%6Yuizn; zaGX^I?IzI9#r;4(R%ck_KMZH7z{8KsP6q9TxQD2a%o5-EpxqHyx%-j92SIzy_Su3m zNPIs4?IAlF{o^sm=)R^u_VhGzw(YuQI%x0NxiBs1mc^hQ*gKo2TUG#n zH1K}s^xL3+X;**a)MGgOk-HbBr8yA=?MHpGzI9wJ=x6O!m^Y2pLeQ4(lg&Qv)u(T; zG*des0qv5$S>L+lbI`woyR7{7U*F@QL(Bci;|S1Z4k#>-)b4iB&OgY1|J?%m{rdUq zzn4IJ$DxJ$kNSEGXa^mZ&2Gl`PFj(fxRm3@fuiaqqVOm#-3s#=(7W| z+1cGa=x5}7_QZc4=to3x4^meT`nXdsKM4Bq$!zuzulA&z^q+u!>=$11(CDACQRq+HALq9{m7w1^+h2S7qf1&qf9)^*>6~StP5;WD&Zz|N=RiN{ zf^Cz@veB5w;IS<-IUYQYy)c{o)=LIX$;kk<`(n^{o40N4eg-^dg2#_-H;qlt6LAM9 zc=YsId%w=@m6D)+`q%zwYe9S4W&UUvf_Cn2{LwxF+G+Fs(S8Ej;}`g&-E9ocx?S#% zwi2}6ukc6P0NRgz(k=z<(+mCar8_Hc!(EMj#%&X5=U!Eqmh8~JW6{5haHl7}Ys`61 ze2oG>6L^@Hmd?dpJwquRU5>}G_-#3Ud!h3;ij3U41K;JhqeasWnx8GsX5VwrOn1;M z1x@#>w@&j2Xr2U3rO4|=CBu4+EqSt&54C$EXf`eZAED{IgK%;wPN(TDgnVC7&y#S5 zYiTySR@&7`TUm0Gjg+o{4$ys{hvPoXHTkr^Gim8g(8qkx2EmK&4IOtK?*A0N^iGu} z(|e5=(QEABUK5A(nmn^tC9<^X?nJnO=z8YBlPHtkK&4&QCDsjAMqkw(znNF(TOq|v=9Tiq^3 z;`^V#=XUU+E%i^IpL*b@9{8yTe(Hgrdf=xX_^Ahe>Vcnn;Q!|yD1-loJ}<#0`nm`M>b#$pGhx_{&c6yy|kcp7)aH03CkCXCHaS_Rae2tI8rj%N;i1ojgc7dTwt z1c6fp&JcL1z$F526}Vd9dV#MA{7B$80=F9>^$YALFfMSozzG7U3Y;PEQh`eZ-YRgl z!1V%O6Znz9Zv<`!|2Td62<#^?E^xTO2?D1IoFVX1flCD5DsZ*H^#WfL_>sVG1aj^E z|LqYt$d!0!_&n)zx4=IO{6b(4_yy@RP~hT<084jGn@X%U@Q>HR(na;4wnGDa9dK=H;=YEY0uNC~eP5gXj3&X#h z&2T~+!w1?KjQa8*X)eQ%;BOYVyYw&rn*Z-%^1H%=Ug^QVT9~p-q zWZb$4{n$DD`}G1}{1rdGu^m`n;8&j}`d~h+K{nc$@I~ zqd=z4{rhASe^;26=X(r%q2F^Ho!@qBvt#D+|v?yFNK%M^Fjk( z==U5)=eGmq@pFm59R$v~lJO0T8S5A*Yc#~Joo%W(ZVhQEJ;VR#M0&*b+@ zp5*7+rx^D5GsCqnFnshyhL60&@Se9Bj{Q5s`(I_a;BO2s+nd`vX&;75`!hWAFosV( z&vXy}mEi*~GhF=&!!O=tc-?yp8((8s^*Y1j`ZAy1`!XDTIK%yqU|97&(;f36!_-F% zlOHooe8TXxPZ_rVli{e(8I}xSJ~s_yIQU40y9VX?1Ew3jnc>L)FdXp}!{fhZ_@8eW z-tZm68Q(MfM)=$uW`1MnkM!VkK#bvGe_*=YgpcxD&=y{~lv8P-pMN0&1GC4t?f-W_&e z{GSA#wIe_8YXzH-iz#r>PK^Jnz@x6<_c(na!+)<}c(wHJu=V`>wZKC*@bi5FN4~+& zuL->IkNiAT_}uz5KcD&x!?WIG_`Sd@-s0!JGOs4~;`VhL$?!Mh8TR}I!~JADce;wp zy_IJC-HZ77hiZO)qKx6LyD_ZVli{^B48M~3bHIW8d~!dAi8_W|;|wc~VtD$o3|CY# ze9by&!FAHV<9B7e!Y{0Yl(?@;pyCxiBlrPExg1C5w@c-{6`mx|3Kg$V@d_31|yatB9ZDhEjiQ(F2hOe|R{Pb*wn_3y}(ata}aHha3 z1l}g_ae=Q3{8C`|4lcjHz=Xgt0;dT)U*I(Y?-uy9z;^|HEAajq%y+nz0GsO<{l>{_ z*w4;ly88r9vJP}1wssEVH=W1u;az!S`H$c5^U}*1-gY&^dG|5AcQwPEg3W854q~|Q z7>4s37!GM+l8o_z)cBYT+!*JNK3|sbRc=dq{=MH1I;&_I~ z_LJXFV0g~q47aOb`21LgkB(MVHw*|q z*Lv`$`iXvx@F~MHeO7wV(>W5NpHt>+&z}WfZs5sWvf8sF($>%x(Emd4x~?gn%nhQ~b*~cj(Px?9bzQXb8-agR@VaiU zwWtGuzYAX1)ir{rq&niS>#pL`uP8!uvf+~^+$J^tcup8kJM@aB5)uEjrJ|9@@a z^Vf@v#V3EA>J2&C1^ujklWU}{!v(MVFWn}dD|q$qED`#Zf>%G2xFrG~3SRwq;{FTl zjrm0NY8*w(!oZ1w-}?k+Ebg_y$%5Cohw9-=1+Vt9br%7OJ}h|kUs!jf0Z)Htb{A>0 z9nc+N)E@QEin}u~Sn#_4TP=lVSa>@J{(gpp)^A$d;l>aV(2@Ljrdy}F-SCGr** zy!vCTJKIp^EWxXvutex@6uj=MWZM#WMeyoBeP8O`9s*47tNx8X!sj>(FGMylPUzLo zd8g3R-$x}rx-YlRucF+eg6}q&ne>-wuvzfxUseO-(CwLz`nhfr{*wf+{#S8V2Cf3W zcL~-pt4Cvh7Fz7)L1Ef0}G2kpT8)n9z0v~!~1 z)i12?wLtK?AJuhgt>D#ft?%`<;ML!#^Xu>(xnA{Cs2rXlc=gBLCGA{h;q&d-tAu`~ z*s-deZW6ruOI8V=*9EWs-FpO2f0NT~9vy1odj-^gzftHX310n{j|%=O!E1c<0_o4E z1+Q^nl^^=sm^8lXUpd6mYxtWyM+Ed!K(w|2)U5RxCwTQ!ihCn4SMchWv(84O@ded&;!E1AB3OYSN&3|w|^mc&3{-f^p^`>{mxK>*5?VqYy3vr|DE79KBxL& zpy)S^16qGm6P#**FM~aazqZ1R<=+;e*Z9(Dg1^PWJ4mgEbwaQHRqL)E{PL{_{ocEA zKWIEo*O#$^*SrXElLamUzRb4o(-vGI^qO~}%*Vlk*F1<;skq(3Yb$baq0nob)%shZ_<6kt{o6vXezO_EYL`CT9?j!WIj<7D z`b%}*%@(}I!|oM6cUkyc`}Es7p;tert}ows(D#LiK(z8chgtYu0gdzCAbQ|*!E3xi z=fzcm*LX*Fp?^m38i%s(_C{_0CwTQssvZb~AR+aP6n*X% zyv9An?Hl+|@EV_1{(Hma;nojT7QRR{~G+Inj{MbwaQ4 z<_#7X%p<{T++MOo)DP+(x9$Q!naP6JxPf)P7Wk_LuYUM-BInNvUgMo}rQWRI zHJ?HCPjnxySMv;1pFCa4^K_wUUkSbXyQ`%CuNS<=nb7Ul=Vjo_<+~lcC-fSZS9#mL zFSkeI7upX$6THSptvhON(H;5ENX?V?``UgJ#G`Eu0p znBX<;rs3MJ1h4Vou^3nS9JW8#tMPPQug?&?=5@Txlx^m{Dd4WgExPL2n&BNFrYktTAspQXs*SM_e`HbK-pGwC!dMMYc@o4Mb67Z_G@b;GT_c=;`K0m9T zxnJLuA2gpt?T{gY*Ss=q=S;zCeBHW}0ClVoyyop(E%m-9 zc#YpG|2~Ity&6wlBmF;0@R~<7PxxG*_|c5Ow!-?*-!5^JpT7yc=IdyCb~v2t)wr^@ z=QzQCwwC$oeD46B>~qs!ah}j?UUIJzE_aXMH4kuYDdRsByvF-gZ|r>pw@339JD5B$ z#=^^k4NMVw%@h8){I*2!nh&Mp`>fzK|E0I|bJl}@`2cQ@<_)NxIYscASEYL3GQn#+ zS;D7*#|5u>04o1q30~v(y8a$Ekn7d_YF(!$310IJS4jJ>68!pg+yU=OKRhLP&BxYx z@txo`??Cr`11qTB{LgR;5C6jy{_Vd~@4154{O<W2p`e17}i5_-+k87CxVL9SQx-0l&4mEuowzVA7L*E}a} z=iP$WJSs^O3w&td^V_pii0jpSz_~&)MDUvTr}KTL;58pq_0I~yYd+5^;q#v0H6O>i zHwnM>33I)gFQa~;69lh$IOt9dUfhsOwB^S8!H-ED%`{8Qb3-7a{| zt5H7h2wwAIbY1Qp<9ane^)BIGC3ww0(RKD*!D~LAuH!2$yscy8>pG#=yw(MR|5ost zzr9HG@W42?NAq(e{V7l*c+CS>`fCNRc{`FO7I;DMn!o(A)LW7u{`sFHEPStko(m}x zk~0La`NXQn772d;4pt;8C(j68&)2|Qu|8WYygb;zE=hi0%^THy*$BaFUfpUbbDrQe zk5HsVs`B~B!rLm8uipv1=BcSaWI&4Bqj}*QguYtvUCv~L>dz&Due_WGuukZ2 z6};vN-z)gH1h0AA+Mm6T;(GObPqpahD#2?$=bpl6w%|2C&$`zNzuhHx&2!dz-xs{* zmF_S6_dJ^G)jZ9|g#T#4Yd-jCg1<=cnn$kq2Z1O1yhZGDm7ldjujdogKlZKQHIGuq zcfcTSkLD}tdQmNS&BxPq@M^(p-s=cy=d*&>{OEfGpSAGvU<2jHaJ`!MuJ1KL@Ou7W zqm+q{B>Mckpx+3+=F94Mtr5KDmtQS>z83tulwg1l%uegm^H^?==FRE)TPb+W_ftLA zE_lt~7V|A|hlLlWHt@XAYu>f>H<$2xm*coz&7)L*S6uL#SE_N4vn;%gv3xz(1AnFP z(Q{%y7y9*r*K;9T1pi;bYrZbFA=W2Y$?eqh5m~`c6}+CW+gI?{30}|7sQ!FO@S2x= zrO%RMJ!E0W(+N-w;Ue6z@K73Q~dVb+@sdwigRB!(05DSm^ zsTd&3gk+H5HQ(5}mlD67EqKkpRQdTm@H9{782ji4gkJMzb)WdT;PqUG>ZJpRy01CI*5=5?=<-!=Sw1+>hEbrwLxqo!l*at`NNDxvE|9l;HIo z&H-Jx!1sdJ^E>YgpCgBJy_%Q3vXpadR|x8mtCOn$qz96LVo`S>9^wr zujhgHmVP@|@OnN#(xn3{1+V$#x_|jl@On;1*O9#~{ogClN7nuR!hew9^&G;068_y)3-yb|t^}9(X#)#vOl$%8bQn(Nj4^`XozFiP;6@Be`Cxj^uG9;Kh)AF%N9U;`UG@Sh1E zJwL8`d%qL8J$lZjhwwUC@KvHv=q#7n=ot-FwVf^LF}=;d=F) zkdDjgg4c6y3#2_)30}{+-6i<-g4go}+Ry(5p4PQ_#=6#XEZ3{&Lsfqc7QCKsP(CvR zujlGjpWG>UJ^!Tbr+XDi|LA!X)mOWn#CSb_sq=J%;Prf?&Wm3PUeB#+|KBHgJx8N{ z>5m1k=lpb??K_U^J>_@YVQO!W6TF_gyhr+TuHf~&)g6L=MDTilfX=%w1h41VbRTih z$y~3VZ(S~OKGDJpQyZugdOa_)S$?}#@Os{q@?z=pqTuy>JFbREz2>iH<$MkteHwj+P(NSJ9eO|Zl{N4U<$thf~p2O38wxrCm!#`a&k0#^d zJy)c5&KH9J_yS(gR3CObmD-d4InV==I(Y%?Eo?@OqwawG{1&jf`7A>}}zD z1@s)2_UDO$*LyxRA9ar4@1Mhr)t`Ky;PqY+jqiLScs)O?^0x0UxF7UUe9eReSd76NgwKYVD0DA1+VuRsGbkhw6?dk zcTAmHQ4^@GYpt7B-_~B&nr?4Q*EBRY)wKoE>DuP>w1(y>)eY&|_U6{Mbalt9KuvRF zOG90IU2R1oo=UnCrKi?6)u*dlTdQZM>zdkIX9uRXRyWqAYdabnXQPPiDGkbY2WfRf zN4mYPtvy}eR^OPOQa8P#ZdP5ovAVtq4Q)w>W2ta36pW`*cx-A2R>TvLIDbinlh&6+ zJQU+EsRaL&j6@O^CK-(c<%|9lO{FYMJQ?ER@l;TX2ZLNZ5sEWSA{GiW=U6JjUy=#= zl8Qx{b2uVjB4|ciT}3h$OrhZsR1}Rya}AG$qs%@OiAO_38H&b}VIqy=6_bf*FoAaj zJ|01BE$L7=8ji)o;i$fPC>{xNoAE6bw^+oZ;Y6T19ZW{T@gVvpm5zi%;bbxxPsYJ* zW^?OVX^)@Ns3KU1B2|bWS%@G}h#+2wAXbPVT8JQ0h#*{uAXJDT7-*@hh{d8Qj0T1x zoQlO$;ZQmpNrdC6P%swGjfU&b7!76-iYG8qNA*SGTqY z(#MY)Gi30n^qAqpPp%rD9zS@o( zSR@vMXhmX)U_73TY9XVhNH`ja$71nFESiWXlElKLCZkX&8Apevl4vCUjYkt92z*}i zj6&g1D3ySgNG0(K!C(lZj`t4AWSU_45bDiKPgf}wOQ7)eBd4F^a+>3bOk z;~4T}G8D)Eg6IzDFIze3bff<;(Ibge1ihS$MnlnHBor;&f9UBbdN>#k#zWCmGMNZE z`fpZSeY&=_eg<@WeG@c&dp-1eYu%Z3HSOAPqnqNvXc(Fktw8(Hv(Z$@rJIbVhoCgW z(3j|>NGu-0h==l;-qv25ZfKrXUjxNo-#$Iv($LYCZmXZxR9D;Clui}MQWzw5!6+mN z9T-YRgBYdm57C75DbiO zDv{Uzyh##@rJ|6)6sn71kkN5&J!N!n6iOZ%0Rt5YK`f%FVByw5@M3XDJenAZqBy2V z!Fd%*fORNBavMtp;}I;|4#CiQ@9gmqS{21xMU$y`FbPS3_}ja@v97VEWp-ud@uNl# z8JZ4b?eZFh1Vktj$8r=6Vug;xgE6(m^ul!<{5=TK}`E(GMq>zk|`*K7!+JVwF5MS0H{zNZF z-2~U@F}xPEQZklG!p4bUjNFuy@$OK0A^Iqs0CeDo)P17>>lK0?W+r?^bA4Iv9p3pxX*+77F3@p^33fg<+3|u~4~5jv=Dx+(Z=CEOb9qCDyn^FqJ>4%|e}; z05zeluzp<%88ZkbOA0FC=^C#)3i>& zSb=y#vfWguQ78nX4FiK=f|Ox}fw0*7z)k1CLjt4$b*j=1%nCZ zSQwIotw54yh}$4JEjDZuY&LQVrLl_T*~sQ7CE!j-V#$jIgAo*oqDGghj8e2PqZ811 za4cXM4EvbxiBu{Sfw_bpNMhFojxHKG=lQV47K;}2G0Z?Rv9Pm9Mtqq4P`Oy)Fr?65 zSY*&l$6Dt;X~}w`ZWOR>E@}}z}42x@>nade1(G8P{i?H z2&z98%Aa?pmTaW;UbUq1HH06^5cUp`NwgB(gQmJkzcHc1m@L>JLrX)^!|4Idk<-}e z^b{yyNB0=TLzo0`G$f&c6Co&7Y@_W`GqS3xDm~WbFY{|L;-hDAx6rdY&HzZxyZ4g#h<{s&8(6nV_P+fUQWVjyd#pOv0kUEh#1B0P6b z$uNu&C^yWE7!1BRye{^plY~rz(N@_Na zGrEko%vBE6g!K*EYIBQhioZ%eT+ZnR*aVh+V9mRh=9$`=#&k%L%^Su)SOu_4hLhDk zEGkh>4GnihJc_L%Mj!qhgiGf85b8HB-) zWPdHEq0j5P7Sln94oE;XV7(%X5pII0!`vYOZS81+=>;WI z-Cp0^=_WHOw;V6915;uAgFQKRe10k?Lmw&+o(-5!PaF%G9IcJ$#e z-WU_!Wx#UnwN`X=H~DkWJ1OkXV|ZmZ5i<(eHYLyz@czL?W6tS3xg_TgCL1Oh)(n#D zkdJYqZpo+JT!KQw{>E_mS-Ps-04LUkTt4M^fnNGo| zj6fTZW$t5p245}S9W%^NTs=mty!07`yhVT!v=(X zNx=^{0Wyptgel=rAl(9W7>4i5Zp3+LLj<0nQn2HP%wdEv6I@znzLI0Zo`Uv+ueqS6 z!0SMl!TpDI2hvKu442+BCcPzey!pmh!D4Zp^hP1*BMcF(R_HnGwBXcosmbU(cw_J; z*yBSQQdSTx-vBe}31LZt-p9rkyKihTXs?y8&&@)1pI$Nq8FW))#*A=oIx)Ny`7owL zo@&O_f%hA7g!h4&;pR?oU4C^g@hYrS$mlKv0kFKom50}(-49&4_C1HYR>a0Wg=Hd) zi9{A-5>BSP4l|o%ZJM}A+7zR6Z0Z6YxEL1X!hM70BL4s`4N3}tf`M68uq9Ufte{PT zXc;ZNVVEP>NXMYa@)+lh6ifozvqNgJ9AZU9nM zV~lh$1Q($KSiLUoGit(y1ZEbraR_z+oPt=1U1~B4!5oH7hV=+Nl7I~p#iqNUlCTFb z5R@XPQ{m=e&QZV%5t$g)dwAe|Eu5sjDJ+esHjE0I#jg6uX&ZznUIt4H(N4%eHrfy) zyR@A=epveC@q)~gS-VI>H_7{OE{d8I9H;f^79 z3)X4Oer$!XkRT}JG6crB<#u)$KrC1Y=N29|l37>=5EGad7zr1HE^lJjH|52njiw;5 zO>thR8FG}Tpz++A;vAtc7BMsdHZSI1!rGVF2gqG9!K|i*6K`R;U!XV(Z&g$i=ytZC z(SeOh81pU$-G{9`OpcJ-TAQzDHP{LZI}CFrlIM+YvE?kWL(keX;H9tHvr9E#X3YW8$@kr9#Rk4SFf$6Z&6Z^_te%<0VzK0h1}G* zX%Haz0Y^NnDC`U2wnjL^&8##_*=-Nn-}%u8=mo^xpmm@SNFyNrX756exsj6#So3fg zVGosWez4K|FF(o)TSXxR{Ra-6q3)7C`3UGd(}ESjO7OYBZN($n1iSV)zJneXPGXLery=Qbaq=f>Pl$5un~&T`@*Y$QvXlHIyl22!0B9@Lg&$3OTes)_Qj* zqEQHGg9uV!a3M#CNn?xZ_D+Vd!lHvO3(Gj{dW7QU4F zbgq6-Aegk2;7BeD_bf4^ZMp3>%wt5TFh+%3_N*4gQpMCm%)#EqR#nE$SVXJ0!abbFQAWL_h7>17c#~U8U~9mUX#ot3?BY( zZ?|-*Q3&!#ZW7dkxF?nz$0;O(@C4AxD8oV`vQqca`9YCK`ew<5d@-z>Ik`sq0 z-v=RBH^U)sBz5?MWXNFcgzm|cKx4CJ%&POr`<;C#cC4XFU zz13+MTRu2=N^56W|EQ`qUfx$Wb?I&@5z2V@^??6g+sgb;4tk!+z{c zLZp02SI3YcDX?lOcbT@clwAjP=_bcUA@Yn^am^%ldeA3GZ*h?Vvygosi>)4f@IDG5 z#sW_d1yA88!w9*x&Qo$Iu?*`R@Jwqs3gtZzb&QC$s!MQWDk1d*rhwAQ+6I&;%0mik5I(HI6;SJ*RQkwSI| zWyd0q!fhN3fyAVTxq?Ir$~=WGMrNDKiyBp--(iWu3jn7IrBcH1DLmrvzC(W_e}`r; zf+&bj{Z=+6OBLW*f9P{3F=p(Hh`VlTj!abAgiyIfZ_* z>K&#R)+E0m+@n1AzKNI07p$d|3ro7>9oVqKTNQT>+ACSuttdMyinHbtmnX z>97W6;U~%4>@wU&A-n+ji4h2;Fdo99(6cV3%=bjRmlhQAYaxz;d5F!b%X=Er6@7wy z29EQhbCA;K=HD{vLPQ#|OynJt5e$2l7T|(aK^75%f+m6I0Bbg$@?OfElUAHp*3)z9 zTAS;TE+{(N&{b#zHpXR=SQ^PVbbP>7Q5wT# z&yGe@;BqcJT2@F!<9L`p?lNddE~J%?Hc%=lr43-sajek}mE7Lk(z!)8+Uv+@qa#{w z>dvUz5j23G7v6z9@ybtEgw~-W6>xZ9YlGxXC@`Dc*mA(P;?A*>W!NgCQyobpYM`5?$XkwOO^2DU@WKAl)0= z6lgpccnIOR)M@m-^VAkhN>{(EQ7E@lfz5?^i%xYJGNTYJGteNM=!`YMb-!kGK8BSt zXpzo^1r<9sENebGpJW5uH8}0)EEg(r%ueb?ISx0qz7;yq+S(#34_{lFae&g@P=};Z zJ}Nx35E}|pC0qguEoP1s`NTR?!jW|cF>)Cb~^ zO*x6PYZ+sxWSfkgIK~%&2d7KGeUoW7u@HrYvFaicMw=;voeTn`WF?ThfmPL^!mWzT zWg6BsVl<|`Y%r!{p|BCK`e7ph+riZmGG3Y;A(np_LW9_yYgU9&h4j}LLy~T4h9jlAy=HoS(=-)0L;qsr5x1q#trcQG!=U2|*%>e| zIuAk79?Z{+Q_>kcoJcf)*BNgDJJ8SAIU*+1L|5Ok@luqif^{45BkT$-pT7_9860ia z5j{#xK-3nZ;+W7nUkzn%ghf*bgShApqkWy8=rBdg;q*shQNd1!tE)4@PA!E?!d2Tl z8weGhbJLQyjKbb|i>1*%C|fyL1RDgVLl7&!wy#K(_02Binf4H6 zRn#f9R_xp1Nx-P1qw{T5^Nq0R(wRSaIx|^VdnZ0?ko8gR9x+1}uz)vDhCr)cRT3acm(10ThI>l@% zhpwTpA_{c;k#rr-k-~Vlt31|TcDv2!NC+Rs2`A7YfG~^Qy`DxlLPH`wNZr4%Sc5)B z%t{78PAT$wahM9OEkDQJDEmyJ{)jJ7F14FNH(trMWyL9Ek`a5?iwqjwNawaO?{JF; zTrdz0x6PbU1gScmVHx}c2aIFG>QRp_P_UrjeL(Qs#b`0!hk7n|UKKW>YqFG4hy#9{ zgpSxeUdwpcf>sEitI>3jiXki)t`2EJoIL^A5J zf6!6x6`A~Rb-ok3@^DKtjOv$$lyRI~XT6wEKpI>h!2t^&tO=+TXE zF41)fSVZ9hB!9H4LFUvmwqzdUlH*8|pGk!YkBt{x#FTu2d5laEhne6mgmh5`EOQb* zXhJv;U2G($G3E>>Az2Fo;9`xr=?OV$KpR6?v@V+6DCBf@Q544^gK4_|K`-TUyZynK zrDPGpCys3{CJ|+s!Ia5aggA)U){Il;O|`k}BaGdq-Dg9Y*Azm@GeZqggOx?ens75B zc^1)gNT`db87+m6pJMrRmH>7SKiI0iw$7$WU5+M2u z-w342rOn2R((HiDVFN-3y$}dSs+W&a*nJ|>4DTsIDexA=6`xcn%4h`p_CJ>_=1(x-U}Wzl zaJD%XMcGv4Y0>_fshoTV<^X;j^r*^3PVIajgAcNw@`-Ii$#EscA>5Nm|u zp~Nb<6ALE{K!qUHnVfNm1|hy-`AG|@EHavCU4Uy9lL~tf9|CNTB5`txOE(yO=dc~2 zl;IaCT!=QLwhMxwg#Fy;VE3pZj!OO^o4#n z%wl^ez&d*B!?BE9EIP%5Z7ij!Ac*5kM<)Gi?b{ohJ8v^H-cI*tSTe0JD4a_LM+|+A zDTwVL;*7A*Ai>UR^#z^Q7G@OfCoFZ%hJl+#G@9p#-67clLYUt?qYRxuqti{8j5z9t zHQDtF7(+CiM^(v>;1;Sd3>8QC2Eq$b$+J{UH-hsP7$dA}@~6yvxJ<1m9rm{8wQIJPpDVd=3atF3E5mNNZFRJaj~g5|nO+IWy9V7;(r#KNXUKiS)^gJ>uo z$J&MQ54tHHvnFzT!>xx?OB9izNTgd$P7MHYL8=R+3ri%F2a+hfq-2Fbm-}TDZb+@DLttC~w&2`5q+KnJMWO7Vu2BK-qy)TLQ^cT@y13U-bn0!@IQo@d`O_QM3lPtC2~M`$Fl8Mu+YWRJ6@* zY_FaI*xt%;x_-0nY;6ftAY@oqF?h(xf$i1P!EGalP16{>=+_6AY2 zb&jK=Zn|9NJ-rr8^ofh|q~a3#9W_)p*4Lm-bPS{d*Z%}6aHDu5U42)i&pzNoSEb7} z^MRy%QP|h0N3K=x#L{1J>251OUdk&h@1*1}dVjy7L-=AZ-!A199;}1{&GN&5|0bJl z*7h%zFA4`qxo!gW{&#r{;Lm{IucPJOe}j})7~GC23DCQ1`8^pOz@Hlkl;S_FzsL6c zgThaxypUTw^gF>b@L$%}{>?k{uL>{b$AGq9>rr?Xe$Uv-&nn{r3M-|&*?vI>&cPSz zQ|0%dlvj9rkSVo(^ZluPRDKAP1tt&XFADYVC{g)7_|Gg)e;lX41g z8m2wV9JF5Lce7D`mHeG1g(6N$ZuNEN1HRDTL81D!mNimdq0R#>qwUsb`a2Bv@)NIQ zP6}V`sgw-O{(sykAH0FfEBpk1hsFLe>;E%;?1le2hiS`!OumKrnDqLr@Nb}|DWm0k zNckQ<%fE-RBzs!EOv;x@dF@!0PpwzSaVg4D|8s1_ek6Zb(e#h915(+fS|385$ B8Xf=u diff --git a/xilinx/ALU/isim/alu_test_isim_beh.exe.sim/isimkernel.log b/xilinx/ALU/isim/alu_test_isim_beh.exe.sim/isimkernel.log deleted file mode 100644 index 726e6e8..0000000 --- a/xilinx/ALU/isim/alu_test_isim_beh.exe.sim/isimkernel.log +++ /dev/null @@ -1,29 +0,0 @@ -Command line: - alu_test_isim_beh.exe - -simmode gui - -simrunnum 0 - -socket 38597 - -Tue Apr 13 11:10:10 2021 - - - Elaboration Time: 0.01 sec - - Current Memory Usage: 181.686 Meg - - Total Signals : 22 - Total Nets : 91 - Total Signal Drivers : 14 - Total Blocks : 6 - Total Primitive Blocks : 5 - Total Processes : 12 - Total Traceable Variables : 15 - Total Scalar Nets and Variables : 592 -Total Line Count : 18 - - Total Simulation Time: 0.19 sec - - Current Memory Usage: 257.188 Meg - -Tue Apr 13 11:14:15 2021 - diff --git a/xilinx/ALU/isim/alu_test_isim_beh.exe.sim/netId.dat b/xilinx/ALU/isim/alu_test_isim_beh.exe.sim/netId.dat deleted file mode 100644 index 438fb6d08381e07e890b0f537273a09b0f5beda6..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 100 zcmXxXK@LDL6a>(}(;Y$Cxe%({|1h4UCV82e6cIarI^$?3>7KLwL5~zBE@mp3n}vrj GD;qDDOanv! diff --git a/xilinx/ALU/isim/alu_test_isim_beh.exe.sim/tmp_save/_1 b/xilinx/ALU/isim/alu_test_isim_beh.exe.sim/tmp_save/_1 deleted file mode 100644 index 577680f8241e26ddd022a05b4a8eedf1b55085aa..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4045 zcmeHIJx|+E6wPzuq|om|nZ2^4$={m+et(JOxF%9UAvaQ zg~U1UJv%QkjUB;)#dEJYJ*t)Xo_ zJj6CakfCJ}G}b6#Ey#PsEUll(ZylYLawAYqFj#2fr*SyRf;e(BZyfsHI9Z6$(h`>7 zDpbT^lY0`xgg**1~-5gotc?fHwO|34t+&g78Br8W>Qmi zFbs8zL9XJ&Oe7B-4jvjnIMslRFZ -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/alu.vhd"; -extern char *IEEE_P_2592010699; -extern char *IEEE_P_3620187407; - -unsigned char ieee_p_3620187407_sub_1306455576380142462_3965413181(char *, char *, char *, char *, char *); -char *ieee_p_3620187407_sub_1496620905533613331_3965413181(char *, char *, char *, char *, char *, char *); -char *ieee_p_3620187407_sub_1496620905533649268_3965413181(char *, char *, char *, char *, char *, char *); -char *ieee_p_3620187407_sub_1496620905533721142_3965413181(char *, char *, char *, char *, char *, char *); - - -static void work_a_0832606739_3212880686_p_0(char *t0) -{ - char t5[16]; - char t7[16]; - char *t1; - char *t3; - char *t4; - char *t6; - char *t8; - char *t9; - int t10; - unsigned int t11; - unsigned char t12; - char *t13; - char *t14; - char *t15; - char *t16; - char *t17; - char *t18; - -LAB0: xsi_set_current_line(54, ng0); - -LAB3: t1 = (t0 + 11471); - t3 = (t0 + 1032U); - t4 = *((char **)t3); - t6 = ((IEEE_P_2592010699) + 4000); - t8 = (t7 + 0U); - t9 = (t8 + 0U); - *((int *)t9) = 0; - t9 = (t8 + 4U); - *((int *)t9) = 0; - t9 = (t8 + 8U); - *((int *)t9) = 1; - t10 = (0 - 0); - t11 = (t10 * 1); - t11 = (t11 + 1); - t9 = (t8 + 12U); - *((unsigned int *)t9) = t11; - t9 = (t0 + 11224U); - t3 = xsi_base_array_concat(t3, t5, t6, (char)97, t1, t7, (char)97, t4, t9, (char)101); - t11 = (1U + 8U); - t12 = (9U != t11); - if (t12 == 1) - goto LAB5; - -LAB6: t13 = (t0 + 7304); - t14 = (t13 + 56U); - t15 = *((char **)t14); - t16 = (t15 + 56U); - t17 = *((char **)t16); - memcpy(t17, t3, 9U); - xsi_driver_first_trans_fast(t13); - -LAB2: t18 = (t0 + 7064); - *((int *)t18) = 1; - -LAB1: return; -LAB4: goto LAB2; - -LAB5: xsi_size_not_matching(9U, t11, 0); - goto LAB6; - -} - -static void work_a_0832606739_3212880686_p_1(char *t0) -{ - char t5[16]; - char t7[16]; - char *t1; - char *t3; - char *t4; - char *t6; - char *t8; - char *t9; - int t10; - unsigned int t11; - unsigned char t12; - char *t13; - char *t14; - char *t15; - char *t16; - char *t17; - char *t18; - -LAB0: xsi_set_current_line(55, ng0); - -LAB3: t1 = (t0 + 11472); - t3 = (t0 + 1192U); - t4 = *((char **)t3); - t6 = ((IEEE_P_2592010699) + 4000); - t8 = (t7 + 0U); - t9 = (t8 + 0U); - *((int *)t9) = 0; - t9 = (t8 + 4U); - *((int *)t9) = 0; - t9 = (t8 + 8U); - *((int *)t9) = 1; - t10 = (0 - 0); - t11 = (t10 * 1); - t11 = (t11 + 1); - t9 = (t8 + 12U); - *((unsigned int *)t9) = t11; - t9 = (t0 + 11240U); - t3 = xsi_base_array_concat(t3, t5, t6, (char)97, t1, t7, (char)97, t4, t9, (char)101); - t11 = (1U + 8U); - t12 = (9U != t11); - if (t12 == 1) - goto LAB5; - -LAB6: t13 = (t0 + 7368); - t14 = (t13 + 56U); - t15 = *((char **)t14); - t16 = (t15 + 56U); - t17 = *((char **)t16); - memcpy(t17, t3, 9U); - xsi_driver_first_trans_fast(t13); - -LAB2: t18 = (t0 + 7080); - *((int *)t18) = 1; - -LAB1: return; -LAB4: goto LAB2; - -LAB5: xsi_size_not_matching(9U, t11, 0); - goto LAB6; - -} - -static void work_a_0832606739_3212880686_p_2(char *t0) -{ - char t1[16]; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - unsigned int t8; - unsigned int t9; - unsigned char t10; - char *t11; - char *t12; - char *t13; - char *t14; - char *t15; - char *t16; - -LAB0: xsi_set_current_line(56, ng0); - -LAB3: t2 = (t0 + 2312U); - t3 = *((char **)t2); - t2 = (t0 + 11288U); - t4 = (t0 + 2472U); - t5 = *((char **)t4); - t4 = (t0 + 11304U); - t6 = ieee_p_3620187407_sub_1496620905533649268_3965413181(IEEE_P_3620187407, t1, t3, t2, t5, t4); - t7 = (t1 + 12U); - t8 = *((unsigned int *)t7); - t9 = (1U * t8); - t10 = (9U != t9); - if (t10 == 1) - goto LAB5; - -LAB6: t11 = (t0 + 7432); - t12 = (t11 + 56U); - t13 = *((char **)t12); - t14 = (t13 + 56U); - t15 = *((char **)t14); - memcpy(t15, t6, 9U); - xsi_driver_first_trans_fast(t11); - -LAB2: t16 = (t0 + 7096); - *((int *)t16) = 1; - -LAB1: return; -LAB4: goto LAB2; - -LAB5: xsi_size_not_matching(9U, t9, 0); - goto LAB6; - -} - -static void work_a_0832606739_3212880686_p_3(char *t0) -{ - char t1[16]; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - unsigned int t8; - unsigned int t9; - unsigned char t10; - char *t11; - char *t12; - char *t13; - char *t14; - char *t15; - char *t16; - -LAB0: xsi_set_current_line(57, ng0); - -LAB3: t2 = (t0 + 2312U); - t3 = *((char **)t2); - t2 = (t0 + 11288U); - t4 = (t0 + 2472U); - t5 = *((char **)t4); - t4 = (t0 + 11304U); - t6 = ieee_p_3620187407_sub_1496620905533721142_3965413181(IEEE_P_3620187407, t1, t3, t2, t5, t4); - t7 = (t1 + 12U); - t8 = *((unsigned int *)t7); - t9 = (1U * t8); - t10 = (9U != t9); - if (t10 == 1) - goto LAB5; - -LAB6: t11 = (t0 + 7496); - t12 = (t11 + 56U); - t13 = *((char **)t12); - t14 = (t13 + 56U); - t15 = *((char **)t14); - memcpy(t15, t6, 9U); - xsi_driver_first_trans_fast(t11); - -LAB2: t16 = (t0 + 7112); - *((int *)t16) = 1; - -LAB1: return; -LAB4: goto LAB2; - -LAB5: xsi_size_not_matching(9U, t9, 0); - goto LAB6; - -} - -static void work_a_0832606739_3212880686_p_4(char *t0) -{ - char t1[16]; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - unsigned int t8; - unsigned int t9; - unsigned char t10; - char *t11; - char *t12; - char *t13; - char *t14; - char *t15; - char *t16; - -LAB0: xsi_set_current_line(58, ng0); - -LAB3: t2 = (t0 + 1032U); - t3 = *((char **)t2); - t2 = (t0 + 11224U); - t4 = (t0 + 1192U); - t5 = *((char **)t4); - t4 = (t0 + 11240U); - t6 = ieee_p_3620187407_sub_1496620905533613331_3965413181(IEEE_P_3620187407, t1, t3, t2, t5, t4); - t7 = (t1 + 12U); - t8 = *((unsigned int *)t7); - t9 = (1U * t8); - t10 = (16U != t9); - if (t10 == 1) - goto LAB5; - -LAB6: t11 = (t0 + 7560); - t12 = (t11 + 56U); - t13 = *((char **)t12); - t14 = (t13 + 56U); - t15 = *((char **)t14); - memcpy(t15, t6, 16U); - xsi_driver_first_trans_fast(t11); - -LAB2: t16 = (t0 + 7128); - *((int *)t16) = 1; - -LAB1: return; -LAB4: goto LAB2; - -LAB5: xsi_size_not_matching(16U, t9, 0); - goto LAB6; - -} - -static void work_a_0832606739_3212880686_p_5(char *t0) -{ - char t5[16]; - char t23[16]; - char t41[16]; - char *t1; - char *t2; - char *t3; - char *t6; - char *t7; - int t8; - unsigned int t9; - unsigned char t10; - char *t11; - unsigned int t12; - unsigned int t13; - char *t14; - char *t15; - char *t16; - char *t17; - char *t18; - char *t19; - char *t20; - char *t21; - char *t24; - char *t25; - int t26; - unsigned int t27; - unsigned char t28; - char *t29; - unsigned int t30; - unsigned int t31; - char *t32; - char *t33; - char *t34; - char *t35; - char *t36; - char *t37; - char *t38; - char *t39; - char *t42; - char *t43; - int t44; - unsigned int t45; - unsigned char t46; - char *t47; - unsigned int t48; - unsigned int t49; - char *t50; - char *t51; - char *t52; - char *t53; - char *t54; - char *t55; - char *t56; - char *t57; - char *t58; - char *t59; - char *t60; - char *t61; - char *t62; - -LAB0: xsi_set_current_line(60, ng0); - t1 = (t0 + 1352U); - t2 = *((char **)t1); - t1 = (t0 + 11256U); - t3 = (t0 + 11473); - t6 = (t5 + 0U); - t7 = (t6 + 0U); - *((int *)t7) = 0; - t7 = (t6 + 4U); - *((int *)t7) = 1; - t7 = (t6 + 8U); - *((int *)t7) = 1; - t8 = (1 - 0); - t9 = (t8 * 1); - t9 = (t9 + 1); - t7 = (t6 + 12U); - *((unsigned int *)t7) = t9; - t10 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t2, t1, t3, t5); - if (t10 != 0) - goto LAB3; - -LAB4: t19 = (t0 + 1352U); - t20 = *((char **)t19); - t19 = (t0 + 11256U); - t21 = (t0 + 11475); - t24 = (t23 + 0U); - t25 = (t24 + 0U); - *((int *)t25) = 0; - t25 = (t24 + 4U); - *((int *)t25) = 1; - t25 = (t24 + 8U); - *((int *)t25) = 1; - t26 = (1 - 0); - t27 = (t26 * 1); - t27 = (t27 + 1); - t25 = (t24 + 12U); - *((unsigned int *)t25) = t27; - t28 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t20, t19, t21, t23); - if (t28 != 0) - goto LAB5; - -LAB6: t37 = (t0 + 1352U); - t38 = *((char **)t37); - t37 = (t0 + 11256U); - t39 = (t0 + 11477); - t42 = (t41 + 0U); - t43 = (t42 + 0U); - *((int *)t43) = 0; - t43 = (t42 + 4U); - *((int *)t43) = 1; - t43 = (t42 + 8U); - *((int *)t43) = 1; - t44 = (1 - 0); - t45 = (t44 * 1); - t45 = (t45 + 1); - t43 = (t42 + 12U); - *((unsigned int *)t43) = t45; - t46 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t38, t37, t39, t41); - if (t46 != 0) - goto LAB7; - -LAB8: -LAB9: t55 = xsi_get_transient_memory(8U); - memset(t55, 0, 8U); - t56 = t55; - memset(t56, (unsigned char)2, 8U); - t57 = (t0 + 7624); - t58 = (t57 + 56U); - t59 = *((char **)t58); - t60 = (t59 + 56U); - t61 = *((char **)t60); - memcpy(t61, t55, 8U); - xsi_driver_first_trans_fast(t57); - -LAB2: t62 = (t0 + 7144); - *((int *)t62) = 1; - -LAB1: return; -LAB3: t7 = (t0 + 2632U); - t11 = *((char **)t7); - t9 = (8 - 7); - t12 = (t9 * 1U); - t13 = (0 + t12); - t7 = (t11 + t13); - t14 = (t0 + 7624); - t15 = (t14 + 56U); - t16 = *((char **)t15); - t17 = (t16 + 56U); - t18 = *((char **)t17); - memcpy(t18, t7, 8U); - xsi_driver_first_trans_fast(t14); - goto LAB2; - -LAB5: t25 = (t0 + 2792U); - t29 = *((char **)t25); - t27 = (8 - 7); - t30 = (t27 * 1U); - t31 = (0 + t30); - t25 = (t29 + t31); - t32 = (t0 + 7624); - t33 = (t32 + 56U); - t34 = *((char **)t33); - t35 = (t34 + 56U); - t36 = *((char **)t35); - memcpy(t36, t25, 8U); - xsi_driver_first_trans_fast(t32); - goto LAB2; - -LAB7: t43 = (t0 + 2952U); - t47 = *((char **)t43); - t45 = (15 - 7); - t48 = (t45 * 1U); - t49 = (0 + t48); - t43 = (t47 + t49); - t50 = (t0 + 7624); - t51 = (t50 + 56U); - t52 = *((char **)t51); - t53 = (t52 + 56U); - t54 = *((char **)t53); - memcpy(t54, t43, 8U); - xsi_driver_first_trans_fast(t50); - goto LAB2; - -LAB10: goto LAB2; - -} - -static void work_a_0832606739_3212880686_p_6(char *t0) -{ - char t7[16]; - char t13[16]; - char t21[16]; - unsigned char t1; - char *t2; - char *t3; - unsigned int t4; - unsigned int t5; - unsigned int t6; - char *t8; - char *t9; - int t10; - unsigned int t11; - char *t14; - char *t15; - int t16; - unsigned char t17; - char *t18; - char *t19; - char *t22; - char *t23; - int t24; - unsigned char t25; - char *t26; - char *t27; - char *t28; - char *t29; - char *t30; - char *t31; - char *t32; - char *t33; - char *t34; - char *t35; - -LAB0: xsi_set_current_line(64, ng0); - t2 = (t0 + 2952U); - t3 = *((char **)t2); - t4 = (15 - 15); - t5 = (t4 * 1U); - t6 = (0 + t5); - t2 = (t3 + t6); - t8 = (t7 + 0U); - t9 = (t8 + 0U); - *((int *)t9) = 15; - t9 = (t8 + 4U); - *((int *)t9) = 8; - t9 = (t8 + 8U); - *((int *)t9) = -1; - t10 = (8 - 15); - t11 = (t10 * -1); - t11 = (t11 + 1); - t9 = (t8 + 12U); - *((unsigned int *)t9) = t11; - t9 = (t0 + 11479); - t14 = (t13 + 0U); - t15 = (t14 + 0U); - *((int *)t15) = 0; - t15 = (t14 + 4U); - *((int *)t15) = 7; - t15 = (t14 + 8U); - *((int *)t15) = 1; - t16 = (7 - 0); - t11 = (t16 * 1); - t11 = (t11 + 1); - t15 = (t14 + 12U); - *((unsigned int *)t15) = t11; - t17 = ieee_p_3620187407_sub_1306455576380142462_3965413181(IEEE_P_3620187407, t2, t7, t9, t13); - if (t17 == 1) - goto LAB5; - -LAB6: t1 = (unsigned char)0; - -LAB7: if (t1 != 0) - goto LAB3; - -LAB4: -LAB8: t30 = (t0 + 7688); - t31 = (t30 + 56U); - t32 = *((char **)t31); - t33 = (t32 + 56U); - t34 = *((char **)t33); - *((unsigned char *)t34) = (unsigned char)2; - xsi_driver_first_trans_fast_port(t30); - -LAB2: t35 = (t0 + 7160); - *((int *)t35) = 1; - -LAB1: return; -LAB3: t23 = (t0 + 7688); - t26 = (t23 + 56U); - t27 = *((char **)t26); - t28 = (t27 + 56U); - t29 = *((char **)t28); - *((unsigned char *)t29) = (unsigned char)3; - xsi_driver_first_trans_fast_port(t23); - goto LAB2; - -LAB5: t15 = (t0 + 1352U); - t18 = *((char **)t15); - t15 = (t0 + 11256U); - t19 = (t0 + 11487); - t22 = (t21 + 0U); - t23 = (t22 + 0U); - *((int *)t23) = 0; - t23 = (t22 + 4U); - *((int *)t23) = 2; - t23 = (t22 + 8U); - *((int *)t23) = 1; - t24 = (2 - 0); - t11 = (t24 * 1); - t11 = (t11 + 1); - t23 = (t22 + 12U); - *((unsigned int *)t23) = t11; - t25 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t18, t15, t19, t21); - t1 = t25; - goto LAB7; - -LAB9: goto LAB2; - -} - -static void work_a_0832606739_3212880686_p_7(char *t0) -{ - char t14[16]; - unsigned char t1; - char *t2; - char *t3; - int t4; - unsigned int t5; - unsigned int t6; - unsigned int t7; - unsigned char t8; - unsigned char t9; - char *t10; - char *t11; - char *t12; - char *t15; - char *t16; - int t17; - unsigned int t18; - unsigned char t19; - char *t20; - char *t21; - char *t22; - char *t23; - char *t24; - char *t25; - char *t26; - char *t27; - char *t28; - char *t29; - -LAB0: xsi_set_current_line(66, ng0); - t2 = (t0 + 2632U); - t3 = *((char **)t2); - t4 = (8 - 8); - t5 = (t4 * -1); - t6 = (1U * t5); - t7 = (0 + t6); - t2 = (t3 + t7); - t8 = *((unsigned char *)t2); - t9 = (t8 == (unsigned char)3); - if (t9 == 1) - goto LAB5; - -LAB6: t1 = (unsigned char)0; - -LAB7: if (t1 != 0) - goto LAB3; - -LAB4: -LAB8: t24 = (t0 + 7752); - t25 = (t24 + 56U); - t26 = *((char **)t25); - t27 = (t26 + 56U); - t28 = *((char **)t27); - *((unsigned char *)t28) = (unsigned char)2; - xsi_driver_first_trans_fast_port(t24); - -LAB2: t29 = (t0 + 7176); - *((int *)t29) = 1; - -LAB1: return; -LAB3: t16 = (t0 + 7752); - t20 = (t16 + 56U); - t21 = *((char **)t20); - t22 = (t21 + 56U); - t23 = *((char **)t22); - *((unsigned char *)t23) = (unsigned char)3; - xsi_driver_first_trans_fast_port(t16); - goto LAB2; - -LAB5: t10 = (t0 + 1352U); - t11 = *((char **)t10); - t10 = (t0 + 11256U); - t12 = (t0 + 11490); - t15 = (t14 + 0U); - t16 = (t15 + 0U); - *((int *)t16) = 0; - t16 = (t15 + 4U); - *((int *)t16) = 1; - t16 = (t15 + 8U); - *((int *)t16) = 1; - t17 = (1 - 0); - t18 = (t17 * 1); - t18 = (t18 + 1); - t16 = (t15 + 12U); - *((unsigned int *)t16) = t18; - t19 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t11, t10, t12, t14); - t1 = t19; - goto LAB7; - -LAB9: goto LAB2; - -} - -static void work_a_0832606739_3212880686_p_8(char *t0) -{ - char t14[16]; - unsigned char t1; - char *t2; - char *t3; - int t4; - unsigned int t5; - unsigned int t6; - unsigned int t7; - unsigned char t8; - unsigned char t9; - char *t10; - char *t11; - char *t12; - char *t15; - char *t16; - int t17; - unsigned int t18; - unsigned char t19; - char *t20; - char *t21; - char *t22; - char *t23; - char *t24; - char *t25; - char *t26; - char *t27; - char *t28; - char *t29; - -LAB0: xsi_set_current_line(68, ng0); - t2 = (t0 + 2792U); - t3 = *((char **)t2); - t4 = (8 - 8); - t5 = (t4 * -1); - t6 = (1U * t5); - t7 = (0 + t6); - t2 = (t3 + t7); - t8 = *((unsigned char *)t2); - t9 = (t8 == (unsigned char)3); - if (t9 == 1) - goto LAB5; - -LAB6: t1 = (unsigned char)0; - -LAB7: if (t1 != 0) - goto LAB3; - -LAB4: -LAB8: t24 = (t0 + 7816); - t25 = (t24 + 56U); - t26 = *((char **)t25); - t27 = (t26 + 56U); - t28 = *((char **)t27); - *((unsigned char *)t28) = (unsigned char)2; - xsi_driver_first_trans_fast_port(t24); - -LAB2: t29 = (t0 + 7192); - *((int *)t29) = 1; - -LAB1: return; -LAB3: t16 = (t0 + 7816); - t20 = (t16 + 56U); - t21 = *((char **)t20); - t22 = (t21 + 56U); - t23 = *((char **)t22); - *((unsigned char *)t23) = (unsigned char)3; - xsi_driver_first_trans_fast_port(t16); - goto LAB2; - -LAB5: t10 = (t0 + 1352U); - t11 = *((char **)t10); - t10 = (t0 + 11256U); - t12 = (t0 + 11492); - t15 = (t14 + 0U); - t16 = (t15 + 0U); - *((int *)t16) = 0; - t16 = (t15 + 4U); - *((int *)t16) = 1; - t16 = (t15 + 8U); - *((int *)t16) = 1; - t17 = (1 - 0); - t18 = (t17 * 1); - t18 = (t18 + 1); - t16 = (t15 + 12U); - *((unsigned int *)t16) = t18; - t19 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t11, t10, t12, t14); - t1 = t19; - goto LAB7; - -LAB9: goto LAB2; - -} - -static void work_a_0832606739_3212880686_p_9(char *t0) -{ - char t5[16]; - char *t1; - char *t2; - char *t3; - char *t6; - char *t7; - int t8; - unsigned int t9; - unsigned char t10; - char *t11; - char *t12; - char *t13; - char *t14; - char *t15; - char *t16; - char *t17; - char *t18; - char *t19; - char *t20; - -LAB0: xsi_set_current_line(70, ng0); - t1 = (t0 + 3112U); - t2 = *((char **)t1); - t1 = (t0 + 11368U); - t3 = (t0 + 11494); - t6 = (t5 + 0U); - t7 = (t6 + 0U); - *((int *)t7) = 0; - t7 = (t6 + 4U); - *((int *)t7) = 7; - t7 = (t6 + 8U); - *((int *)t7) = 1; - t8 = (7 - 0); - t9 = (t8 * 1); - t9 = (t9 + 1); - t7 = (t6 + 12U); - *((unsigned int *)t7) = t9; - t10 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t2, t1, t3, t5); - if (t10 != 0) - goto LAB3; - -LAB4: -LAB5: t15 = (t0 + 7880); - t16 = (t15 + 56U); - t17 = *((char **)t16); - t18 = (t17 + 56U); - t19 = *((char **)t18); - *((unsigned char *)t19) = (unsigned char)2; - xsi_driver_first_trans_fast_port(t15); - -LAB2: t20 = (t0 + 7208); - *((int *)t20) = 1; - -LAB1: return; -LAB3: t7 = (t0 + 7880); - t11 = (t7 + 56U); - t12 = *((char **)t11); - t13 = (t12 + 56U); - t14 = *((char **)t13); - *((unsigned char *)t14) = (unsigned char)3; - xsi_driver_first_trans_fast_port(t7); - goto LAB2; - -LAB6: goto LAB2; - -} - -static void work_a_0832606739_3212880686_p_10(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - -LAB0: xsi_set_current_line(72, ng0); - -LAB3: t1 = (t0 + 3112U); - t2 = *((char **)t1); - t1 = (t0 + 7944); - t3 = (t1 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - memcpy(t6, t2, 8U); - xsi_driver_first_trans_fast_port(t1); - -LAB2: t7 = (t0 + 7224); - *((int *)t7) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - - -extern void work_a_0832606739_3212880686_init() -{ - static char *pe[] = {(void *)work_a_0832606739_3212880686_p_0,(void *)work_a_0832606739_3212880686_p_1,(void *)work_a_0832606739_3212880686_p_2,(void *)work_a_0832606739_3212880686_p_3,(void *)work_a_0832606739_3212880686_p_4,(void *)work_a_0832606739_3212880686_p_5,(void *)work_a_0832606739_3212880686_p_6,(void *)work_a_0832606739_3212880686_p_7,(void *)work_a_0832606739_3212880686_p_8,(void *)work_a_0832606739_3212880686_p_9,(void *)work_a_0832606739_3212880686_p_10}; - xsi_register_didat("work_a_0832606739_3212880686", "isim/alu_test_isim_beh.exe.sim/work/a_0832606739_3212880686.didat"); - xsi_register_executes(pe); -} diff --git a/xilinx/ALU/isim/alu_test_isim_beh.exe.sim/work/a_0832606739_3212880686.didat b/xilinx/ALU/isim/alu_test_isim_beh.exe.sim/work/a_0832606739_3212880686.didat deleted file mode 100644 index fdc73ea2cab067887cb90b893108ca70a5eb2b90..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7412 zcmeHL&x;&I6fS4|5j7e$CIQ_;{2f83XM1~kX4XZX2Ly!$MMQEkmmItVqvWs$as9rYdb>TnJ#Ft&LWDf_>(}+_ zy|1cX{pgxqc=^(Ye|Uov3Uc)}K9yPq-Y+QiNeuT-49oM-^LHxs5%4px4ovQaKVmQ6 zp;YH?rPhEi?}7ilN__+T2K)u=xlgGhzze`D0A&^Sf0OUvb1~uP>{m)Ki}#Mkxd)Y+ zom6U0;~F&4!dNZ?XTdXv{_cft0_*GtVppLr>ORpXVBh3jw6sfoQ=;=M6Z^E5vS>6N z)l&3e&d9`E_I+KInI=t~&%xKTLV#EBw?ul?^S! z*o_Uw-vvAbd<=XFh`flGr=(z5v;9>7OF?}LaBOS9&A_j~Ex`34?5B$dwNltjT83rp zB{3v4>#qV2YdgpJP6`k0PqJM02*ieN*#`# zX^+3(9kr6@2Rt6rX3o>XE_n_i?s3h-wf^}oc|62Dp?P?8UfCtjdBh#jJe-d*lF9ML z^TWJl3H^Tu&y(8ecIdY^dA1f1^M+$fu|7@6Q$gI*x)Mjax{$)dyx~~Q|ckQ>U(^@Gx)B16lj)JmPH4V@k1qL=_Y5LjS4zCU)vPf~6GkyayiUV-}jRVyDg{ zn7Sx#4e?dHf1r89PMt?EjELtT7G4eSKWZMaQ|A$cMLZ|KGlTaBpgAtFQ|A$`f5v@* zms#kh<`Fw}9^v{Y&ou7v*4M7Za}jv z_Hp|QE+fMA&$youe-!$h<`Fw}9+7*>BnEH{0l#P-u~XlW>oWtKe~R4wh9&v-Z@0_Z zO8U!MN!oYnztjH6*_3Qo)*Sib`)ZuO+`IGo^V>urH-ATnh@E9@gH0LNuJm)$QNN7y zmwx8;=eLiN%+EOc(0h0;Fc$>BBLw?I&GVO<yGWxx2^#-;wO3L7_$5%zw*th!=IqU+m(5iZ&Drg1SRe#d1jun z+Ldn_bDH!cr--a6uDdKh`PMRzS$^ynMb7*>-if5h9%3GoeDU$d<0NLBmVF~*ipQqC z$Nks`K|#OE+{)a^+(_;vw~{-_jjSPQlYB`?-3|T!$bjrmu2lsmMIpnsYcN9Pq({0zoXhg5O}9NyIiwNQzf@n6U($yt2vGn zx43YrR-95re5P#2F1xnv)C>*LH^j4Oi05R#*YTJ!Gc&ksh^K3a=Pg4#Ck^q0hIo8a z>v7Q(k>516f5U;P#l1A>7|M6J*fuqFGiAq6r)J7!V@$3wriwA9swpP7WQ@t!+_}aw z?i$Ne#aNyy+y4_NcT)bo;=M9i<~@?adfrcy^>R06J@2DCEz2F6@%(>ik{_o3LJEb# z#039DMoaCmy~O*E*AK$J$C9@gw2Hw>P-M*;-QMe#@0F_4wp(&5(=~6}p0cael3R6) K&34o8tA7AK-!=vS diff --git a/xilinx/ALU/isim/alu_test_isim_beh.exe.sim/work/a_0832606739_3212880686.lin64.o b/xilinx/ALU/isim/alu_test_isim_beh.exe.sim/work/a_0832606739_3212880686.lin64.o deleted file mode 100644 index f753003d559ed4c46cad5020c2d2423c1f0a132b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 9272 zcmcgxZE#dq89v#iWgBo4prz5)veuxn(#?KkHycFVgamG#9Z7*GGgK~1av=-(p!)%# zLtAuHO;(@R3|Z;cQ{i5tI2+i0s-mTrx4bed&DZj# z8>C1gSh`d%#F2zIk9uZ60fx-hGf~#lR9#O_={atIdn_gt3nwNXJ0@@1F}eEj>M>~; zAG?12dW8=3&e8E!4yqUAU>9@HU=ALsaL_0_==lz z?BMZ>gl3!$Af<&Qtnu0s{#cG4$_QvO#%CJF z3-$ylOTqX#Qr_CHCP*JH0pkV%TnAm!5|HwYsUP9AtUZU%f=FD@lb7eqd*V0fh~uvl za`Mv&IW31*!IT~Es>V)N7z@A~2CbQlIl&`iuaU7pb!Eq(^+_CR+vyiPlyFakjfjTU z-XYI?kZ4y{^SaVeTuMf1bDc(;D_*KT1NvYF7~8)fH!Rgd=_u|vRjgb&QP~+zYoPhG z&aQk@dLRe5MIT_cW3P35ohrQ!BMYAa-y+B+FQ%qSmjFnWiqi;G?##yIFH8C6rNws} z3mb7Kf>78Wkpkq8f|!soIp)J%Nd;-H_&W2R?bc1;eG7R10w@tDaWY8dSEd){K!<7o zi?az=1~_n=a-aoA8yrBu#ev%j8^D1hjstz*dt<}%eZGla)NhpapIL~0=!x|IaAEor zs=<5GpLEmjE9*aTGx~9ZfU&In;(bk;f6 zz`L`~ajC+D+iO7xaLPT{yEmPhN;QX4Q^nuG2>vV$gcI0Gh7?9vNOw2Gp#`Q|eZ%uf z-$a)Wzp^l5XW$zpd2_{S=5b4T$GsJpq3x$6sg{3nr&riO!tNJ%l_V3%Z{e$6yX)KR@#?&XvG34dqtnZX)9UL9DT6d2Ya=COq*V-|0<0`Q9a0H#;)A?v#RADzfuXBmyJcM&3zalUU; zM6wPFWT)3N>)2@l_zZE*6X(mGPJdI;+wI@!eWI@3-vrI1Fyy{N+?Ozi3WuBYJk6*A zxdF5XX$Bva-0$h!)B?F7Ff~Tpb7Z&Z>Gmf*gMP#C_jdZXb@_K5^e6W^E(&b(?0?w? z$M77rL0?3-zp)<&@CFI#i^N~ASEG0Z8Ph7g0*`w0lNT&iOI{(bO%+wJH3$#0FXtR! zxCbDv-sOA)YzN^hNYZ(D$MiV-A^#l>KSua#c(8($r23bjjQl%Ds+>Q7T_F4)Bo%p> zywDE$KfTp{pRqH62ROtJ;z1nJjC=~wLwGxd{T$)D3BMD+z>v<#r~U8>GS0BC0KZO< zalp5+IxoW+45I>PvMR|dmdr!H4)#TrarqYEhSuUc5}&UVX1XJrn_z2@8BFv4cOWctm*NG`Ky#2PTIhYRT;tT-Sa!h|f{zt8L++z0kDLw3Xc%#@ic>@vgAP`oV` zi?zq2iBPyL(iV@H(T;emEgTIe!cyQKP%U+3Q1`GkJeoapBjs*AwROb7jgC+(7LCTk z(P%WR_ms;#VwoeO&`CPqKbRTWvk+75kud1yreuibds@+dTSp|G;EgEKkyr>!FM1yzV;X3L@S&x18h5D zCH!5(ACMp|gEA)k?BTap;qvHBIG+C$z7xurbUS-(c%GeU!ta9|ZapFkH_*~~4!?~Ta4CgxECLA+9fBwbzJf5F}?+GSd&kn-T zuK@h1d4=CAO#1k~;bP}cjL-dAj0URMS;lbgSD0|!uTL;O_vx?kgr&-J|Q!awW6 zUrFao-Tpm50)#PAP6or>q@8GbLrA9KNHUGOU|_}>`LxmGq>siP6T+f4q>w2DHe6HsehI2iCXE@jMF5#%>PUhDw z_3#1-alEIi^;=Ik`h}VlzKro#FnlHB!*6vCABr;J=STKUIw+iQL$GmmFI9IBg{ylk zYQv;(b?*&Gw2Z5JGR6v%;;Vag$c7zU-NO?auI}wg4OjPkL&JOMy;sBORRpMjF~C2S z)=@#>%Fa#=SN)D_xY9YT;mWUHYq*NTRSj2hlm7sMI;BU&xfcV;a5XN6HC&D3a~iIG zUwBi))%{QebBBiW>0OZLvvxkHN?B_t9n4!}c@cykI@2P!E0+_&>?pn1%f8JoWbf0T7Iq8vp -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/alu_test.vhd"; - - - -static void work_a_2602956921_2372691052_p_0(char *t0) -{ - char *t1; - char *t2; - int64 t3; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - char *t10; - -LAB0: t1 = (t0 + 3304U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(100, ng0); - t3 = (100 * 1000LL); - t2 = (t0 + 3112); - xsi_process_wait(t2, t3); - -LAB6: *((char **)t1) = &&LAB7; - -LAB1: return; -LAB4: xsi_set_current_line(103, ng0); - t2 = (t0 + 6215); - t5 = (t0 + 3688); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = (t7 + 56U); - t9 = *((char **)t8); - memcpy(t9, t2, 8U); - xsi_driver_first_trans_fast(t5); - xsi_set_current_line(104, ng0); - t2 = (t0 + 6223); - t5 = (t0 + 3752); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = (t7 + 56U); - t9 = *((char **)t8); - memcpy(t9, t2, 8U); - xsi_driver_first_trans_fast(t5); - xsi_set_current_line(105, ng0); - t3 = (4 * 1000LL); - t2 = (t0 + 6231); - t5 = (t0 + 3816); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = (t7 + 56U); - t9 = *((char **)t8); - memcpy(t9, t2, 3U); - xsi_driver_first_trans_delta(t5, 0U, 3U, t3); - t10 = (t0 + 3816); - xsi_driver_intertial_reject(t10, t3, t3); - xsi_set_current_line(106, ng0); - t3 = (8 * 1000LL); - t2 = (t0 + 6234); - t5 = (t0 + 3816); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = (t7 + 56U); - t9 = *((char **)t8); - memcpy(t9, t2, 3U); - xsi_driver_first_trans_delta(t5, 0U, 3U, t3); - t10 = (t0 + 3816); - xsi_driver_intertial_reject(t10, t3, t3); - xsi_set_current_line(107, ng0); - t3 = (12 * 1000LL); - t2 = (t0 + 6237); - t5 = (t0 + 3816); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = (t7 + 56U); - t9 = *((char **)t8); - memcpy(t9, t2, 3U); - xsi_driver_first_trans_delta(t5, 0U, 3U, t3); - t10 = (t0 + 3816); - xsi_driver_intertial_reject(t10, t3, t3); - xsi_set_current_line(108, ng0); - -LAB10: *((char **)t1) = &&LAB11; - goto LAB1; - -LAB5: goto LAB4; - -LAB7: goto LAB5; - -LAB8: goto LAB2; - -LAB9: goto LAB8; - -LAB11: goto LAB9; - -} - - -extern void work_a_2602956921_2372691052_init() -{ - static char *pe[] = {(void *)work_a_2602956921_2372691052_p_0}; - xsi_register_didat("work_a_2602956921_2372691052", "isim/alu_test_isim_beh.exe.sim/work/a_2602956921_2372691052.didat"); - xsi_register_executes(pe); -} diff --git a/xilinx/ALU/isim/alu_test_isim_beh.exe.sim/work/a_2602956921_2372691052.didat b/xilinx/ALU/isim/alu_test_isim_beh.exe.sim/work/a_2602956921_2372691052.didat deleted file mode 100644 index 43ed32fc9655ab4ddbb5e91c029a1e5e927f3da6..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4964 zcmeHJONG=XAeYN-3R4N|4O$oOj>5pYuNVRaKuj{_g50r+rdE z=9jTmss>ytD0MS|{$h805PEj0QhkU$0!#rnfmchE@?d|9{v2>=xl)T)D76VV1bDzC za1D3>%m9==t-GV_)C?>Yau^w+C1CUz*gWEa0d`MhR!w_*WMCu`Ef)Bc>P6W#kQO9=uZ2W*h=clpprB0ft^YFOjsnlh&=r z7GJb5XugV>7&xX_;yg=SZ;6TJob-%mi_-A+we*I^CrYe(XF zl$tZXSDaiGxhKPjCn!n2uBF@ZIiiS`HPObo1Twd9^Z7^${b?lq3;pa9klKn@zT4Dd zyN^Rp+LJY6OwuPg4^ug;TT-%e9;I^9HO|VJN#&%!=UF+AQ#t(qla#ESr>PvduacLQ z^DLEWD@2LfOWI0H0*F!G!*awj~u<*8D=IqsKEHQQn6Mqz1ByXCv>(qyYS=0{F=K8*bF zA4h&^Drf`~Q>EPpkCxm<+ll-z8aP|el}dQkh+lWl2F;cVeBXB_9dpn&jk00cwpc1v z+pbotNsEP$+ECRPl9;ktH3u!ztlD|**Ugh`Bu}!@sMTR$naCD|UXxV1jF)Kr+WtWXXbHEEcH`23w123PL`~Uy| diff --git a/xilinx/ALU/isim/alu_test_isim_beh.exe.sim/work/a_2602956921_2372691052.lin64.o b/xilinx/ALU/isim/alu_test_isim_beh.exe.sim/work/a_2602956921_2372691052.lin64.o deleted file mode 100644 index f396a9852f8b6f2d8ce0f511a80039bc31a906aa..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3736 zcmbuBOKclO7{|vpX-r!jQ>X|OR38uuh^`+cFJ7|qG?LW>l88sChK)UmH}NCdUAHcR zB4JU8f(0U%9&@ZzsW(6rDUDPlxN_qdAr3)8DqOgv!@SpY9!HEdR-l9p2x~ zw+GSbW(i(_~oNbGjb` z;-FOqD0SV2$|PdNU zFyd`|d4($-;dakU|LpI}wfUW69#`32)O`CDa8%Pz^00=tlI40;B$w(9%hGKtIo2?R z-bk*Q^%Y@r>!M|g>b)hAY#J4#)=cIK3rW4w;I^=A?R*)(95BN&svXR+#1}8q8=VqomsmU3h?t+lKR=9v^=(F|e@MsM(Fg zur{crPMvNzrSzrrh?aWcS(nO?M`ap%{|y#u#zQBL_C~*ijWYoJNm;jt8z~oyUk>MD z{jJDEED@eO9P0;?i$!xs7%UUZOJ9s*6R~(P7L~+5LCFW$U;BQw5#OE5SOk8476Bzc zi?E(9d;&PGN^W+-NxJ*_n&h*d zh%-*PyPt?7>_Lw=V{<^_T@=2@0DdWee-Xg11@NB&_;0|E!HlYTcfJ>3hv0+o>*wPE zoCWLzt$5#!!q<3;tqE-~Jv7X;!gvZ_X?$fEpDxUdq??x>om})*}}99 zy~0hgB8vCl@!Y7%W=*kdz;R@9_n5%`b`Tsd#ReQij6DH!j24Cm?k{$C-?gU^g5eH& z7#_u)+eq?bguf1XG_THC7kBA=K=>n&SNbiln&O7pI(X-Y%oO;Nj{#VVZTZ zYU_)T+NPV9Rn8O@U9&~gW*S_mI@1;{i)m&Z3+SHKM(!=b!QjL=4v^C-^`c&3TCrZO z!dbwyTHO}f^qGZImaP|8rMD>aB~z~o*~9;rBp@8;#CzgrfuY6eq(E6Nhf{|yyzg+X zv+z($$SZA2#^YLJth(QDZP1>92f6p=o%OVfzG&X|p9hAT|1~}>H8YS>Q|*66A>>v6 zRX?)8Fz=23RrdcWsH3LhR5}lpnD_Qy0o9(;@Rnzw!f*a}T-Se%1Gz$dgt1&fa~Xu zLKWIy{<*ie7g`s)?E07 zX?dr)%}q-;%LS`!8r;kkO{;9^1(P{K2)@nDLfO;}-71%vA8c?xu-y&Y+o0~8C3{Q7 zyk6{*e7T&@=fMF(7-rrqSf-gTGd4dn^F;Q@>RQ_k+S#I3(DdnCJ8T)R8zoK8KN3-x z5-7Jt70bMOf@O9lGE-ywN8XbQ`;g@KVBa;UtK$=I4OPb{cZX-kvqM+LCnczkkDz3r zg1xbi{k}KQA;ECs&H+B0B$sqw3cn$R?@!@_k9%LJ9E|fI@_S>Ejv#+L#;1`#8RI7M z#TYLlKN;iD9A-BTP>6d-nYVCFB&*76VnEH?NhDL@I=G$1K~+UoHQMmZ+l{$x1}@XpI!l60>WhfVb?vOwii)!`EwwfD5Oh2*@|YB=lMFswXVUYX^~E7ir>>WNwMS8_E*>`eh_daoHx zb=&1~UE5oB;Iv%1o5yfRL&iHOuYcsx2<2AfkIMRx!Y7e~9yFvstZ>Nj#2-^QoQuRQ zh2Np@io)+y_|po9XP`JM3cpL?FDm?Qg$v|EvW9Pv;=F_$-fK$XFCz#2O$vVnIq2cs zqByT1hk1isPW)};pocSn_`Ap<{;0y=M;?#=A##X+zoNf@9OB%p@K2D(<9v!7;$-DT z&+`Rxhy#0r_*cl|aV{Z;I1k8+^p}xCoC6B~9(g>@Pskz8VMYI&qK7k*;%AXVe7L$r z^UpQ|dyQ#+;6?Q&S)SOkwLt6yOxv&nn`vvl&or+E4Uij}%xmkdW>dIQ;?ZA^?x@#oWTl5p!2>66MKUOK-bSrROE%Q7M_~>t@>0tt{)$}0 zNg0)$FboZb=KJ*>sb>c5Ri)3^Jo22t`zC5kW-@2r5EFMJc{0Dq5^BgeoFZKi`{kcJHQPCz6#x{IIKcFw^~mp*s!;K6H+FjYy? zc)u~b-eJt%nNW}{ZTMf*H)q2WZO2?wSAoOblR8>v#qXeBM*8r z^;Da2^5IxMLkGpL$=FUl-1Z`MC)b*!2*xWL)|`z~rZ<>NHP@k;VKs_Du_}fA z?4WKYb@HKkkw$qX>16MwPV=wsnx95~9-(oT)o3oHkzT%>pC_m|&DOoiYA{pcc@|B? zYBYB)9>wPT97$oe)o4F7if0;{IaZ^2c77DU^D_s{=~km{*2vGfXwI;jw^@zGq%k@_ zE6^mYh9l6>&l)rftmfF4(WKBUq)qe2F>2`NV`vsx&D*U;dd;Vc=PESkQC6HBzlMH3 zji$|NIGznPx1#B=8ji2IdUVGSCU}l})?H{it>&FpqvvW}oaSCMT~>3V)nKOhc??ar z)tqEC@}sqLe)geRZ8h%-X|#4u^E8^I)#xs16!SA^2CQb9)#zDTU+3p)7FcnpUi;{_ z$8R}g?APO`oi-)ugzMkMM$`JJj1LHmiY^pJ@cIcZdAM(J1L`yQF%JPiN-{SlqzM&n`B@BOv{`Xq4*xsK55J z#;Niwur?Hb#3sJD7f5+4`ArLc;!=D@9&@`M`n)49<2nn0-y zRMtC8b{qY#fj06hKz%7rB*meEv*+8Eu$7;i>9o`OSxNbo{3vFndV3E(?uYGz##}(8LE7DD z&m=F6zA0p-3v2gKmUa)?c~*0(wYpEk+I?30B-*IeG+BEhHmrS~vi$Bx+hR4-u^OZ9 z#jy5hDy2PuHfFUmtXB79Si68hNUKSnZ?!Y6R`*j_t8Xc3k3rjNwar$mwGL~uR@;JB zXIt|=%WAdOPOCFmqEUW}XqQlyR{Kk1(|U%rWvktYR%Pd_8hA{9jh3$)=sNuPzYhBsuElH)lg_TR z+HdmP1YvEnDoK~4GztCD=mm+E!qPqKNv z?>cQ>b=@{EoyIHc@??X&_KE6r&kTT)&dkc)y(v9I>3>m{-?0Dsa#LNqE4#g;vf@(G zWzeJy199ywG^*W|FL|gp<@B<54(}KWKfk^#eYpMqsjT%fck{lmslFVHllE}@(yJ`( z9QC6dShCW;-1yx5C0b0UboFJbg85f_;9M0p=~+Q+)+(<%MM-Bw$(=QwDJj z%c@;gXF^tS$SNjTH#V-!pzQ8boh`NNT*<1xlD;ogc4u7g0F{ro78Asx?*-NCY{}}I zK-Qf(-7zZboi3|$B&(#@-F)esy84ymuZ5>zK9wJZs}RQ-#yY+z^uGl8gC)bU2XXuu_Y3Ch#kBttOi@E6fa@F(Fz8CGNR&wcTbfi+g zEa#`ZanH{crgD$znYlP*MQNk`WN z;(5!G-O*U^8WDa<*Zur@*7Gy8{F%%0zqgBw;D{Mi3~sSVT>g4*I}VR6cO4yHk^;YwqQxnj_QH7UP5Qu~>5fK6Q~ zH=G{Lvn%qYR63ijm`vevQ?B}{Y}!w&kQ(8Xm9xgr7jtI4XGT0z@=Vn;MbCJ-j49?z zW;nE|f|EVD$7;n&&MOzHe!grc$wY^$`9d~2w!W0DjK^A{E9Na)IF^l8{PnMVW28&j z+Ekk|cak=R8JozJ%D%13jFKy-v=Jw>n_WKGprI?oOv$IKhaX|6V{kr8X=bRL+LW%8 z@}&)?nD#TnE~N6vcqPAK*eA+-M%%n1NoF`*NoV|A#qDVBWacnn7$r!;ehGsF`cVXo8&Un)z@vhI%6;&S|M)w+j~uNZ9jfGOlLcG zxk(OonC@h!=}E3M=fi3kgh&&2#dMefGocw~!D$eI*)RuAhcjRJe9uxO(W@ES6A@gnA^@BcUD%^+>2kLOl}dkyvaZ zpC#7o;ClER+yFPi=iw%}8MebM@CCRPz6f7}FT-uH1HJ+~;db~c+yP&MJK-+)I(!4Z z33tP{;M=eZ?ty#ZKKKsY4-de1;d}6Xco2R755dE*8-56nz>na^@Dq3xehNQ>$6yaU z4nK!qz%Suf@N0Mi_QI3!8~82!4xWPF!yjNDJPm(@{qQIFGyDbq3V(xV;P3D(JO>Bh zAMj6j9{vR{z`x-|_z%1U2W#)}+6yiCLJ8fjwX$9WL-|s=GJg2iR-><;yq6jaIiGyb zO?xy0#gJkex2T&p z?oDsp(xTEUJv1^Om=El2UG0*+$V_L`1Rsf+%K!U2XJ&Wi?9B4a{`AS6^PMxl^Lw4& zocGLir3~#55@OT-LTxwOC{;0xBT4FwgeODQE1NCJmS8&r|6XG2ZtDaz4F4yocg5dh zDrxOET+K(+=(&Ur91wrItNFBFjYInFsNOUCN!ZN^YQHvHG|NWM5wFqv`TgkqJsGb3 zR&`}bTVyx>t35}#>A86Ixp?(C?bpW1*|c9xK9Y_8AF19Kf1S8Tf7&nQrN7}n=1ib# z_oMtl@pnN4%VyJlwdd}@bHrzJ|030lcdF%C>7`aRyY{Quq0f}8aX0kqGiAcHQ?jPz z=3SeYaKp7X^y@uydhhF)+(e)94!b>#l|*DTRT0mmZo=sP{K|_)f4=ALhX;)LEOK#j z{`o!sJ997HOThn{-HNNCLu_G5afA)P|AX-V>K~q-GsLxH|9x}+Ij81<^zQF?4xYX5 zzjbHhmgQdw2%l?m_bWJ+86FCyMw{e+7($rk-vv57P4a&L%q;%^i*g^cz^{W6&FIXt zpuf?APO?S*?H2i$SmeJM1~TJkhlPH=v!IiQa-(ri{3E|Jqu<4%+!rnI!xs1>7W&*| zk$<)YKcyCW%e26cTa=q;QSRLq`s`sr|8)yKpR%C8%!2-K3qGgAP-b?y!GexrfoE9I z`MX8_2rGFl_`JB@-EbfvwhN#7{AOo^4z0=GlKypGs2ci1||(pJ&?Aod)u@UW^~R z?U_Pc=>PiU&YaO_%JlJLr}W91HgjyB^enu1@_HwaaP{eXeeZsKaFO90K|g(Z)5{6} zPoo!9*0{+tv!-TFo0K&z6R#&*-ps6wNtrnr9LboPId%Gs*$kbKIVCeEGb3kqb|y>D z$efflGbeLKM$Yu?nYOIV%*>3LITJFbOrMlBK4a{RtenXiQ*)=}WaLhpnKfxz<^=OB z+TDz-nHf_uXU=4#>4C9RGA56mnUVQm=CmC1N5@Z}_FzWVw4BUIU_zr4Br9h_dqR~V zd-{wVcKP7s2~#q%r_VAckoiC^7}5&yU9cxfT{$zxLQJr#*BB#7Lgh>zJI$Of(@;zF zlQDfp24lvYUiOsSnMUr$jh&eZbIce!I|B+EKQ_mfF)?demS5)alQYNPp8@_SWoAsD z2=z?J%wu;|D@++XGbiK0u~Txn*(c1%dJy`am^A}16FXxfa+tF-6^);n8LE8bT1+KV zr%$jfe&&>{@tNktn7w@_cqntm^vpbNJD)2GUf8$q4gFY2z6>)mXHK7zo0BztTE@iO zY2)dFWq?Ldq(DX zs2O%PrD$|Dy}EqjOvsu*btz-gRQS@&oUt=w_Y96l3Ai$oLgh17 zz(?lt{P6<5<3Wxm2>5uFPLhD%mB;hD1$^&pjt>{`e3eeRfRA{X=N}{B+vjk6vVeP3 zI@toA_E(-iPr&!g<#>UBzpTkt8~f)eB^wdzg)nVt9XTg zf2Pu@6!0Nx9H&w$mq8k{csCBQouF(Q5JZ#fNS)V z1pGfLpKc3$xPZ%Q{&Wj`jDTzOCtKjz0|IYxL^{e6#A$#{~RC6}KHW`c+*auP@;OK2WVMkpezVt&dRx z{;rBg3;1(txv>KNZxxRh@cMktPlA9CQsYdLfKONBm0Q5qsQ7RJe?ToaUBG9l_!t2{ zIE(W$S-@{r<8ZcsXRGl%Pr%ozc!7W~P~SVn0{*Rvmk4;-RL)PSfFDxvG65f^%2h7l zsVZJ2;1i~B`dcmVZ35nSKhIwy;CHBWY6V=QuUO#q0* z5o>|R3;0kqe}aIQs(6xsYxLa~_;3N&=%)*~Mt_WeYxD~Q{07yI#TIypfM2cVFBR~3 z6)zKTjefZWULoKb{YnAX=vN82M!#0THxzNdQUv@36|WcYS5^F&fJZ;c>DYcT`boso z91j=p=bzztq<~-dEXSh+eDgmz9xdSMi#Q%D;J^Hf#qlx$cWvN!xqyGZmg5xy{`h*1R|@zQ?{U0Jz{56je5-($ zZ{heh0U!Gj$7=+9^v4{p74T7?a9k1aJ9cusUcl?W;`lKEPy3wXwxdS>zxKZz4;S#O zqqsjr3it^Xj}q{ND|!BC0q=4Z$72ON^Fv;4ynx^PDaR88{O)ZWPZIFEKI6Dsz@PYr z3UWbyka&(K2zXszjwcEDhU+-)7V!69=H(6-@R)Lr zrwjN;KXH7FfPb=&U+FIz$;a}RKRa| zl+!5_@T-bBUM}EKk8`|2z-P-GuN3g3svWBYe8jIj|5gDHInMEI0{(`I*9iFd-+2C7 z0Y9kXihw_Mg6FRn@UAC0eoVj{w6$BujQ&3|l>1M(fOiPvc%*>8sp3%rKA|JeA1&aA zR6JI|i#zfB@dDnhGshDIe918`SCW9gqRQ(Q@bptW|8N2C(#Y|20biryV+4EzM%d|Z zvVec3;@JY8t?D^Xz?XL5bP5FgRaM?%0lz1l=PwcPGtc07serFl@iGA)Nef``S1#aR zt9XTgKX4|`Un$@_7cermDgi&~;rLbof9h3^ZxitTuW-CZz;~? z?Pui)_`{<)odN;>(^FioVgY|HgXb?1@bPDJyi~y7Jcr|D0{&w+j+YB~;#iJX2>3G> zbG%Z(H}v3mm4F|ZF&;lX+)d=_}H*&mIz)#-9aYevWXh9wR>IMA4 z!5p_8H~RUJNREeF;E@9UgqlCf0*@AOjee{J9xvdNRr(16{*j6&3AjdojDTOMzV|0v z;MoFxQ1#n93%o$UHTuOCc!_{(^h+)9G6C1?}F6)zC*Iu$P#@Xj;2yd?tOL&Zx4{6-Zo6YxK&c)5U2Qt=7_pR3}P z0{*OuR|$Ap4(D^LfbUW9S^o{I2;4$ktUMAq@?BaO2fIqyO;}rtl`)qFCN&#=Ag}(T!67YuxaD1zP$KS;9 zZ35owK91K2_%0Q%74WEKoKHo-|9(HGQ!n5(sveFB_!Cu}j_qVmCPz5?*JkrP!0Chw z_>dVKj}-9jQ5=sF@ZGAs(E=WM3C|xZ;QgaH9xvcm4d!@)fTy@Po+RMkUe0m1fcH=4 z_;3NgD3;^t0{+r993La#Q*Y<^WC0(2C&#k|{KwuL&lB*WcX7Nxz%RR=u?qwjINSim>@%<&Qd zAN~r*O9lMKe{sA_z+c_O@p1vrt>buwfZw@=Pjft4z*nn$#tQgvDnIc8-uO1BlOW(p?{Yjzz;7wyxLd%h)%X5z0WVs^^QQ~= z_up}RjDTPLcaBdM@WwKZXAAhivv|GE6YvK0eOe&k_FSI7SirBE#qkmWzhySZO9lMy zhdEv*;M4OtUM}EgsqL8x0T0dL`6~td;s-fiCE(ZRaeS+Q-}Vs4w+Z<8IUKJM@Q44( z@mc|2G>hw55%9C~IbJW|kNuV7#{}F~z;TbZ~68h$M!(zwuMlvJex-nG^s5A1qrX+aSIkxYQ^402sQxM7%jcF}*OysHl1ro+4G@ERR{z7DU|;TPy|MTbY}@OmA7p${0beOuEVd?;bV08RXTjK4v*F0**ZKhN+Mew_}l(BaqX@Jb!tPls3O@cue{s}8?Ghi}v2H|lVA z;r?*9+_C7jo;G(;RZfS-ZSKNN;Tvs@{oi|~r>*gdP59T|BMDcOo=i`AYa8)*#cE1Z zi1Th^={G1%q0L*x(yvmQLa(=irC*>lg*a~+OaGJ76oS1aEd4a4Db#rjSo(2FQ}ys> zv-BgBrqJdc!_p5?nnId)I7`oixv+F1HlN>hmP)}I1!#b8QPX!6#w^Z-gzNb+uD>FX#>uMTe&OJ7ZC3QgV$mhMSu z3Q68FmcEG66pFkhEPXDeDFk^7Sh@?PDfD==S-JzIDdc#^u=MeAq$$*RhqLq{N>hmO zCb9HSl%~+)jc4gyl%|m4jb`bcl%`PPjb!Q1DNP~7Yh&pTC{3ZmTYr+|e~;49l&)pz z)s&`C;oZj4Z%~>-gtv;NU!^pK25$vRzd&gU3Enc6{wJj=6nINm`e{m22=ErL^y8GK zs_)Ha=|?C{Ro**>r5~a+Rdw%hmYzXrs^Z=xmcEbDRJFbFEIp3W^a}Szv-F=SO;y|* z$rRi1gt!3!}l%}ff-R4VAEJ2$6_5C?n`s^=mX_vcj zcm42@DV~lWVC2p1Ico&_8MFtv8oQkj8b|CsoH$3uGw9dKp0+f%r{h8Vx)YDNr5|%H zMAh8+GOwCvjc%-+*xTM?KBGT+Bh^ZO8qdE&&w2X4!N|m&!t?G8r$XIQy?cXli#ueC z`?FIyQ6Tt=N-%;5TG1;Qlm$Ar+&=EYK^b@eoPnPRw>;<}q?EIu5yg#vwsdqLUkI^N zK#U#U2$GP8vXAyoM2@r(6l0{{+|n<~OYkgkdJ7&=?!)!^BSeSnS4j^m-3Qui{7Sw! zM7^5bOS_tju{M6U@J8)wTOw(7&^!$9D(Rqu>!<1Z>)+_Q+s|)9CsGHuRIRLrm2me_ zy1S0weObNh8I(_GIY;OD(Ak7O&C%z4XfC01I67LRe?a<1?5mueV_b>vHe=boX?Pv#;uUzI|1dC#))Dn^a|A71lZAOFWqSHOj06 zG22GDi##|?zB4>=!`#Ti-04af5nu4+3Ok zOg;0Ejg|Cf+9++)ZjM4tqnq@C+E_`iC4NVKOyNTL$n1iDo97&Zw-Lnm;n8&yW(n?Om5F5e}Ac` zZC|u1A!M-ai*+P^mUATJxHF_?uqVRiNc>>V8PW#(s)(~2V?JaV_jP2txOZc#O5C)x z4JDG7!7$OFAsB|jgX3<;U5+%zNQw`6ZYjUqEv=+iy<18vO_n}Mkz6II(nk~Aa@Z9V z_T7?eYu_sQo(Pwm6;bV~AOlOI->P;o@ubmfq#Ac&)ujk_VeSpJVeYt1ZjUp@?k=pY zcS~E`Qqg2a+g0ZFq*b`*RhQx2uDBW+8y&JUCc=?8G$Pj}yDBBu0y+0BDYw`uXI4Oy zD?X7tbMPc8B8 z94X+);d!S+?%W2G-yj&;<&T95y2%KgaWe{ep3@GgLO zrevsnRj*j5@{viZ^ zXK3aEnW@hiQtwP$Nm{mh9QfD!U))yC0I~lEev&|ba>*~P^^?&Hq#FB*jhdhIx*UG8 zPwyvVjec@DJW}(M3rO9fpFDzhW^?@{mHZ?^^^>JAYk;3@=6+IP>L-&>l*2QM`w1dn zl+!b?D!?fm$VE;;85|+iE~$|$f3t<TF4WqR zR>A{Bak~bHF(?~Rrj!K;&w3UhVh-yKe=7?RWh_9E|IxJ>*>&;vz?JX&dyAIwhA4ndb>ufN%&ge2VY^bhtImHma>+CkV&H*xj z`f}L?4FtGODtOTcjbN^02paD+?K&&0Tql+kz{5vAMTZ_vPd{DwQ2nF>a*>}<21m#i zmvj{2!`UCN(Nyw=5DRah9th%ynK#@^-taTMLblYIc*7sm)Yy4I?+)$S)VLDebl%iR zg1MAhXjJot?a+YF8?>gzEnr3O4cSmwvjR!brUte21EN3-ifXE(58l|pPbm|ixsX?%cjN`$ko10jU}KMVEI3$sqxNFdc(JGQ{yF{-TDE+zZ+P-f;R~A*|CfeUIK9+O??>8?7}pSRl!T<7wWIMcUQ8L3@R4|Iz3T z?bFntQNe&H@D7So41_z4Re!*;jUPnv9M zWEuS=6&|ViiG$QF`pL2%n(rrus1dZOVd^JOp{S-uHSR~Q_HAk;f?|N>|Cpx6?Ys1b zZ{McIt3J!KQH>GsG0pPBNT-_RwWh`!-y1F8u1yVt1L&F>9u(Tts74m@_+y3I)EEgc zSW}}P?(1Vk`!qF9)&75Q9Tqdr11tU!bIoU{u=sHpGQq6TDNbjQS%=)hRu44!q z&1q^_xz3#^wCScsFXZ~Yni}=r271F}G&RcHQf>jwo``cMjtGqLX;UUH-;{}KjZ_tU z%7g}|k};Q{n=v^Lg*)Z^N;&^6DSw$fx&q^#jZ*$IPMW~lm{@IJAlvBWd<=Lsrf^)I zUOh0sRp*rQ7oY_Irh-e3ovfr48h3q)MxTbG!Oa!jQc!@1fxme z{vf66=j0YhXG=rFq`UkxE<^c@i_U#=?ZQ~9k^w4WWKUNOQfy)nr#xGm!Gc;ed*BkY zL&t(z#8r?C^>K5s>Nx*vGDoh#9H%gs=9KE0Ip$2q6-=>3ay{en#9Rtf>~TuDm{~hO zrr5+yQLZzEQ#!>>kpL#zO zR^I>0pzHi%t0AYLx;_jlqORfm%<;>d#jYm>=Xc6uBOI6rY?NHfBv(bX>n*sa4YP*u z0EG9JviT}Ty19fyg5(lxcQ!EMsqlRJ%?E3ndBF3tD!Q|Egg2U)>W zeb#f!X{B4jI@l6Nm*>oPh8%Xq9r4#0`+{lE4eBW>CuwONX-v*vrrZraNIRIxV;<2M zQ!P>q*|$swrD7;2?aAVZ_8>M{XR-?^Il zO8LutQT}QzOp?BQRs^Hf%KbZxRxGiyV$iqCLQpYN@bB;I>}|ekyQzko`7%i_6ny=E zkjAGa_6~NTfIr&T&mkd$zeUK`yC9pHDSmffXO`&oUsFr8+Sj{-UMqc_^yRZ6^Yyu( z8?D$nUw;KuL?IL|5oUQ#XHIKk}(T*RAYEECUr2 zUFVjdQBx4`Dt*A;UCZ@%*K*Nas}I^$aG|;y-=W(-Aw^HElxf67RrC%i4gEER`fKma zxe8b4uWgoGi(H-wm)Ouz_z@k2DfvCoF_~JLB2O*wvL3eDVK@vyd^(Q@;RlWyyF-T24Hc#&Yc}? z4r3=FAH+I`?t5Li2ANK?0a#!k@p0=6@YTZ>2ABasj0ULv=npo)3NX;50bWHW(Ez6{ z{D1smyM=#Rl`V$<|A2f`;s0^uX?ggc53Om|_J#kt4-5ux0Lx6S& z|2k9n!vEzU-TLs~4LZ@(&BK4;`vzUNGW;(G6%$?m?%{vO*49OzL9;BP&k+dXQxFUP z<50vO8vgr&fhMC*FJwB+24LZTWOeHduq@ZY05c(o(E#6X`GXBm0S1~hz-!1P8sM~r z|4%lzTllA~He&c+0rE|Se;IjN9{!7a(34Fm0etVq#4n_6_S7x>_x3Ftb-Oe-O7$CQrz77A?Ucu1PWcyhP*#fP+DCGF z@?FiYqTO>Z+UMXp9NeorZU{poS4|Yi2ql+JvlLv&|b+yJ#DKY z80JK8QZ7d^bR)`#oTDPqKBRFiTz)j>FmuD@+%W9*aY~2aIkCuy(TunnY2tS>!Ijmb!QE277*@qoV#0YH z*Y-|3QOB#C@&K)lFMCjD@>@X2X!2`S#BcJ8fv{@Gs-LTw>GA70$_m-;dD~{9K4a4b))pIVy z>n563GK4eSH~ygli~La&De-|HcFE?y1pfLFs#P>8@d{WVw>wPGj^ zP?{4HiREeoJGiex_HL8B^fIbbdVoJkamHbYADj1`F;UI|1EX?F5~sw(y7EuLj9oG7<+QaY@gy@8LyWu!e=xIz@pS#f*T&cHcOd{tr^xKO6UM%;%!QbvHL zXs>|?%4qK-OFIm_sqP#L?k@3hN9SL1?p}F|2aR~#zruIl&%K2Ej>7zi9cwZS_ZaUI1LXHp&LrY+2L#&pMWz&@B=+Pg0kE*VS0lHYS-?L|94 zPVjw-5^hgrcN|*9cbi5dk1_+E<$V~gS_mp3@ae8OE1V%GL9;uXD4GxxHRoSgY53U$ zDkLlh3qMK0RC~~P#mNw;J|knov9r8`ebtBJTF>2Yl8L^;TvFJjXotn4m+>rGMWt>u zBHf;M2NExIw#7PTh&@m~(5HOpB;s%zP*%6S7f_9Q9VD5%#0I)c6pt|BQ286^@`(;} zJ|0!yplj1{b{IF5jq~XDoG5S_4oMCLL3 zleLgY&RxVKuB+G+b}3tix@2D{HnOWH4oEdRFTko*EcxvWTL&!p{pNLbw60*_l{S0P zK9B|l=10w>@SH{go^)lg>qRs=D$sAJqz3se9OytT_*uxKy%TG(L=v0UT`vwU4(pjX zx-_S2=;%fK=mA%8V(tRF=LR^FwjOCJ6rv3S_{IfmDvTommdiE`J1CbyHeoUrXGK&S zBhE>bCy!o(PS#=YoGfjUzS8=;v>56DN~Sb974^@*B~C`KaY)~!NI#`Wds5`JdEh=p zYE-VrEs$9TG7x~>#?fKb%Ed-ycGqq0pwP@ zWVl8lh)eGNPitLrC#VOwqzmNqql0a6$?iDZP#1ge<30vo8iSGpT=HI&tar)Vh!b;{ zEL+Z8@zEJXlAj>wAL^1UN-E1vvrE2>0^8=2xf+EaE_ny?v@S{xgINMx z@{QLFR?TmVOFm$9$^VhVuqgR4cn)yMtteUVl9j}Xxl5jfTkz~hLBEu2J zUmAdt16;B{O4hsN6~u|TOFmT2T=Fn`Ligex2VBQ|5SJ`R&h~UkG@A1#XpLsth~Bcs zrBeB_sdH|zuQ(*3!G%_3z1phGUqrjju)Pee${y^ZRjta$P+;4f)1^@e;+&TuPwSlX z0vIU3IUjkcY5N8q5@_D4ycp*Z>t5k6lk2c}xfnbLIA}wi)n(Lg|M(6Cpy$zAmhLQuE^EZ^Ncg}spiMewo;TFie1JMo68Ea%dh;vRu z&USXrAsKCO&cD8Jnw>Kh1-316o~cm?;+%W`-CF1T2Gj$b(+zUE;L|4O+-!8tsodM( zRpU`|fOGx@CF`B@cH+d`IbSSe&e@#{;P3p%z;(Q*=%YbsaVt8VYfG#$XzC zud*BrVWYQ8+MOcpV$s%a}fjmG6~A zJUtGE=OjSPVzF~07rR!!UU9xlpwo8>h5k~eLI1D-To(MSI0X&v0 zRT~F^yx_s_beJDT+9CfX!Vx+;0_#I04E{;qCVPhJZJ2^nf$hnKKh~#6hf<_dDVV%C z7muL`nHRzE17z3Zi{X$&BP_;&0ZL{@x?qNMNSG!!TJqc!>}@w5Q>U$7GB{9v5)!SI+!lUi&8;gaw^x~PTha_}r~k9{-0s+E&Fw6>rL}JF!Cg_e>%VVWw;TS^ zdTy@@!tML8nKies;jYMSzg1F(^8G({;M8BJeNkwBg&9Y?AIyP$cJm_L}jifF}2+8T8I+6JS0pe^N#BQ-Yhm>-bt1RWeIbP*qstYY(+S{{@1`Aw-MGU<$pA z0+z2%nN6ASK?@2IXW^n47h05{nbP+ma6pvUL=Wkr#9K%UJu@nXW=hY%Es%KxWV9&3 zW=an}OhdXp#8++0Cz$oF8Z*)rRoVqQp@(!rXqmmSRv;G*>ZlRHRjP#p%y>^_Gv0^>Y{r}DVFp|a2JF~fUnHow zokxOH#6{Gbavll(siHRm<&i*`N3T=*5Y_K|aUiV#L7=A@qn(TygE0E_Q=Ft){f&%v zR$00d@fs|dm9z-YlMFhx*r!iey{}H?^?naxlE2=+uA+8OMUA9NUk?2RNb4Zh{MzQM zY4v>yBa*1doHPXvRGPa~(r*DRc9qLTb71Dgnw&FffpLx9bJZzU)3LvNuW~MQ`V@+>;lVVYdNt2@SNpSP~G%1$&Nw-&%g3ZmQfUf$k zG;LBWg3bbh#k2H~E?7K9%$YYSzJ7xIRY?OGEm*K7#U|jtYm?%)Te&Y!c^w7$niQ#2 zY_ppb(~-LcO^PIzJxG&c665I>B@Cg{-=w%hMGqjZS~V$t^r)}><}@iPh0IMfDI_hs zRgS0IZo&}dDHt|(pSufdxXcO$bHXo}H%Wt)sv(yNU1zSD4s;m2rL z&^eVtucZvzPZAm1XBs*!i)HZU$wedlCUj5yEsY}JSb7%W^gIlY#bJ+9zHr1}Gd>KK z4aVI315LEZE!ndshQ)|j5Jv-dHE#1W@X&BnMo@_bH;3f(47im*O!5YZzTI&Lv=R_X zQYf!3lng{#Xm=1>)b4odaTZFtfQ%MOSi563aDBUj^xKT1KI}#RHfeWY8<^}WxZTq) zIwdr-6phucG5F^O>`!`iC`J5yn=%x!Oirt4*lAxuA1GsfSR8haFE7jVB0^%ZtIXjU z*2p_Bp4(3GE~#p%J~5E^!2*Q#xo=_8tkiw41ZmD;h;% zmywuh12PfQDN<$$V}_RWdM-KSBJaORd6IENjTcnZGD7kh@+5R8eTw#UB`ESWfKq<3 zG85FWed!u>6YMMSeKz)i9{Z~NCkGb~yeyz>eC&$mK{5lmx(0W^H;C8SYSDpAPMc^V`%iE&$-A^xCKrBmDCZmd$zMi0aM$)&Q6(KU?0ZZ zWXQl;3JMjeosr9(x>^}gZ156R3(Z;zJE(IZI{QRCOv<;f!V+SkBHYUF;e^LXuJCzI zuJB8lOldeGvYw>2GpRR&$qIQibp5NelQll~D&e5OUNg09U3?L->@4mT<-GIG8$hCNk|%$-Nl}*R>B^GI#XgIF(%s;L$Xn3 zL$X-^@5UnE?);>{b1_7V;l6Zjm=^oXl-{7yO4-OigUB`#w=HB#MxlDy-a*PDgEu9(1)NUUa8D;whOc-QKL58;5?Lkm!rEJeJZClDVhZ)CIwuAGH zvW1AUWg|n|W&09EHLQX`}|nbf+FvX%*9YQE1=u)f+2MplDszWzvi$oCbfG+#c^d?&$V z5c8d~7Vj|1)r&WtQPP?3^Lgzd-U(1?zIX=nIhewOn(q`7!qj}{3Gx*pZ7|=Vg7%QF zyC@&Fc{OXgYG{NfJ4Bhg0qU`svAT>&U^QcPIqW6QQ)F$0j7`i~-4C%125An%NfDmm zA#956lPYV5OM)_dCp0B8Tv^>T!>i}Ef?=8v}F^<{jY+C)fSsu>Kem(e5ivaO*e;pM}+)9g!1k>ViXP#q31a7`$AlVKxdXc4MglZ_!D|(4bT2U0IWE5VL@>0(Zr(yECHFtn!x$eOrBiiyfkkU9e2YSn_ z2G@(+oJJWDhynq(e;Q64W2c$8OsFBCuRDgG)AeMmx7F; zuZ!-zVHkpx*{r^9OkiJ^&l$c8CIUEJg<^kr z#jMK`It2ZTU$I}T7`cXnGvzyUK7G&o3)*8H-D@7@Gyao-H!yzG>PN(65YH;Va@3s4U%-B~w)3H!ZxMpCZyF z4fr;-tJFaUmdljS*#27T^o&PGUz>~k9xGhbxya7!@m_sMC+2NQkD6)j{SAUM)6m+C{-En+CVLK7hWA;^g4Zd`;93T+=b5D}gI57=i;ZI* zyj}uR`ry?G3Nr++Txh)QP5<-rU3at!c`Xx|pkoVS7W?-}Tmi(Ym{4*ILUAy`rWN5qiOF*Sn;zRb~A@te~ zdpn5un}du0D6_Gd_zQB4;zuB-!TJv)L)*n)3M#DD=~xWN5p5he5?m zzGm1hfoa^-ZkI6Q7{yZU)BspgeXHcb5m+~sEbVqkHE!uMH?70pql{)oK;IZE zlBrz`ko9{rK{XZg3(^McWMJ!!>y+26O>@Jh^e`6082*RIccZ868*pvz3$!M6RHt z-%NDml<&jB_aCwRnrjG4vlX&G8`1!u`~*VkwV{bW7(`R?xf%VE>|(sQXn>1U#Al${ zl5B&D9-kVhB8~wDn#@`eiy2yr>7b6}^b7D7Y6*61i!S7rla~t-a`e zJx@L_I>dA|is{J8i$1$QP)E)6qHdaoL@zo4qM{dNGG>B%kyB&_Dr&YDJ%)#^y(o)m zNc5s@Z#L~kEA9&%tWwu$B-7rLg)|)Fl#0^vqkCV{X+mzk7L+!vxIFp%h(xL%UrB0 zPlE_m6CRgxhkT9_%G{1OZgup2U4 z$f^=#`}YKbxHvvyb0qNV3>C5)Inc- zJ%r4(AhS_Ehjg|B9}{)xz9xz^mU8NrisxciRIRX5T!}<+;#i{JJlr~}9R%y7aGSa0 z$-k3zxtVmR<>7>A*A0r+|HiiesinV znLmjYXtd4>fPU*dfRxTUyTAqp_WahlgL1P0*LJba43IOo&Z%VSm*!QTu`th^7}Os6 zE@_bJvy`fj+tcyN%h^gUEPbM570kY&DAXrZr28f^%>}7Q4N51Y$xJI!gJOfkep6Xg zqz2{7OwClaG#pA5sX++?7k*Q1K^CXX);H5$gc})QmnYEb$^-F{P9)T#!hH!l#i zN@uEj0QyaJF;Y5HEdU#=R%xdCZG8Khipn#zY+5${`qw3R+-mZu2UP{@$s-$AnqfT< z?;Bjnr1mcddK63=`aZvmgBIGn9H<9$*#_Akc`=CWmoxvd9EA#F5*j;xT=uIWtG-|K zIG8lZ{_EKGko|IKunn?5`a%%dhcRiFr}CM38W3OpG4JayWOvX23spT zAAx)9-$BHWWn5c|9|waXR+zZ`E#ONp{*y=>#6OkM9^%JBiLDafHv(5&7DW8y;No{- zLYj%61itj*mmqBrzws~aA$}Z`X#R3K@rSpr&(=>->Vh=ZJg5(P&oM%}VbCt^B40mHG1jWW{rE5m#vXCT$rsquF7#;SGvpy*@(7$= zT!vGFaloZ7coT zDe_>x?YdEkLniF+!}i)bWii-fOX>L`4%JEoZu-_$I3%3P0S`it1m2->LeQb1EUamvB1J*E3Ex(V29jnc?8z1U|CS3avknyV!uRs zq8$X9*xhimSz=?b+8}wNAU2{0*S=TPeuL8Oq+a{4-HnwPG=!v_gEB=0rQ`Sm*1JwC zPG&2nvj2R7u?64~{PoK|Y)bm)zj zo~Q5P;e)uoBNF`dbt7ExM0Cf$x{JFYTcVO$?9+n%gkKALm8Hlfr!7*)iJwIZt6|`g z4a%9&ilGDc5M|X?`Zy%3F0yXNvFTvbiy#xAZF~hBdZrrLUfNbyr7>+|0~f282b3*o zO>6t=b6cV9sUQq@@5H_R0f&4y+#MsE-zh_IN7L!skey#?GQEj{7ZLr@T8-wKO|bW;s$`bj2E#^N4f(NiZ$z2S2zFk6ZPF zZ-jFPc{xPkw)pnreZk&fO8;{BE7FFS-_Ii;O`BhS7eEWGm7Vv8W<3)`{3yn?Wq;^G z<_%`=#y;RnFaBJl4dNfTvpvL*f)ZOLzOO%&_qQP8Ck7Y)I7&CSemuM5Z~7D4Qu223F63w88sE4a#!JqHBel_Kg~pmqDq?zEOix z!3#8w*1d+Ya)0Z4DpI=9x^Ed_-N0%pUXS-mxynxdfctZmh-&QVJTR(nRY+*A|) z#7!00QEE`Gh6p+n1??y`C|@JD-&7VIr3R%V5oH}E-N331T=-4(-t7icUC#*XO!Wfp zx2LJ7JhQ0MjGj_way;{%(tc1CqlMa23W2!#Xn6-2LElrVN`-`Nep&nkDrRyux2JS9 zBna{{Jd+#TWOVE@Cb~ZyKEMd+dP=n^?IB+mQ9eF%+^hravOV-3Fh9WVTxK4t?LbE$ zjJWrs0`fGq9cUEt7_33us$V_Yjz*-o^2&GIUQSGevSEQWyW^R*t=M&$up810c9-8~ z!frE92Rttbw>w}o>y0&Undi`;0hGzz4xIdT^HT*r8X^P>+$%2Nl@~HW%v(}>ovvoDqpenAb8Ns*-zOKeD za?_%9HFw}?U(^m*opm0{_wnRgSF@I$!J4sPtFvai19jBgbv0`>4TF72ZdU%t2u{hNL*L*96i&->a4rXm}+hiT=ST<)BhD3v96_Ka94DC z(oa)PUp~}Chs|LZ<6N}qv`Y|9RVEA*mCf7DvX$Ds{t zj!&3yT#P50(y`NoV+?lETU`1;H`dA45wg9ezO|OL?z0GwTi4}bU?8wAAEalRsLRWp zf+BgmJ8IyFR->Y8sjWsOes+MiS)}_0^n9GC>rk^)K7y@7y+Y)}*T~rss2-P`>sw|? zi!3MOVe4vqD^reGjknV?Xnazj)2;k8EJH0B5~v&mx&YSs z7~)bH8#!zNsybF2utxU`kqw`2Fiy@Ev(rbswSiF8fz%U>rx#i~`Z*e-rY9I524`Z` zyak*zrL=no3rZtyn-ff^URFI~&F(lbWL+jHN!K4GTaZ^ z6g3>*42BooB51fp4Zw9M!7MCp0aY<9z5uML<@sle+@LZwe|i3JDnaYE2Q&cB1+PAy zd=0<|m8)P4z`UCSb=2Gj;2KRsVsv~FM8)Vh7Z`b1u;uyt1_{jY=-9mF`Ms$Ct|61< z`H@UR;+x|q6xdV)@Gdi^ni~Y)&9`>?e3-|&mS*6t==3)+c7wm@ULI(o!{)H7HUQ5E z!tsYtu{FmYa3^vsft#k{$}cyyf@56+@a{R*I{p>qTXX!I3CI5fH%)Oo#DwD(GyvyQ z5tem%C|C}x%Snu_;GMZ;0|Z4jvjMmrw9O*j*P!R)L~Q_80&6PL#fW_P8ad5q08XL; zEUR%cQ;t}TFK0{zuNO}y1}dlN2H=;PYQzv%1#)7DTLg@PFNHX(24Fby7zf!4_q+L8 z34H1q$3}cehiLK>M6_lg+oR#ZL7AS8?;p7g2OuV3(YXJc;%NyOnjC`TGPAKOBUd~s z)3t^l((m4&;85;b9Ll{(KaY)*G1t+brbtorl@fARD#tz>Wp#hjX?`)y)jJZm+vi_J$Ao$wYNW&?*H8jSNE#LKt0v=K zdQb65#&D3WcYshA^hSFbZh zcKQ7A-}ETX{pLld$eG11ocEV6R&YS!@jNYRPSP!~b4c~tnYRVt_$>(jjb={Qv=Swd-tu}P@-B=P=nV4cENQm6EuvT7#y z@^tH1M=|_d_q_KghU2*u)K+YotHk3-^+JjrCPeA#A}5mc9WHfWQ56F>;a_0bmbVpG z^yie8euT>uX>AF9lGSSH@lgI0&&nyF;;7_DAmJk={Xcjh1TnoqNrtLia%O}|eH(ID z7j4JCoO%teRO(+7A!%(dR#q*oj(UfdVezFf4F`R%mK@pyxCdWLS{Z??Zch=>#GGt7 z`$45?RF?DwBCAMIS4MGZ4CR)K-U6ded>p|kPm`5AOF+QBVgsFG#2!tN z=nEwaO94BjZN$(z9YaS08OojSTcFjGb3ldRV-pLUvPvdxk=wJf78Fw?98|^(>Xw;C zm3f8mp@sXI6k7n2bjkc#v)u4D1kMpFf#QtcjHkH^3UX>&Q(u zyQHJ|$c68XY3D4_llE2d>gO+xgTDGSbVB>X<^1`|NQN>Fusf0|Kwi$&EEkdYIOTYK ztf1G%LSjr_NtUJ8*Eq1?iu)xcbU8hpBJm%b0)@gjg;*m6ue^}-@03najJ+I>t2t%t zT-%GULZpN=;05HCR}w+xjXt0Xa`IX-yK*^XgT(SeN~vE$dlFfk(&bL+;V_xmR=O>m z`-Frgpq{nlu`FCGMR=Mm&eAPluopcK=M!qEswt<;^}HNv3e@w<#2WNYuMBGCJd3pY zpiadHdm988$4MCk_yk!j1@NLp+NfL%+G50rMZs8xCoQakfV#0hdiQ!9*g3cjIO-t& zRqG(G=uh%|jVrguLm6QAN|t(-UbiWpwY!nx6&$sD|5U2ojmrJpeR|<(*^Md{E2oD^ zi#H)FbQJE4+lM2%zQyM$@QPj{dA0(VwhF6nX|7zY(7yW9sO-9yI{*k%{gL^zsf*;K z2&stCsaASJc)W;ZmPP;|7#j$g!xM9tLVu_#1p8jgT^Lrx7$$!|#r%B&O2Y~Gq%c%G zl3V$H7AgOyhMkb$3p5et zG6&=`XM?mC!MRcS0$1WJJe`?se9idIEMUh;u)_%sD8F%buxN5SJL?6JfUQEUQzoJ! z(ozp;Ppb4if*otf)+t@U3#tqqm5orfSGkXP(=YS*@*22OL=4(WVVE~F{dl2qmO?!# z+b|X%CQ5`>DM=J;m4|)R=c)+oM34Q1(#wi0QBNHHW*TaET75HU#9a-$tQ9@J>5%rs_3 zn~AdZM*A<)1_=kpwTIEBfQlHdThzeYkp;dy-C}+`9SuBMZ;iKsMFY<}i8t^jLsh4} zfw%E0=;04-;5j%4EotDLg;z>I1J8RUz@QDh@rdJ)mA6}Y!=qm;b625(*BdNR!-F@% zF}&huQP=RuLxF1RvXyPSM^Snk+IGY6IOL&Lpn2Qwiz^IGqhSyOb<@x^dJ|bJn?{DV z-78EfSa`2{(Ke@T_ZifLQUw)%NUZ7FcJCss+D511Zbktf<)jP(971ozQUKnzy9HF* z6fDX!!JMaCL+u|QEY`q@S^pWTIPJmW@0T+p@&4f-(i`l`IcQ0+=#*y?EY|dFDp;8G z20O#m0zEl|vlM{_puab`AM^v=c_>5M(i2LNHq-e5F@57sk^g#N_d;0ZrnUC-z)ph9o(zi4+g z*&F;9O3?QP&jy|5^#;S3leD}y*b5~Fles&S&)6FbHIgC8P8n>!XqLBt?Y%R3fL^ai^!JD7DWj(7J-$a;eh zLAwSCy~xn^-r#wnh|M=zI&^MsG>hKgReq6J7yf0GZ4l{v5~;1dK?jJm(P&Nd1~Xs^ zaWe|vxahjrXtd4X#UNonWN5q5{sJmuxb~mehJpIYjTCkMkOTF`T?CPvI8g5t_*eHr z*;nzS^!T}Y#uN4K`<3arsT1{VL`^&`;%dGZJ%asSNpxr^4J(fuPA=PAwO78cck904L-ZLVUVDE)b=U~uym7q)b=W4p^O0Y zi~<{e^IVFQ&OA@z34?h~UC^%P>4D-55u*7qZvvCq>_xTqDAR^GY&jlfp>5}TQN4+@ zAtLl7+1eWOQbEKZQOjRcCx0QvEQc-cXErf=QO!fys)X!C^%>Fz36su;gl!(Sybn~& z>(uYJUH8(@wIwahKL>GKH|FkTyz-1eAV%Af;xXzOrKmG|3bHgA{~DD0jxd)B9{+G& zB2g1Jf&PZMOMeAhipKYguzw^&uw2@QF(^g(fnw5 z7Zchn8m>6V)FDR0G?b-}hD(t)#EPyYTw9~zEg)i$sO8bHuAUgPjE19`QOu&@Oq8vU zh8vMKNO;{jkg(0sa1^MB70iv{TfW+(jFDigJ<4#|;?*AgP_&y5(W|RHI2mEJ2N@JY?`#3XT^Laa zm<;&(Y=AAD47e(i`KmBdeHqh+e=^`4Ka0AN>ibckTjmRCq?L^67B2Ldjneth9zBhP z9_%OhLJv~Cy3k_)9*5>kCj*F*^8Q(%$`*Q%k(2=t0~J$V$W6u;U%iAZzL7Da%@%r4 z263UsBBqp;w$U>65z#zN=I0~y+uaB(Y&X+yX;6ORWC7ymrN5H8N-5*upUEM&2) zZHAeEsmxDW9xfI_O@VrTl33G)i@8V#hKn!54FcTCNf`uKg)Ei=@Nf|d+G4n%nEem4_2dZjIg5Nc8gd{%8nPKH z#G>$AFqy-3GHWZuj({twR%9gqs<_*M@)crl;YxI;7PnI`9EL(X-6p?xI^I54qZI46 z&$TZ8_E`p9oc6a*=Z<99KctN%s}6XL4mexTFhcIsTn9T*j7 zgA_$YZP7}WpGDn^XJaTa-j)~7XDGc5FP^9Ic+eNmW#CZPPJJ3;Kv+ZEKGh*e+%~*; zjxeRP{KeCqmnyVV&nMP&FP=_JnQTFkPQ_!uolbzykVzC^P%r`bi|27+8g8_Xib z&FfA_q_CkB>qrsm;F01HsN=Lpif?RWxIZLPj6>0CQ<}_@bjtj{WpyA@ssZ;veHXdS#we3@6v25lU z!o_Ah-I^6EKSND{5qU4MrVAJULt1qOor?P!1$cpzGQ_*Hg9*UH#l6T95H3P#{{ogA ztSmnPElLYZ@PnO%_)Jw9u7n*8DUzoYs7oG;Ek;;pl;Y{%X*Jd8o86wr`1YrwQ5Xuq zKA|c`u4o_)3@oHXbaaiB|U~ zX-7oswll1JiB7V@<^OKGEvZ|BB!11X^GRbKa7m2GE{ z@%_VvYw#TQL`iGk!i5u`Zg)zHD{v_tbH;7MMu?4`yb$ytfTT!EskMmxp|qXDs0eAJ zd&3Xd2K=>N7gTN4-BEj$uoFI)!UPH*v0E8~94S)f661ruJt2FQPkz%qg*{bLE^Vf& z2RHcq0677sXv!FGb;{Yv6pT&~h<&5-S~7)_4+3%#nS;JyUsS^%p<8?_)f-SJnFKA3 z5_XU8!5YfTCBLDKPisi&uA-xM>2|cAQ{<&2niJbb@Q4%JPM#&<=s0x#;l^sE6Mvq( zS@w{MrEu9p8kXGHnZ)!s?}36y2xZi9ib|?LE4e@o$`(iVaR)lvrbbvM;%)yqp)R)tV{N9iA(U6TnM5Lsftr&=g|5I%8YnAt- z;@d~*qvZ5<+q!aZNVEwY}&aWR({)g<$_PBnyZThlv$kg!lnz{)9vN2HU++@l)ir zq$=82*B^J`O#cBTZ5Q+@iVK&}Q|x1zGBb%fV=k`^$Agkx8Wtwq?fdYBGz`a}iQ(-5 zy!(alh9E$k)27lvD9~`GLQy@r2THykCDYLgta8iC38t-=-HD-v^f=qu$^=km{X(^x zY0AC|dh(E-?5p~^Jspp{&TODOg}PN-M21Q6Of5^1zDE?GT%bbo&s;>ll3L-GK6MxF z55F(dkv81%&R8-C+X4N~cwC|hh0!*8ihsM3ox-3TC!G~;ie`raqMRbCXh+!mAMPAUS1)ql}`_wdlL&zW@j2K$D0G+Y-KEX z)C$3jOM4IHm83wtfrvGw7|Ue1W=YSPD2cs~(r{0GAI*6fj+c$Jyf_d4;vFRIlvn1k z%Q~eHPhghP8K>A+z=v7QE*KaUYA<>iIlu%dn|-vy!}KICwgEs56Zly3kj4i^=Wh-n zIuBq37@OXYSoGtcpY`OH!0cKTJW$Fy3^?3k5!)}#{DKt70)4geG)VcrNCK(DLDi%N zdS~O+r0hUu@m-KkH4#&SxQ`b>F@ErsZ@dUfaTVAEF9GUng1bGJ6#nNj*!;X?&m-;E z1V?S67DtL_1>2DA>Ogtw=+Mv;gIi*;@erwn&LE9Oa!Q5hVStnq>!=J z&>FvsTH|gxwEbJ-DIQ%joX*ei^e>O+E%B@1OK>4-h&b^HUZ)&|RyV!Z*cq_Cd}NIRNWm`96KOF~PWw)8`btg(+8sFryg34ANLG z41;`4bUZ}~^dqsJgS3zmI12**@?ntSEIktc;;l`scwEsR1}sM5(mxC`(jR<{y?^Zl zFn{drhpcYTVj>NTE1e-WhCx{Um6oR9rpYkKN9>uRB=$@ZTg&00M0F9JOv8BfjA7{s z_?PoK8CUctEu4ZY41-Vx|1ijYDv7nv5PYw}(NHuD@+veBYxQHnH#{{D3S4U*j0a z$}m8_XRCkGKO2EtPHAO#ddSo9`j_>c2pq*lVc89K@hYFA5mAajq1yEfbt`Z?n{LY< zavwx(@-2EjetaW@UpR+AHh@A#R0iTfxrhwO22jX3@Ce=F11K9nkq@9Owy`I_MbIX} zl@55)zUn-vdJfnqrtS&7;*8@dcYq@dKhOgh0U#|?uXz~hN+0i5d6@#Da9{S2wP-wr zjK#)Nn6Z50DP(lkD^SW988LtlHx1zA4m^N=0KNkP_-0~27r<9?hS*ayeM$kGNgaV3 zK7m$pff|$tLDTR?`cY|?K)&%5B7~PBd4*Qe3n0N__!_Y88&X+;1cp?|Ku{>z4UJl| znl_|D6`geaCLTA5;eI{52T1~A_*%~Q9PoiXq|gXCPB{mC%0M7rOPnf=dv&p#_=W3G z#g^I7%9Y51p%rF(E%@dmlOdLqZRM3@YKTLYC*B*t8e%z5@=+CZH@I?G7ziX!~O{K2Yt9iKSqO zL(jtbp#f0T7aE2UCoDvg0r_dq0Y>72FKYliWiox326#1PJM0#mw+@oa^y-nAJgZO&OMIm}>MxhvHraEqyP{dP&kVh%cQwgQ%Mbkr)*Fq{pXY@`g)ZG7f?Q_q$ z=iIq>=2TZdzyGh#hjr(ieb!!kt+n@FYwfl7uBvB4c%7~FpC&=|cWH?PxbJ-s_j10t zmrEg7jac~Sg<~Y((wg%21MkMgaLB8Mccy?i%3PL%=oT;fxpC5}Pxf-?XI8IC1sVrN zd9&7yct{Q0a?oH2T3)<2sh~rwLjd>uKr{-sNY752xq*WQ&q?MK$I`L~Ubf@i{ED0d z$>;OrV8fr)5tp0v`QcAD@TtzHEoyCEqL=gVFiJD<0*gmr1KN?$Cb_vkaCdHIX(QTLpqr}JXGm0mLC0_$z@4ibK9BpE5EbkG-a}h zAu?Jw=XHEJJpk!WIc?c+IOTK+iXB%@Z$ipJ%ITi)rw%zi#6t;6VrwsJoJ6m`_!fYE zqhjF2myJ8aqhBJwil4!YdZ1FDR7}<~VwDLS{Wz^v44P2AT$(Q|!Fg_SZgnKwiK`K?=q)N~>C8B`OCAztkZ6{uVi#Sm`*gQye6P}i8Z zg2R(N~vc|zf8?+F*CTuf`2Q^Oa8(@L+_(qH&!=g8;0bk}cc zQjda&)Q@U+)YwYrfEw(}`%4z?}fs$;Nyo|o>} zQ`2DkKA57}a|na&a}(?u%95i?%gno zTUv&j-AGx(#d${sE=vh?!$O&*`DSbR^D>vG&0H)8lKbW}XXHO_=SD0QA?ThXXQSiz za|*UT>2swbW!79=*TA6nZ7+;iL1+MIi4(-z0va~3b%X-iFWPY#$wPx3`k>L>^3Gd6+e zYVTvZrjnbXulz;Ufpj@QULvkPp%`t#6eo`&-it?dQ!ior62t$hePa0SHJb6(d|vse z(a!Ry=Rbv?&w0#Qer5Ri(N8*`&ka8>ihBNB`1xGnv-o*odPaqxpAvN9xhwqqnW*R2 zg`a;GMb9PX^QWA6nuMQU`?T|Uz3}rdqv+WkH2CmjUuXGs;pa#F!})w!`1!Z(oX_6~ zKYzTR^Z8TZ=STJrKacJPO>?y0{Mu*s!GO?T;OIc-l)$wy2ZP+;*`2_e6G+J*(kl57 z)rDrclNeDo`)Wk#09A!=On60NN?l^!p$}n#TDd{^V}>Dgrq}Vl zOfCTV{T&J4h3~7{uAczm>}f--igJ@!wwk+-JxNm}c{6yLcgz_cZRB*h$f1M&72}In zTUrId=TwzjYmT&zOI;>{;Y9x1C7-;)Cu4lCQ#Gpt{pay1>!50RV2?hT&*Yqn%-yUH zu&7%&CT(v1>z&6mXi}wyAryr^Au3QfT@ZgPBU21o{6Y)&E(sCgqEjiFHkTzXgQU;n zd|6M`kvD|}uvS$F*!h7XU?K#72VQm1^PFf$8fbl4`wNcoGJfzQEvT^`Wv=q96Z~QW zOBSXfv2r~Jyq5XLQm!aVj6}ldwVtX|$6yfsJ+!Y5`PKSE|8uK-Pg63U_AOyNx3upj zhW=O*zYYX(w67_j#M8dUeCAU7b}f(AzH1l(W6|;fC8M;j2}Nz%cU_z>$EiBvXx~tS z0K4{m%ot}w8c`q11e+V^33g0$}!5IL6Q8*qPM8>C%8C}xmwz*Wbt|=W^=Flv?;Pm46lXBF5 zOOvwRPkU5W=?&s5*v@PI+`~}8a{1=7%|-OKLhoxxzJf?4uNI~^F<*6*SC7i8MZEgI zg7LH7kI(q=ysN_a2aOM6GX5XDOElwqTHIBPZ;3LPjDOsEr5JyLy!yX}@$HtzXZ)$W ztHSsrj1OWm{^uo$XZ%MNcNOE`LbfL3lh1Kz{3LmGV2p3?E8!}{ujns^EyQJX)ebdA z`K4C*%`3gyGOrp67-<&OIMQTmyNz+9Y%wEZ+FT#EWp*s9!s8c>w<#Is(2*iTM@OUf z9x^CoZ7Vp{Hg05R{r14)1|1aym$2+)EUGw6 zl?*k}w!#1g>>O>67^;k;ToyVmdyMa?7R)5~@aWJ>V~CGe65(oi=t7CDa=9G_SVSyXSLn5@sm2 zDk)aJDLyi+d}LTH^F2-0=>lbka!qB$_3(&XHTk<}TyWiNnF`@qX>p@Ck^zrCJH`XD>2?7_6o z!8Y~1V;WtMowPh_b>YvZk@q{zGN)N1S>(1d+Z^lF(!A``^4d0P@ptOx_TkB~sJ*A) z8YA6mrh&;@ek4uzc*`$O5s3rq(3}tuGfO5pQZ8*r*rb)dAWx&Vum<0qX{kXA-s{(* zf195U^QMAjWW2;?zF8!J%_BrHaal@Ia#pYDX(jLRh72-a<}Qry>?A!qYtYmY%e`#= z{3_x@ND9#>3&on+fX1hAdSTnX_n+YjWh{$ET5I!b$S7&^OZpa)@M?decI&f)DOsYPK5`(Xy^1RBOK(xfcC|XC zjbGLY4DEUii-q(gniMU+!#IQ}ALFzI|GykU=F%+Z5Rx(f z;D(Sp4NM6dLe@ElkTf(Pp+m@{?>dH%h4<7jggij`Xcc4``8TF8@+^Jxn7i7jW4%cI zT;6JTHb+?a7zr4rHtE;GQ8GBQwI3wWT>6+~UFL#O{#M&u$+hVye+Mr+-EsB06?pN@ zQVRrXYwpAEKzoP6c_Z@#`z`|y5e-~A@(+U~8eJN5EP>ta}tv{Qu>?;;SqlOos zwkNyU$a(hN?<#^~-WM7_?=vfca_3Z)Yx~idMWSRRS?*nTM0cQftl4cxP%W7+c z71ff8G4;)cBErVylHKenzf4Vh<(Da*m0zZ&z0LFV2dT;>*g_l6i?B zdp{J_NcM87szz()SEsd;sGzFWJ_Sa*){c_DU1{x#x0Ke-H?;N=MLt_-4XvHY%lKMr zyY8*%zvgJG)lt+{@(jK47G$ef zITBYlF8U4Tn^ung#~g%Mx_kH#l{TjBFm8&Komod?q2y@G%ynrmEM+5(N+ne5(zE!| z3QTHswaZepZjr+UE@O>rgLCZaAEgE18ohhSCz0!ErP9llG^|Qjzz|2J9crj_v!;)s z$l+t6vNPt)j>p6ie>#}B5852tbfs@{Tuyb8gNc59pX@j=z zJOrLOnE2hB)wk!Mm^gB;qtg9Q=cx3~8Y+c}Z&M@&6Mw^qn?j;7cHFe68PQxTs~UqK z8U2Ytvg#N&KT>X>#uMBa&fxh;|9Tb-4Lp#lwzQ;`bil*&7Kf73Mz!Ks){?ZVmUy{v z?QJFeP)CUL$$7fw)$!RORB1(;_MxsX80bUr;=uK8wpgPn+S z%+7|3Q)oOLoak4s_Pyn?JPU65+wfTS=#TJsPB1$orprP>!XDxxh*&UE}3yS?hhRD}h=l58u5c#nRJL5$Q97&%YB ziwb^pIHe!PcQ^5!Y_Ihc9I14! zFy9d8f8haxh_^h2Ae4wRJ0mPlR@A^p_>+yb@=A>wHfJQQbamAzoj*udlDua28W|Vq zX{AP1#xnLq3kPIpwc2jaZEr>(k1hK~5l)M;iX6RF!g|vsuQM>CPx!l0|CT(C54bZ4Ah-ioIa{5;JL^ff|2q~dhq zZ!d=sWC!m@bycfk9dyUR9L6bHN?~IjQ#W}8sI;%y1TR`N`YZlWN4;0=aqL|jn-`oT zeXW{1cNRuY2eY8!Kv6Xs5h z)%(zWTRjJH@Qt!aM(p%WXoi~ny;>b=??^Q@o2{MQGc{sV+B1|Mq zH2EfVjSQz15+UcPmb25%uzGv=p;scKip{7guX!(cnzbL}~Cnw-_2+PhQ2<;C4BI32KE01@)*Eo`#~T_V8<3>DdRYy?cMMYw!J2 zg}S)f`=M1>wD&3s+qAcBG=ZhP4K@4mOjFI2EdBQv+weE&E~8MvU?~h_UlQAFpxLDl%+B8=CV290c&(hhu*1a z5BK4LH~2u3Hj;RGC_8hHHgbx7Ih0UyRYPP)aI!mjilPTB6ZLa>V!2cx zA)C>RT!!?Iz$C>nZzocEmVW1pW@)clZxgT2R(>+&4LT&)Da(Zc9ICkq$T*g-xRo=&X4uEm;+6{O zqba2v3h^Rl=}oDyVvDHUqx^EJ+#wjo8$OYd+=XE*!K;HejPILraE5VbzKdlTKMM~I zyJ6gb0A0jZ^~W-d-yre7ieWtH`GYWwUyWlJ=SFHkm5u|od&BtFPh~umh^aD+^I@*T z2wnQzK^n$&Elf7U_#TDr01e|sG{`|yONGU)VLXJ=(S74@W*FbKvPQE)`q}{+#wSls zh*|OJB-rmXj2quzm=$lytGH&xUuYQL__fuiSUO2p6vj0z&a(=OY4Pj7qo}hVct4?1u5%jZKS1nGQc9BhxV6X}wa$%m?yH8OF09`ERbl(?9$xH2C^w6QaTO zK@+9Hy{-Bw}I-nJCBX>VM^_+HCGRl4*58dQT} zd_@h0@wqV!<7NMgGK{@ewMx5>w5nBxu|Bb?9?QZ1gvul^!G56j3aF!|jYwX79#lPj zN7gy~AuYHI+egkF^#w;{@TY{5;@<h=WVDueLV3EBrt{1Qr%x<@{x8XUEG8oblhd83hV4337J+^L$?gO3bL z@8}$Dy|?%QqAlHxDCi7`#wK9$5tS*ICVI*{8QCzM>hLI3-YF6UwH-2%R$7V&6=nU? z{X};p)?r0f8p;E?dtip>rbIY?{7ky-d==qTC&5eDXzB#jdquOXPeo}}aB92$rtO;< z>0v~J`9>_Xg1SafwDb|E5A>Qu|Nep|vrg!|KV+5EVLSA*jK3*vyB}eWN1@)MpTH~7 zJPI1vL&jw|zPW$Am~ml~3AqT@Vw{^-@ew^r{AS1}b!rmfC?Ohw2ov-sJ53JASo9h= zjpOxFeBLa-uWf1 z5N)~ICAE#I)#N(MK~f1zYjdPJljKX(KApael^GKH-HrS zq;=eWQ*g_1`-P)9YG0}}j@nm^4NTrE?9*5^a?sG1ZS#?1yM{nRMHjx**YL|ZuNQBT z!(RN_m*GUcSy4+Efg1?Q-hqT_Pr6!;xo?^C&@wp#&a17@30@v^WlPqyge|aj?-Qb{ zg=K1R5#`;(18tqSl9NSmd}XC&h24;>@L#dgSc@v7nA{wy)*7Uz$q&`ZyzEPL=IN!C zen)|*{)N!9wTjF|PS@6q4s6$FQlG5l)+(IFCdtUcY#Cogq5=evl`txr<&}B0mEPiI zNpjwq!JXCJ=t^ggt-ez7mXe_BFf;LdsEW{a4l z>qp|r{&=St?u9mo>~-xgkp1OqII|QkCA!j~HB^f1%P4Y~nYeXKJSIkUpf+&Z!NiyN zGU21p4SUR}G)Z^c^@T9RQR(?LR0#WgjViJ&X7NgnjnO6E4oe3_CBl7aEv(3P1Cau+{)3S=$Vro1AF0VS^PMy2c z(k~bIvTNW=_UhV1%+dcGCXQ8+OAjr;G}shTyfC+XUQSc+%yi&E;v1eb+R1R3Zpo^ZL^c=FR8&nweQfW z9s-Jk;`Dp6+@=V2aQdPsn$L`;`SIdI=Jb1@h#tIpum#ww?dFV6r(&CjB+2IU=p-oD zyMRYX?)N6iha!9v-UVE#uYMSD1PjNQ2~p=uKj z_#ewvY-h7=;Y%xB!_%nHfaDlag>#GW{bgprL;{pvsjcWzl9-%z75vkvXY*5>EFb}? zlG%iVRMp-NdHfn8qTK7jp~Hn)YhXn#^+Mz}6&DSVFY?$?}Ua#pdg6`HhpRJhO87a=H`!hP=c zSbc8j?ihV;%uHE5@}`Y{zoIQ4QPIg(MXK8^M>-X!NZ)z`@?y#9F!!zUkxn_Cbde#a znLPii6BAC6&Z3m zLKs8}Hpg2LqfXCK?2%+7| zqG#z|lMXCZxf5uj*0Y1{3{5;+Uj3iQ$XGs4)KsPaWBWgPpHLV#BjaCIVHwA7p|EXD z`@m9_pClubhOCPa!&i~o0{hi9HjO7 zH*@V{(hI{yeop&L>MAG*A4!LOwoN|U_;2g#vHrYe!eG;*oH)j?Y!5>vMAl^O?!S>SZMdg z653tAzKfS=T7UD650xRb*u>OKhWtE+TU)rc;l77 zVz#)UFd?(WaiEEsEqb>y6mCxeuiVZS$po%qZ)`c8H&yhn+KnyGV)aL6i#|d|{MllV zRanM`3n(0Swir>v#+Lr8s-G=!F?BMDM%9U85~>fS{h`olV5903{j3FEpJh9Ybm5`W}bT+PU{*5%0a?^*Vd zXRy>3Yr-gu8hQRZ)z!#z!p||Li$e0%ly|YLBHI{Yl1_KX?RFHYBGY(vk@ZT+?P_^d zPX?d1km?+K47nZV8RM#dI_379p;SoRnQ7xhD`{3qZi2i@70KNXvC)zv{$Dte z^JLg_!~W1J2g-C#E%!} zBwy^AyI~hshm`wfSx9G>`&3?ubu6R`twr$IH#p7;=a5~a@LW%xjjR!@*ITK-uam#o zFuX}WgTD#z%e~mXX&ka!D>r!F%gyA9hLPNT%jnO(n>}Br&CH)RCgu2mZtHlHA~8mrgo!{DhSq*wKVD;^_!VSXU~yWZfENdDi?dUKJaa*Er=aNX6yglDHO)_?UJp+qN}A8 zjyqe|v<>xpLR8iHc8hr*JzF2eLPNy2D|9*u!|q~q2>W(3tXIn3>?yC5Z`T=;|K=Ke z$)vwRgDY-Nhz7q1nkWt4-o()0(elcz1}DHr@MHL3-)97^_G(qy{fz5j-kOTkFLH_?DUgzws9@A$M+biht1$DJpZ@k?=lP=48z` zh65g0UcsB+qmLf|uQS*BgXa9G^_r*-f>oRD@8PXszoeDO4pjR}(0A+?Wqu3kvj`hR z(q}K=6J|TjSHiybw37Sy%KM(2hNwn6PD~#2Ie)PDK802GX|kZrof@2$yj{=XOY5Ft zR+=YvW(rK_KBM6oGFfsBQ8xwwqO04|=8{1AvwD=oqcI%#%u+lH<-fFoTnJ5)j17L5>y1HfonAra^KeSXNF3PQ!pI63Y@nbgO2KLp1F9rH zT@&TzncD_WH!#Z8h@|h6KpDK%9YvG%&nOwKsqB`HxrYB2gwjN<<&dc@{Y_0QxF>o| zlDOe^{oHA$7K%>Jkm6}`kL-vFN;-STT4^Q1T$3TkAQ3ZTMV*2=f)6Ya#YooM+|-cG z=dgnhtI4veB9y^#grXU!)VYOYSbYkCXB5Po6^Sq z!XGL-hfzfIm*kum<1?4q&wGOR3ylG2w|>Vhv>=Gq1#6d`ro;h9C1YKA zhwG}DZ^=xYIL)NoTKoMg!JzTLta|ZnRW~G2uvd=}Q8iT^8 zJdYP+T7(4S#hAWP>eGKs_#ReoZ*B#RO7>B!5}zQv$RyKUYKs*cj9yII_L$2UQ@2?8 zKHqa%nQbvC&suy?>k?O^Mdo5ssr5?viEZSSsGoicl*SUL+vJ{c#1_4n)b3GNA>HQ~N~$FMoVUfXm~{3jW;|C3?OEhu zE++M|UMZnHD6iCFQh(@-CA7m7hc|`xz)en}tvtyP+O0f~C$vPw;rmav3r)WEt+W<9QR%52(khRizgylQVtoXjqrXqVaIe3vroIogfPmhOl# zvwb|uA+s+m!6=!PAr4b!$5_$#KvGA){khk%vQ%=3CijwKvB#du`m-wV_fKU#_Td^Aiw@#& zvH4vSvRG6InyAI1#i@oS-YBpBPb?Pg4~m+asjN$`77F7ocdoJu%VN<}6h7$1qUEnw zzgRSl_tA?*lFI5>EPCh!b52+KTzU=qtP>d_Z|QC8l^XkQmse`Bs4GQE z@G9YS#6)}hXhRXM;d#92UIieOom6$Vk&6I?x{&Y~yqib>;%3yNymP ziW-Ju?l!vj{TS1Qq_T#Gp>Q_q4JSIpwi1b$0f@(~S4wREl~*bN@eGv465C;#NldZ* zC)X*qXjdd;%IFN5s43&IBMg;0M_##|GD7Hp zS~E_-LG2%4`bVaWaXCU^+$m$SRamBse^5B?lu=WL%!HS#pE3%0A3bH9Luf6MA@jHu zDN`#FU@(zaM;+A-N&p z9?_ z83RPv!#msQDvXg=%EP-0s+~%FSht^;L8sB3gwqiZZ}mPy5$@)Bycs(Y%U*X80*SZ^ zJ1>nhV@EH01^(%3+3T0DW6amr{tZkYc8b5 zlG|Zk_WJk|r`#IObieC2m>~5EqR{O8a$$Epj z%Sat7F>$XYx$v^rWXqLPl52&wn#*2aSg(}iPLx+_w%&JfwB-JF)5hLGf5o)%Qpbc$ z8$Cf2HEq1M*U&nzymC8jB+|<}htNU9%lmf+p)l^W@yAHBuuK~xDI9m&sL9LwngCUG zS>*5VKHAIs6n`P&<%KL)PPq$rqnxIf*WT$abeC7k%ex4YV~stB$;&h6jBXb>$DWsV z8(PqT=kdlK6%~9>Vmw@v?y&FDO26T0RBmR>w4{qh*vFm)S#idm=(MEk?{GQx%>O*b ztkH;dc$=5^jU^i;ws}az^zs@w-Gs^VN_lz3P#P_^!|&yZ^u)`X(B3J%A9oqj8_Dy( z*2}w(aI7(RNdMd4&ZU?4^guUWUg%SE;;?yny?=3fd2d-FQquba!B}424(pxr^47^a zHE%41P$fPq>68QQIL$8l&@V|kdY5;X{AWV$ZV(oMG{jB>r48& zJug-Nl<%DidkA+|W!xsi-d}!q)q8Dak~{EAT}Sana19t4m!U z7bQ0DS@p-dyXu!A<|M{F2z^xiggXt|MBiQY1!F2%!c{JH%{8{7$cBl{!>CYg2+htZ`;I>NV zH*Z1MbAhO;%UfQbVATwz=SGh0`Xv@7f8>_e*AzAvmst^y6ifunw(GlSp@XJ>C@d~6 zvq}bw{xYSbJIeqRAV;fYUo%^}xW2WFg1Op<>{4f!Siw9-cW-yeKT#u2T|yC7;;^l#R#Xlr{| z=?7E^fongIbZp0{t|6O#8A3X*y9Km9D}GJ)>c^&y@vcx{{%`a=JE^cI-MH*!O6lH%8#L{Y>pA5 z%Nt@^#|Wd?!}y?$72-NdNyP$De7!cbgGLmY??u(a-x~@@bT*`Sjry=iK3vg)4+B1l z45oy4_3UMrVbnkyX2t|zPU)vH%8i+cKqRekN<_d3q|1mpt_!% zJ}{4Z_^T7I_-hS+aih!TlQ4_YN|K~}@zx}7@%~U+$*;T%OqNFYv@O}SUX}{fwjOP# zkJI|upRcY=3e1tNimZDh#_Y@={Iw=augeao4=xL4pPi(Y3M;d<8Eq6$_rP!@Q|2Oq z+?gx2Il?}lw$r?mlPyQTC_6f^K%}l80pfB+KP^FbyHi|#ako+rg>XSnKtL&rRw~1C zBk*HVL5SF}G66!zo>UKa7@1xqqEn(B}6 zm-CE)SXhPvZU7j4;UuNbrSd>^g`jaiazHUR5{`f4E%ERR@6OgX*HXe_lVzY(*bA#< zj5BtP8r&>h1vYjx)@92}v&r%YTdsaUnS`~Si#n#WQ-&oLQ7<7Cb^ggx=ccemPi~1i zpTnyIk>F(1FCsy%(2>?E@l|StV=dXKlbr3MyAq7$ zz9~@TDfdkkz&%gg?=W(DSX|cG*C3rIQsU%?VE=I#|z0%)~fP`g% z;0{3)?09lu!U%+kq6jMek7m3NyeuP1V6t>nxlH5hz#N%7G*e{N9O4EAhd2O!}8yx ze{n7ofwM%IK=RKhQ-lLpT3GQ~*evay0Ay~Pi5=h(Gsy4AB#AZ4WcgbV7f zVHwc5Ex3;G1BlZr8iDqVl!>Ebc;fhzVo?(ZbCO73vP;bitipG>bK{u0C`*i=q1dzG z_-9~&2uG%?){w{NGICmuhkyo@jSOK8uMy-9X zGMA^#T+Fb-->DTcatDIaJHsdHm^aJWPr@mXGpT>t;a3;Q8Li)=w^8`VT36B8Kj#j#q7*-9Y9tN=~T|;vWQTxVq4L8MmeJ=h4!82N*MoR`Q>CgJpyJR-#KS@R^ z867$**2q0&a@h^@!Rrj^H8*s-j2$~D8a;N1B-CzFd}!srR6UL%J1~&j{M1;Gtu51E zxq&{nIDC|1N5%?Plra&R37q|sd0}jB@LlQkk`u=*g;n(*t6z9xUfvfrg@c83)$pML zY|UfcpE5F>asN>hIN9uF^_A5d18VGHs8)}q^Mv%N6!Zo-eY@ITzEB*7clgia-?PVZ z77(~!1RgZbeYn9y#i0%*N7IMAS?{NfZo!Y-Ag3{C6@dvdPAYk<*XutgeMQ#v5TQ`6 zA0;#gM;3`^$}lZjki(hZfl_%ZC+C}vquSBhm<1b7I~r@crfMyqty(xz3x$Ch(n>Ls z-#nYxl{7KzGOLp=RPiGyu68B&=e+SvdL87hd_8oAI?DB7d};GP>v^><^o{FmeWMFr z2E&Kry7KRYO+m*-N@GBpCJmIR!4bkqZ+RDW{~@prlrkQ5QR9)i-2pE)qz|V&u9q0LE=@e*H6v2I*2kdU38PG zN*UEnxZ^T6m|v8uO)xa*Q&eA#Er#m9b{0IU+DD|UvyT)}u;xDUo~@7Uj@L)p3aa7} z8L6BHo~ovqLZfF0SLn<}aF@IL!1)gTnd<#&EjS_PM1@aW$sm8fi`kJgDD&MeO!@f zOoI^_{+l#55(`SYGZ*`fOC01_`BL&-=<4sLH869O*vaD2JJQYy=D?7CCUr)4)c*%8 z;SU?5mI<0PdO_sx0B3C>lL>9JnPs=_;Eg+&V8Z(f2@oT}<>f+QV|0HHITbqcMMC>ewgx zvQuHEn~DBu3f4T)S0zX6@+f0CS5So~`pxvYSSIi>q?cJHu$mu^;42X;v%4{^M~q%& zu(rYIwZ4$~PXGKAxAXYP!yNPYsiI-_dHfMD+0??Nu^V?De^;39%Gh-|kBhQI=JDo` z?{XejAI6@?PlU)A^LQGpU>=tbW6$H;P7W&yM#F*5usHU)+QHC*(SBBa@@NEQ9;RE5*>s58KrATqjphTnSnFb?l``;^}95$b~#Sw^)@H-a>L1V z*w4+Y+Rq#VW>~~KltoPXQVO=PY>GB);ed)kDLZ(Nz6COj2j0w;R|Zqsgykjd*Ya$J zaOQIFd(=g2;+M?DTK;rRIR@Hl{cE9H{b2-R%&`u z$g9Q-KHvIAMvq;`(Uw?d@J%qTiWz*JpthO8UHChW8T>C0gTDc27>*)la52wq!%@P` z;IkBdmuB$pV->4Z-# zN6xCwBF?nJz)>Nub6IV3n#!+%&RxiB8%d$IxbO~PoZVFJ4JMoF9IB}-{04vGLS8+X zG9#vP654y{rt)Um9K%%JSg!_Cc?ks$Hstjd$!67<$~&#Ootc<`|cKbz`cVzFNg}S9W`p%leF8O9>(g$M zb|$i;iZ(?$Y7fYF*-_Ppv3=Uk5E-MRc7PSt#->l9z`=IZdhFn-rlX#4f*G4u9d!y6 z8Xa}i(bO5^_}8c_`C{D#zDliRl||oB-S@MwM)b>IF?N&QhF1CQ2f)5`6e^LL!Fpf{ zJ-klL1n3&>0$&A+SX9?|Xl)~Cj&W%18=pF9E`lo#nzz?EWSSd6bM%n7mr;`mxDHCl zqV`_ABqJep2fy;FUan^)!(<2Vcah1tpe1P3|cFpU{c_mzQSq zf8)KMU-C~=DVF%^4f%tlRoRn^2f#Q*I*gdE_brA11MQcWEUZ_$8MTtN;ck7iQ6GyX$;glEid1mcC|`aqr^ z5Md9IOoVE5f-*mO7_6y_(u79>{TTZazeq)|Lj}faa|I^5eA+eqsbp^$1Bm|RGnNt} z`!ABEfBcqI@h5eKFD@(h%~k`dadTO@Z$3;XAtjGBWwj%~9~@T?%UMVwX}ymkNhK>I zJ!xm-6=n?*fEY>*6@DS_D}F^!AqVaS|8xOzGSi~Y=8x*rqGa$-Tw2uJBov{l3p`kO zV8$<1T2zpN*3cez0IO={$5;vKY@%|N7L`BAVtzO+>KBjnJ-2C54fmR6b_FRQN^{ri zIoFy<8MubiSQ)sU1+TKQ{YI*Rsp#wVQz&k;s&@+0>{fLjzC3XE_dBJ9F)}_LH}Jb~ ze@Cjcs9PvsH7)8Uh_a_eT`5FHr$xOI+7B;nc`o6!sHVi+Y%LD)t)sn2r|^1#xfo~VRJRr?5@R#YDuNWq%>h%49g zbV239^=xMiehi1wYxU3f8xpO~^-Li_J*Ml~>T0uJfKK{_UJ5#QuIFzoYTHz1g>cQ@ zNjiY3CY7mbo4m|e9W!_EP6NM-PU3PoRmS~@>-jC{9j>P^*YpN$t3kH3D78BVM>BJ= zSNlR1hF0t4w3$n9%=I8cWyY<%|iIb)zhm zJrz*pvNQFxnJP@08<;F|lFKk_F_uXUGM3$}YQTJrv!=v;i%4pfWQ<1ElP)6hP+V8y z;EweqW`Bl?cUxOACY4t8BQ30`kR;&`nQ@~#`f?fKG?n>nY%oZNz8vjiHW)PISDv;q zDjU++66_7+q}JpP1^>wggRUTn>AnP&`%;4oVr?xjEJYHH!x_D%r5N7<5rgr*KSYYg zb^!Mdh~2D=_-RdPh;!pYBZWP@4pgoG5gQ}pBp8+dA;f<4dxY4y(|48Tn|I!k&>S}3 zO9hW?DiHUB#42-d!MBi0cXyRh<%liqBW*XTgNIE-_7+5(4^bSIz^`_EiIsn22Xm~# zRF&3B_V$;1W%N^KqUC&uriJ$wG_k%BOYSuan|Gi!W}H#?@tw#I@jGPT@haDFO#w9R2XUz?Nm6k{oB(#{k5e?TR9b>w9+e~ z6{lhZFT|UC zx`I6)Xi45U<%DQlL}-*BYn2!62vEL)Adwp{LaM`y$d7mt!dW(#NqfUy#8(SVeHHBI z3HIy3u0P4I=yCJFy@)C2*u04K+`DEPNj;z-UVPqWBvsAfs%j+pt4S#v^c#|NV78)J>oo!V+x^soeLks_JUtvKz7 zOgC*6Q73qX=?IWoBT5bK9cEoa80-(aTVdLuj6(Yg(=%J7vc#+~)mN0*SC~2|?1@`p z+PpbJZS@|WeJ#)2qpY^9suiY}1W&9LravH<0qkH_nAWAla`Ms@Pi!kp(jS~FOoiu} z<1dr8sG0;fcK$3QdfXKzZ{!=y{-qSQuP}8HzfP?%b>x?LddjtPtT2t^8_MwRCvp4C zUAp3R7V_%A*Oo3-Uq#$NyUT9+*w)(8aTGRUvZfnoD39p|ilW9@TY7OW48#pYM#9UY z_B3G~4z|r3Y=d}>X$WsnA;uego(N7=Z;%3Yz!GbTiPZauKlmL4SMdkeg3|5}u8=Ci z{$SN5rqg+gSM;%yO`en}R98_y zSp?$paN`5<8}G5cQSzt!LC9ZyyxXlPT3Q8Db72(s#`g21|agIJy2!Fjpkv zej#pK6&G4`H0(k@rLe0l@?ih@C$OKXuuq{av}vjVVz+Qd>=yQ87l@egU+oj&tC#@I z3-2{GR{_ZeHd}a{qlLE$4bSnZzJgze?#6$068+6w3~b0BhuMvP~e*1bD7Uic0E#98;s8@G0WZv(wc>t1~r+l^mCn`2n_pZp-YQnMRBka7p-#^0@K zI4C#%%8u1q_gT(Nz1_6XuUwwbC$&KHByu*!eE`J{0brWo$BZ_Ka zzS@r7%h}P7K@3Jm|8-rsqyMD`8cTSN+%o-aYQqLCvko+#^lUn2T6=v2c@&WYjkkS^ z0Kx|v_k|~z-^fEHr+s%In2O4+d9tgS%1{K>FtFB{WR!M*4 z&{5%lkyYtmq^GD{Jx%3|T3c$Z15ZUWjkV2{tS+nJVe4Aa$inDT*?LXFni)XxD!G*5 zWq>(+7wbUdV?+)z-=;{0HYY@o9xWEL{1B&z(}~bRc~$kiqk}%s_&<2A;((Y-mxdK8 zSxZ)uQoqy9kqn1e_#h7PhHu2jReySmMtaDel%q;>t3S}#=cvp)H7cYhM{2;|Ed})< z9BAC-SQ$K*p{g7xwOxM;ZmYr5RMjS+w+)`D8D0%Wdo>c#Bj>HbXq?*m6;I%%fr*@_ z?fM`K6RwKV2i({-UkkPaJka0Wy z;q)^y_8*2vetX9L&aY_Zp=Io!9*620GxnFUSR5l`|D!*hG_M4|gXW75o#xv?5$$2= zsspM#5Hj|gs{Wu-OQP~h$b3)dCFvzvX$n8GIUFh1$cFa?Zb|h8@62HMP(w-lG;+oo zKi`JODo(7{%G_j*gd?OfOp#OBNr7d0$2Brw4T>5Ov$g)H_XaB&+#V>BY!Uow&1q zmWniWy0CJgRZrDhL1+a1l!)I>X?W6qI=HOynxnL3{ARc(F0&g7rMY_Lm$avJ>+srK zXm@Wo1O7&+W^f_))s-R#lmY*AtPJ>G*2$JeE_^`gml2;7J&?4TFB-~ltl}Nva~Hcq znKgdb7s`#Zjl=mfQ|%wLm!_P>2gK(@J&ar~j5RsdRkAWfblv2=_Iq~bcWE=fqH&@? zMI9R^6|~}b$D%+lPW+N%VC6I~?)X!MtutViiWGG`os%;kLB7VxnXO@em2lm8d}t5X zoyOnlnKh=m|$Zi*yU zK)B&2zzSiVbhdVU>V`!(64kPlNV=radwK|(Fq^(T#m=U6pFvaB%fiR-X>Y|#lXxK9 z8S&5Tzzn;3eHT$L&VQ3HwGAqxa1BRfG_F-xnrj&6D#91meRa&V3iPS*#-ySJX(y3* zUk`ApJ0hLTta!taxa8YN=x~Y=Iy9Ifp+hD2M_7Z6pgKlS&Eh+KD8CMJf<5`Jm=o+< zq;=RQ*fWrd-#)>P`xG%9+621*6tSqTaf0nXNL1w~PF;aZa>%E)*pIzaD~jepaK%CM z+D{Id=A)q5Il&fh@#ko}{969R9POh#ZCSh%p|$*|QC#y)kFw<@f5%+vkOSI`J6sqQ z_0~`>nvtjdu9{3TYW_rju=a~V!}IZq>0rf_cdbHS1BIBAd-MSiEP~WHTxXs1uhqPC zWllP-%seiaV`iP_lj{4ybQpOd&X4e-3Dw5p#ZzMqUbJP7i||7KIKqpbaM8hw4|$mw zUhD+ZVdRDE2NYhMLbb7Y@kqen#dwJ#SbDK`WrP=9;i7{V3wfCsUi=8A!^jI$FX~Zk zEM7eDh{207xT_IftokUzi)^^);KhG=nHXMt1*XHu3sWzWsWuibhG+&aE^v$&OIJjA z(H<^3c=0MP6T^#-z;qaSVd};14`cJ<)-eVz&T)(v^C)eOoz3B*gBSneWny@-2uz2O z7p7kP{6TD9+&J3cg~SdcePlYNOcz71*u1!~#Nfs2vY27bsbeT@@?swZJ9u#iFB8Ly$H8Na0wcYpIdn9Y&;k^b6&8@zOd=yxsG= zaUF%fc;SGf@#fP?-sS)P;9Kc@_%=Vomo)@y=RRb#XfXTPIwlpIR$e>}ds8LD1Z#gN z4z^Y-C~oTI?YYEwDW5~b_f);O-6WR=JOjA`|tON9HCAo`Fpjy3-$qmJd!01l2!(UofkQ~|o{a*{d9Kn|^1z+mQbC@YT=cF<4prcq`F#Wb#E!++jf$oxl(jg&DC9CRM*T9l~lL+v2c6a z!@Wp*e>&;~}_&)i%hkRWYmhmydv`+2)fhVW2x)_$Quz8^r2)6ah7dOZkkE#bY3iFIQ zC@f4B3M+1q76;oNFCX0`AKg%;npw_jdKlGwM{IZD&GN-L>Ot-G5|0UEtrbzLqrgnZ zdPPcZRrO!IY-Bp^6^=_MyY>9E#wEP77?zzFUw+%@5agiE-T>^2U{z3>8BzclO!|on(NAFvDw`qA{%T{gMv~G1_@75QzYkgr>tCnqB zdj|IJ-@o_Z-mTkawQkv}<%L;Uo}mT(dJiqQ<*t6W4C!aSzTiUMwr|&_WjpIho2;xh zZQ95OVHB-ew`tw>!q%sPT#qj7DyX^qN!1Z##$B7=#D$chV4{XI5 zJsW^eaJWADwKpQD|dp6v0=XjmEQ0lb1U)5iceapS@~;QQQwuo3tYS3cA~g?iJ$3w-Dd@B-HY zrvlrZ30?9Y*odp#w*q?r|8*AcfjJq_1>6Jd$c5l9pG`f$dTg+r2i$vJWk?6!(z-I# z@HFIgA?*e}(vEfmZ*32LVCO8_eY(eU`bD%GxF5I__-zO1YwYpN?^qe?37m9sWoQ~O z?~=;UE?_0FQ#yKiX=P|EuurGT&>CP*Z)GUs43FnDUuCE}@Z>I)p((%XlrMbb-;Dlq2lNA1Ot0q~7~R))%eJ%?0=QqO}AL%|Px8#onsdjWa@Jhc$LIG^zXI0ks> zJ(Z!)fh&ONEzpZ$m7zO<^@r15;9_7(OZapz?FH7okM;te1nR)1_tV~1$QxJ$>@}h? zv;vsQl48Twj3>Z;z&jqO49x;IdayFI5BP7O{{oMvQxW_DegxbA?E4V>Y2)!6`!IS3 zTmoDQEG$Ov+Jd(Py#tO1P6K9^qIbYqz)ly^zA=@d!N5BL=ofJEW9Sj^`^V9jb{@~{ zC(sw*(@&x=z=7l8H!ybs{BF;<@C*O75% z4($M@%%dH^^XJnJV6QUT0bKn)?YP+E`Qbz81@`*{dVv?LhF)N|uPQ@pfjhsh4Ar>= zeO!xtfbG^H2Viet5%4zPc;Kk@@E7>>2HF9f{vG}8QpVHop%eJ|59k;0*NwCnnDP^J z08ie89D&Vr^sp02SQYeZp#Nv^1Ml99yn$~4b>PQ9kC%Q2YzPbiTLMqoLOsA1z&^ms zfy05lf#ZO~fYX4F0n31|0@nZ+0(Ssc1M775c(w!6fk$p-904{4b_KQr4gg*aECL?0 zjs6aN4yXfLY-e1$jBy*-3D_Ih9e5jX0Pt<#2w>eE&;y(eoDb~2lkb5a02^h~?p^2= zaM^Ck125f6e+AC_o$u= zXbNx#a4oRSk(9d}#|oGYY{><8gMo!dheDHp@6-*2mIFhnq0la1v$Rks!;jtr`v8wU zE)*IEJnw{1s0_HBjSM@0Z?R^bp5yVHdm5J}1G}(DUj(efmgZT&RcD7n8-N?m4Tb7o z!Fb<16zT}=@D+H%icsiI zVCBci7r13L`~t51CKTESe1Cl?)cgkYYC|a01Gw>rP-qPB*^QI~UiBmTc_Vi8=1`~; z@K0a~aOM{D1o-Y&=mM5+gO4|PJfCfckHGai;3IJJPTC9H^GhhS3Rr6w^#ap=3x%5Y zWM0`F3Uvpbvj_PDFW5_afS3Lb-+{LQcL4ML0Dmv~C9plPKUsJ^fK$mJ91dIq90&B1 zPO=6l{~8#;lXTy;o}}URlTJ-Nvd*}qBkRlaM*KH#_Wn?_a2Wxy%X`EeQsw<`?hm!B z#UJ(Rcd6I#inO}J>J)h{J*C6B7i64ie$UY4=?dOD@*w}+|!KY6x_|NawIZX?g;MU~sjv;RevlM5<8jw+YVvkg2G-11Lg8=kG@*=g#D z@yyS&AEWT~iQ&hPUo^6dPH<4!*QRQS$-}b0-WjvEQOunQ;)8{=}$-ZFT{^`OMh4CEBN_t=`W(Z`0;M(pGEl!{B^hd-$42A@ax^uUq1zV z760EY{Sw=qOPs(h{evk#o_NCJ@$}(7r~XW#{BPtq+!?QYzO(!)%C{m;@pioO=QzuI zYGdz^XXLhgOUhp+X@2qWr#bQWq5N~iNp6W({t9RLag_h~OZWK8D1Re*@+JT<@O#`bT2t z6Q^=ZPbbQcA)e*7{9wv|^@Dr*r%?W)AKlZxit_gmPjgF;hxwqKxSN~uO&Qu+QobSa zIm{#iJN4?1l{tM>EpNT_;*=YYR=?=pq3k5Vi)m1tocyfJ zRWc7v1^&W2fchuT=JCf*QO{QJ>}#GOa;w}1p2^t+_Gi0z_8!kS*s*!rzP<9YyUv$}q_u{lc>Ma7-65`hjqU-IHTxzdZ zY^mv#?M{sQ$#}NTdgs`>mhx{A3wK*SHI*1Yv2wTNJ5qk+AMVTFN%;-L+$YDQzrsoX zB+BOzQ+Hc_1?AsKs&rLec)ySG=cH7I)>*uF+Oo;zhAAs$n;yfs?Xqll$_8pzhPKDU zyC#CSh_Y85QFGb$;F?a^V_7@El~iNRqcYY6Wvm%1W7H@aYl@FZzEX`+*4X0l$hSJ* zwmishGwKm5ILL4N@atQZ%kp9wA|^U1_A`Ca2&IxZKupP)QI&1m$(*JgR48Z-UnAA=$Znq9;YRSt}Wo& z0cK(-VWM1YC0ygliSJPHmhRx*8E2ECSa)H~gYMu8YGj8Jh-x zt7Up+Xpw!av5!qpILCsClwWk#q1P>A!A5ZXGb1s$TAo1O#@QrTx#Y=rad;whjR4o@ z3Bt7;T%(&Lri~3w#0NenF>UMvuAdWxYdW|lH)YMvCGX#(Ui7bDF0In z*0|%9x6K*K{-u21R+XXGQ&7?LzmuGmY|#$t!cCJ0jaPly8}pm@zqng}(XV+5lcReo4GB zxhbCy23OaMDnrS39kuyf$(tjwfk~8Y(xEbRM!d2cjIzSd<&-_OV`b>BIAv>HAJKg& zznAhiTudHHyz-qQ<%OS3PbYunlEmm_0k{TUdgyv2bd`atQKv)4B|J%O%-RdM+>SRL zDSu^W_v6i-lwWpPVsvsIxUS7Ube$9)rld2@f-4bmmagFP`zk{-!CPZrVLpDmn)!GV zxLyZWBIwe=bxfDU_(g5bV67rSxQf8F8eEC6IaYw{wJh&R=REC~*N!PvA(6s?v zw}ESn8(f2vZ;yjY+1kxB$Sng`BIGz6TwB03+8td3tD|cvxX!sEG2=x2v&laLS0ePQ zC%CpGh^}eiy6Vcrj9ePhw;M~NFUt_^_Be)XbW3B<$RB$C?{K`1T*ej3- zy&nv&^Yap;U-QBBIJgoqe${D8zG8xKbq80ks}eJQO##>c*WQ`O$x#-Kf5?U#LO?D# zBp_lCvdnz|LLdnV8VDredI5(`c9Se@c9%Uu0w^G-$}N{KD5w#F$|(j!gn%3(sDPls zi+}+EqXY!vE4cIfRzFoc-OuzeBkUxfTo_gx3>*-xWT_1-0T18zesq4d- z$KtN!-%k7B@=Ns2v#4txb)7JR8?&QBHbKjrsxB_{cR>H`L&-@G`%=U7r50xxKr8es zq5tX3p-Q@59Ok?z{pO#-e}5!@EjiquuwDO9wLep+eZBOT4p-$*(&cJ7BWPKQv}&D8Z_%-=QCHEG_5)32AQ>oMy3p#7qnM0Om0 zrcTb%gl*^W`Z%Y$K7_s<`lpU>&etJp;4-uosdj)kpLR}xmd+**#e0Kg&<)(V? z{nhAu9|QgGyPLD8<-N~`{s_*XeHiO?Id%P!x;~8e-gyt!?1@8_Pt{wmr$^W8Oz67@ zhbnv2qdz-Je-`xf7Y$XW)swfsijM0Y(4T)w^Zi)~{W+&K-=EEsoCP^^sB-e!Op5JC zVgBAN+W(2r|LQ!>v`La(9R0;3BKy$*^xwO1sB%WV`m@pc7eoIpXY77ekA9#^FMRYg z^jCk4Gh8yBjdJqvLDY-UjivaQ6z9*b_WX{ay>`UgRe#-7XrI5PF|Ejih0xCU2ItYj zYbjK3OQJgGgg6pQpnvRI&c|_`m^f23q zu7dW(B}0{U!(;K;3tKK2dH&kuc)j69!p-%A&@Q`isPf4$ZFsInSLZrQ-ETsF=XW_P z$+fJHxLnthqN%RIIUzYq`v~QPAB6{Jz=MR=6BFy;kEw$nsDnSh4t{YR{5^H>PuIb( zs)HYyi+``}>fp0=@KfvH+w0&@t%JX;4*vTwc;S@?6@RbHi^<>6{ExW7aZ8cMtD!yW zdqb5=gf@Qe4;gXF2GQ9k?^Vj~o=3NPA7zDK=25og`|nQD|VOqA7 z#O~0x-!xQNAhe8KIQKnB58oh24yTqN1>T*1qRjP_`9gSa56w->-00qTG4yZV(j5I1 zy0HxU{cj(tERwa~XsBUddvmt<@uA8C;rhe$ z*F@>F(69L=-z2CBBPc8&UeppnvMu&G-L7=`9gT=9?JgueUz0x z{*$r?|Cx9~6MfuyAKF>@pZ8JPIgYXizu0(93GMmN&V8vdt-SkP(4PL6_t&R4Dfe%OR@4p{1_vcZ^%~D6bv3uH$ zozUI^ZT_|9XjedcFW*_IXJ?OiqFV1p`*GItjmET_(}yCoOaC!cAChkkHhjDmHzun?vT;P{y}u9b^J|7GKWsoN{a6m|@&7kec_=J%>&SHJ zhkW;@@($l=YEZY(W}*GczlSP!H=vb%90%=3D?^o&>d>|v7nS`NLw{VN($x4#{mY<# zV??E?_Z0fo(4V_@rSjbo+{BD`%b`_SF7#t2@GZ*q_%2Po{_h>_{~^$y&G&TVI|;G% zZ(pS!56S65&-ZrT@A{MZc#t~QP)DSXRbRo~j>9>t3hioWPumz;uHRek#LJ9e{wH#l ziaMI%+2f!+d6P;*o|Ssfhqi^kDAx=R-UaPNn^hX}pwzn(+U+;@#;4928$F5da&6HZ z?cUIi+lp`I)ZvY=Y?%k`jaxUS71?qbw4d0fQX^aBTTdDA&8}(rCe+WiYi^$8yHPVf z)|gh-L>AgV?aHyq|bB#gWjj$yF+g)~!Ropz5=i`p<)Y{HOVT z)5tpX`KVmJ3;L;ir|T2-`csP1zYP6;e9!B~Ch5065P$gO=KC`R`iDQucd_c#zg@IH z3!&d>TBWjYJ^J;c^h=;mO>e$G%c1}D;e02pUj5^v^{@9C&afQS-1v-Vii*(ZkA469 zmeg@7bu6Kd_q!Jf-z=k!tv^?(Ojw5-+t0&%xptJ#RztrV-&1RrZ^nEU9f0qxy;5%+ zJw7`G`e*qbTXF3={Ygc~{dDM`@8Nr2_3HQLNxpmc&Hm>5vjY16;X7}CueT1p@fkgp z@#MR2&Gu(J^vl24Tz|$gB)!mI!gu4EkvZ2udj#K>Yewdbr|!q0pZVnv#*?EDVjWY* z2jR(?)RF&6rLv|T4;)tI0qOTy(Et1557uw_eqqlg@4w$NH}cKH=cr?&dVB9%Ra>bD z?R}RvN81kVwO?(H_F`yHyR13dd!Q{}-W=`A(C*9k2%CAg&8KnJ?aJn8$3t6blC}%l z=dNn5-m9R!?`zG`J_zl#|JxkxYG_Zpx-qTjq1zq8_+G;|3%RzK^X^<`!S{fFN$_4A zWW>QPYT_aw?)!r6(0}6V(R#L{=&9gy;8#mM$Zo#*bJ_;MS5{~n)HOU``y1?$%8xwX z-=0hV?&0~)*GB6_)lWPPemwXNuBCta-H1uU-{hGh-{hGh-{d)H)cC%*5Ap;tDES8G zJn9is?!UkPj=+CM;J+jA-x2ul2>f>h{{J6=Tk#jjuUDa{AoBC!k3`4kw~+&hBSit> zx3L3>%YsA$eBgRNX4Ou?)wX)Sp2BSuj@9R)|H#jtzcp0pk^8Gu8PR4FOO!y&U->Q9 z=Nq`&M4vv`Q17=^xP?LkVt&dm`FGbpttN4+_+$8qz9l~~BjvZ11BoTXpyX%x<(s&B z>-Q6Txcl`TNLc$H(|e=i)7HWJF}?wc6TR+zwZ2OAc~Fv1Z3oY{(dT?JJGlQpn;@4_7!x;R1!{D7-@9 z^$M3Nd|2Uc6uzYJZH4O`pzSN%MPXjy0SXUSI7i_Eh36=|LgDoamnwW%;cpbar0{Kp z>rB@674D)iukZkchbx?;aDl>e6kegwpPxqe>#cVD?$h0Z|4e?<@E6IiQ{jaQmni&& z!oMio2!D(GeuXbWervEh<@Y)^iTrk-;ozQ!IXLSG2ah?*!7Gn;@H@vk_=~cGkF+^B zqTRvKa~%BUTnFXvB*<^$P6r=8-ocXMPwR5`8+1E(LaQX_(FI8mqiYKUhy+daraN3>fi;6KkN(c{-x6#yg~8P&v5s@{-T3-Dt_i! z?*1l)Pbz+bj_VHofQjy*FrhgN8R~_yy(UVTs3kHG`<{wfdO=y|l(>Rn#n%iyQ!P6A~JB6>Ua`R&_G`xfx%;*L<>2iKXTRg_UsrhUyY7DTe>=ElE!XdvEe?(t>EI6( zwy*8(-%xnoI_`dp;2;LMnE!P3+^F>(Ippp)s5p3!!Wn8Q{9a+Nw)>sn!#{kpOzS&p zJy-vR>pS=pg)=vB_kU1$;)d@2cjvoy{(XUimwea3+>H*d)OB(BgYN#JXB?dI8wX!e zxZt<$eqUXmf7bE`Jmm0e6mGcO-G5Et9?!b_dlddt`}LgzT>o~P?%?;2aPVH8*PB%C zoN}zgPYVtpa89f2?q`p3@CU&GB+kABhl}{zRc#J`*|rYezk`GS2tNAFxu;p~esRXZ zUlttv_g)T8-N(TN`#b1*PmcX)cW>}Qy*FrhgY)$H<6b$d&xgAx9~*pK$HAcC4I18{ z;r)8;{)Tqf|63IPvcug!H%H%Vu7m4zI=J2O4(@e=gHyX4Y@6?3f475Q>T&SmUI*_y z(ZPzst@_;k9ttNbEGs-o;YA8>P&AaI=N({^FAzJbKd+LD4UM$=w&vcX0Ao9UOa`gVTc~EB+Rg z*1MM0_pe9X^Ru6J@S!&xJiOxIxIZ~~=IukY@!{I|RR{D$uS;9t7?dzU--ktZFz`eg?nd&xc%!6{`|`h zo_c|UXMDxM{>vR)dAoxxOC7xW2M$jBk%O5F9b9;kgFm_0!4a1_c(;~&N9kR%A91xG zyXX6!?cgKIr)LMr?&LB&=kTAp!a;XPo^M5N?8b48^4lhZ?*5Y}Ik@j42ah<#!Jf}M zxUuqk%YeJzc7cPLg${o9WCv%T>fkBCK`Ua1C%N`Vecr)yzu;ivbO#rm;ov=Abg*)c zK34&9lF9*to9KPJhi~-U^Wxa zVY3Fi2L{I!T63+*ahbv3F7<^}u{D|9)nWa9#ocojIZ<@gMjp4YHfP4-TM{~59{tE+ z|7G|=OJeO|zhxA^&ai(oieEQje!nPwy~I_UgfZ^AC9!_O{F33PEr|_={g+YvhQof` zD1M_v@5C_1UAN%vy>4&xEzrfm0018+bG44nNp>ds~r_@etLUa;9{*%e{6Lh5a4UaLEH|~uKE3~o<#wD z?RZ`VKCb_F*TFv;)U#FM^f%qO*=vY7x)$E_>;B>Jqq&!#n5%+6#KVhODEKiR{%?w3 z{YJDNG3SE%6>sgzzzcr7hZl21@GD-A_H$FkkMa61X06aK)BaffqMi%>Vy~aOEB$9ee4iXZRc4^e#5!yl#i(H?%5;u9WT)MV-Z80GUN+{>>Y7&q^-jR^g5 z|B8;AY|DaAczD^S1;0YabL+KT{j&YX)qj)HFVlL|3`zX34!x)kQvd0?9&KJkjS>79 z4=-v>(4Ti)|BEACf1U>)*B?=rWWCt_^O7SE{$`}q^E0h~J;m=lBEFt|z)L;0f2n&S zQC7U|XZzBg{7wfi^*^EY+j^15as50mpx-Q!UCD*_i=)3HAp1y-oV-EtzFfUKsJ~WD zJ`vz+CfHDIB#kFt%^7Qy^VjH;!W=h&Z<)83dNfp9(+Rw{9}qY zf8w9CepG>=U9(q~Dn1wBji?F_S9-Hcx7J5rQM~zAZdd#=#hd=E=3L?}#hd>i_;wFv zKDCbPkNLGm=y-lk@n#3yrT9w~Z~neNYr8*FyxHShD*aG^SE3NubY0i3`Q^T+^ph2D zc4TmliE^hZ-r`1TjwNnWy!k_I!+lBd=9l@F*1s(aR_5LOh3Y=z?;Y_CvJuEDU3b>i zv70%1({pyy2ggD`#)v|2k=Aee{zlsF&5E};+b6Wl3yL@Y=Cw+{)%vbo^JCh3O;xh|(A@GvYo4W5u!gaRl0V{y@K^uhQ5Z&X!yn9`fw9Gsuw@s|~E{uXt= zB<@qZ*~>rE0ew^P=6^9cx$DNRKNeTC{v4xti;o)q;s9UmpI!a94*qei$NWZ9ls`wH zu*x`?pMHf_R8YM6CGJ%E6BKX$SmUef6mNbV@% zR>9v^qo$pZd!x}qd^>0lm4_A&Ia=GD9pLpQ1kO-;^9KgsY~cCQI`q#fy~U4gf7#?C zZX7I*e~I$d{@_Q4>>z9UV5PS_0h{+T!EXxvYEOT=ToV5#^)oqaQ!j=o~^s0 z;w^r2yVf&5z=t}hmuD!w`Q>bX`C%RU-`Byvto2x2Qr&)u?Y4CNH2=D-i$fJ}{&@`_ zCC*j6#Z7{5ywcZu6mNbslLN0C{W!-U#y`8j%CJ_=uN2@%CCneHZsbI_;w?_6Zpp-A z#alep>VLLQ{UdonksF_Qz%~7x_FzxN=hVL(oTuVh4|w6ve|r3RhSFPnbh*~ERPh#P z{)6IQRlNCggEO@}+W}XF^vC?%!MQK+GZk-s;|Fx#`I6!-K6kFxf3M;#{%-Q;ABwlQ zxXF`mQJ<{Sz-!?UI78_zk8LlV_oa%ryn*Ff=C5_?*=USw z*W!TIpYe*f`~@3_!2qw7hQL)yZ*g!92Pb~1c*`64gO(Y&qifgVQo;E~o|P0o>3&DJ zLg~8|Z*hw^6#s3-TO9E^#V=R9<%Lufzwu74U5h)3zeaxhE8gPSCbt(V-r}2=IP%2J z;6?5wR6dwI`LWVl{>^JjFYY^$86sHaoj^Ek3=4j>9y?UoMUveq*(+6BTcH1;Mvyd3Jq(SE3MjM(Hii zvq@r+CW?vU%TP7uT-ERfBWw z)b*JFAL_VXwi*4Y4q@`=3dLLAipkZ-6mR(triZNcN!PCBL0NzDinn-ha5j~uPEfq% znOv^@xlZvGZ?yWKQM|?fmf1KnaWc-9_i~=rGv4r@bqLh>;MX7E^(F)^Q+mrUvHm=& zc#DHte})uq`C+!+v%5O|-1k@P1z!4Td9)f;mS;Dp z?=b39Qh)7l#{fSnVR@}bDam1qxBMNO-!CcN@-;TqalW@s{m&`A#koz+Y`&X&U&{kB zIdGujE&gd?r!Oep;?~CJKTy2In{EGnS@D(+Z2Q!hYvB-Bdz@?6@}~c#?e3{~%UAAFe2?NSo^J28Sn(E5HaWRm z@s_V*``1RTu3gJRuyNZ@@s|H!a$rG#4|QBG|5xcPuVlL7f2DZK%eqbRBlmFaTAsPR zZ%Of%mt+0xR=nl?Y^LL|Sn-ypcCOa{tl}*n?L5VgO1gF}PtoMe1jSpP$sJ0+Q1O;0 z5_~6vXE!O{@;J=@^Mc|nKg9e7Tc%vQmM3rP_p^$(e9d>Y-7^$#d3jeVeyQRuzsSbt z)c~(VA+UB@+O7S`x3EQ?Sl&bM-6zP7SG?t)n&0wT#aljx@!Qjix4fe1+SCRaSHI;& znw_<;;w|69>KRbHs^JR?oEoKGdLI-lp`HS7rW?7Zq=L%*&O2o1*K_H|IKp z$=c83WH~u+U z=`Ek${A0H&-tzuzeqU6)<=5GMvCUqtKbAjc`{2Qfw>-@$+Rrl;Z~45pDgLeiuQws^ ztkPSa_R;!mlf7NLmM_0T@n;0s^nmni*>innuVwx9k<@s@9H_VwoDUAvZVYRQ#!3N(1;@Rf=x&ByQpz+BR@UkAqc=q!DD81!# zn%#Gi;_bYF$)AS;ymlf4mMguTGq^&ZZM?thkL9Zef8&W~69Rmw0=;Zgddr&)z6Zv$ z%N1|=m&WIhD&F#dZ5)OaZ+XThA2Ji9KefN(0{p0iWXH8!fol|R=Su#p^*p6`%NM@2#XVSeQv7@EQU||R zfFG5Zb%ZN#dU9_a`cstN@=A@bZc)6QpD;PLQt_5|9egXArapRr8wWezXm-uPinlyv z+kd~PcsoaG`^XO!Z|5hwblhH5yq#0sM#t@AlU=)(x31~Ai5ZHwyl1mh&QrXdAF=)7 zCyKW`_~3izwDCrO*TzC%6dRD}!tsEYIKKk_Rc?&bQjUoTm6U=ehwl`{;*?w{t)?@2@J}&IK9& z@9>#;KYR(ijE|iow)vV5Uh*sa^T;PF{Y8DQKenIVtav-O5PWBmvG}v%Crot%)2HLJ zHCk?uK#wvcC;_V#YYl@e1zS2+2uQvX_Qt_5QJ;7C%_=Vyv-~3LkX9WF_dh9&J zE{ZP(c)baMqw3&K(0c6rvdQOb6>sM}*3-J4R{V=A9K!b5^$&9Wv2zb5_x4e|od+}i z?^nE?@3TCd8x?QoRBT@Uq5rY`FhBD=#oIYE+fTo)csqw``tz?9Z|DAOzScX`)o2$LxY1Dc;U?*!udr;_Y1Pa;@j%GhDlNj_Z@!|04r@sN;HhrqbIvFw0N-k>c%~ zvFSJJSc23CJ z-Tg3GFV+0{k=oC_zze_GxfauBdK7=Io}Vyzcus)V!Xa>l(%ZQsTNjTh-p;+*I!YYw z+O_jMmZy|cyq&9+yk7YouXsDBX!(cND&EdJP8#9pp9%2pI{e=urMK@(SRPjfy+_8u z&W$bALh}NAxCVFq1*Nz1J~nQ*E8e~*Vfmsf6>r~pFhB5CN4j<&X>;{j{AH@*?VPId z)ftMnbL7F_6r|`<#oIY`vujo<-p;q1{NE9SOWxPc8|(4L#1V?O^MOWxzT)lNsg3jf zinnv~CeIVI`v&?42ItIaotFbGv$GwRM%- z2YUMY%WZ=T6SI5f_jYv*bhNh?@}*)tQF%^hcW1e+udi)UxubiaZ&6}SU)%hSa{J)? z`HLtLx+_CD5Fwp4A6)+cJ?ZElD0lXE&M(jEnAh5|u%kS`t+Sin_LkGRQaYJR=1V1R zyStLD`9db|E=uWQa8byoa_*v3aF2?aOd-G&vzeq`*rRNz6kzhjlq;Su;jfdL3(2G_ zUP$E~O(B;`yGOZF#$6N(dQr+{157cU(Tfb-=qgR{!n zT(X$WXR@hWx{%8i(&<#OoXKVKg;X|MNL!)mfCq*0`BWxd%4V{RNw%2FWpcT4Dw)X@ zlKFfwYlXa)GU;q4pUdSlxoja{C`uJEEqR4f#XKWkD$+^*o6iKEG~l)PtmI^Zr*e16CL*}aR# zkDomC!2Ktb(@5QVvrvGAGWkq8pG~s2Wb(;e+~9a@lu71u`6ATJL@|>p<pyW($QR z!(E8Hdc3Hsa=VBUR!Q6&dA&n9$mH0Skl#gCSGv%I%nx>#Y>}B{2n#t>p(ge*UOt7e zFXc;UD5wSbCd4q(0mdw4@_9B)mSu@0Roz?4<*v?Iv&*yl2Lt`D&OEb_i%AxAni*hC zrjcziE5jd^T&Bc&U=QRUlby^(hGk)YXL(>zZ%4VmV*sw3(>B;OP+rj1H8{*{UVW)t zp_DDLZXI!Zw+iwyw^TJF1c|-I}wh@_LbhrwS-F z$S`yR7HB#f>bVTMYl%wH~(q?%&fO7IG$8EjeB1r!q4 z6V8qkpS%6p}d++vC3ivIzO5xR7a4Kl^6 zrSio%J>Q$*Oi{L#5}R_C| z41%9sEM}6tQYD5MEdgyWFWW5YeG@8M3Pwk-fL#l)LIHkgVnv}ap^l~DB-H()tdO`# zifU|_0wEo_L}_-h8Xei6r2>X|izwbgob-96)UYZDnV4*Q z?<&up*D?Eqa$j5b+>Ub398CQ7j)ish3bwCQ5`!YozZ9ZBm#STNzLcCV`@Km?tJhz_j?OE&0@g_MoJ^-@q8dTsuH_go`nF843Ael#Uj9&P(%V3QV3N{ zpf@I2L-8h8%d1)~{_QjDftCQiP3J;s7S zwda3m;v&d%VT-;jFUv&Eo_9idPFrVJRf(u;Jr&a^BM3KEMh=B9k7p&^b>Wb?C~Y0x zb340n@O8}Z!CR;=J$@OUqs8 z1c81Kth?TxlWZ`(K?klBp>;=h8oLu+1hhp+g9=($MMA>P2?4(btS!ukQq8 z01Ai(_AAjCaT8=C>W(mI-(WYY7ec0OptEP#73MV_I9|{LOKJXtO^(IaOyi>HBl7TU zpgtk&nsIEQUZB&#m&qLRpa_VpsbKPa9tjl5&hCMZx%l{vVe0S(maZty;-yCBu;rCx z%BqH5{Hn>~b4+99B0)sjVJb%ix5~QesMTp4XA5(W)WWw)m28HQEyXx9y877q*kF+~ za2bBD3_41~{)+OL$FYhk4G z3dPl&WL=6q(~Qj^lO}2n6Tmp)>MAwkB0v`sj~^q6{)Rc3Z({thu}XxC(8O3ZD2wq9 zDUYYHBiY@HxfCiNK4kX9I7akjaY^5Qk}eUtuM#jx;2=gh;{Shv%4KRzghg{8x$? z>?NchzUGFKg4aQo;r?Uafm_9w5i@$;q7RtP8Q;tm8cXb=_X;78m?GJ&7&$C0oLVt0 zd4q>HhBv{+hZ{;kpsZE_^V&(Vr6Kn*Y_YyEFvQlXmFIq;uurd;f(PTon71OLMkmuN zkq>W4)QD!54&HD0i1$Iwh;t{zZojsc+%9ZO$Qv$#0BrBL@_0S5esJlAZH{=Uh+$u1 zn@F>eL}M)CWU3i3ze|D9C@dhU(F7UwR*pM5K4c#Sjj!Q$r*$5cas)juY;%5zY z5~5|Y^`=orFr;$`vYLu(W(t)+Y<9So?T{Un$WvS?e;LvWK1xh3y#DCOxWXE*Lf#u? zOk^>POQ-;?H>Q7HOBf`mSxDm)dI3&BcH)?pyh5nM=w$3ij7R|;CX3PCP)LLW7zCxn z>D0J;tT_pI5s}HU-{XOAYU32~Eum*3*C-XTieu$bR5wUTybMi@Xea!Sp$!{_xoyVG ziRBqH_d9sve$yuov#TfD*>#BPAl&g+W7(j+HX$~GBmk5VmYVRZn7oY#M%*pz)2x0> zAvO|%LNQa|&0E#7V*=T*2=xP>rXLn6J+Up8|ZHeWfY^}U!;GjXYHa2EVR+z!tP%Xif zNwYF@NJor+l#*23Zd@ygEgXvuo<^a`)cEUrL-{y?f#9qPUW&aEqeP-raYGyBTmp+M z6p2V>0(%^_q%jXhT0+{eN*lLCD5a2ceq7ciq6fcSh`)sgD1Orp%{tmV4kK)+S}n(0xlSYpp)?645mk$8 z$t%RHqeG!nv1_7b6S$1kM!k`sLu}#}R(1lbwtwFA1`!bS5JU=Q21a=}EEYIZEYXk* zEMi!5NenEL+c$fVbiaBcqG}4G524_Y(^A_J@0~;b7!)b|{iuTt#VwpE;V<#_l7t~@ zYc%Jk+#w!u>!$bWXaIPT0;PwwiAMqNOhZ){aU`x~ay@W07BHln-~n;6IQ@hLBsWdH z8bw&aycFw~h>oymXb>Y))85Hz)_F^UI`9d*I`#(tM>~%ZiXJBg@i){d63j>xlAuPo zXrb21->kkMuXFp}GxXcMbca zYl+-IaZ)2H;UWAKc<^Id@(M*{I(vP*6VWR~Y9K)hCKo;;CXI<2_f8(OqS4{YVjD-V zCmc_hq9Lmy;3UOSlyDLz#V-=g|FC(C?rjJV7Of;PipwHCOU&zA)!arsCPKv=HFDWI zv8b9VOOKdCc(jFEB+M{C2&%u6L;B`QBd7^wcOT>cbg^4=XcA=&a8UjfH*b9si zS`7=Xwvab>NEo(OUQ?7GCXfGz`>jLj6@nkdO+q`wJ=t=|3ytC7=yDQU4toZ}RiY@A zWeHYSQ+zvt-_rbMC;((t#5Ck}PILwA7uk{=h-n;OZ(RJz0=$@d`y8>cwb%%n|!Qq&aoPGnCF2YSYG)wxN8nB9XQUCao3 zg-|Pz`6Po#UW~I{;^xJBslYXi491~Jf+ybLY@80~7Yd~ymn8p5lEQ0z`d%RkWDF-4 zN*-ja!^h(QbWzDW7Bf|{<`9E%{OJ`Ek4F%%EMmbSCrDd~nO(n7*gnOC!?)fs?)rkc2VzFY-ZRI3gxhSDh8$68_9*KIkr`L0c6EzmS8Ov$ ze#%B{=g3|;zq6a%p^n)Efy^fJm*d>Njy5?8GHhnqg{cJIG@vzM>9F~b^&wfWj58es-@R(w-gNpOIvyaPNfM!|yoy&k&Ki$$Y(z`OC>KMohGD%42waLHFL1EPp*cpV367DoJqQ?7J)zl2U>`CL0*`7w!qBEv}Ha+}U99U}AjpLa3OM zEg9E8uN1l>>?}S;EE*PX^eBpObZ7UR9+OHwQ;PaRqBjN#5)T!S;7v@M-snfqYoT<; z`dht1RT~AZjMy`S8Z%{HA=!wKATGt3T_V;}^9G-3mE2hpx!7{C)!6u&7<}Ok3^m+! za*B(FBCAt|vAwTz0Selj&OT&dVAPV2$7OF1$0O^=C`nP5EPTn=kN{O7CX~?YXGe)Q zY&aR5d^^}EW=_1f4w*tQwqzQ_$$fA7oi|kV1N1=#E3Ty~$AzVgH&tpNV}mol1Qepq zfOzvVtb`GNMV*ad39rwRyDlmN$yf!lHrQ1oB0Olw-=@*OiO%>MwO6qm`b3Lh_rugc zyNK15yq9(^kiatx(-5_b&4=&`iT99G#^|fg5=EyDzjnZD9dg_|IA3F|k@sGGjP_rS5Y*WBlR?+cp=`<(a3^h>ySV( zH({YHt;gl}_jh&99`1-Y45H`;?ibF&F{ZLNG@O8HxLQ;uIsDSNjCh-e6XY|Q~WVBEq}XQF7BYc-2q7KjFFm~{raRx9=2i0xI*_2Kovn1rqI{l&bJ$B$y6leZ?x zk&vVS znJXCGG}*+A1>t=1M#}^DD}+>MWH?qK!7WLDfY7F~cBzIbZB{fQ>$7<+Ml5UB+kn~sL z-*9LQt9d=en=jFLISqi8j;#`xz)+o*ur}!rq2v}3_aH-5mNm9hBuvO3^7%nLcHMJSh4tR_lfMG`B<3rf%guP<)&W(u*)aZ8bsg1*OYBwtPmtzWl~ z>DR*gI{JIM2IW{(dCp+>?BIMn=?q{6VLH(tWA!;x@;62u0J zRe~}ek#Kdy1fiJW!?EJPZ07uTEPZCa0CjmNRDuwZbSMYcROnX3F? z+mFA#!e{eH`NruPGn`)2!@0Sr|KcRYf{&TFYTJS0i;!NB2YkV`L#83u3cBAOvW8LvmxL7YVNx)+JTk=6hc)^^V;BWK9ud=iV1!+Pw=u^(~qSR;DaRJ;Un zAqP)UiG*QbURb3@Q}LYy(eJo;;aR_a$*spB8bsT$SlNo3@SjHw2EN4Cj4BeG9!6)A z<;0gJIDiq6)A3V^8OS(EIy{GAamL_?jgc(g^h9&XBo(6>{Uw}9B!`<~cYCj;P~tDH zN*s30q=-}p0(s7N(eSB;S+0EGG|r{AlR7ilU;W6R*KpNKB{^f*pmAQBP?=a)Xrvq_GBcD;fYh6IGi%&Bn z0#G+ILNX%oIPmoV2AlI-th|`R3Eq%JLXd3FI99?#CNn&%t-nJ*a*HIGT}`g`s;aUO z`X3A*o7nAdIs{7PV?emmIAAGRR8_M}8N(|CarxLMNvRC#RxNzD36rds_H1rX|0B z5^f>RAX+;g^_1@@M#OWXwSUq4fwoz|fj$T4*_C`BqOUj6+TAnI(YoLM2aX$Po2z$o zy9Zlm4R&_5kLzqtw8|YHeVdnPZC}()eGU%vxhI6C`{hF!0h!*EdDhp_)g}e>s<&$( z(HaQBRxVrT_Ha8OSxc?*`DloGg2NWA9rN^q(ev7=#O_>Cx2RkRyQYP<`JJ=rlbqFP z$I#rN{bzm0lR!Tg$Dj(7rFOw+a3nLt;bzS^4F9Veknp zxDGV(?pA(luI0z)-4pUBaIE|iB{0}01w%i7{65FCN~r(aY~qLwo~mW7|JIJd6L>xp zDu0!hH#lC)`~6pR;$$vlOs&4YQzbuxx9WsR`7Qb9 zmzTe@AY)>~bfiouKZ9Gj+u{Feb;I{x;woIeSIZkr>fa}_0;Bc9;ALL<>-al5@-t}t zb=YeE4gYl>gxkMW%Nu;bKCrJ9Si4r=x4rV0=^x@TxV{l8^xt3po`$q<^LL$=H@L(e zXnE_m-X`Qb|Kaje&T$0{p1+Y%I_S55pI3hQrLMfe;|`6M_uGGz$D{aX(==w)PCf!Bm!qD|JN;rBg*P~>{;botZzSw)4{1pDSzB@|y-|<<8UBlmd2>+~I U!)?k%T=~L<4m)Io3jOl`52Uq?761SM literal 0 HcmV?d00001 diff --git a/xilinx/ALU/isim/alu_isim_beh.exe.sim/isimcrash.log b/xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/isimcrash.log similarity index 100% rename from xilinx/ALU/isim/alu_isim_beh.exe.sim/isimcrash.log rename to xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/isimcrash.log diff --git a/xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/isimkernel.log b/xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/isimkernel.log new file mode 100644 index 0000000..c2d91d7 --- /dev/null +++ b/xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/isimkernel.log @@ -0,0 +1,29 @@ +Command line: + bm_instr_test_isim_beh.exe + -simmode gui + -simrunnum 0 + -socket 58139 + +Thu Apr 15 10:54:21 2021 + + + Elaboration Time: 0 sec + + Current Memory Usage: 182.768 Meg + + Total Signals : 7 + Total Nets : 2065 + Total Signal Drivers : 3 + Total Blocks : 6 + Total Primitive Blocks : 5 + Total Processes : 3 + Total Traceable Variables : 16 + Total Scalar Nets and Variables : 2567 +Total Line Count : 12 + + Total Simulation Time: 0.02 sec + + Current Memory Usage: 258.269 Meg + +Thu Apr 15 10:54:49 2021 + diff --git a/xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/netId.dat b/xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/netId.dat new file mode 100644 index 0000000000000000000000000000000000000000..da9650c6441914c4a71f156e4916b98c1441f99e GIT binary patch literal 52 lcmZQ!U|{eDVi;ftGJJrT1BiX0f}B8F0Eqp7n2n2p0RSbQ0dD{R literal 0 HcmV?d00001 diff --git a/xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/tmp_save/_1 b/xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/tmp_save/_1 new file mode 100644 index 0000000000000000000000000000000000000000..635f6f3d1cf4f3fc60c579b9fe9c72cebc102541 GIT binary patch literal 20277 zcmeI2J&)5s5Qe>WobVyw_y_I~O-KrgD-lXT2`3~fEazh7EPQt4I3dU1;;+#n-TSWX z*p5!*pg_v$9c8~}XLn|vb&+DeTqM`QMYN6Ie2Uj)Uc|vkyFVd3~nuki(_J7 zz~&nE6c?>~@?O_?^`XD=J@^+-J`S}3+n|R~G33U1J$eUgEk!^CL_h>YKm;Bj0n_{6 z!aoHP5fA|p5CIVo0TB=Z5fA|p5CIVo0TB=Z5fA|p5CIVo0TB=Z5fA|p5CIVofs-Y0 zR!9C4MS+|b@j6d8Ws+xM8O_u9V=psPi(_2FstDs4<54hiy@5X**@+Lalc7JXCL`bH zRwKA{4u_91M%kk4i6xb6aA4T>wHI`NrS(*8-N%Mk{e&m{oV@5da#)9nh6He3m4Ln9 z=EJVDb=-!x4Ui90+8ua;in33>&BQ~7Xq~OvsFUrX4NE~k*PgPxta74ThgY$&?(-`& zPcU9?VUdTs$&@BGLAqxh=n|TzJ(C}e&44y6lwI|0HuXLG@W}NBHcUT@Hs~#ZR<)*z zfl$RU%fs(cktNyE7Njx&y5)Esm6un&H-ZvD+rFA`4qUZ%Ux@ohn})l2jbrcg)lHEs zugYM0e*QN2Fq_TB!MijKta2UT`;Uulycj=&@vl1Oa-N3sB#R0r(L#KV#cyc%BFQ@3 zRhu+GdjaJT3EM|VzlE!~Nb&`FoxMT_;Ttr$@jIoEBZXH|_>`1~V%OwXWp#IdEZ4hF zwc7uEzVXKhPJP}|)Jz0KKmYKmYKmY OKm +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/bm_instr.vhd"; +extern char *IEEE_P_2592010699; +extern char *IEEE_P_1242562249; + +int ieee_p_1242562249_sub_17802405650254020620_1035706684(char *, char *, char *); +unsigned char ieee_p_2592010699_sub_2763492388968962707_503743352(char *, char *, unsigned int , unsigned int ); + + +static void work_a_1802466774_3212880686_p_0(char *t0) +{ + char *t1; + char *t2; + char *t3; + unsigned char t4; + char *t5; + int t6; + int t7; + unsigned int t8; + unsigned int t9; + unsigned int t10; + char *t11; + char *t12; + char *t13; + char *t14; + char *t15; + char *t16; + +LAB0: t1 = (t0 + 2664U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(40, ng0); + +LAB6: t2 = (t0 + 2984); + *((int *)t2) = 1; + *((char **)t1) = &&LAB7; + +LAB1: return; +LAB4: t5 = (t0 + 2984); + *((int *)t5) = 0; + xsi_set_current_line(41, ng0); + t2 = (t0 + 1512U); + t3 = *((char **)t2); + t2 = (t0 + 1032U); + t5 = *((char **)t2); + t2 = (t0 + 5232U); + t6 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t5, t2); + t7 = (t6 - 0); + t8 = (t7 * 1); + xsi_vhdl_check_range_of_index(0, 255, 1, t6); + t9 = (8U * t8); + t10 = (0 + t9); + t11 = (t3 + t10); + t12 = (t0 + 3064); + t13 = (t12 + 56U); + t14 = *((char **)t13); + t15 = (t14 + 56U); + t16 = *((char **)t15); + memcpy(t16, t11, 8U); + xsi_driver_first_trans_fast_port(t12); + goto LAB2; + +LAB5: t3 = (t0 + 1312U); + t4 = ieee_p_2592010699_sub_2763492388968962707_503743352(IEEE_P_2592010699, t3, 0U, 0U); + if (t4 == 1) + goto LAB4; + else + goto LAB6; + +LAB7: goto LAB5; + +} + + +extern void work_a_1802466774_3212880686_init() +{ + static char *pe[] = {(void *)work_a_1802466774_3212880686_p_0}; + xsi_register_didat("work_a_1802466774_3212880686", "isim/bm_instr_test_isim_beh.exe.sim/work/a_1802466774_3212880686.didat"); + xsi_register_executes(pe); +} diff --git a/xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/work/a_1802466774_3212880686.didat b/xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/work/a_1802466774_3212880686.didat new file mode 100644 index 0000000000000000000000000000000000000000..6a1621c15eb1a1dc70d79e240f7b5b1803a40c8a GIT binary patch literal 5448 zcmeHJOKTKC5N?xb5>W6EL3}_!5WVc|PA2=vNka}IIfQ@^kkC%tmL0R34KuUJ1_X2P zD)<8w0tN{Z#Dm};$VKqr!J7duxeD>1<{+-$H#5DNEDJF(h{zOtRbACp)z#HKkJ;Ow zACI+`?+DOtKe-Tt142CN5#nhKL%pFs3AuS3zJPT?h#BAk@TO0Q3D`bjyaQOsHGn+B zz!P8{cny32_JF>@aIGPX52Ho+takWqBVJ<%|1SXd`!5jdya`4r#OZ*Og?e}mbL`Vs=*-7@&IU4M`hHOR^)NPpA@jTdyBL|-=V>Itqet4`7!*8C?TvNI z(~r1I^huCdAMp~oFGEU5ZPPNr<#t}riaYQeVs)7s+myagEqr0lPBfZtaV&*2Ji74ZDB z^n81vY`bM)RO&Tl+^aV{&-OiIyx}UlVJy1!`^uNzis!4^K}{J=r|K*;jq8)QjJcY0 z7Chh0EL9RsrSpn$Rk4?xx@%X3qm+`1(i|>hDf({+-Z%X3I80|eUv7M7g^Yt3^&F($gKDa!9Y{Nnb|CFQ+JUqK|6>On&#AS4 wWu>n?U(zJ!R3)RDD#PjJx;t;!GFQx+Rz6=SSaQV7nZ;r@U(9F94u09hZ@E{O`~Uy| literal 0 HcmV?d00001 diff --git a/xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/work/a_1802466774_3212880686.lin64.o b/xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/work/a_1802466774_3212880686.lin64.o new file mode 100644 index 0000000000000000000000000000000000000000..d3883b5a7bae6b0920f84f8e5a62e205b5bcee3f GIT binary patch literal 3328 zcmbuBO>7%Q6oAKZLfsNvQwju1C7)1Qwd>urz1~y>*-4#jgq1`jIRP5h_M~1C|7dqz zTo6CP2w|m`KuB;!;sPfQ91yKUsanJVZb;lX0!5++Bn}{u!h7o(d%d=MfS1h9n{VEG z^SArPujLENQ=t$M5+YBMK}%4m4-fRLr)Eiv93{D}?Mm>vp0L4o=Z24)%8>G z@O%sVAKivvM)$qOag6v`WO^ii=j+amtGJ3U56~A%&)3**%d=>m)o=V1?%Y}3KYqsO z#EkB>KVdFjc!Q5OFMNL8#JRP9i|`(!`@|rgUvJ-v+$1DXsaIKIr`~iN({&PyO`Dm` zM8mGXz+CEFc3f5+Zm~qm+Ouk{M6R%%C|0Reb6i`xR4L;qgjkMM?PKaP$EAp9kyRwt zViI=0Shsf*CRKD%t(dwOc^NOUe2jV(qaIoNxGaSCV$f_qOcL}R6B1huh(r#nr4$MYSL@c1{FgyCY*wqR4uTS7)!p9?(?)q)f29-&JNohq_h*VgT@!@GIp(};;#aw}|FE4NA zx9C=Gv5==^HJ{JZ4XUOWR9TU=g#}_U#vsmsP^Vd>YDP<@7SyD!FKFud zt{1{)7cIz^Hti)aTu>iD;wv>F7s5H>y?}BW%KcFOfozSUAOyiL`xq3#O9(-xpukno z=T(FtX#W5dVc$Urg7Gm&3H~lZ5VU^~ir}9h1VR1~6v4kk2!i}!D1v{F5F|LCAA5LY zK6f}r`}?4XIDhzf)W`qg9LIgc$3+UmIEQ_F2Ht-Zw0{(eh>r{fyU|BToGZxuT;v_W z#rH=f=W^9Gi%`3EudWEqW_zaOvX)CExU)%R>J+|6jPl$$-D`6ZIDN56(ZrUq&&W2or3tL&v!NpXY{RpK<^378m}4 zmx1A2WGH@#@$oTl_(jZH)K%ydy5H^`_xCf@gSKyQ7BtUt@H{&CM9g2HS3nuJ{wy~X z>%#TpuMgob){pZEjtz)>$l=?(#+-!rcF-SlPw?yjk$L`;=Ka8e15GdIkMnQvfv#XM Ip9KB?1sXWqXaE2J literal 0 HcmV?d00001 diff --git a/xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/work/a_4060154216_2372691052.c b/xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/work/a_4060154216_2372691052.c new file mode 100644 index 0000000..2476dab --- /dev/null +++ b/xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/work/a_4060154216_2372691052.c @@ -0,0 +1,192 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0x8ddf5b5d */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "/home/foussats/Bureau/projet_system/projet_systeme/xilinx/ALU/bm_instr_test.vhd"; + + + +static void work_a_4060154216_2372691052_p_0(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + int64 t7; + int64 t8; + +LAB0: t1 = (t0 + 2624U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(73, ng0); + t2 = (t0 + 3256); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(74, ng0); + t2 = (t0 + 1648U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t8 = (t7 / 2); + t2 = (t0 + 2432); + xsi_process_wait(t2, t8); + +LAB6: *((char **)t1) = &&LAB7; + +LAB1: return; +LAB4: xsi_set_current_line(75, ng0); + t2 = (t0 + 3256); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(76, ng0); + t2 = (t0 + 1648U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t8 = (t7 / 2); + t2 = (t0 + 2432); + xsi_process_wait(t2, t8); + +LAB10: *((char **)t1) = &&LAB11; + goto LAB1; + +LAB5: goto LAB4; + +LAB7: goto LAB5; + +LAB8: goto LAB2; + +LAB9: goto LAB8; + +LAB11: goto LAB9; + +} + +static void work_a_4060154216_2372691052_p_1(char *t0) +{ + char *t1; + char *t2; + int64 t3; + char *t4; + int64 t5; + char *t6; + char *t7; + char *t8; + char *t9; + char *t10; + +LAB0: t1 = (t0 + 2872U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(84, ng0); + t3 = (100 * 1000LL); + t2 = (t0 + 2680); + xsi_process_wait(t2, t3); + +LAB6: *((char **)t1) = &&LAB7; + +LAB1: return; +LAB4: xsi_set_current_line(86, ng0); + t2 = (t0 + 1648U); + t4 = *((char **)t2); + t3 = *((int64 *)t4); + t5 = (t3 * 10); + t2 = (t0 + 2680); + xsi_process_wait(t2, t5); + +LAB10: *((char **)t1) = &&LAB11; + goto LAB1; + +LAB5: goto LAB4; + +LAB7: goto LAB5; + +LAB8: xsi_set_current_line(88, ng0); + t2 = (t0 + 5544); + t6 = (t0 + 3320); + t7 = (t6 + 56U); + t8 = *((char **)t7); + t9 = (t8 + 56U); + t10 = *((char **)t9); + memcpy(t10, t2, 8U); + xsi_driver_first_trans_fast(t6); + xsi_set_current_line(89, ng0); + t3 = (100 * 1000LL); + t2 = (t0 + 2680); + xsi_process_wait(t2, t3); + +LAB14: *((char **)t1) = &&LAB15; + goto LAB1; + +LAB9: goto LAB8; + +LAB11: goto LAB9; + +LAB12: xsi_set_current_line(91, ng0); + t2 = (t0 + 5552); + t6 = (t0 + 3320); + t7 = (t6 + 56U); + t8 = *((char **)t7); + t9 = (t8 + 56U); + t10 = *((char **)t9); + memcpy(t10, t2, 8U); + xsi_driver_first_trans_fast(t6); + xsi_set_current_line(94, ng0); + +LAB18: *((char **)t1) = &&LAB19; + goto LAB1; + +LAB13: goto LAB12; + +LAB15: goto LAB13; + +LAB16: goto LAB2; + +LAB17: goto LAB16; + +LAB19: goto LAB17; + +} + + +extern void work_a_4060154216_2372691052_init() +{ + static char *pe[] = {(void *)work_a_4060154216_2372691052_p_0,(void *)work_a_4060154216_2372691052_p_1}; + xsi_register_didat("work_a_4060154216_2372691052", "isim/bm_instr_test_isim_beh.exe.sim/work/a_4060154216_2372691052.didat"); + xsi_register_executes(pe); +} diff --git a/xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/work/a_4060154216_2372691052.didat b/xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/work/a_4060154216_2372691052.didat new file mode 100644 index 0000000000000000000000000000000000000000..8cea8c6b0a5b349fb08354cd2d2f024a3598adb2 GIT binary patch literal 3984 zcmeHJOOFgu6h4f{cn`6#u#ga}rmJhJtGn5ZM3t;Et| zet-pug@lBKg{_5+B_bAnfR%{$ecg3>?v(LNB?Kq=>fH0)bI-Zw)V*DO`sAw{J37;6 z1=3l{r-%bwUnnwTVf{eQ9)rHKSj1Z*(gtRLC&0uak-gvrF$RHaz7>FqIB6X|R{@)WyTBtrh z|5JeP<^{k$yaQO<2Y^TTPY~|&6dt{P$&l_TwIy>Mbh_)m5>8&qu+ICisUiJ1G#EqU zeY#3!R>;@zD5VtpG>9One?Jc6^4ffBKM%2}lE%qPaUaAXY-}HNCoPcib&lij3766T z4&oe!KE*;)A#a6M`mfQfFF=7-$+7`l_g$uMnAcVdUJKuyYW$;G&x4+^QS^bx3g;>G z=Xf*)l(aK-0qvDK=y%FK_m9zJo44-^*V%I# z=V@jQ-;*u#t$CJNWBdQ;_&H*{1m0K)xnHf5c_%P8`lA7V(NFW~^7-ohU47;M4hsIh z$$sDfa1a;+jsVAiV6ql8Yf>0*)WgDsMk|hkBrfc3HN&7)m})jI zhKY%<#7S76YlVe&G!ad<3%kaS7S7d8G#MvNlZ0_He0e zj9aR@PSNqJRb@uJYSr^RoAKdNDSJ*?eTuHVie zsRc=pdthwVOoh#;k^gbf{`U9I#ssEVaa_;$%Vkemtwg39%}d5+&4^ocD;3AD__4fEIz2#*$3PTE^|?3O pkTSS@!(lrd<}^E82#n|WPO;>7%Q6dv1zgi;(+ep;y@azf>&>!0QqQMyh@vMp9q(F9r*I^8%MvTbZfyX)3P zf>fBlHW(stC^veeH{ezj2?|9yap7JGP6$*~g$qIgHM}>Tah@l;1@&3pnfc~>-@JM2 zdE>>=!dN^OW6EOeIkquUC}Yd_ZuCvjHCc*vv%}W;zpbVB%q@&r?_3Sy>pxqKKAit% zKRkZLp0!k8+lq}jxXR*IW915rnlqAmfKV6iMk=$;uUg;U+-JpBtsifJ&$)YAJ{{17 z`8uw7?3!Bc1){OBTq10_0-^qo<(^t!Uzf|>_(<+|5YnOTce170SgAp1UtfARrnad< zMz@WSUut%mhKiv|!6RHVRt^eL7m955JAgVo*e*OEd zYb-riDLLucO4ax6z)ufXJ;$!57re?zC*b~BKX6LzBPU&R=iPEGoi9wKr%T)|`+>&; z#}ACtb2H5K-BLTu5#rO%oZ-|Q1Eb((^&t#$JDs#cHY8 z&aItY%Wxnc0UW<*Yxu|~Q}uX!aZ9o*53fwjJ&QQlK0Lt9`C&DWy073Q*e-lLpG*~# zUDyjXl(>uiu^u*=2(1u|CEycg2~Y~N1nbxY?*t@H^u=BQ!@VJ{#$u1sJHeo4X!a_M zW301b`Yw?T%|ap-`F-vqQb;TyItUJ+q9i#QBM@wR7$FYwHN5wdK-G5WGYL(C|Q zWbl#2M~?CF!o+aCz$eDW4v$Xq$^39(l(U-eavzFAvFdqFIp9zX90AUF?rF#4v##d{ zJn-zY&u48v5OYvaijME|Gq!vC!wvNW7`TS#yyimr@p!W$v_ViwidCpNj6r!6hUtak z%>IGmhxS+#7AImG!G{R`0KrX(>pBMrpX!tdj%Qx2`*wuRhlEdcE)$%_^Ld2MSA zt`nTb(}4vBOy5_x#Ia&fogRWyoq-6QJmFKF;{>NV)d-zM!lycy2u}0!S%l7)gim$8 zBRGxcdW6m|gim!k95CsNCNsDs*fkYKP><6;~@geG#hcJ;44w_tNhOZhOsD~q_Y!p{U;S5TNSrz@%~VK zO1+?ONb^_Rx1_)Rx|gN@f+EUR#joHupcA(LD{}vqB;xN6|CK3!wSSCVUt74KD3~cH kv9{e0U)>-7iG^*|lm}|NmK<#;G{gMWU&s$YpPKIfFEK*A(EtDd literal 0 HcmV?d00001 diff --git a/xilinx/ALU/isim/alu_isim_beh.exe.sim/work/alu_isim_beh.exe_main.c b/xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/work/bm_instr_test_isim_beh.exe_main.c similarity index 92% rename from xilinx/ALU/isim/alu_isim_beh.exe.sim/work/alu_isim_beh.exe_main.c rename to xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/work/bm_instr_test_isim_beh.exe_main.c index b61f851..3bd117f 100644 --- a/xilinx/ALU/isim/alu_isim_beh.exe.sim/work/alu_isim_beh.exe_main.c +++ b/xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/work/bm_instr_test_isim_beh.exe_main.c @@ -31,10 +31,11 @@ int main(int argc, char **argv) ieee_p_3499444699_init(); ieee_p_3620187407_init(); ieee_p_1242562249_init(); - work_a_2725559894_3212880686_init(); + work_a_1802466774_3212880686_init(); + work_a_4060154216_2372691052_init(); - xsi_register_tops("work_a_2725559894_3212880686"); + xsi_register_tops("work_a_4060154216_2372691052"); IEEE_P_2592010699 = xsi_get_engine_memory("ieee_p_2592010699"); xsi_register_ieee_std_logic_1164(IEEE_P_2592010699); diff --git a/xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/work/bm_instr_test_isim_beh.exe_main.lin64.o b/xilinx/ALU/isim/bm_instr_test_isim_beh.exe.sim/work/bm_instr_test_isim_beh.exe_main.lin64.o new file mode 100644 index 0000000000000000000000000000000000000000..4683e61c37b66b69b01bb128a17f48ed04016df9 GIT binary patch literal 3560 zcmbuBO>7%Q6vxL-OG8LQTD}71!xV%9BFpZ2y|xh`JBbq)St)9q1L9+9C);G(cpY}v zkRm<~kpQbgaNvfxAR$n1+=@T~38dZ-y&x_KZXi@4@zE0q^WN?od%WH~6h_*eH^2G6 zH@h>lZ}!znZ7H2fF;PSGyO(v1R3;_y5!GuiYQQ5%#_sf9jbpUue5$ zc!L|NscJbx*G#Tw^SW8o)SS+2%d+?m*KG~5l*oi(AikT=wP zpBTlWVHoH@AvE34bEd8vMaGsF79P&bt~EQ3-^t{aoTAQUJ3&i(MJp((aeqj0O0e7* zRxI`636|QOP92#%F#a|y?7hHmr@kv#m#3y*OP8l+_Qn>cGU>}xGXTm{<0KiWaBu8m zzx75s0F1@%9OPqha-jPX`2GZbAc2oQ?mdBWG|nvXJ0g+hh(8qJI`Ky$JWqTj!b9DD zb~3`TImWITp=BV4rFje11lST>(<5reo=)J~zz?zCd%{Q5odo^s3H<#8{z(G=CV~Hy z!2e0$8Q56jnL*Mo^9j73z)u6mc@R57)GsCI-%HS+PvD;=@UIj2kHF*Ji#1!^c0AwZ zzUBGc_UsmKT3d>>Yw=dYc9eCd)D~2{X?WA|mc^Taw;V$epzO=Mjsq=by zzE%b0@VttZkT31Z}64k!77R(_EvP_?G>b@!LCI@Oqn;&n;)uc3>K< zR@*(xj#VlZ{)C*5hdhH^iy3;my=kv=O*0L)T3_U=_43MM`NX2|ml8Hb987`G3|=#w z>W;%AZIL;g9biv;sj`}+#{uXhJKOymVh)3hUOC00hCFwsPj&ZJ&_<7>dI3E+oI2pLa zbAC!3`5@<)^8RU42oDMY@)C5 x7d&q4z>gm2BrOc)g6m`aF_%Tx4*hrO7xj ISim Statistics Xilinx HDL Libraries Used=ieee -Fuse Resource Usage=920 ms, 1722952 KB +Fuse Resource Usage=840 ms, 936380 KB -Total Signals=22 -Total Nets=91 +Total Signals=7 +Total Nets=2065 Total Blocks=6 -Total Processes=12 +Total Processes=3 Total Simulation Time=1 us -Simulation Resource Usage=0.19 sec, 256135 KB +Simulation Resource Usage=0.02 sec, 257216 KB Simulation Mode=gui Hardware CoSim=0 diff --git a/xilinx/ALU/isim/alu_test_isim_beh.exe.sim/isimcrash.log b/xilinx/ALU/isim/lockfile2 similarity index 100% rename from xilinx/ALU/isim/alu_test_isim_beh.exe.sim/isimcrash.log rename to xilinx/ALU/isim/lockfile2 diff --git a/xilinx/ALU/isim/precompiled.exe.sim/ieee/p_1242562249.didat b/xilinx/ALU/isim/precompiled.exe.sim/ieee/p_1242562249.didat index 7c3f22c5b5a0167788d613f2521432ddaa7c4b6e..ff59aed1d9e717300c55d87d3b76bef51d58fa48 100644 GIT binary patch delta 39 ucmX@&bi|20Hu`?A)&KI1?5Z3*cm9|h`B%@ta0EziW@OG`+`NQyfj9tVaS<5+ delta 39 ucmX@&bi|20Hu`?=$N18X?5Z3*Z)AT3|Ep(UxB{d%GcxBeZeGH3usBpDeP3Z_OeF$39(K=y>iQA{jAwlh7FflMNNHQ`oEckYsff>kF1hNmXoMB)AvbBNi z2SR5USb=O)AbUd2g~=Bg6(>*Na^ZO+`z!cgJp;oPAU*j3mkg=^2RE940lGj0n!x6d NOgW63-|)QP1OR{3JAnWI diff --git a/xilinx/ALU/isim/precompiled.exe.sim/ieee/p_3499444699.didat b/xilinx/ALU/isim/precompiled.exe.sim/ieee/p_3499444699.didat index 2aadc22bf915fb02877c265618b755a60b821d38..7068e3c569973e467eccbed343f1dda84f9507d7 100644 GIT binary patch delta 73 zcmaE(_(qXEHu`?A)&KI1>^s?c?)))1@~@tO;RukPe1=^HRbU~L!{h))7LJ^s?c-pKw6{#Vbya0N(DKEp19DzK2rVR8T?3;Rt528M*W QPc}0$>oRW6;cQ?B0FLM!@&Et; diff --git a/xilinx/ALU/isim/precompiled.exe.sim/ieee/p_3620187407.didat b/xilinx/ALU/isim/precompiled.exe.sim/ieee/p_3620187407.didat index 8f5297d010e4c73bd68c932e0d57e32c807be456..b301eac4f79a51238973be46e93add10a5a89cfa 100644 GIT binary patch delta 16 Xcmca1ctemqHu`?A)&KI1>=!uzJ;Db+ delta 16 Xcmca1ctemqHu`?=$N18X>=!uzKKTb! diff --git a/xilinx/ALU/isim/work/alu.vdb b/xilinx/ALU/isim/work/alu.vdb deleted file mode 100644 index 121ea9b19d7336ababb441d9d82d1e760c213473..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7233 zcmb7}TWDNG7{@nhtBsnb#!EreUesRjQY~6*HJX^FHdPu?pL{V8#aKlI5kVB^Bx&-BEblht=R8%Hx? zqB_&vS(y%%%FN{3GM?PuxmtlDkXnCoNix1y$=WprLwUNgRNc;zzMVsTyGO^qJ2HN3 z?Kh9v1h|8Pg9FRdA3ss4^zIngHoU8M=kC$XKYab^vt7g63F1WOzxw11jo^8iL@LB5 zXY!G|B;P+hRt-IS$KIM8?-8I+Kl|nJhhLtUoXe$Kik*W)+XhC5o|^?UvTjAsGs~{r zbRo$vRU{=;>gvRSnW=xyy)<&DE3+|TXm>)kc7dq<6b5B|_XV%(I)An6p3>mxuhDPATF z-KhrNwdygZP4c*IAzteeuVZ*^UWnKF#A`2JmoLO?L*iAz>yDyor1O$ZoX;7R@E5gT z)qYd^UF{FGvufwmAoj=^Mdh4&Rp$x)`K;%7VQjmfWcP}Mf2oo0=PU-j4$aaVs;74{ zKJ1Slr8}+GmRB;9p9rv3URS9{0MXx;$WlHea*(sj36jc}N#1y>Nf76#EcHyD7rF@H zYV~;tmI1+hNccPi+Z3N=WTM2tuw@gBYYJdk1`PASFrSBEdF~)2KTAt~n>6KLU69{0 z@|!2WdG>-1T$VZ&v$(#3#Fmsg=C)g!yUq73hEdTbMwX{;Q4bHPKMBu-E#o+)0U|F; z4=CHoEM8eYhAqDkTl?a3vD$j!yj4B*UHU(o7+GWSko54q(n)hnB?| zPDk9@fp|ix1=%0bx0(BBphwe=BVEXa&aOrdw~wUq8f#cv2cguzx*T`gESJs5@a`Rh z&(D6%Pyy16BzAieeyMcOMj55@npD2>w+%8dc~3AV_TmhakTHt&4KWI6eu zB2321$UToM;OEXNC2gNtv3X|=?WS;X9 zGA7Gw(q>FtVpaKXRu7&!g+$1)phH(sO_<)5STNS5TMzSL!gGkUceS%Z%QdBtsBjB> zM|F@QBjDUEz6p+n5l%mEqRDV*J8{$!cRZlBjqO9U}i8S}vDJ>=x?DOJiL~c+NZjRO1K6ubTXai5|%1O0~>e z^}Xg_1iwc%v3l||pKK1pw+i^?^YATK#7Jx|!?!LZ{&T^%ZG>N~%nSd1Wiov8$zC#i ztM(|p`8<5fYv5})f#F*ReDia`x4u^WM)=l&>yTnSkilpCL2i5`8T&h3Z++c|X?ApV zoRu#NY^utnR|7)UDI`J^ll%@f;mp?PRgaQJB9CLDRUPLfXD3>m^1KL^hlDR!3Y)PM z=JS`Nl+gGis-9)@Ioe~rk zr%!SUm8^nBk*dn~pg7cZ3W*TKUj71LVs0d{7Y3|=$GH`-m=!S33YZTGk85`E5S6Oi z%m6rM&~bdsk@ZjXSy}BKa-oV&BHQLqB3IRVPq<%c;OD67woI+AMnM=X#BYkfv6$M$ zP}S6c`FWhHs>M{*JXJLx5+2v=`Xnt?wV45M`k`}GtxvM1Rkb?JLa3su+IF$@<>Y{L zRjnsI6CO|xKSx!!XKHmd3i7cKzhnHx%H*o11|;z~nIDz3I3zr{eZBh5vR(5l?VHOM zp4CH&GS94bWNLLa3PNBZeii@y4K)JxN@@_2aS9oG6NR}(c5BoV!vxPa>-}QbMAnCd z*XvfA@8_v~*j?uHmzL%AyzPxYPr-JBlzD7?z#5zNU^Cweo9)16K99}v`LNwsfQ?TX zW3wJ?=38O29oWpPERp<^$MQ_v^wW^K-6UncZk#VqNEi~m*0)suKbCk;Wl;&Twjc}g2?fd^&#O~t(SdRFZ21mWVzBu O;)_RVo2Xm=dH6q-khJIk diff --git a/xilinx/ALU/isim/work/alu_test.vdb b/xilinx/ALU/isim/work/alu_test.vdb deleted file mode 100644 index 54f2ff7047ed690f69c7249b02e496d307abe2f7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5542 zcma)=Pi$0G6vn3oks4yLi3^cJk%agkQG@>ibkJ6&K&z-5H;xX%bV}U0(8TykNr;Js z5I01Pc7zb3F=^b%!c=!Ax^pGSilvJ9e`ECfy}oaHX5Ki{lic2OzjMBG&$;)$``&cm z$i@|`3Wd3XdZvXDf&kpyOM`ci{pI#iuzcp$l#ko^GW0F08Jjpr@QXw)5%%8I9$o~~F?^f>_6Q*6;lX?835vr|H z3-_tPx+maAVGS&Yr6o?IF}LoC;qgjs&!T<`{q%BTYz;G?VCD^JNGUO2765U+^sZwT z*5Gnj+XCwxtnJHT-5*%fux?ro>w&SGC{NepmZL z?N7D8)R5Q0xnX=&c#N?woDS>=i4l9Jl)hk3g1%Nhm2z!QX=>?Cr53oA;P*z*ua^zk zDyN7qqT-5AT^4fl88k+GYIbh6C&9N{Zsw4K_14^sH|A}Vn@@VfM+$r4+-xT|>)mp* zAGujq$W**;#xuC>y7>ue_(!hQ#9gfntoX_#83^ zvAtsuKVNBtEC{F6L2U0B#E)AH!ZCLc+dBsF(-(vAoDf9B1>2LLciS&>*e~m?XUTYD zUdRx7!VF&dv}ye`9|wEA#IPUill^Uc+3I@^}SSN!MTqFc&0tW;0slc=jbC%l!W)rv} zFrN-g>oCu8Wx#9#HwNZ`z_bo?ntK9f6F3x@&jhA*m~}1(m`&iOjOm@`{JfY&=u7aV0n-{&w8b)p@ zJJKUbzz9&Ccvng4N8-X^jhaH@YEV9fqds*E-Va88HO|yCnc=-oysFS#JcEQteEuEJ z#`f_h+r={&54;aGVP}+xeMs3lpZ2{&{r$aU%bMB1nps~dCnO0N6)mknQWSyW$60qi+rew|KWUy0C_8}+hi|Z6}vVokeuOT5x60oJ5ib9Xb z+LROS|0nDl&bMUeo*s-}qVdNSKDv_{81DM4$vO>Ei5_g|KK*CIedbcg{g`9AB!p(! z{I3p3eQJMe{txBjcQH6TPPOa}JPG<***kqlI~J>Jz4iOTxI(28zoSnF9=W{NGCmu| z{x$n>z*xJ!{=O^X5|;A(5y4!9+#{cilJJUNalaD#%=A3)(x&QOO zpYKlJD|@?kcwWVm{PD)#uAN6#PiLug@NY0G*vnUbxO~`K=)vBmaw3;~X{U6qn9rmV zqeq8-Kd|!sb?>VeJa2JTl2%)KWa3?GuUz`%y~@cxBszrlHV>Q zB~z9U8w0Mz{BNR8n zHl6A9Vpv~d=H});u$Zbc*%op)t!rDXZKYG{bFZARgKe!;3WjFO4fFo~4nie26@uw5 z)sw1&aGx{?WJ(s3=|r)RZP=GDEwsX55|GDLz}O=V2L87v&!Bb>+!dyixn6cPg}s)P z?uN>*q?Ir?OM>H&p4K@+FGreVMcv}ADeiSS|573C^#}v4Yr}g>>}&<;!wmeQwyP=~ z@kWMl4S*x+wObkof_~WXxpv_AVb1CT;`)2#Z$8a(gkw~eMkX5TgaOHb}k6El`tRq%?=!! zy`YPRrFPvcu3fz{J*5tF8-$y?!@e{Gh7BZtKuHFru@UJ7YzAyTt`Q0(;y(8XTAaed ztJvI8xr#}OeSqkl9%>WmJQv1Bfrnn;fLN1JNr z)D+6Unoy3~ipqgl#?FU2fs|s_5xCt{g|dsaqEf4@@Tm8Y~38 zLqr0{&;06=veo_2X<}MFa_V$vXa;GpA3Hwot5UUAml8zc2p61ayZ6^1L;$rAAv`0( z)jBU8l(xJw!^#4hi-UtJXzO`;#ruSKkOIvqbyrg zWy5F)*iVmt^tHB88k5Fjceczkk`Oj>K{g{cGBU$+tnYl|$&3#HlD<#NV378t4jg;4 z!(n~cm1f5ehxy5g*x{(xJP&U67&jl>ScK;zZq^<+v72$TK5#QT&dvOdyxK8J+Yq?z eQ4KyO*z7LmEpXa3hhF2SWq74ok4X3FC;k6~eRU83 literal 0 HcmV?d00001 diff --git a/xilinx/ALU/isim/work/bm_instr_test.vdb b/xilinx/ALU/isim/work/bm_instr_test.vdb new file mode 100644 index 0000000000000000000000000000000000000000..ec4662198e864e2cc44cef94a08a217350c9930a GIT binary patch literal 4134 zcma)=OK6-`6vrn`+9t}hH8w5yYDEnyz7{@8!$`)O+8NVCrHBuZ!4!;BaVrYaFJ?5O zP2$p`Mf+ufga$FVvkQst1l_r^v8xI$)cROU{r~RV^YvsVX?ozBd(Quy`@iR&`}n@3 z_q9EpTXMP6Imv~$_H=gdzw|~0ql2Hl@j<`%%@1eq$}L@k-lb=Fta3E3wvpBwg}FvL zTPsYzGq6(rZRGf_g%@(UkkscEdh=-`Nz;Xy4-`CeB7d7GH|ar?D#Yf*xsiDf_4>i^swJ$ipWtv#x^=Xc847=0)vCWZ&h@^TIz!c zTLQ1AdG83H%>yHgW1 zeY>v$8MCGPU()~9^H0RyboHXsMd3dF23HFsWv1{vDn&KVYLz&BhhoMm z)kCFYmv0qjOy4mV_qNk1Fmk_wJl;grSN*A=3AtI74TGQg9f`S_KXI`efuVy+dtUOpioUZUm7&{*l^ z!QqiZ&N}t!$&Xk?$rhz>quNK*Z%{4sAgjj0LTqGYq9dC{ZN-ly4h*G>_krKzdQ&YI z6$?G_re_C!w#If}$JH5!g5@#CV!AI5I}@68J2#OZC3%A7PMaiC! zt!T=gOVj#H-saHUk8v!iHPE(ozIek|x>q-u3G$Ztz zIFNYdWa+c;+ErN}+&$ZSE(q7EESF_6qc+*)oQc!jzS1sZKb_X;=U^L^(S4~Q$o5Hb z8y1Fv&cJoD_3oa%J-^0mhg+!vpMJ>JoY0%Tezq22nUO8RGC!>rJX|BJ@pP?`t}=*3 z)ak00_;$_Hme|EEO!Q%MrDfS_rZqU6ae^r0S+0jRA?nAQ&J0I2P|&S!+^K zJaf|B^!DOC6_?sah&8vUAz13?T8DtnKzI1>$*_ zoYcZ}Ukk%7*MdY}RR2}ANE~>DM6I7C8gk7NeW{f-WVy}{b0>vOarJ4z_{i;#J~bhR zZ+pWC*IO+%L?Avw_el6d%Gwa1pSJHrhD#iEUiPX#s$_>R&wrKZX9~1eA2^*^N1cld zPn@o;lDpFPigB@Urc-&uzE=!yq8d|qaQh=CT|aX9q{W_hwtX#g5gI22j29uaOwZoa z&_l@`nm9aM0j-}Ea9T&l37=fqE`yI366G=+Zqqj^m&H*o(_71BxP}Vi%LSk}fA+P2 zO-|h4iNm{ul@1S8`C{OF4ajDjGOp?Dek5@iG0nS%o)n^6KYe=oFi|e_ literal 0 HcmV?d00001 diff --git a/xilinx/ALU/pepExtractor.prj b/xilinx/ALU/pepExtractor.prj index eb9262d..e490d34 100644 --- a/xilinx/ALU/pepExtractor.prj +++ b/xilinx/ALU/pepExtractor.prj @@ -1 +1 @@ -work "alu.vhd" +work "bm_instr.vhd"